From b836dfc852eba01dc07d0c83ada431b60b3468a6 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 14 Apr 2023 13:34:18 +0200 Subject: [PATCH 01/68] testing branch first commit + led stuff --- aidatlu/i2c.py | 4 +- aidatlu/led_controller.py | 167 +++++++- .../misc/aida_tlu_address-fw_version_0a.xml | 96 +++++ .../misc/aida_tlu_address-fw_version_14.xml | 110 +++++ .../misc/aida_tlu_address-fw_version_26.xml | 112 +++++ aidatlu/misc/aida_tlu_clk_config.txt | 394 ++++++++++++++++++ aidatlu/misc/aida_tlu_connection.xml | 14 + aidatlu/misc/aida_tlu_test.conf | 105 +++++ aidatlu/misc/aida_tlu_test.ini | 53 +++ aidatlu/test.ipynb | 117 ++++++ aidatlu/tlu.py | 6 +- aidatlu/utils.py | 19 + 12 files changed, 1185 insertions(+), 12 deletions(-) create mode 100644 aidatlu/misc/aida_tlu_address-fw_version_0a.xml create mode 100644 aidatlu/misc/aida_tlu_address-fw_version_14.xml create mode 100755 aidatlu/misc/aida_tlu_address-fw_version_26.xml create mode 100644 aidatlu/misc/aida_tlu_clk_config.txt create mode 100644 aidatlu/misc/aida_tlu_connection.xml create mode 100644 aidatlu/misc/aida_tlu_test.conf create mode 100644 aidatlu/misc/aida_tlu_test.ini create mode 100644 aidatlu/test.ipynb create mode 100644 aidatlu/utils.py diff --git a/aidatlu/i2c.py b/aidatlu/i2c.py index 19008e7..fbaac5b 100644 --- a/aidatlu/i2c.py +++ b/aidatlu/i2c.py @@ -102,8 +102,8 @@ def set_i2c_command(self, value: int): def set_i2c_tx(self, value: int): self.write_register("i2c_master.i2c_rxtx", value & 0xFF) - def is_done(self): - return (self.get_i2c_status() >> 1) & 0x1 + def is_done(self) -> bool: + return bool((self.get_i2c_status() >> 1) & 0x1) def set_i2c_clock_prescale(self, value: int): self.write_register("i2c_master.i2c_pre_lo", value & 0xFF) diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index 029f89a..941988e 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -1,6 +1,7 @@ import logger from i2c import I2CCore, i2c_addr - +import time +from utils import _set_bit class LEDControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: @@ -11,29 +12,139 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_ioexpander_polarity(exp=1, addr=4, polarity=False) self._set_ioexpander_direction(exp=1, addr=6, direction="output") self._set_ioexpander_output(exp=1, addr=2, value=0xFF) + self._set_ioexpander_polarity(exp=1, addr=5, polarity=False) self._set_ioexpander_direction(exp=1, addr=7, direction="output") self._set_ioexpander_output(exp=1, addr=3, value=0xFF) + self._set_ioexpander_polarity(exp=2, addr=4, polarity=False) self._set_ioexpander_direction(exp=2, addr=6, direction="output") self._set_ioexpander_output(exp=2, addr=2, value=0xFF) + self._set_ioexpander_polarity(exp=2, addr=5, polarity=False) self._set_ioexpander_direction(exp=2, addr=7, direction="output") self._set_ioexpander_output(exp=2, addr=3, value=0xFF) - def test_leds(self) -> None: - pass + + def set_led(self,led_id: int, rgb: list) -> None: + """sets led to a rgb value + + Args: + led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 + rgb (list): rgb value for the LED e.q. [0,0,0] #TODO which color has which code? + + """ + if led_id < 1 or led_id > 11: + raise ValueError("1 < led_id < 11") + + # indicator map for LED positions notice the -1 for the clock led + indicator = [[30, 29, 31],[27, 26, 28],[24, 23, 25],[21, 20, 22],[18, 17, -1],[15, 14, 16],[12, 11, 13],[9, 8, 10],[6, 5, 7],[3, 2, 4],[1, 0, 19]] + + + now_status = [] #status of all ioexpander now + next_status = [] #status of all ioexpander next + now_status.append(0xFF & self._get_ioexpander_output(1,2)) + now_status.append(0xFF & self._get_ioexpander_output(1,3)) + now_status.append(0xFF & self._get_ioexpander_output(2,2)) + now_status.append(0xFF & self._get_ioexpander_output(2,3)) + #print(now_status,"now_status of the ioexpander for debugging") + + word = 0x00000000 + word = word | now_status[0] + word = word | (now_status[1] << 8) + word = word | (now_status[2] << 16) + word = word | (now_status[3] << 24) + #print(word,"word for debugging") + + for index in range(3): + if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error + #TODO some colors also switch on LED 11 + word = _set_bit(word,[18,17,19][index],rgb[index]) + else: + word = _set_bit(word,indicator[led_id-1][index],rgb[index]) + + next_status.append(0xFF & word) + next_status.append(0xFF & (word >> 8)) + next_status.append(0xFF & (word >> 16)) + next_status.append(0xFF & (word >> 24)) + #print(next_status,"next_status of the ioexpander for debugging") + + if now_status[0] != next_status[0]: + self._set_ioexpander_output(1,2,next_status[0]) + + if now_status[1] != next_status[1]: + self._set_ioexpander_output(1,3,next_status[1]) + + if now_status[2] != next_status[2]: + self._set_ioexpander_output(2,2,next_status[2]) + + if now_status[3] != next_status[3]: + self._set_ioexpander_output(2,3,next_status[3]) + + + def test_leds(self,single=True) -> None: + if single: + for color in [[0,1,1],[1,0,1],[1,1,0],[1,0,0],[0,1,0],[0,0,1],[0,0,0]]: + for i in range(11): + if i+1==5: + pass + else: + self.set_led(i+1,color) + time.sleep(0.1) + self.all_off() + time.sleep(0.05) + for color in [[0,0,1],[0,1,1],[1,0,1]]: + self.set_led(5,color) + time.sleep(0.15) + self.all_off() + time.sleep(0.1) + + else: + for color in ["w","r","g","b"]: + self.log.info( + "Testing LEDs color: %s" %color + ) + + self.all_on(color) + time.sleep(1) + self.all_off() + time.sleep(1) + + def all_on(self, color: str = "w") -> None: """Set all LEDs to same color Args: - color (str, optional): Color code, currently only white (w) supported. Defaults to "w". + color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"] Defaults to "w". """ - self._set_ioexpander_output(exp=1, addr=2, value=0x0) - self._set_ioexpander_output(exp=1, addr=3, value=0x0) - self._set_ioexpander_output(exp=2, addr=2, value=0x0) - self._set_ioexpander_output(exp=2, addr=3, value=0x0) + if color not in ["w","r","g","b"]: + raise ValueError("%s not supported",color) + + if color == "w": + self._set_ioexpander_output(exp=1, addr=2, value=0x0) + self._set_ioexpander_output(exp=1, addr=3, value=0x0) + self._set_ioexpander_output(exp=2, addr=2, value=0x0) + self._set_ioexpander_output(exp=2, addr=3, value=0x0) + + if color == "r": + self._set_ioexpander_output(exp=1, addr=2, value=0xb5) + self._set_ioexpander_output(exp=1, addr=3, value=0x6d) + self._set_ioexpander_output(exp=2, addr=2, value=0xdb) + self._set_ioexpander_output(exp=2, addr=3, value=0xb6) + + if color == "g": + self._set_ioexpander_output(exp=1, addr=2, value=0xda) + self._set_ioexpander_output(exp=1, addr=3, value=0xb6) + self._set_ioexpander_output(exp=2, addr=2, value=0x6d) + self._set_ioexpander_output(exp=2, addr=3, value=0xdb) + + if color == "b": + self._set_ioexpander_output(exp=1, addr=2, value=0x6f) + self._set_ioexpander_output(exp=1, addr=3, value=0xdb) + self._set_ioexpander_output(exp=2, addr=2, value=0xb6) + self._set_ioexpander_output(exp=2, addr=3, value=0x6d) + def all_off(self) -> None: """Turn off all LEDs @@ -43,6 +154,18 @@ def all_off(self) -> None: self._set_ioexpander_output(exp=2, addr=2, value=0xFF) self._set_ioexpander_output(exp=2, addr=3, value=0xFF) + + def switch_led(self,exp: int, addr: int, color: str = "off") -> None: + """changes led to color + + Args: + exp (int): _description_ + addr (int): _description_ + color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"]. Defaults to "off". + """ + pass + + def _set_dac_reference(self, internal: bool = False) -> None: """Choose internal or external DAC reference @@ -69,6 +192,9 @@ def _set_ioexpander_polarity( """ if addr not in [4, 5]: raise ValueError("Address should be 4 or 5") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], addr, polarity) def _set_ioexpander_direction( @@ -85,6 +211,9 @@ def _set_ioexpander_direction( raise ValueError("Address should be 6 or 7") if direction not in ["input", "output"]: raise ValueError('Direction parameter must be "input" or "output"') + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + self.i2c.write( self.i2c.modules["led_expander_%.1s" % exp], addr, @@ -100,5 +229,25 @@ def _set_ioexpander_output(self, exp: int, addr: int, value: int) -> None: value (int): 8 bit value for the output """ if addr not in [2, 3]: - raise ValueError("Address should be 6 or 7") + raise ValueError("Address should be 2 or 3") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], addr, value & 0xFF) + + + def _get_ioexpander_output(self, exp: int, addr: int) -> int: + """Get content of register 2 or 3 + + Args: + exp (int): _ID of LED Expander (1 or 2)) + addr (int): # TODO, what is this?! + Returns: + int: content of the ioexpander + """ + if addr not in [2, 3]: + raise ValueError("Address should be 2 or 3") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + output = self.i2c.read(self.i2c.modules["led_expander_%.1s" % exp], addr) + return output diff --git a/aidatlu/misc/aida_tlu_address-fw_version_0a.xml b/aidatlu/misc/aida_tlu_address-fw_version_0a.xml new file mode 100644 index 0000000..7408069 --- /dev/null +++ b/aidatlu/misc/aida_tlu_address-fw_version_0a.xml @@ -0,0 +1,96 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/aidatlu/misc/aida_tlu_address-fw_version_14.xml b/aidatlu/misc/aida_tlu_address-fw_version_14.xml new file mode 100644 index 0000000..880d97c --- /dev/null +++ b/aidatlu/misc/aida_tlu_address-fw_version_14.xml @@ -0,0 +1,110 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/aidatlu/misc/aida_tlu_address-fw_version_26.xml b/aidatlu/misc/aida_tlu_address-fw_version_26.xml new file mode 100755 index 0000000..d97cba3 --- /dev/null +++ b/aidatlu/misc/aida_tlu_address-fw_version_26.xml @@ -0,0 +1,112 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/aidatlu/misc/aida_tlu_clk_config.txt b/aidatlu/misc/aida_tlu_clk_config.txt new file mode 100644 index 0000000..0a7b2d9 --- /dev/null +++ b/aidatlu/misc/aida_tlu_clk_config.txt @@ -0,0 +1,394 @@ +# Si538x/4x Registers Export +# +# Part: Si5345 +# Project File: P:\cad\designs\fmc-mtlu\trunk\circuit_board\Cadence\worklib\fmc_tlu_toplevel_c\physical\ClockGen\TLU_Si5345-RevB-NEWTLU00-Project.slabtimeproj +# Design ID: TLU1E_01 +# Includes Pre/Post Download Control Register Writes: Yes +# Die Revision: A2 +# Creator: ClockBuilder Pro v2.12.1 [2016-12-15] +# Created On: 2017-08-24 13:37:41 GMT+01:00 +Address,Data +0x0B24,0xD8 +0x0B25,0x00 +0x000B,0x68 +0x0016,0x02 +0x0017,0x1C +0x0018,0x88 +0x0019,0xDD +0x001A,0xDF +0x002B,0x02 +0x002C,0x07 +0x002D,0x15 +0x002E,0x37 +0x002F,0x00 +0x0030,0x37 +0x0031,0x00 +0x0032,0x37 +0x0033,0x00 +0x0034,0x00 +0x0035,0x00 +0x0036,0x37 +0x0037,0x00 +0x0038,0x37 +0x0039,0x00 +0x003A,0x37 +0x003B,0x00 +0x003C,0x00 +0x003D,0x00 +0x003F,0x77 +0x0040,0x04 +0x0041,0x0C +0x0042,0x0C +0x0043,0x0C +0x0044,0x00 +0x0045,0x0C +0x0046,0x32 +0x0047,0x32 +0x0048,0x32 +0x0049,0x00 +0x004A,0x32 +0x004B,0x32 +0x004C,0x32 +0x004D,0x00 +0x004E,0x55 +0x004F,0x05 +0x0051,0x03 +0x0052,0x03 +0x0053,0x03 +0x0054,0x00 +0x0055,0x03 +0x0056,0x03 +0x0057,0x03 +0x0058,0x00 +0x0059,0x3F +0x005A,0xCC +0x005B,0xCC +0x005C,0xCC +0x005D,0x00 +0x005E,0xCC +0x005F,0xCC +0x0060,0xCC +0x0061,0x00 +0x0062,0xCC +0x0063,0xCC +0x0064,0xCC +0x0065,0x00 +0x0066,0x00 +0x0067,0x00 +0x0068,0x00 +0x0069,0x00 +0x0092,0x00 +0x0093,0x00 +0x0095,0x00 +0x0096,0x00 +0x0098,0x00 +0x009A,0x02 +0x009B,0x30 +0x009D,0x00 +0x009E,0x20 +0x00A0,0x00 +0x00A2,0x02 +0x00A8,0x89 +0x00A9,0x70 +0x00AA,0x07 +0x00AB,0x00 +0x00AC,0x00 +0x0102,0x01 +0x0108,0x06 +0x0109,0x09 +0x010A,0x33 +0x010B,0x00 +0x010D,0x06 +0x010E,0x09 +0x010F,0x33 +0x0110,0x00 +0x0112,0x06 +0x0113,0x09 +0x0114,0x33 +0x0115,0x00 +0x0117,0x06 +0x0118,0x09 +0x0119,0x33 +0x011A,0x00 +0x011C,0x06 +0x011D,0x09 +0x011E,0x33 +0x011F,0x00 +0x0121,0x06 +0x0122,0x09 +0x0123,0x33 +0x0124,0x00 +0x0126,0x06 +0x0127,0x09 +0x0128,0x33 +0x0129,0x00 +0x012B,0x06 +0x012C,0x09 +0x012D,0x33 +0x012E,0x00 +0x0130,0x06 +0x0131,0x09 +0x0132,0x33 +0x0133,0x00 +0x013A,0x01 +0x013B,0xCC +0x013C,0x00 +0x013D,0x00 +0x013F,0x00 +0x0140,0x00 +0x0141,0x40 +0x0142,0xFF +0x0202,0x00 +0x0203,0x00 +0x0204,0x00 +0x0205,0x00 +0x0206,0x00 +0x0208,0x14 +0x0209,0x00 +0x020A,0x00 +0x020B,0x00 +0x020C,0x00 +0x020D,0x00 +0x020E,0x01 +0x020F,0x00 +0x0210,0x00 +0x0211,0x00 +0x0212,0x14 +0x0213,0x00 +0x0214,0x00 +0x0215,0x00 +0x0216,0x00 +0x0217,0x00 +0x0218,0x01 +0x0219,0x00 +0x021A,0x00 +0x021B,0x00 +0x021C,0x14 +0x021D,0x00 +0x021E,0x00 +0x021F,0x00 +0x0220,0x00 +0x0221,0x00 +0x0222,0x01 +0x0223,0x00 +0x0224,0x00 +0x0225,0x00 +0x0226,0x00 +0x0227,0x00 +0x0228,0x00 +0x0229,0x00 +0x022A,0x00 +0x022B,0x00 +0x022C,0x00 +0x022D,0x00 +0x022E,0x00 +0x022F,0x00 +0x0231,0x01 +0x0232,0x01 +0x0233,0x01 +0x0234,0x01 +0x0235,0x00 +0x0236,0x00 +0x0237,0x00 +0x0238,0x00 +0x0239,0xA9 +0x023A,0x00 +0x023B,0x00 +0x023C,0x00 +0x023D,0x00 +0x023E,0xA0 +0x024A,0x00 +0x024B,0x00 +0x024C,0x00 +0x024D,0x00 +0x024E,0x00 +0x024F,0x00 +0x0250,0x00 +0x0251,0x00 +0x0252,0x00 +0x0253,0x00 +0x0254,0x00 +0x0255,0x00 +0x0256,0x00 +0x0257,0x00 +0x0258,0x00 +0x0259,0x00 +0x025A,0x00 +0x025B,0x00 +0x025C,0x00 +0x025D,0x00 +0x025E,0x00 +0x025F,0x00 +0x0260,0x00 +0x0261,0x00 +0x0262,0x00 +0x0263,0x00 +0x0264,0x00 +0x0268,0x00 +0x0269,0x00 +0x026A,0x00 +0x026B,0x54 +0x026C,0x4C +0x026D,0x55 +0x026E,0x31 +0x026F,0x45 +0x0270,0x5F +0x0271,0x30 +0x0272,0x31 +0x0302,0x00 +0x0303,0x00 +0x0304,0x00 +0x0305,0x80 +0x0306,0x54 +0x0307,0x00 +0x0308,0x00 +0x0309,0x00 +0x030A,0x00 +0x030B,0x80 +0x030C,0x00 +0x030D,0x00 +0x030E,0x00 +0x030F,0x00 +0x0310,0x00 +0x0311,0x00 +0x0312,0x00 +0x0313,0x00 +0x0314,0x00 +0x0315,0x00 +0x0316,0x00 +0x0317,0x00 +0x0318,0x00 +0x0319,0x00 +0x031A,0x00 +0x031B,0x00 +0x031C,0x00 +0x031D,0x00 +0x031E,0x00 +0x031F,0x00 +0x0320,0x00 +0x0321,0x00 +0x0322,0x00 +0x0323,0x00 +0x0324,0x00 +0x0325,0x00 +0x0326,0x00 +0x0327,0x00 +0x0328,0x00 +0x0329,0x00 +0x032A,0x00 +0x032B,0x00 +0x032C,0x00 +0x032D,0x00 +0x032E,0x00 +0x032F,0x00 +0x0330,0x00 +0x0331,0x00 +0x0332,0x00 +0x0333,0x00 +0x0334,0x00 +0x0335,0x00 +0x0336,0x00 +0x0337,0x00 +0x0338,0x00 +0x0339,0x1F +0x033B,0x00 +0x033C,0x00 +0x033D,0x00 +0x033E,0x00 +0x033F,0x00 +0x0340,0x00 +0x0341,0x00 +0x0342,0x00 +0x0343,0x00 +0x0344,0x00 +0x0345,0x00 +0x0346,0x00 +0x0347,0x00 +0x0348,0x00 +0x0349,0x00 +0x034A,0x00 +0x034B,0x00 +0x034C,0x00 +0x034D,0x00 +0x034E,0x00 +0x034F,0x00 +0x0350,0x00 +0x0351,0x00 +0x0352,0x00 +0x0353,0x00 +0x0354,0x00 +0x0355,0x00 +0x0356,0x00 +0x0357,0x00 +0x0358,0x00 +0x0359,0x00 +0x035A,0x00 +0x035B,0x00 +0x035C,0x00 +0x035D,0x00 +0x035E,0x00 +0x035F,0x00 +0x0360,0x00 +0x0361,0x00 +0x0362,0x00 +0x0487,0x00 +0x0502,0x01 +0x0508,0x14 +0x0509,0x23 +0x050A,0x0C +0x050B,0x0B +0x050C,0x03 +0x050D,0x3F +0x050E,0x17 +0x050F,0x2B +0x0510,0x09 +0x0511,0x08 +0x0512,0x03 +0x0513,0x3F +0x0515,0x00 +0x0516,0x00 +0x0517,0x00 +0x0518,0x00 +0x0519,0xA4 +0x051A,0x02 +0x051B,0x00 +0x051C,0x00 +0x051D,0x00 +0x051E,0x00 +0x051F,0x80 +0x0521,0x21 +0x052A,0x05 +0x052B,0x01 +0x052C,0x0F +0x052D,0x03 +0x052E,0x19 +0x052F,0x19 +0x0531,0x00 +0x0532,0x42 +0x0533,0x03 +0x0534,0x00 +0x0535,0x00 +0x0536,0x08 +0x0537,0x00 +0x0538,0x00 +0x0539,0x00 +0x0802,0x35 +0x0803,0x05 +0x0804,0x00 +0x090E,0x02 +0x0943,0x00 +0x0949,0x07 +0x094A,0x07 +0x0A02,0x00 +0x0A03,0x01 +0x0A04,0x01 +0x0A05,0x01 +0x0B44,0x2F +0x0B46,0x00 +0x0B47,0x00 +0x0B48,0x08 +0x0B4A,0x1E +0x0514,0x01 +0x001C,0x01 +0x0B24,0xDB +0x0B25,0x02 diff --git a/aidatlu/misc/aida_tlu_connection.xml b/aidatlu/misc/aida_tlu_connection.xml new file mode 100644 index 0000000..068e313 --- /dev/null +++ b/aidatlu/misc/aida_tlu_connection.xml @@ -0,0 +1,14 @@ + + + + + + + diff --git a/aidatlu/misc/aida_tlu_test.conf b/aidatlu/misc/aida_tlu_test.conf new file mode 100644 index 0000000..051edcf --- /dev/null +++ b/aidatlu/misc/aida_tlu_test.conf @@ -0,0 +1,105 @@ +[Producer.aida_tlu] +## GENERAL PARAMETERS +verbose= 1 +skipconf= 0 +confid= 20180910 +delayStart= 200 + +## HDMI CONFIGURATION +# 4-bits to determine direction of HDMI pins +HDMI1_set= 0x7 +HDMI2_set= 0x7 +HDMI3_set= 0x7 +HDMI4_set= 0x7 +# Clock source (0= no clock, 1= Si5345, 2= FPGA) +HDMI1_clk = 1 +HDMI2_clk = 1 +HDMI3_clk = 1 +HDMI4_clk = 1 +# Enable/Disable clock on differential LEMO +LEMOclk = 0 + +## PMT POWER CONFIGURATION +PMT1_V= 0.1 +PMT2_V= 0.2 +PMT3_V= 0.4 +PMT4_V= 0.8 + +## TRIGGER CONFIGURATION +trigMaskHi = 0x00000000 +trigMaskLo = 0x00000002 +in0_STR = 1 +in0_DEL = 0 +in1_STR = 1 +in1_DEL = 0 +in2_STR = 1 +in2_DEL = 0 +in3_STR = 1 +in3_DEL = 0 +in4_STR = 1 +in4_DEL = 0 +in5_STR = 1 +in5_DEL = 0 +# Generate internal triggers (in Hz, 0= no triggers) +InternalTriggerFreq= 0 + +## DISCRIMINATOR THRESHOLDS +DACThreshold0 = -0.12 +DACThreshold1 = -0.12 +DACThreshold2 = -0.12 +DACThreshold3 = -0.12 +DACThreshold4 = -0.12 +DACThreshold5 = -0.12 + +## DUT CONFIGURATION + # DUTMask Which DUTs are on +DUTMask= 0x8 + # DUTMaskMode Define AIDA (11) or EUDET (00) mode (2 bits per DUT) +DUTMaskMode= 0xFC + # In EUDET mode: 0 = standard trigger/busy mode, 1 = raising BUSY outside handshake vetoes triggers (2 bits per DUT) +DUTMaskModeModifier= 0xC0 + # Ignore the BUSY signal for a DUT (0xF) +DUTIgnoreBusy= 0xF + # Rising shutter ignores triggers +DUTIgnoreShutterVeto= 0x1 +EnableRecordData= 1 + + +# EnableShutterMode: 0x1. If 1, shutter mode is enabled. If 0, shutter mode is disabled. +EnableShutterMode= 0x1 +# Define which input is used for shutter source [0 - 5] +ShutterSource = 5 +# 32-bit counter of clocks. Set to 0 to not use internal shutter generator. +InternalShutterInterval = 0 +# 32-bit counter of clocks +ShutterOnTime = 200 +# 32-bit counter of clocks +ShutterVetoOffTime = 300 +# 32-bit counter of clocks +ShutterOffTime = 400 + +## DATA COLLECTOR +EUDAQ_DC= my_dc + + +[LogCollector.log] +# Currently, all LogCollectors have a hardcoded runtime name: log +# nothing + + +[DataCollector.my_dc] +EUDAQ_MN=my_mon +# send assambled event to the monitor with runtime name my_mon; +EUDAQ_FW=native +# the format of data file +EUDAQ_FW_PATTERN=test_$12D_run$6R$X +# the name pattern of data file +# the $12D will be converted a data/time string with 12 digits. +# the $6R will be converted a run number string with 6 digits. +# the $X will be converted the suffix name of data file. + + +[Monitor.my_mon] +EX0_ENABLE_PRINT=0 +EX0_ENABLE_STD_PRINT=0 +EX0_ENABLE_STD_CONVERTER=1 diff --git a/aidatlu/misc/aida_tlu_test.ini b/aidatlu/misc/aida_tlu_test.ini new file mode 100644 index 0000000..4db65fc --- /dev/null +++ b/aidatlu/misc/aida_tlu_test.ini @@ -0,0 +1,53 @@ +[Producer.aida_tlu] +initid= 20180910 +verbose = 1 +ConnectionFile= "file://./../user/eudet/misc/hw_conf/aida_tlu/aida_tlu_connection.xml" +DeviceName = "aida_tlu.controlhub" +#DeviceName = "aida_tlu.udp" +TLUmod = "1e" +# number of HDMI inputs, leave 4 even if you only use fewer inputs +nDUTs = 4 +nTrgIn = 6 +# 0= False (Internal Reference OFF), 1= True +intRefOn = 0 +VRefInt = 2.5 +VRefExt = 1.3 +# I2C address of the bus expander on Enclustra FPGA +I2C_COREEXP_Addr = 0x21 +# I2C address of the Si5345 +I2C_CLK_Addr = 0x68 +# I2C address of 1st AD5665R +I2C_DAC1_Addr = 0x13 +# I2C address of 2nd AD5665R +I2C_DAC2_Addr = 0x1F +# address of unique Id number EEPROM +I2C_ID_Addr = 0x50 +#I2C address of 1st expander PCA9539PW +I2C_EXP1_Addr = 0x74 +#I2C address of 2st expander PCA9539PW +I2C_EXP2_Addr = 0x75 +#I2C address of AD5665R on powermodule +I2C_DACModule_Addr = 0x1C +# Max value for control voltage on PMTs (usually 1 V) +PMT_vCtrlMax= 1.0 +#I2C address of 1st expander PCA9539PW on powermodule +I2C_EXP1Module_Addr = 0x76 +#I2C address of 2nd expander PCA9539PW on powermodule +I2C_EXP2Module_Addr = 0x77 + + +##CONFCLOCK 0= skip clock configuration, 1= configure si5345 +CONFCLOCK= 1 +CLOCK_CFG_FILE = "./../user/eudet/misc/hw_conf/aida_tlu/aida_tlu_clk_config.txt" + + +[LogCollector.log] +# Currently, all LogCollectors have a hardcoded runtime name: log +EULOG_GUI_LOG_FILE_PATTERN = myexample_$12D.log +# the $12D will be converted a data/time string with 12 digits. + +[DataCollector.my_dc] +# nothing + +[Monitor.my_mon] +# nothing diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb new file mode 100644 index 0000000..1c15af7 --- /dev/null +++ b/aidatlu/test.ipynb @@ -0,0 +1,117 @@ +{ + "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [], + "source": [ + "import uhal\n", + "\n", + "from tlu import AidaTLU\n", + "from utils import _set_bit\n", + "from led_controller import LEDControl\n", + "import time" + ] + }, + { + "cell_type": "code", + "execution_count": 2, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-04-14 12:05:21,125 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-14 12:05:21,242 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-04-14 12:05:21,244 [LED Controller] - INFO Set external DAC reference for LEDs\n" + ] + } + ], + "source": [ + "uhal.setLogLevelTo(uhal.LogLevel.NOTICE)\n", + "manager = uhal.ConnectionManager(\"file://./misc/aida_tlu_connection.xml\")\n", + "hw = uhal.HwInterface(manager.getDevice(\"aida_tlu.controlhub\"))\n", + "\n", + "tlu = AidaTLU(hw)" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-04-14 11:37:49,282 [LED Controller] - INFO Testing LEDs color: w\n", + "2023-04-14 11:37:51,312 [LED Controller] - INFO Testing LEDs color: r\n", + "2023-04-14 11:37:53,358 [LED Controller] - INFO Testing LEDs color: g\n", + "2023-04-14 11:37:55,387 [LED Controller] - INFO Testing LEDs color: b\n" + ] + } + ], + "source": [ + "tlu.led_controller.test_leds()" + ] + }, + { + "cell_type": "code", + "execution_count": 38, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.led_controller.set_led(5,[0,0,0])" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.led_controller.test_leds()" + ] + }, + { + "cell_type": "code", + "execution_count": 26, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.led_controller.all_off()" + ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] + } + ], + "metadata": { + "kernelspec": { + "display_name": "aidatlu", + "language": "python", + "name": "python3" + }, + "language_info": { + "codemirror_mode": { + "name": "ipython", + "version": 3 + }, + "file_extension": ".py", + "mimetype": "text/x-python", + "name": "python", + "nbconvert_exporter": "python", + "pygments_lexer": "ipython3", + "version": "3.10.10" + }, + "orig_nbformat": 4 + }, + "nbformat": 4, + "nbformat_minor": 2 +} diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index b9c711c..4f1d4e7 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -13,8 +13,10 @@ def __init__(self, hw) -> None: self.i2c.init() if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) + self.led_controller = LEDControl(self.i2c) + # init pwrled # if present, init display @@ -35,4 +37,6 @@ def get_fw_version(self) -> int: return self.i2c.read_register("version") def init_power_leds(self) -> None: - raise NotImplementedError("TODO") + # TODO what should this function do? + self.led_controller.test_leds() + diff --git a/aidatlu/utils.py b/aidatlu/utils.py new file mode 100644 index 0000000..a4cfd7c --- /dev/null +++ b/aidatlu/utils.py @@ -0,0 +1,19 @@ + + + +def _set_bit(value: int, index: int, set: bool=True) -> int: + """sets bit at given index of given value to bool set + + Args: + value (int): input value + index (int): index where to change bit + set (bool, optional): change bit to bool + + Returns: + int: value with a set bit at index + """ + #I stole this from https://stackoverflow.com/questions/12173774/how-to-modify-bits-in-an-integer + if set: + return value | (1< Date: Tue, 18 Apr 2023 15:02:43 +0200 Subject: [PATCH 02/68] QoL LEDs + started DAC controll --- aidatlu/led_controller.py | 172 +++++++++++++++++++--------------- aidatlu/test.ipynb | 45 ++++----- aidatlu/tlu.py | 6 +- aidatlu/voltage_controller.py | 49 ++++++++++ 4 files changed, 170 insertions(+), 102 deletions(-) create mode 100644 aidatlu/voltage_controller.py diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index 941988e..d3c80d1 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -1,5 +1,5 @@ import logger -from i2c import I2CCore, i2c_addr +from i2c import I2CCore import time from utils import _set_bit @@ -7,7 +7,7 @@ class LEDControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("LED Controller") self.i2c = i2c - self._set_dac_reference(int_ref) + # TODO: WHY?! self._set_ioexpander_polarity(exp=1, addr=4, polarity=False) self._set_ioexpander_direction(exp=1, addr=6, direction="output") @@ -26,60 +26,7 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_ioexpander_output(exp=2, addr=3, value=0xFF) - def set_led(self,led_id: int, rgb: list) -> None: - """sets led to a rgb value - - Args: - led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 - rgb (list): rgb value for the LED e.q. [0,0,0] #TODO which color has which code? - - """ - if led_id < 1 or led_id > 11: - raise ValueError("1 < led_id < 11") - - # indicator map for LED positions notice the -1 for the clock led - indicator = [[30, 29, 31],[27, 26, 28],[24, 23, 25],[21, 20, 22],[18, 17, -1],[15, 14, 16],[12, 11, 13],[9, 8, 10],[6, 5, 7],[3, 2, 4],[1, 0, 19]] - - - now_status = [] #status of all ioexpander now - next_status = [] #status of all ioexpander next - now_status.append(0xFF & self._get_ioexpander_output(1,2)) - now_status.append(0xFF & self._get_ioexpander_output(1,3)) - now_status.append(0xFF & self._get_ioexpander_output(2,2)) - now_status.append(0xFF & self._get_ioexpander_output(2,3)) - #print(now_status,"now_status of the ioexpander for debugging") - - word = 0x00000000 - word = word | now_status[0] - word = word | (now_status[1] << 8) - word = word | (now_status[2] << 16) - word = word | (now_status[3] << 24) - #print(word,"word for debugging") - - for index in range(3): - if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error - #TODO some colors also switch on LED 11 - word = _set_bit(word,[18,17,19][index],rgb[index]) - else: - word = _set_bit(word,indicator[led_id-1][index],rgb[index]) - - next_status.append(0xFF & word) - next_status.append(0xFF & (word >> 8)) - next_status.append(0xFF & (word >> 16)) - next_status.append(0xFF & (word >> 24)) - #print(next_status,"next_status of the ioexpander for debugging") - - if now_status[0] != next_status[0]: - self._set_ioexpander_output(1,2,next_status[0]) - - if now_status[1] != next_status[1]: - self._set_ioexpander_output(1,3,next_status[1]) - - if now_status[2] != next_status[2]: - self._set_ioexpander_output(2,2,next_status[2]) - - if now_status[3] != next_status[3]: - self._set_ioexpander_output(2,3,next_status[3]) + def test_leds(self,single=True) -> None: @@ -89,12 +36,12 @@ def test_leds(self,single=True) -> None: if i+1==5: pass else: - self.set_led(i+1,color) + self._set_led(i+1,color) time.sleep(0.1) self.all_off() time.sleep(0.05) for color in [[0,0,1],[0,1,1],[1,0,1]]: - self.set_led(5,color) + self._set_led(5,color) time.sleep(0.15) self.all_off() time.sleep(0.1) @@ -119,7 +66,7 @@ def all_on(self, color: str = "w") -> None: color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"] Defaults to "w". """ if color not in ["w","r","g","b"]: - raise ValueError("%s not supported",color) + raise ValueError("%s color not supported" %color) if color == "w": self._set_ioexpander_output(exp=1, addr=2, value=0x0) @@ -155,30 +102,101 @@ def all_off(self) -> None: self._set_ioexpander_output(exp=2, addr=3, value=0xFF) - def switch_led(self,exp: int, addr: int, color: str = "off") -> None: - """changes led to color + def switch_led(self, led_id: int, color: str = "off") -> None: + """changes LED with led_id to specific color Args: - exp (int): _description_ - addr (int): _description_ - color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"]. Defaults to "off". + led_id (int): ID for the 11 LEDs, led_ id has to be between 1 and 11 + color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b", off: "off"] + for Clock LED only [red: "r", green: "g", off: "off"]. + Defaults to "off". """ - pass - + + if led_id == 5 and color != "r" and color != "g" and color != "off": + raise ValueError("%s color not supported for Clock LED" %color) + + elif color != "w" and color != "r" and color != "g" and color != "b" and color != "off": + raise ValueError("%s color not supported for LED" %color) - def _set_dac_reference(self, internal: bool = False) -> None: - """Choose internal or external DAC reference + if led_id == 5: + if color == "r": + rgb = [0,1,1] + if color == "g": + rgb = [1,0,1] + if color == "off": + rgb = [1,1,1] - Args: - internal (bool, optional): Defaults to False. - """ - if internal: - self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) else: - self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) - self.log.info( - "Set %s DAC reference for LEDs" % ("internal" if internal else "external") - ) + if color == "w": + rgb = [0,0,0] + if color == "r": + rgb = [0,1,1] + if color == "g": + rgb = [1,0,1] + if color == "b": + rgb = [1,0,0] + if color == "off": + rgb = [1,1,1] + + self._set_led(led_id,rgb) + + + def _set_led(self,led_id: int, rgb: list) -> None: + """sets led to a rgb value + + Args: + led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 + rgb (list): rgb value for the LED e.q. [0,0,0] #TODO which color has which code? + + """ + if led_id < 1 or led_id > 11: + raise ValueError("1 < led_id < 11") + + # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? + indicator = [[30, 29, 31],[27, 26, 28],[24, 23, 25],[21, 20, 22],[18, 17, -1],[15, 14, 16],[12, 11, 13],[9, 8, 10],[6, 5, 7],[3, 2, 4],[1, 0, 19]] + + + now_status = [] #status of all ioexpander now + next_status = [] #status of all ioexpander next + now_status.append(0xFF & self._get_ioexpander_output(1,2)) + now_status.append(0xFF & self._get_ioexpander_output(1,3)) + now_status.append(0xFF & self._get_ioexpander_output(2,2)) + now_status.append(0xFF & self._get_ioexpander_output(2,3)) + #print(now_status,"now_status of the ioexpander for debugging") + + word = 0x00000000 + word = word | now_status[0] + word = word | (now_status[1] << 8) + word = word | (now_status[2] << 16) + word = word | (now_status[3] << 24) + #print(word,"word for debugging") + + for index in range(3): + if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error + #TODO some colors also switch on LED 11 + word = _set_bit(word,[18,17,19][index],rgb[index]) + else: + word = _set_bit(word,indicator[led_id-1][index],rgb[index]) + + next_status.append(0xFF & word) + next_status.append(0xFF & (word >> 8)) + next_status.append(0xFF & (word >> 16)) + next_status.append(0xFF & (word >> 24)) + #print(next_status,"next_status of the ioexpander for debugging") + + if now_status[0] != next_status[0]: + self._set_ioexpander_output(1,2,next_status[0]) + + if now_status[1] != next_status[1]: + self._set_ioexpander_output(1,3,next_status[1]) + + if now_status[2] != next_status[2]: + self._set_ioexpander_output(2,2,next_status[2]) + + if now_status[3] != next_status[3]: + self._set_ioexpander_output(2,3,next_status[3]) + + def _set_ioexpander_polarity( self, exp: int, addr: int, polarity: bool = False diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 1c15af7..08685d7 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -23,9 +23,8 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-04-14 12:05:21,125 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-14 12:05:21,242 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-04-14 12:05:21,244 [LED Controller] - INFO Set external DAC reference for LEDs\n" + "2023-04-18 14:21:54,675 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-18 14:21:54,788 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n" ] } ], @@ -39,36 +38,38 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 8, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-04-14 11:37:49,282 [LED Controller] - INFO Testing LEDs color: w\n", - "2023-04-14 11:37:51,312 [LED Controller] - INFO Testing LEDs color: r\n", - "2023-04-14 11:37:53,358 [LED Controller] - INFO Testing LEDs color: g\n", - "2023-04-14 11:37:55,387 [LED Controller] - INFO Testing LEDs color: b\n" - ] - } - ], + "outputs": [], "source": [ - "tlu.led_controller.test_leds()" + "tlu.led_controller._set_led(5,[1,1,1])" ] }, { "cell_type": "code", - "execution_count": 38, + "execution_count": 10, "metadata": {}, - "outputs": [], + "outputs": [ + { + "ename": "ValueError", + "evalue": "y not supported for LED", + "output_type": "error", + "traceback": [ + "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", + "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[10], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m tlu\u001b[39m.\u001b[39;49mled_controller\u001b[39m.\u001b[39;49mswitch_led(\u001b[39m1\u001b[39;49m,color\u001b[39m=\u001b[39;49m\u001b[39m\"\u001b[39;49m\u001b[39my\u001b[39;49m\u001b[39m\"\u001b[39;49m)\n", + "File \u001b[0;32m~/software/aidatlu/aidatlu/led_controller.py:119\u001b[0m, in \u001b[0;36mLEDControl.switch_led\u001b[0;34m(self, led_id, color)\u001b[0m\n\u001b[1;32m 116\u001b[0m \u001b[39mraise\u001b[39;00m \u001b[39mValueError\u001b[39;00m(\u001b[39m\"\u001b[39m\u001b[39m%s\u001b[39;00m\u001b[39m not supported for Clock LED\u001b[39m\u001b[39m\"\u001b[39m \u001b[39m%\u001b[39mcolor)\n\u001b[1;32m 118\u001b[0m \u001b[39melif\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mw\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mr\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mg\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mb\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39moff\u001b[39m\u001b[39m\"\u001b[39m:\n\u001b[0;32m--> 119\u001b[0m \u001b[39mraise\u001b[39;00m \u001b[39mValueError\u001b[39;00m(\u001b[39m\"\u001b[39m\u001b[39m%s\u001b[39;00m\u001b[39m not supported for LED\u001b[39m\u001b[39m\"\u001b[39m \u001b[39m%\u001b[39mcolor)\n\u001b[1;32m 121\u001b[0m \u001b[39mif\u001b[39;00m led_id \u001b[39m==\u001b[39m \u001b[39m5\u001b[39m:\n\u001b[1;32m 122\u001b[0m \u001b[39mif\u001b[39;00m color \u001b[39m==\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mr\u001b[39m\u001b[39m\"\u001b[39m:\n", + "\u001b[0;31mValueError\u001b[0m: y not supported for LED" + ] + } + ], "source": [ - "tlu.led_controller.set_led(5,[0,0,0])" + "tlu.led_controller.switch_led(1,color=\"y\")" ] }, { "cell_type": "code", - "execution_count": 5, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -77,7 +78,7 @@ }, { "cell_type": "code", - "execution_count": 26, + "execution_count": 11, "metadata": {}, "outputs": [], "source": [ diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 4f1d4e7..9053c48 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -3,7 +3,7 @@ import logger from i2c import I2CCore, i2c_addr from led_controller import LEDControl - +from voltage_controller import VoltageControl class AidaTLU(object): def __init__(self, hw) -> None: @@ -15,7 +15,7 @@ def __init__(self, hw) -> None: self.log.info("Found device with ID %s" % hex(self.get_device_id())) self.led_controller = LEDControl(self.i2c) - + self.voltage_controller = VoltageControl(self.i2c) # init pwrled @@ -38,5 +38,5 @@ def get_fw_version(self) -> int: def init_power_leds(self) -> None: # TODO what should this function do? - self.led_controller.test_leds() + pass diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py new file mode 100644 index 0000000..305c18a --- /dev/null +++ b/aidatlu/voltage_controller.py @@ -0,0 +1,49 @@ +from i2c import I2CCore +import logger + + + +class VoltageControl(object,): + def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: + self.log = logger.setup_derived_logger("Voltage Controller") + self.i2c = i2c + self._set_dac_reference(int_ref) + + + def set_voltage(self, channel: int, voltage: float) -> None: + # Does float voltage work here? + pass + + + def _set_dac_reference(self, internal: bool = False) -> None: + """Choose internal or external DAC reference + + Args: + internal (bool, optional): Defaults to False. + """ + if internal: + self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) + else: + self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) + self.log.info( + "Set %s DAC reference for LEDs" % ("internal" if internal else "external") + ) + + def _set_dac_value(self, channel: int, value: int) -> None: + + if channel < 0 or channel > 7: + raise ValueError("Channel hast to be between 0 and 7") + + if value<0: + self.log.info( + "value < 0 not supported, value will default to 0" + ) + value = 0 + + if value>0xFFFF: + self.log.info( + "value > 0xFFFF not supported, value will default to 0xFFFF" + ) + value = 0xFFFF + + pass From ff41b72c49777e7580d299eed933e46bf902d1cc Mon Sep 17 00:00:00 2001 From: rasmus Date: Fri, 21 Apr 2023 10:16:26 +0200 Subject: [PATCH 03/68] LEDs update + DACs NOT TESTED --- aidatlu/led_controller.py | 23 ++++--------- aidatlu/test.ipynb | 2 +- aidatlu/voltage_controller.py | 63 ++++++++++++++++++++++++++--------- 3 files changed, 55 insertions(+), 33 deletions(-) diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index d3c80d1..77eed46 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -4,7 +4,7 @@ from utils import _set_bit class LEDControl(object): - def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: + def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("LED Controller") self.i2c = i2c @@ -25,10 +25,6 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_ioexpander_direction(exp=2, addr=7, direction="output") self._set_ioexpander_output(exp=2, addr=3, value=0xFF) - - - - def test_leds(self,single=True) -> None: if single: for color in [[0,1,1],[1,0,1],[1,1,0],[1,0,0],[0,1,0],[0,0,1],[0,0,0]]: @@ -57,8 +53,6 @@ def test_leds(self,single=True) -> None: self.all_off() time.sleep(1) - - def all_on(self, color: str = "w") -> None: """Set all LEDs to same color @@ -92,7 +86,6 @@ def all_on(self, color: str = "w") -> None: self._set_ioexpander_output(exp=2, addr=2, value=0xb6) self._set_ioexpander_output(exp=2, addr=3, value=0x6d) - def all_off(self) -> None: """Turn off all LEDs """ @@ -101,7 +94,6 @@ def all_off(self) -> None: self._set_ioexpander_output(exp=2, addr=2, value=0xFF) self._set_ioexpander_output(exp=2, addr=3, value=0xFF) - def switch_led(self, led_id: int, color: str = "off") -> None: """changes LED with led_id to specific color @@ -112,12 +104,13 @@ def switch_led(self, led_id: int, color: str = "off") -> None: Defaults to "off". """ - if led_id == 5 and color != "r" and color != "g" and color != "off": + if led_id == 5 and color not in ["r","g","off"]: raise ValueError("%s color not supported for Clock LED" %color) - elif color != "w" and color != "r" and color != "g" and color != "b" and color != "off": + elif color not in ["w", "r","g", "b","off"]: raise ValueError("%s color not supported for LED" %color) + # Clock LED has only two LEDs if led_id == 5: if color == "r": rgb = [0,1,1] @@ -140,7 +133,6 @@ def switch_led(self, led_id: int, color: str = "off") -> None: self._set_led(led_id,rgb) - def _set_led(self,led_id: int, rgb: list) -> None: """sets led to a rgb value @@ -150,10 +142,10 @@ def _set_led(self,led_id: int, rgb: list) -> None: """ if led_id < 1 or led_id > 11: - raise ValueError("1 < led_id < 11") + raise ValueError("LED ID has to be between 1 and 11") # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? - indicator = [[30, 29, 31],[27, 26, 28],[24, 23, 25],[21, 20, 22],[18, 17, -1],[15, 14, 16],[12, 11, 13],[9, 8, 10],[6, 5, 7],[3, 2, 4],[1, 0, 19]] + indicator = [[30, 29, 31], [27, 26, 28], [24, 23, 25], [21, 20, 22], [18, 17, -1], [15, 14, 16], [12, 11, 13], [9, 8, 10], [6, 5, 7], [3, 2, 4], [1, 0, 19]] now_status = [] #status of all ioexpander now @@ -196,8 +188,6 @@ def _set_led(self,led_id: int, rgb: list) -> None: if now_status[3] != next_status[3]: self._set_ioexpander_output(2,3,next_status[3]) - - def _set_ioexpander_polarity( self, exp: int, addr: int, polarity: bool = False ) -> None: @@ -252,7 +242,6 @@ def _set_ioexpander_output(self, exp: int, addr: int, value: int) -> None: raise ValueError("Expander ID should be 1 or 2") self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], addr, value & 0xFF) - def _get_ioexpander_output(self, exp: int, addr: int) -> int: """Get content of register 2 or 3 diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 08685d7..f9226b8 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -109,7 +109,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.10.10" + "version": "3.9.13" }, "orig_nbformat": 4 }, diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py index 305c18a..ed9ffd1 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/voltage_controller.py @@ -1,19 +1,37 @@ from i2c import I2CCore import logger - - -class VoltageControl(object,): +class VoltageControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") self.i2c = i2c self._set_dac_reference(int_ref) - def set_voltage(self, channel: int, voltage: float) -> None: - # Does float voltage work here? - pass + """Sets given DAC to given output voltage. + Args: + channel (int): DAC channel + voltage (float): DAC output voltage + """ + #TODO why here between 0 and 3 and for dac value between 0 and 7?? + if channel < 0 or channel > 3: + raise ValueError("Channel has to be between 0 and 3") + + if voltage < 0: + self.log.warn( + "A Voltage value smaller than 0 is not supported, Voltage will default to 0" + ) + voltage = 0 + + if voltage > 1: + self.log.warn( + "A Voltage value bigger than 1 is not supported, Voltage will default to 1" + ) + voltage = 1 + + #0xFFFF is max DAC value + self._set_dac_value(channel,int(voltage*0xFFFF)) def _set_dac_reference(self, internal: bool = False) -> None: """Choose internal or external DAC reference @@ -22,28 +40,43 @@ def _set_dac_reference(self, internal: bool = False) -> None: internal (bool, optional): Defaults to False. """ if internal: + #TODO does this work? eudaq uses here an array write function. That function uses a loop to write the single chars from the array in. self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) else: - self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) + #TODO does this work? eudaq uses here an array write function. That function uses a loop to write the single chars from the array in. + self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0000) self.log.info( "Set %s DAC reference for LEDs" % ("internal" if internal else "external") ) def _set_dac_value(self, channel: int, value: int) -> None: - + """Set the output value of a DAC + + Args: + channel (int): DAC channel + value (int): DAC output value + """ if channel < 0 or channel > 7: - raise ValueError("Channel hast to be between 0 and 7") + raise ValueError("Channel has to be between 0 and 7") - if value<0: - self.log.info( - "value < 0 not supported, value will default to 0" + if value<0x0000: + self.log.warn( + "DAC value < 0x0000 not supported, value will default to 0x0000" ) value = 0 if value>0xFFFF: - self.log.info( - "value > 0xFFFF not supported, value will default to 0xFFFF" + self.log.warn( + "DAC value > 0xFFFF not supported, value will default to 0xFFFF" ) value = 0xFFFF + + first_value = value & 0xFF + second_value = (value>>8) & 0xFF + + #TODO does this work? eudaq uses here an array write function. This uses a loop to write the single chars from the array in. + # There could be a bug here due to the consecutive writing of the the register with non zero values. poss. there is a need for this write array function here + mem_addr = 0x18 + (channel & 0x7) + self.i2c.write(self.i2c.modules["pwr_dac"], mem_addr, first_value) + self.i2c.write(self.i2c.modules["pwr_dac"], mem_addr, second_value) - pass From 7a31cbba5d153a4df048e1a7d268efc16adcf914 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 24 Apr 2023 15:52:49 +0200 Subject: [PATCH 04/68] DACs 'work' with wrong mapping internal ref needs some work --- aidatlu/clock_controller.py | 37 +++++++++++ aidatlu/i2c.py | 21 +++++++ aidatlu/test.ipynb | 112 ++++++++++++++++++++++++++++------ aidatlu/tlu.py | 2 + aidatlu/trigger_controller.py | 31 ++++++++++ aidatlu/voltage_controller.py | 85 ++++++++++++++++++++------ 6 files changed, 252 insertions(+), 36 deletions(-) create mode 100644 aidatlu/clock_controller.py create mode 100644 aidatlu/trigger_controller.py diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py new file mode 100644 index 0000000..20ae318 --- /dev/null +++ b/aidatlu/clock_controller.py @@ -0,0 +1,37 @@ +from i2c import I2CCore +import logger + +class ClockControl(object): + def __init__(self, i2c: I2CCore) -> None: + self.log = logger.setup_derived_logger("Clock Controller") + self.i2c = i2c + + self.parse_clock_conf() + self.write_clock_conf() + + def get_device_version(): + pass + + def read_clock_register(): + pass + + def write_clock_register(): + pass + + def check_design_id(): + pass + + def parse_clock_conf(): + pass + + def write_clock_conf(): + pass + + def _set_page(): + pass + + def _get_page(): + pass + + + \ No newline at end of file diff --git a/aidatlu/i2c.py b/aidatlu/i2c.py index fbaac5b..67638e4 100644 --- a/aidatlu/i2c.py +++ b/aidatlu/i2c.py @@ -140,3 +140,24 @@ def read(self, device_addr: int, mem_addr: int) -> int: return self.read_register("i2c_master.i2c_rxtx") + def write_array(self,device_addr: int, mem_addr: int, values: list) -> None: + self.set_i2c_tx((device_addr << 1) | 0x0) + self.set_i2c_command(0x90) + + self.set_i2c_tx(mem_addr) + self.set_i2c_command(0x10) + + for i in range(len(values)-1): + if i > 0xFF: + n_bytes_to_write = ceil(len(hex(i)[2:] / 2)) + for byte in range( + 8 * (n_bytes_to_write - 1), 0, -8 + ): # funky magic to write byte by byte + to_write = (i & (0xFF << byte)) >> byte + self.set_i2c_tx(to_write) + self.set_i2c_command(0x10) + self.set_i2c_tx(values[i] & 0xFF) + self.set_i2c_command(0x10) + + self.set_i2c_tx(values[-1] & 0xFF) + self.set_i2c_command(0x50) \ No newline at end of file diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index f9226b8..043f5d4 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -11,7 +11,8 @@ "from tlu import AidaTLU\n", "from utils import _set_bit\n", "from led_controller import LEDControl\n", - "import time" + "import time\n", + "import logger" ] }, { @@ -23,8 +24,9 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-04-18 14:21:54,675 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-18 14:21:54,788 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n" + "2023-04-24 14:41:43,280 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-24 14:41:43,419 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-04-24 14:41:43,437 [Voltage Controller] - INFO Set external DAC reference\n" ] } ], @@ -38,51 +40,123 @@ }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 9, "metadata": {}, - "outputs": [], + "outputs": [ + { + "data": { + "text/plain": [ + "0" + ] + }, + "execution_count": 9, + "metadata": {}, + "output_type": "execute_result" + } + ], "source": [ - "tlu.led_controller._set_led(5,[1,1,1])" + "tlu.get_internal_trigger_frequency()" ] }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "metadata": {}, "outputs": [ { - "ename": "ValueError", - "evalue": "y not supported for LED", + "ename": "TypeError", + "evalue": "getNode(): incompatible function arguments. The following argument types are supported:\n 1. (self: uhal._core.HwInterface) -> uhal._core.Node\n 2. (self: uhal._core.HwInterface, arg0: str) -> uhal._core.Node\n\nInvoked with: , 28", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mValueError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[10], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m tlu\u001b[39m.\u001b[39;49mled_controller\u001b[39m.\u001b[39;49mswitch_led(\u001b[39m1\u001b[39;49m,color\u001b[39m=\u001b[39;49m\u001b[39m\"\u001b[39;49m\u001b[39my\u001b[39;49m\u001b[39m\"\u001b[39;49m)\n", - "File \u001b[0;32m~/software/aidatlu/aidatlu/led_controller.py:119\u001b[0m, in \u001b[0;36mLEDControl.switch_led\u001b[0;34m(self, led_id, color)\u001b[0m\n\u001b[1;32m 116\u001b[0m \u001b[39mraise\u001b[39;00m \u001b[39mValueError\u001b[39;00m(\u001b[39m\"\u001b[39m\u001b[39m%s\u001b[39;00m\u001b[39m not supported for Clock LED\u001b[39m\u001b[39m\"\u001b[39m \u001b[39m%\u001b[39mcolor)\n\u001b[1;32m 118\u001b[0m \u001b[39melif\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mw\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mr\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mg\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mb\u001b[39m\u001b[39m\"\u001b[39m \u001b[39mand\u001b[39;00m color \u001b[39m!=\u001b[39m \u001b[39m\"\u001b[39m\u001b[39moff\u001b[39m\u001b[39m\"\u001b[39m:\n\u001b[0;32m--> 119\u001b[0m \u001b[39mraise\u001b[39;00m \u001b[39mValueError\u001b[39;00m(\u001b[39m\"\u001b[39m\u001b[39m%s\u001b[39;00m\u001b[39m not supported for LED\u001b[39m\u001b[39m\"\u001b[39m \u001b[39m%\u001b[39mcolor)\n\u001b[1;32m 121\u001b[0m \u001b[39mif\u001b[39;00m led_id \u001b[39m==\u001b[39m \u001b[39m5\u001b[39m:\n\u001b[1;32m 122\u001b[0m \u001b[39mif\u001b[39;00m color \u001b[39m==\u001b[39m \u001b[39m\"\u001b[39m\u001b[39mr\u001b[39m\u001b[39m\"\u001b[39m:\n", - "\u001b[0;31mValueError\u001b[0m: y not supported for LED" + "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", + "Cell \u001b[0;32mIn[11], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m tlu\u001b[39m.\u001b[39;49mi2c\u001b[39m.\u001b[39;49mread_register(tlu\u001b[39m.\u001b[39;49mi2c\u001b[39m.\u001b[39;49mmodules[\u001b[39m\"\u001b[39;49m\u001b[39mpwr_dac\u001b[39;49m\u001b[39m\"\u001b[39;49m])\n", + "File \u001b[0;32m~/software/aidatlu/aidatlu/i2c.py:82\u001b[0m, in \u001b[0;36mI2CCore.read_register\u001b[0;34m(self, register)\u001b[0m\n\u001b[1;32m 78\u001b[0m \u001b[39m\u001b[39m\u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 79\u001b[0m \u001b[39m register: str Name of node in address file\u001b[39;00m\n\u001b[1;32m 80\u001b[0m \u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 81\u001b[0m \u001b[39mtry\u001b[39;00m:\n\u001b[0;32m---> 82\u001b[0m ret \u001b[39m=\u001b[39m \u001b[39mself\u001b[39;49m\u001b[39m.\u001b[39;49mi2c_hw\u001b[39m.\u001b[39;49mgetNode(register)\u001b[39m.\u001b[39mread()\n\u001b[1;32m 83\u001b[0m \u001b[39mself\u001b[39m\u001b[39m.\u001b[39mi2c_hw\u001b[39m.\u001b[39mdispatch()\n\u001b[1;32m 84\u001b[0m \u001b[39mif\u001b[39;00m ret\u001b[39m.\u001b[39mvalid():\n", + "\u001b[0;31mTypeError\u001b[0m: getNode(): incompatible function arguments. The following argument types are supported:\n 1. (self: uhal._core.HwInterface) -> uhal._core.Node\n 2. (self: uhal._core.HwInterface, arg0: str) -> uhal._core.Node\n\nInvoked with: , 28" ] } ], "source": [ - "tlu.led_controller.switch_led(1,color=\"y\")" + "tlu.i2c.read_register(tlu.i2c.modules[\"pwr_dac\"])" ] }, { "cell_type": "code", - "execution_count": null, + "execution_count": 8, "metadata": {}, "outputs": [], "source": [ - "tlu.led_controller.test_leds()" + "tlu.set_internal_trigger_interval(1)" ] }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-04-24 13:59:05,785 [AidaTLU ] - INFO Internal frequency: 0\n" + ] + } + ], + "source": [ + "tlu.set_internal_trigger_frequency(10000000)" + ] + }, + { + "cell_type": "code", + "execution_count": 79, "metadata": {}, "outputs": [], "source": [ - "tlu.led_controller.all_off()" + "tlu.voltage_controller.set_all_voltage(0)" + ] + }, + { + "cell_type": "code", + "execution_count": 80, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-04-24 13:18:58,032 [Voltage Controller] - INFO Set external DAC reference\n" + ] + } + ], + "source": [ + "tlu.voltage_controller._set_dac_reference(False)" + ] + }, + { + "cell_type": "code", + "execution_count": 83, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.voltage_controller.set_voltage(0,0)" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.led_controller.switch_led(3,\"off\")" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [], + "source": [ + "tlu.led_controller.test_leds()" ] }, { @@ -109,7 +183,7 @@ "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", - "version": "3.9.13" + "version": "3.10.10" }, "orig_nbformat": 4 }, diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 9053c48..2232cb4 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -40,3 +40,5 @@ def init_power_leds(self) -> None: # TODO what should this function do? pass + def compare_write_read(self): + pass \ No newline at end of file diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py new file mode 100644 index 0000000..df7e46e --- /dev/null +++ b/aidatlu/trigger_controller.py @@ -0,0 +1,31 @@ + + + +#TODO these functions do not work. + + +def get_internal_trigger_frequency(self) -> int: + interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") + if interval == 0: + freq = 0 + else: + freq = int(160000000/interval) + return freq + +def set_internal_trigger_frequency(self, frequency: int) -> None: + max_freq = 160000000 + if frequency < 0: + raise ValueError("Frequency smaller 0 does not work") + if frequency > max_freq: + raise ValueError("Frequency larger 160MHz does not work") + if frequency == 0: + interval = frequency + else: + interval = int(160000000/frequency) + self.set_internal_trigger_interval(interval) + self.log.info("Internal frequency: %i" %self.get_internal_trigger_frequency()) + + #self.compare_write_read() + +def set_internal_trigger_interval(self, interval) -> None: + self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py index ed9ffd1..9db300a 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/voltage_controller.py @@ -7,6 +7,54 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.i2c = i2c self._set_dac_reference(int_ref) + + def set_threshold(self, channel: int, threshold_voltage: float) -> None: + """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. + + Args: + channel (int): Trigger input channel. + threshold_voltage (float): Threshold voltage in volt. + """ + #TODO This needs some init dunction to set number of trigger inputs and voltage reference and so on. + numb_channels_trigger_input = 0 #TODO this should come from some init func. prob. + internal_ref = False + v_ref_max = 1.3 + + if threshold_voltage>v_ref_max: + self.log.warn( + "Threshold larger than 1.3 V is not supported, Threshold will default to 1.3 V " + ) + threshold_voltage = 1.3 + if threshold_voltage<-v_ref_max: + self.log.warn( + "Threshold smaller than -1.3 V is not supported, Threshold will default to -1.3 V " + ) + threshold_voltage = -1.3 + if channel != 7: + if channel < 0 or channel > numb_channels_trigger_input: + raise ValueError("Invalid Channel number. Channel has to be between 0 and number of channel inputs. Or use channel = 7 for all channels") + pass + #calculates the DAC value for the threshold DAC + v_dac = (threshold_voltage + v_ref_max) / 2 + dac_value = int(0xFFFF * v_dac / v_ref_max) + + #Sets Threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. + if channel == 7: + self._set_dac_value(channel, dac_value) + if channel < 2: + self._set_dac_value(1-channel, dac_value) #The ADC channels are connected in reverse order + else: + self._set_dac_value(3-(channel-2), dac_value) #No Idea what happend to these channels + + def set_all_voltage(self, voltage: float) -> None: + """Sets the same Voltage for all PMT DACs. + + Args: + voltage (float): DAC voltage in volts. + """ + for channel in range(4): + self.set_voltage(channel, voltage) + def set_voltage(self, channel: int, voltage: float) -> None: """Sets given DAC to given output voltage. @@ -14,7 +62,10 @@ def set_voltage(self, channel: int, voltage: float) -> None: channel (int): DAC channel voltage (float): DAC output voltage """ - #TODO why here between 0 and 3 and for dac value between 0 and 7?? + #TODO PMT 4 so channel 2 works all others are a factor of 2 off. There is a problem with the DAC reference + # There is a factor 2 between internal and external DAC reference. For PMT 1-3 the voltage is correct for internal reference + # for channel 4 the external is correct. In general external reference is a factor of 2 larger!! + #TODO channel map e.q. [channel 2 -> PMT 4, channel 0 -> PMT 3, channel 1 -> PMT 2, channel 3 -> PMT 1] if channel < 0 or channel > 3: raise ValueError("Channel has to be between 0 and 3") @@ -26,12 +77,12 @@ def set_voltage(self, channel: int, voltage: float) -> None: if voltage > 1: self.log.warn( - "A Voltage value bigger than 1 is not supported, Voltage will default to 1" + "A Voltage value higher than 1 is not supported, Voltage will default to 1" ) voltage = 1 - #0xFFFF is max DAC value - self._set_dac_value(channel,int(voltage*0xFFFF)) + #0xFFFF is max DAC value + self._set_dac_value(channel,int(voltage*0xFFFF)) def _set_dac_reference(self, internal: bool = False) -> None: """Choose internal or external DAC reference @@ -40,17 +91,18 @@ def _set_dac_reference(self, internal: bool = False) -> None: internal (bool, optional): Defaults to False. """ if internal: - #TODO does this work? eudaq uses here an array write function. That function uses a loop to write the single chars from the array in. - self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0001) + chr = [0x00, 0x01] + else: - #TODO does this work? eudaq uses here an array write function. That function uses a loop to write the single chars from the array in. - self.i2c.write(self.i2c.modules["pwr_dac"], 0x38, 0x0000) + chr = [0x00, 0x00] + + self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) self.log.info( - "Set %s DAC reference for LEDs" % ("internal" if internal else "external") + "Set %s DAC reference" % ("internal" if internal else "external") ) def _set_dac_value(self, channel: int, value: int) -> None: - """Set the output value of a DAC + """Set the output value of the power DAC Args: channel (int): DAC channel @@ -70,13 +122,12 @@ def _set_dac_value(self, channel: int, value: int) -> None: "DAC value > 0xFFFF not supported, value will default to 0xFFFF" ) value = 0xFFFF - - first_value = value & 0xFF - second_value = (value>>8) & 0xFF - #TODO does this work? eudaq uses here an array write function. This uses a loop to write the single chars from the array in. - # There could be a bug here due to the consecutive writing of the the register with non zero values. poss. there is a need for this write array function here + #TODO here for factor 2? different channels for different values + #TODO Also one needs to differentiate between the different DACs here in the modules["dac"] + #value = value*2 + chr = [(value>>8) & 0xFF, value & 0xFF] mem_addr = 0x18 + (channel & 0x7) - self.i2c.write(self.i2c.modules["pwr_dac"], mem_addr, first_value) - self.i2c.write(self.i2c.modules["pwr_dac"], mem_addr, second_value) + self.i2c.write_array(self.i2c.modules["pwr_dac"], mem_addr, chr) + From 023cc52a2cc52110c69b6968172ae80cc1503ca6 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 25 Apr 2023 14:48:37 +0200 Subject: [PATCH 05/68] Clock Chip --- aidatlu/clock_controller.py | 118 ++++++++++++++++++++++++++++------ aidatlu/led_controller.py | 16 +++++ aidatlu/logger.py | 2 +- aidatlu/test.ipynb | 82 +++++++++-------------- aidatlu/tlu.py | 17 ++++- aidatlu/voltage_controller.py | 8 +++ 6 files changed, 170 insertions(+), 73 deletions(-) diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index 20ae318..25f45ae 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -1,37 +1,119 @@ from i2c import I2CCore import logger +import pandas as pd + +""" + +Si5344 + +""" class ClockControl(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Clock Controller") + self.log.info("Initializing Clock Chip") self.i2c = i2c - self.parse_clock_conf() - self.write_clock_conf() + self.write_clock_conf("misc/aida_tlu_clk_config.txt") + + def get_device_version(self) -> int: + """Get Chip informations. - def get_device_version(): - pass + Returns: + int: The Chip ID. #TODO what is this chip id what format should this be?? + """ + my_adress = 0x02 + chip_id = 0x00000 + self._set_page(0) + for i in range(2): + nibble = self.i2c.read(self.i2c.modules["clk"], my_adress) + chip_id = ((nibble & 0xFF) << (i*8)) | chip_id + return chip_id - def read_clock_register(): - pass + def read_clock_register(self, address: int) -> int: + """Reads register of the clock chip. + + Args: + address (int): Address of the register. + + Returns: + int: Integer from the register address. + """ + address = address & 0xFFFF + current_page = self._get_page() + required_page = (address & 0XFF00) >> 8 + if (current_page != required_page): + self._set_page(required_page) + return self.i2c.read(self.i2c.modules["clk"], address) + + def check_design_id(self) -> list: + """Checks the Chip ID + + Returns: + list: List of the Design ID should contain 8 integers. #TODO what is this now? What format should this be?? + """ + reg_address = 0x026B + numb_words = 8 + words = [] + for _ in range(numb_words): + words.append(self.read_clock_register(reg_address)) + reg_address += 1 + return words + + def write_clock_register(self, address: int, data: int) -> None: + """Write data in specific Clock Chip register. + + Args: + address (int): Destination register. + data (int): Data to be written in address. + """ + address = address & 0xFFFF + current_page = self._get_page() + required_page = (address & 0XFF00) >> 8 + if (current_page != required_page): + self._set_page(required_page) + + self.i2c.write(self.i2c.modules["clk"], address, data) + + def parse_clock_conf(self, file_path: str) -> pd.core.frame.DataFrame: + """reads the clock config file and returns a panda dataframe with two rows Adress and Data + The configuration file is produced by Clockbuilder Pro (Silicon Labs). + This function uses pandas. + Args: + file_path (str): File path to the configuration file. + + Returns: + panda Dataframe: 2-dim. dataframe, consisting of the address and data values. + """ + return pd.read_csv(file_path,sep=",", skiprows = 9) - def write_clock_register(): - pass + def write_clock_conf(self, file_path: str) -> None: + """Writes clock configuration consecutivly in register. This takes a few seconds. - def check_design_id(): - pass + Args: + file_path (str): File path to the clock configuration file. + """ + clock_conf = self.parse_clock_conf(file_path) + self.log.info("Writing Clock Configuration") + for index,row in clock_conf.iterrows(): + self.write_clock_register(int(row["Address"],0), int(row["Data"],0)) + self.log.info("DONE") - def parse_clock_conf(): - pass + def _set_page(self, page: int) -> None: + """Configures chip to perform operations on specific address page. - def write_clock_conf(): - pass + Args: + page (int): Address page. + """ + self.i2c.write(self.i2c.modules["clk"], 0x01, page) - def _set_page(): - pass + def _get_page(self) -> int: + """Get the current address page. - def _get_page(): - pass + Returns: + int: Current address page + """ + return self.i2c.read(self.i2c.modules["clk"],0x01) \ No newline at end of file diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index 77eed46..0521b9d 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -3,9 +3,17 @@ import time from utils import _set_bit +""" + +PCA9539PW + +""" + class LEDControl(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("LED Controller") + + self.log.info("Initializing LED Control") self.i2c = i2c # TODO: WHY?! @@ -25,7 +33,14 @@ def __init__(self, i2c: I2CCore) -> None: self._set_ioexpander_direction(exp=2, addr=7, direction="output") self._set_ioexpander_output(exp=2, addr=3, value=0xFF) + def test_leds(self,single=True) -> None: + """Test the 11 LEDs + + Args: + single (bool, optional): Test all possible RGB combinations for all LEDs. Defaults to True. + """ + self.log.info("Testing LEDs colors") if single: for color in [[0,1,1],[1,0,1],[1,1,0],[1,0,0],[0,1,0],[0,0,1],[0,0,0]]: for i in range(11): @@ -258,3 +273,4 @@ def _get_ioexpander_output(self, exp: int, addr: int) -> int: output = self.i2c.read(self.i2c.modules["led_expander_%.1s" % exp], addr) return output + diff --git a/aidatlu/logger.py b/aidatlu/logger.py index 1221b3e..60f40f1 100644 --- a/aidatlu/logger.py +++ b/aidatlu/logger.py @@ -2,7 +2,7 @@ import coloredlogs -FORMAT = "%(asctime)s [%(name)-14s] - %(levelname)-7s %(message)s" +FORMAT = "%(asctime)s [%(name)-18s] - %(levelname)-7s %(message)s" def setup_main_logger(name="AidaTLU", level=logging.INFO): diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 043f5d4..a53e2d1 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -9,10 +9,9 @@ "import uhal\n", "\n", "from tlu import AidaTLU\n", - "from utils import _set_bit\n", - "from led_controller import LEDControl\n", "import time\n", - "import logger" + "import logger\n", + "import pandas as pd" ] }, { @@ -24,9 +23,14 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-04-24 14:41:43,280 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-24 14:41:43,419 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-04-24 14:41:43,437 [Voltage Controller] - INFO Set external DAC reference\n" + "2023-04-25 13:48:31,099 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-25 13:48:31,234 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-04-25 13:48:31,234 [LED Controller ] - INFO Initializing LED Control\n", + "2023-04-25 13:48:31,254 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-04-25 13:48:31,257 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-04-25 13:48:31,258 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-04-25 13:48:31,262 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-04-25 13:48:32,719 [Clock Controller ] - INFO DONE\n" ] } ], @@ -40,70 +44,62 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "text/plain": [ - "0" + "140870950176795" ] }, - "execution_count": 9, + "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ - "tlu.get_internal_trigger_frequency()" + "tlu.get_device_id()" ] }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 5, "metadata": {}, "outputs": [ { - "ename": "TypeError", - "evalue": "getNode(): incompatible function arguments. The following argument types are supported:\n 1. (self: uhal._core.HwInterface) -> uhal._core.Node\n 2. (self: uhal._core.HwInterface, arg0: str) -> uhal._core.Node\n\nInvoked with: , 28", - "output_type": "error", - "traceback": [ - "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", - "\u001b[0;31mTypeError\u001b[0m Traceback (most recent call last)", - "Cell \u001b[0;32mIn[11], line 1\u001b[0m\n\u001b[0;32m----> 1\u001b[0m tlu\u001b[39m.\u001b[39;49mi2c\u001b[39m.\u001b[39;49mread_register(tlu\u001b[39m.\u001b[39;49mi2c\u001b[39m.\u001b[39;49mmodules[\u001b[39m\"\u001b[39;49m\u001b[39mpwr_dac\u001b[39;49m\u001b[39m\"\u001b[39;49m])\n", - "File \u001b[0;32m~/software/aidatlu/aidatlu/i2c.py:82\u001b[0m, in \u001b[0;36mI2CCore.read_register\u001b[0;34m(self, register)\u001b[0m\n\u001b[1;32m 78\u001b[0m \u001b[39m\u001b[39m\u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 79\u001b[0m \u001b[39m register: str Name of node in address file\u001b[39;00m\n\u001b[1;32m 80\u001b[0m \u001b[39m\"\"\"\u001b[39;00m\n\u001b[1;32m 81\u001b[0m \u001b[39mtry\u001b[39;00m:\n\u001b[0;32m---> 82\u001b[0m ret \u001b[39m=\u001b[39m \u001b[39mself\u001b[39;49m\u001b[39m.\u001b[39;49mi2c_hw\u001b[39m.\u001b[39;49mgetNode(register)\u001b[39m.\u001b[39mread()\n\u001b[1;32m 83\u001b[0m \u001b[39mself\u001b[39m\u001b[39m.\u001b[39mi2c_hw\u001b[39m.\u001b[39mdispatch()\n\u001b[1;32m 84\u001b[0m \u001b[39mif\u001b[39;00m ret\u001b[39m.\u001b[39mvalid():\n", - "\u001b[0;31mTypeError\u001b[0m: getNode(): incompatible function arguments. The following argument types are supported:\n 1. (self: uhal._core.HwInterface) -> uhal._core.Node\n 2. (self: uhal._core.HwInterface, arg0: str) -> uhal._core.Node\n\nInvoked with: , 28" - ] + "data": { + "text/plain": [ + "93670105624625" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" } ], "source": [ - "tlu.i2c.read_register(tlu.i2c.modules[\"pwr_dac\"])" + "\n", + "\n", + "tlu.clock_controller.check_design_id()" ] }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ - "tlu.set_internal_trigger_interval(1)" + "tlu.voltage_controller.set_threshold(0,0)" ] }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 8, "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-04-24 13:59:05,785 [AidaTLU ] - INFO Internal frequency: 0\n" - ] - } - ], + "outputs": [], "source": [ - "tlu.set_internal_trigger_frequency(10000000)" + "tlu.set_internal_trigger_interval(1)" ] }, { @@ -149,22 +145,6 @@ "source": [ "tlu.led_controller.switch_led(3,\"off\")" ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "tlu.led_controller.test_leds()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 2232cb4..c73dd50 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -1,9 +1,11 @@ import logging - +import uhal import logger + from i2c import I2CCore, i2c_addr from led_controller import LEDControl from voltage_controller import VoltageControl +from clock_controller import ClockControl class AidaTLU(object): def __init__(self, hw) -> None: @@ -16,6 +18,7 @@ def __init__(self, hw) -> None: self.led_controller = LEDControl(self.i2c) self.voltage_controller = VoltageControl(self.i2c) + self.clock_controller = ClockControl(self.i2c) # init pwrled @@ -30,7 +33,6 @@ def get_device_id(self) -> int: id = [] for addr in range(6): id.append(self.i2c.read(self.i2c.modules["eeprom"], 0xFA + addr) & 0xFF) - return int("0x" + "".join(["{:x}".format(i) for i in id]), 16) & 0xFFFFFFFFFFFF def get_fw_version(self) -> int: @@ -41,4 +43,13 @@ def init_power_leds(self) -> None: pass def compare_write_read(self): - pass \ No newline at end of file + pass + + +if __name__ == "__main__": + + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + tlu = AidaTLU(hw) \ No newline at end of file diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py index 9db300a..e76c1b5 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/voltage_controller.py @@ -1,9 +1,17 @@ from i2c import I2CCore import logger +""" + +AD5665R + +""" + class VoltageControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") + + self.log.info("Initializing Power DAC Control") self.i2c = i2c self._set_dac_reference(int_ref) From 000d535444b9dd8e1e797dacc0a6609caa0406c0 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 27 Apr 2023 12:48:41 +0200 Subject: [PATCH 06/68] Power DACs and internal trigger frequency works --- aidatlu/clock_controller.py | 45 +++++++++++++++ aidatlu/i2c.py | 1 + aidatlu/test.ipynb | 101 ++++++++++------------------------ aidatlu/tlu.py | 1 - aidatlu/trigger_controller.py | 31 ----------- aidatlu/voltage_controller.py | 73 +++++++++++++++--------- 6 files changed, 121 insertions(+), 131 deletions(-) delete mode 100644 aidatlu/trigger_controller.py diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index 25f45ae..be42705 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -16,6 +16,51 @@ def __init__(self, i2c: I2CCore) -> None: self.write_clock_conf("misc/aida_tlu_clk_config.txt") + def get_internal_trigger_frequency(self) -> int: + """Reads the internal trigger frequency from the register. + + Returns: + int: Frequency in Hz #TODO Hz? + """ + interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") + if interval == 0: + freq = 0 + else: + freq = int(160000000/interval) + return freq + + def set_internal_trigger_frequency(self, frequency: int) -> None: + """ Sets the internal trigger frequency. This frequency is calculated from an interval size by the Si5344 chip. + The maximum allowed Frequency is 160 MHz. #TODO the Si5344 datasheet says the chip can go higer. + The Si5344 chip needs to be configured for this function to work. + + Args: + frequency (int): Frequency in Hz #TODO is this Hz? + """ + max_freq = 160000000 + if frequency < 0: + raise ValueError("Frequency smaller than 0 does not work") + if frequency > max_freq: + raise ValueError("Frequency larger than 160MHz does not work") + if frequency == 0: + interval = frequency + else: + interval = int(160000000/frequency) + self._set_internal_trigger_interval(interval) + #TODO check if this is really Hz + self.log.info("Internal trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) + if self.get_internal_trigger_frequency() != frequency: + self.log.warn("Error setting frequency. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) + + def _set_internal_trigger_interval(self, interval) -> None: + """Number of internal clock cycles to be used as period for the internal trigger generator. + #TODO In the documentation what is meant by smaller 5 and -2 + + Args: + interval (_type_): Number of internal clock cycles. + """ + self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) + def get_device_version(self) -> int: """Get Chip informations. diff --git a/aidatlu/i2c.py b/aidatlu/i2c.py index 67638e4..abc0fe6 100644 --- a/aidatlu/i2c.py +++ b/aidatlu/i2c.py @@ -32,6 +32,7 @@ def init(self): self.write(i2c_addr["core"], 0x01, 0x7F) if self.read(i2c_addr["core"], 0x01) & 0x80 != 0: + #TODO What is this why is this always happening? self.log.warn( "Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU." ) diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index a53e2d1..6bb1e4d 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 1, + "execution_count": 27, "metadata": {}, "outputs": [], "source": [ @@ -11,26 +11,28 @@ "from tlu import AidaTLU\n", "import time\n", "import logger\n", - "import pandas as pd" + "import pandas as pd\n", + "import numpy as np\n", + "\n" ] }, { "cell_type": "code", - "execution_count": 2, + "execution_count": 30, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-04-25 13:48:31,099 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-25 13:48:31,234 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-04-25 13:48:31,234 [LED Controller ] - INFO Initializing LED Control\n", - "2023-04-25 13:48:31,254 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-04-25 13:48:31,257 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-04-25 13:48:31,258 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-04-25 13:48:31,262 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-04-25 13:48:32,719 [Clock Controller ] - INFO DONE\n" + "2023-04-27 12:45:38,045 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-27 12:45:38,172 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-04-27 12:45:38,173 [LED Controller ] - INFO Initializing LED Control\n", + "2023-04-27 12:45:38,192 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-04-27 12:45:38,195 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-04-27 12:45:38,196 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-04-27 12:45:38,199 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-04-27 12:45:39,731 [Clock Controller ] - INFO DONE\n" ] } ], @@ -44,107 +46,60 @@ }, { "cell_type": "code", - "execution_count": 6, + "execution_count": 21, "metadata": {}, "outputs": [ { "data": { "text/plain": [ - "140870950176795" + "0" ] }, - "execution_count": 6, + "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ - "tlu.get_device_id()" + "tlu.clock_controller.get_internal_trigger_frequency()" ] }, { "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "93670105624625" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "\n", - "\n", - "tlu.clock_controller.check_design_id()" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "tlu.voltage_controller.set_threshold(0,0)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [], - "source": [ - "tlu.set_internal_trigger_interval(1)" - ] - }, - { - "cell_type": "code", - "execution_count": 79, - "metadata": {}, - "outputs": [], - "source": [ - "tlu.voltage_controller.set_all_voltage(0)" - ] - }, - { - "cell_type": "code", - "execution_count": 80, + "execution_count": 20, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-04-24 13:18:58,032 [Voltage Controller] - INFO Set external DAC reference\n" + "2023-04-27 12:40:55,456 [Clock Controller ] - INFO Internal trigger frequency: 0 Hz\n" ] } ], "source": [ - "tlu.voltage_controller._set_dac_reference(False)" + "tlu.clock_controller.set_internal_trigger_frequency(0)" ] }, { "cell_type": "code", - "execution_count": 83, + "execution_count": 29, "metadata": {}, "outputs": [], "source": [ - "tlu.voltage_controller.set_voltage(0,0)" + "for i in np.arange(0,1.1,0.1):\n", + " tlu.voltage_controller.set_voltage(4,i)\n", + " time.sleep(3)\n", + "\n", + "tlu.voltage_controller.set_all_voltage(0)" ] }, { "cell_type": "code", - "execution_count": 9, + "execution_count": null, "metadata": {}, "outputs": [], - "source": [ - "tlu.led_controller.switch_led(3,\"off\")" - ] + "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index c73dd50..b4654c7 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -45,7 +45,6 @@ def init_power_leds(self) -> None: def compare_write_read(self): pass - if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py deleted file mode 100644 index df7e46e..0000000 --- a/aidatlu/trigger_controller.py +++ /dev/null @@ -1,31 +0,0 @@ - - - -#TODO these functions do not work. - - -def get_internal_trigger_frequency(self) -> int: - interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") - if interval == 0: - freq = 0 - else: - freq = int(160000000/interval) - return freq - -def set_internal_trigger_frequency(self, frequency: int) -> None: - max_freq = 160000000 - if frequency < 0: - raise ValueError("Frequency smaller 0 does not work") - if frequency > max_freq: - raise ValueError("Frequency larger 160MHz does not work") - if frequency == 0: - interval = frequency - else: - interval = int(160000000/frequency) - self.set_internal_trigger_interval(interval) - self.log.info("Internal frequency: %i" %self.get_internal_trigger_frequency()) - - #self.compare_write_read() - -def set_internal_trigger_interval(self, interval) -> None: - self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py index e76c1b5..8d0c627 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/voltage_controller.py @@ -16,14 +16,16 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_dac_reference(int_ref) - def set_threshold(self, channel: int, threshold_voltage: float) -> None: + def set_threshold(self, dac: int, channel: int, threshold_voltage: float) -> None: """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. Args: channel (int): Trigger input channel. threshold_voltage (float): Threshold voltage in volt. + dac (int): DAC input: 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. """ - #TODO This needs some init dunction to set number of trigger inputs and voltage reference and so on. + #TODO This function is not tested + #TODO This needs some init function to set number of trigger inputs and voltage reference and so on. numb_channels_trigger_input = 0 #TODO this should come from some init func. prob. internal_ref = False v_ref_max = 1.3 @@ -46,13 +48,13 @@ def set_threshold(self, channel: int, threshold_voltage: float) -> None: v_dac = (threshold_voltage + v_ref_max) / 2 dac_value = int(0xFFFF * v_dac / v_ref_max) - #Sets Threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. + #Sets threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. if channel == 7: - self._set_dac_value(channel, dac_value) + self._set_dac_value(channel, dac_value, dac) if channel < 2: - self._set_dac_value(1-channel, dac_value) #The ADC channels are connected in reverse order + self._set_dac_value(1-channel, dac_value, dac) #The ADC channels are connected in reverse order else: - self._set_dac_value(3-(channel-2), dac_value) #No Idea what happend to these channels + self._set_dac_value(3-(channel-2), dac_value, dac) #No Idea what happend to these channels def set_all_voltage(self, voltage: float) -> None: """Sets the same Voltage for all PMT DACs. @@ -61,21 +63,18 @@ def set_all_voltage(self, voltage: float) -> None: voltage (float): DAC voltage in volts. """ for channel in range(4): - self.set_voltage(channel, voltage) + self.set_voltage(channel+1, voltage) - def set_voltage(self, channel: int, voltage: float) -> None: - """Sets given DAC to given output voltage. + def set_voltage(self, pmt_channel: int, voltage: float) -> None: + """Sets given PMT DAC to given output voltage. Args: - channel (int): DAC channel + pmt_channel (int): DAC channel for the PMT voltage (float): DAC output voltage """ - #TODO PMT 4 so channel 2 works all others are a factor of 2 off. There is a problem with the DAC reference - # There is a factor 2 between internal and external DAC reference. For PMT 1-3 the voltage is correct for internal reference - # for channel 4 the external is correct. In general external reference is a factor of 2 larger!! - #TODO channel map e.q. [channel 2 -> PMT 4, channel 0 -> PMT 3, channel 1 -> PMT 2, channel 3 -> PMT 1] - if channel < 0 or channel > 3: - raise ValueError("Channel has to be between 0 and 3") + + if pmt_channel < 1 or pmt_channel > 4: + raise ValueError("PMT Channel has to be between 1 and 4") if voltage < 0: self.log.warn( @@ -89,32 +88,51 @@ def set_voltage(self, channel: int, voltage: float) -> None: ) voltage = 1 + #Channel - PMT map [channel 2 -> PMT 4, channel 0 -> PMT 3, channel 1 -> PMT 2, channel 3 -> PMT 1] + if pmt_channel == 1: + channel_map = 3 + if pmt_channel == 2: + channel_map = 1 + if pmt_channel == 3: + channel_map = 0 + if pmt_channel == 4: + channel_map = 2 + #0xFFFF is max DAC value - self._set_dac_value(channel,int(voltage*0xFFFF)) + self._set_dac_value(channel_map,int(voltage*0xFFFF)) - def _set_dac_reference(self, internal: bool = False) -> None: + def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: """Choose internal or external DAC reference Args: internal (bool, optional): Defaults to False. + dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. """ + #There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger!! if internal: chr = [0x00, 0x01] else: chr = [0x00, 0x00] - - self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) + #TODO not sure if these module differentiation works... + if dac == 0: + self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) + if dac == 1: + self.i2c.write_array(self.i2c.modules["dac_1"], 0x38, chr) + if dac == 2: + self.i2c.write_array(self.i2c.modules["dac_2"], 0x38, chr) + #self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) self.log.info( "Set %s DAC reference" % ("internal" if internal else "external") ) - def _set_dac_value(self, channel: int, value: int) -> None: - """Set the output value of the power DAC + def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: + """Set the output value of the power DAC Args: channel (int): DAC channel value (int): DAC output value + dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. """ if channel < 0 or channel > 7: raise ValueError("Channel has to be between 0 and 7") @@ -131,11 +149,14 @@ def _set_dac_value(self, channel: int, value: int) -> None: ) value = 0xFFFF - #TODO here for factor 2? different channels for different values #TODO Also one needs to differentiate between the different DACs here in the modules["dac"] #value = value*2 chr = [(value>>8) & 0xFF, value & 0xFF] mem_addr = 0x18 + (channel & 0x7) - self.i2c.write_array(self.i2c.modules["pwr_dac"], mem_addr, chr) - - + #TODO not sure if these module differentiation works... + if dac == 0: + self.i2c.write_array(self.i2c.modules["pwr_dac"], mem_addr, chr) + if dac == 1: + self.i2c.write_array(self.i2c.modules["dac_1"], mem_addr, chr) + if dac == 2: + self.i2c.write_array(self.i2c.modules["dac_2"], mem_addr, chr) \ No newline at end of file From 67a4d6c92a6fc9c19491166d6380d14d637515d4 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 28 Apr 2023 15:46:48 +0200 Subject: [PATCH 07/68] no panda dep. + started with output --- aidatlu/clock_controller.py | 20 ++++--- aidatlu/led_controller.py | 10 ++-- aidatlu/output_controller.py | 102 +++++++++++++++++++++++++++++++++++ aidatlu/test.ipynb | 61 ++++++++++----------- aidatlu/tlu.py | 3 ++ 5 files changed, 150 insertions(+), 46 deletions(-) create mode 100644 aidatlu/output_controller.py diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index be42705..576d30c 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -120,17 +120,21 @@ def write_clock_register(self, address: int, data: int) -> None: self.i2c.write(self.i2c.modules["clk"], address, data) - def parse_clock_conf(self, file_path: str) -> pd.core.frame.DataFrame: + def parse_clock_conf(self, file_path: str) -> list: """reads the clock config file and returns a panda dataframe with two rows Adress and Data - The configuration file is produced by Clockbuilder Pro (Silicon Labs). - This function uses pandas. + The configuration file is produced by Clockbuilder Pro (Silicon Labs). Args: file_path (str): File path to the configuration file. Returns: - panda Dataframe: 2-dim. dataframe, consisting of the address and data values. + list: 2-dim. list, consisting of the address and data values. """ - return pd.read_csv(file_path,sep=",", skiprows = 9) + with open('misc/aida_tlu_clk_config.txt', newline='') as clk_conf: + contends = clk_conf.read().splitlines() + contends = [i.split(',') for i in contends[10:]] + clk_conf.close() + return contends + #return pd.read_csv(file_path, sep=",", skiprows = 9) def write_clock_conf(self, file_path: str) -> None: """Writes clock configuration consecutivly in register. This takes a few seconds. @@ -140,8 +144,10 @@ def write_clock_conf(self, file_path: str) -> None: """ clock_conf = self.parse_clock_conf(file_path) self.log.info("Writing Clock Configuration") - for index,row in clock_conf.iterrows(): - self.write_clock_register(int(row["Address"],0), int(row["Data"],0)) + #for index,row in clock_conf.iterrows(): + # self.write_clock_register(int(row["Address"],0), int(row["Data"],0)) + for row in clock_conf: + self.write_clock_register(int(row[0],0), int(row[1], 0)) self.log.info("DONE") def _set_page(self, page: int) -> None: diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index 0521b9d..e36d56a 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -42,7 +42,7 @@ def test_leds(self,single=True) -> None: """ self.log.info("Testing LEDs colors") if single: - for color in [[0,1,1],[1,0,1],[1,1,0],[1,0,0],[0,1,0],[0,0,1],[0,0,0]]: + for color in [[0,1,1], [1,0,1], [1,1,0], [1,0,0], [0,1,0], [0,0,1], [0,0,0]]: for i in range(11): if i+1==5: pass @@ -192,16 +192,16 @@ def _set_led(self,led_id: int, rgb: list) -> None: #print(next_status,"next_status of the ioexpander for debugging") if now_status[0] != next_status[0]: - self._set_ioexpander_output(1,2,next_status[0]) + self._set_ioexpander_output(1,2, next_status[0]) if now_status[1] != next_status[1]: - self._set_ioexpander_output(1,3,next_status[1]) + self._set_ioexpander_output(1,3, next_status[1]) if now_status[2] != next_status[2]: - self._set_ioexpander_output(2,2,next_status[2]) + self._set_ioexpander_output(2,2, next_status[2]) if now_status[3] != next_status[3]: - self._set_ioexpander_output(2,3,next_status[3]) + self._set_ioexpander_output(2,3, next_status[3]) def _set_ioexpander_polarity( self, exp: int, addr: int, polarity: bool = False diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py new file mode 100644 index 0000000..ad4f4c3 --- /dev/null +++ b/aidatlu/output_controller.py @@ -0,0 +1,102 @@ +import logger +from i2c import I2CCore +from led_controller import LEDControl + +""" + +PCA9539PW + +""" + +#TODO should this be merged with LEDControll to I/OExpanderControll + +class OutputControl(object): + def __init__(self, i2c: I2CCore, led: LEDControl): + self.log = logger.setup_derived_logger("Output Controller") + + self.i2c = i2c + self.led_controller = led + + def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: + """This enables the HDMI output of one specific HDMI channel. #TODO not tested + + Args: + hdmi_num (int): _description_ + enable (bool, optional): _description_. Defaults to True. + """ + + if hdmi_channel < 1 and hdmi_channel > 4: + raise ValueError("HDMI number should be between 1 and 4") + bank = int(hdmi_channel / 2) + + #TODO what is the difference between nibble and bank and address? + hdmi_channel = hdmi_channel -1 #shift channel + bank = int(hdmi_channel/2) # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. + nibble = hdmi_channel % 2 #DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. + + #TODO what is happening here + old_status = self._get_ioexpander_output_out(1, bank) + new_nibble = (enable & 0xF) << 4*nibble + mask = 0xF << 4*nibble + new_status = (old_status & ~mask) | (new_nibble & mask) + + self._set_ioexpander_output(1, bank, new_status) + if enable: + self.led_controller.switch_led(hdmi_channel+1, "g") + else: + self.led_controller.switch_led(hdmi_channel+1, "off") + + def clock_lemo_output(self, enable: bool = True) -> None: + """Enables the clock LEMO output. #TODO not tested + + Args: + enable (bool, optional): Enable clock LEMO output. Defaults to True. + """ + #TODO this does not work I checked with all combinations of expander[1,2] and bank[1,2,3] + bank = 1 + mask = 0x10 + expander = 2 + + old_status = self._get_ioexpander_output_out(expander, bank) + new_status = old_status & ~mask + if enable: + new_status = new_status | mask + + self._set_ioexpander_output_out(expander, bank, new_status) + #print(hex(new_status)) + if enable: + self.led_controller.switch_led(5, "g") + else: + self.led_controller.switch_led(5, "off") + self.log.info("Clock LEMO output %s" %("enabled" if enable else "disabled")) + + def _set_ioexpander_output_out(self, exp: int, addr: int, value: int) -> None: + """Set content of register 2 or 3 which determine signal if direction is output + #TODO these are the smae functions as also in LEDControll but all address or Banks are enabled. + Args: + exp (int): ID of LED Expander (1 or 2)) + addr (int): # TODO, what is this?! + value (int): 8 bit value for the output + """ + #if addr not in [2, 3]: + # raise ValueError("Address should be 2 or 3") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + self.i2c.write(self.i2c.modules["expander_%.1s" % exp], addr, value & 0xFF) + + def _get_ioexpander_output_out(self, exp: int, addr: int) -> int: + """Get content of register 2 or 3 + + Args: + exp (int): _ID of LED Expander (1 or 2)) + addr (int): # TODO, what is this?! + Returns: + int: content of the ioexpander + """ + #if addr not in [2, 3]: + # raise ValueError("Address should be 2 or 3") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + output = self.i2c.read(self.i2c.modules["expander_%.1s" % exp], addr) + return output diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 6bb1e4d..1bd3d4f 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 27, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -18,21 +18,21 @@ }, { "cell_type": "code", - "execution_count": 30, + "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-04-27 12:45:38,045 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-27 12:45:38,172 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-04-27 12:45:38,173 [LED Controller ] - INFO Initializing LED Control\n", - "2023-04-27 12:45:38,192 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-04-27 12:45:38,195 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-04-27 12:45:38,196 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-04-27 12:45:38,199 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-04-27 12:45:39,731 [Clock Controller ] - INFO DONE\n" + "2023-04-28 14:26:37,975 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-04-28 14:26:38,136 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-04-28 14:26:38,136 [LED Controller ] - INFO Initializing LED Control\n", + "2023-04-28 14:26:38,158 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-04-28 14:26:38,163 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-04-28 14:26:38,163 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-04-28 14:26:38,164 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-04-28 14:26:39,508 [Clock Controller ] - INFO DONE\n" ] } ], @@ -46,52 +46,45 @@ }, { "cell_type": "code", - "execution_count": 21, + "execution_count": 4, "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "0" - ] - }, - "execution_count": 21, - "metadata": {}, - "output_type": "execute_result" - } - ], + "outputs": [], "source": [ - "tlu.clock_controller.get_internal_trigger_frequency()" + "tlu.led_controller.switch_led(1,\"off\")" ] }, { "cell_type": "code", - "execution_count": 20, + "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-04-27 12:40:55,456 [Clock Controller ] - INFO Internal trigger frequency: 0 Hz\n" + "2023-04-28 14:26:42,643 [Output Controller ] - INFO Clock LEMO output enabled\n" ] } ], "source": [ - "tlu.clock_controller.set_internal_trigger_frequency(0)" + "tlu.output_controller.clock_lemo_output(True)" ] }, { "cell_type": "code", - "execution_count": 29, + "execution_count": 4, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-04-28 14:26:44,467 [Clock Controller ] - INFO Internal trigger frequency: 100 Hz\n" + ] + } + ], "source": [ - "for i in np.arange(0,1.1,0.1):\n", - " tlu.voltage_controller.set_voltage(4,i)\n", - " time.sleep(3)\n", - "\n", - "tlu.voltage_controller.set_all_voltage(0)" + "tlu.clock_controller.set_internal_trigger_frequency(100)" ] }, { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index b4654c7..567662c 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -6,6 +6,8 @@ from led_controller import LEDControl from voltage_controller import VoltageControl from clock_controller import ClockControl +from output_controller import OutputControl + class AidaTLU(object): def __init__(self, hw) -> None: @@ -19,6 +21,7 @@ def __init__(self, hw) -> None: self.led_controller = LEDControl(self.i2c) self.voltage_controller = VoltageControl(self.i2c) self.clock_controller = ClockControl(self.i2c) + self.output_controller = OutputControl(self.i2c, self.led_controller) # init pwrled From e5806ff4b083ce415d08ed6335967aea70baca53 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 2 May 2023 15:06:07 +0200 Subject: [PATCH 08/68] documentation for address for IOExpander + started on trigger logic --- aidatlu/clock_controller.py | 8 +- aidatlu/led_controller.py | 28 ++++--- aidatlu/output_controller.py | 40 ++++----- aidatlu/test.ipynb | 152 ++++++++++++++++++++++++++++++---- aidatlu/tlu.py | 3 +- aidatlu/trigger_controller.py | 38 +++++++++ 6 files changed, 213 insertions(+), 56 deletions(-) create mode 100644 aidatlu/trigger_controller.py diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index 576d30c..eab33f2 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -1,6 +1,5 @@ from i2c import I2CCore import logger -import pandas as pd """ @@ -50,7 +49,7 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: #TODO check if this is really Hz self.log.info("Internal trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) if self.get_internal_trigger_frequency() != frequency: - self.log.warn("Error setting frequency. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) + self.log.warn("Frequency is set to different value. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) def _set_internal_trigger_interval(self, interval) -> None: """Number of internal clock cycles to be used as period for the internal trigger generator. @@ -131,10 +130,9 @@ def parse_clock_conf(self, file_path: str) -> list: """ with open('misc/aida_tlu_clk_config.txt', newline='') as clk_conf: contends = clk_conf.read().splitlines() - contends = [i.split(',') for i in contends[10:]] + contends = [row.split(',') for row in contends[10:]] clk_conf.close() return contends - #return pd.read_csv(file_path, sep=",", skiprows = 9) def write_clock_conf(self, file_path: str) -> None: """Writes clock configuration consecutivly in register. This takes a few seconds. @@ -144,8 +142,6 @@ def write_clock_conf(self, file_path: str) -> None: """ clock_conf = self.parse_clock_conf(file_path) self.log.info("Writing Clock Configuration") - #for index,row in clock_conf.iterrows(): - # self.write_clock_register(int(row["Address"],0), int(row["Data"],0)) for row in clock_conf: self.write_clock_register(int(row[0],0), int(row[1], 0)) self.log.info("DONE") diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index e36d56a..d6fc447 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -153,7 +153,7 @@ def _set_led(self,led_id: int, rgb: list) -> None: Args: led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 - rgb (list): rgb value for the LED e.q. [0,0,0] #TODO which color has which code? + rgb (list): rgb value for the LED e.q. [0,0,0] """ if led_id < 1 or led_id > 11: @@ -192,25 +192,26 @@ def _set_led(self,led_id: int, rgb: list) -> None: #print(next_status,"next_status of the ioexpander for debugging") if now_status[0] != next_status[0]: - self._set_ioexpander_output(1,2, next_status[0]) + self._set_ioexpander_output(1, 2, next_status[0]) if now_status[1] != next_status[1]: - self._set_ioexpander_output(1,3, next_status[1]) + self._set_ioexpander_output(1, 3, next_status[1]) if now_status[2] != next_status[2]: - self._set_ioexpander_output(2,2, next_status[2]) + self._set_ioexpander_output(2, 2, next_status[2]) if now_status[3] != next_status[3]: - self._set_ioexpander_output(2,3, next_status[3]) + self._set_ioexpander_output(2, 3, next_status[3]) def _set_ioexpander_polarity( self, exp: int, addr: int, polarity: bool = False ) -> None: - """Set content of register 4 or 5 which determine polarity of ports + """Set content of register 4 or 5 which determine polarity of ports. + A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. """ if addr not in [4, 5]: @@ -224,10 +225,11 @@ def _set_ioexpander_direction( self, exp: int, addr: int, direction: str = "input" ) -> None: """Set content of register 6 or 7 which determine direction of signal - + A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. + Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. direction (str, optional): "input or "output" direction of port. Defaults to "input". """ if addr not in [6, 7]: @@ -245,10 +247,10 @@ def _set_ioexpander_direction( def _set_ioexpander_output(self, exp: int, addr: int, value: int) -> None: """Set content of register 2 or 3 which determine signal if direction is output - + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. value (int): 8 bit value for the output """ if addr not in [2, 3]: @@ -259,10 +261,10 @@ def _set_ioexpander_output(self, exp: int, addr: int, value: int) -> None: def _get_ioexpander_output(self, exp: int, addr: int) -> int: """Get content of register 2 or 3 - + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): _ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. Returns: int: content of the ioexpander """ diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index ad4f4c3..64facbe 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -26,21 +26,22 @@ def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: """ if hdmi_channel < 1 and hdmi_channel > 4: - raise ValueError("HDMI number should be between 1 and 4") - bank = int(hdmi_channel / 2) + raise ValueError("HDMI channel should be between 1 and 4") + + expander = 1 #TODO what is the difference between nibble and bank and address? hdmi_channel = hdmi_channel -1 #shift channel - bank = int(hdmi_channel/2) # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. + bank = int(hdmi_channel/2) + 2 # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. Shift of +2 due to the command bytes. nibble = hdmi_channel % 2 #DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. #TODO what is happening here - old_status = self._get_ioexpander_output_out(1, bank) + old_status = self._get_ioexpander_output_out(expander, bank) new_nibble = (enable & 0xF) << 4*nibble mask = 0xF << 4*nibble - new_status = (old_status & ~mask) | (new_nibble & mask) + new_status = (old_status & (~mask)) | (new_nibble & mask) - self._set_ioexpander_output(1, bank, new_status) + self._set_ioexpander_output_out(expander, bank, new_status) if enable: self.led_controller.switch_led(hdmi_channel+1, "g") else: @@ -53,17 +54,16 @@ def clock_lemo_output(self, enable: bool = True) -> None: enable (bool, optional): Enable clock LEMO output. Defaults to True. """ #TODO this does not work I checked with all combinations of expander[1,2] and bank[1,2,3] - bank = 1 + cmd_byte = 3 #this is bank+2 in EUDAQ mask = 0x10 expander = 2 - old_status = self._get_ioexpander_output_out(expander, bank) - new_status = old_status & ~mask + old_status = self._get_ioexpander_output_out(expander, cmd_byte) & 0xFF + new_status = old_status & (~mask) & 0xFF if enable: - new_status = new_status | mask + new_status = new_status | mask & 0xFF - self._set_ioexpander_output_out(expander, bank, new_status) - #print(hex(new_status)) + self._set_ioexpander_output_out(expander, cmd_byte, new_status) if enable: self.led_controller.switch_led(5, "g") else: @@ -72,29 +72,29 @@ def clock_lemo_output(self, enable: bool = True) -> None: def _set_ioexpander_output_out(self, exp: int, addr: int, value: int) -> None: """Set content of register 2 or 3 which determine signal if direction is output - #TODO these are the smae functions as also in LEDControll but all address or Banks are enabled. + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. value (int): 8 bit value for the output """ - #if addr not in [2, 3]: - # raise ValueError("Address should be 2 or 3") + if addr not in [2, 3]: + raise ValueError("Address should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") self.i2c.write(self.i2c.modules["expander_%.1s" % exp], addr, value & 0xFF) def _get_ioexpander_output_out(self, exp: int, addr: int) -> int: """Get content of register 2 or 3 - + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): _ID of LED Expander (1 or 2)) - addr (int): # TODO, what is this?! + addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. Returns: int: content of the ioexpander """ - #if addr not in [2, 3]: - # raise ValueError("Address should be 2 or 3") + if addr not in [2, 3]: + raise ValueError("Address should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 1bd3d4f..a1af307 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -25,14 +25,14 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-04-28 14:26:37,975 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-04-28 14:26:38,136 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-04-28 14:26:38,136 [LED Controller ] - INFO Initializing LED Control\n", - "2023-04-28 14:26:38,158 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-04-28 14:26:38,163 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-04-28 14:26:38,163 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-04-28 14:26:38,164 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-04-28 14:26:39,508 [Clock Controller ] - INFO DONE\n" + "2023-05-02 14:47:09,239 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-02 14:47:09,378 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-02 14:47:09,379 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-02 14:47:09,413 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-02 14:47:09,421 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-02 14:47:09,421 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-02 14:47:09,423 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-05-02 14:47:10,748 [Clock Controller ] - INFO DONE\n" ] } ], @@ -46,28 +46,127 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 6, "metadata": {}, - "outputs": [], + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-02 14:46:16,846 [Trigger Controller] - INFO Trigger Veto set to: 0\n" + ] + } + ], + "source": [ + "tlu.trigger_controller.set_trigger_veto(0)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-02 14:48:29,008 [Trigger Controller] - INFO Trigger on falling edge\n" + ] + } + ], "source": [ - "tlu.led_controller.switch_led(1,\"off\")" + "tlu.trigger_controller.set_trigger_polarity(0)" ] }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "1" + ] + }, + "execution_count": 5, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "tlu.trigger_controller.get_trigger_veto()" + ] + }, + { + "cell_type": "code", + "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-04-28 14:26:42,643 [Output Controller ] - INFO Clock LEMO output enabled\n" + "2023-05-02 14:44:46,482 [Trigger Controller] - INFO Trigger mask: 0\n" ] } ], "source": [ - "tlu.output_controller.clock_lemo_output(True)" + "tlu.trigger_controller.set_trigger_mask(0)" + ] + }, + { + "cell_type": "code", + "execution_count": 8, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "0" + ] + }, + "execution_count": 8, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "tlu.trigger_controller.get_trigger_mask()" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-02 13:06:44,746 [LED Controller ] - INFO Testing LEDs colors\n" + ] + } + ], + "source": [ + "tlu.led_controller.test_leds()" + ] + }, + { + "cell_type": "code", + "execution_count": 6, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-02 13:34:45,329 [Output Controller ] - INFO Clock LEMO output disabled\n" + ] + } + ], + "source": [ + "tlu.output_controller.clock_lemo_output(False)" ] }, { @@ -79,12 +178,33 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-04-28 14:26:44,467 [Clock Controller ] - INFO Internal trigger frequency: 100 Hz\n" + "2023-05-02 13:34:21,642 [Clock Controller ] - INFO Internal trigger frequency: 10001 Hz\n", + "2023-05-02 13:34:21,644 [Clock Controller ] - WARNING Frequency is set to different value. Internal Trigger frequency is 10001 Hz\n" ] } ], "source": [ - "tlu.clock_controller.set_internal_trigger_frequency(100)" + "tlu.clock_controller.set_internal_trigger_frequency(10000)" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": {}, + "outputs": [ + { + "data": { + "text/plain": [ + "10001" + ] + }, + "execution_count": 4, + "metadata": {}, + "output_type": "execute_result" + } + ], + "source": [ + "tlu.clock_controller.get_internal_trigger_frequency()" ] }, { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 567662c..53f7a3f 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -7,7 +7,7 @@ from voltage_controller import VoltageControl from clock_controller import ClockControl from output_controller import OutputControl - +from trigger_controller import TriggerControll class AidaTLU(object): def __init__(self, hw) -> None: @@ -22,6 +22,7 @@ def __init__(self, hw) -> None: self.voltage_controller = VoltageControl(self.i2c) self.clock_controller = ClockControl(self.i2c) self.output_controller = OutputControl(self.i2c, self.led_controller) + self.trigger_controller = TriggerControll(self.i2c) # init pwrled diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py new file mode 100644 index 0000000..c5916ee --- /dev/null +++ b/aidatlu/trigger_controller.py @@ -0,0 +1,38 @@ +from i2c import I2CCore +import logger + +class TriggerControll(object): + def __init__(self, i2c: I2CCore) -> None: + self.log = logger.setup_derived_logger("Trigger Controller") + self.i2c = i2c + + def set_trigger_veto(self, value: int) -> None: + self.i2c.write_register("triggerLogic.TriggerVetoW", value) + self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) + + def set_trigger_polarity(self, value: int) -> int: + trigger_polarity = (0x3F & value) + self.i2c.write_register("triggerInputs.InvertEdgeW", trigger_polarity) + self.log.info("Trigger on %s edge" %("falling" if value == 1 else "rising")) #TODO NOT TESTED + + def set_trigger_mask(self, value: int) -> None: +# def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name + mask_high = (value >> 32) & 0xFF + mask_low = value & 0xFF + self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) + self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) + self.log.info("Trigger mask: %s" %self.get_trigger_mask()) + + def get_trigger_mask(self) -> int: + mask_low = self.i2c.read_register("triggerLogic.TriggerPattern_lowR") + mask_high = self.i2c.read_register("triggerLogic.TriggerPattern_highR") + trigger_pattern = (mask_high << 32) | mask_low + return trigger_pattern + + def get_trigger_veto(self) -> int: + veto_state = self.i2c.read_register("triggerLogic.TriggerVetoR") + return veto_state + + + + From c531643e201d00e2185c55fc8657ec7858d1c869 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 4 May 2023 16:28:53 +0200 Subject: [PATCH 09/68] clock output works with 40MHz as default --- aidatlu/clock_controller.py | 98 ++++++------------ aidatlu/led_controller.py | 106 ++++++++++---------- aidatlu/output_controller.py | 83 ++++++++++++--- aidatlu/test.ipynb | 184 +++++----------------------------- aidatlu/tlu.py | 8 +- aidatlu/trigger_controller.py | 47 ++++++++- 6 files changed, 228 insertions(+), 298 deletions(-) diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index eab33f2..59df726 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -15,65 +15,40 @@ def __init__(self, i2c: I2CCore) -> None: self.write_clock_conf("misc/aida_tlu_clk_config.txt") - def get_internal_trigger_frequency(self) -> int: - """Reads the internal trigger frequency from the register. - - Returns: - int: Frequency in Hz #TODO Hz? - """ - interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") - if interval == 0: - freq = 0 - else: - freq = int(160000000/interval) - return freq - - def set_internal_trigger_frequency(self, frequency: int) -> None: - """ Sets the internal trigger frequency. This frequency is calculated from an interval size by the Si5344 chip. - The maximum allowed Frequency is 160 MHz. #TODO the Si5344 datasheet says the chip can go higer. - The Si5344 chip needs to be configured for this function to work. - - Args: - frequency (int): Frequency in Hz #TODO is this Hz? - """ - max_freq = 160000000 - if frequency < 0: - raise ValueError("Frequency smaller than 0 does not work") - if frequency > max_freq: - raise ValueError("Frequency larger than 160MHz does not work") - if frequency == 0: - interval = frequency - else: - interval = int(160000000/frequency) - self._set_internal_trigger_interval(interval) - #TODO check if this is really Hz - self.log.info("Internal trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) - if self.get_internal_trigger_frequency() != frequency: - self.log.warn("Frequency is set to different value. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) - - def _set_internal_trigger_interval(self, interval) -> None: - """Number of internal clock cycles to be used as period for the internal trigger generator. - #TODO In the documentation what is meant by smaller 5 and -2 - - Args: - interval (_type_): Number of internal clock cycles. - """ - self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) - def get_device_version(self) -> int: - """Get Chip informations. + """Get Chip information. Returns: int: The Chip ID. #TODO what is this chip id what format should this be?? """ my_adress = 0x02 - chip_id = 0x00000 + chip_id = 0x0 self._set_page(0) for i in range(2): - nibble = self.i2c.read(self.i2c.modules["clk"], my_adress) + nibble = self.i2c.read(self.i2c.modules["clk"], my_adress + i) chip_id = ((nibble & 0xFF) << (i*8)) | chip_id return chip_id + def check_design_id(self, hex_str: bool = False ) -> list: + """Checks the Chip ID. If the chip is correctly configured the list corresponds + to the data in the clock configuration file between the addresses 0x026B and 0x0272. + + Args: + hex_str (bool): Returns the design ID as a list of hex strings. Defaults to False. + + Returns: + list: List of the design ID contains 8 integers or hex strings. + """ + reg_address = 0x026B + numb_words = 8 + words = [] + for _ in range(numb_words): + words.append(self.read_clock_register(reg_address)) + reg_address += 1 + if hex_str: + words = [hex(words[i]) for i in range(numb_words)] + return words + def read_clock_register(self, address: int) -> int: """Reads register of the clock chip. @@ -90,20 +65,6 @@ def read_clock_register(self, address: int) -> int: self._set_page(required_page) return self.i2c.read(self.i2c.modules["clk"], address) - def check_design_id(self) -> list: - """Checks the Chip ID - - Returns: - list: List of the Design ID should contain 8 integers. #TODO what is this now? What format should this be?? - """ - reg_address = 0x026B - numb_words = 8 - words = [] - for _ in range(numb_words): - words.append(self.read_clock_register(reg_address)) - reg_address += 1 - return words - def write_clock_register(self, address: int, data: int) -> None: """Write data in specific Clock Chip register. @@ -128,11 +89,10 @@ def parse_clock_conf(self, file_path: str) -> list: Returns: list: 2-dim. list, consisting of the address and data values. """ - with open('misc/aida_tlu_clk_config.txt', newline='') as clk_conf: - contends = clk_conf.read().splitlines() - contends = [row.split(',') for row in contends[10:]] - clk_conf.close() - return contends + with open(file_path, newline='') as clk_conf: + contents = clk_conf.read().splitlines() + contents = [row.split(',') for row in contents[10:]] + return contents def write_clock_conf(self, file_path: str) -> None: """Writes clock configuration consecutivly in register. This takes a few seconds. @@ -143,7 +103,7 @@ def write_clock_conf(self, file_path: str) -> None: clock_conf = self.parse_clock_conf(file_path) self.log.info("Writing Clock Configuration") for row in clock_conf: - self.write_clock_register(int(row[0],0), int(row[1], 0)) + self.write_clock_register(int(row[0], 16), int(row[1], 16)) self.log.info("DONE") def _set_page(self, page: int) -> None: @@ -160,7 +120,7 @@ def _get_page(self) -> int: Returns: int: Current address page """ - return self.i2c.read(self.i2c.modules["clk"],0x01) + return self.i2c.read(self.i2c.modules["clk"], 0x01) \ No newline at end of file diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py index d6fc447..22ef24c 100644 --- a/aidatlu/led_controller.py +++ b/aidatlu/led_controller.py @@ -17,21 +17,21 @@ def __init__(self, i2c: I2CCore) -> None: self.i2c = i2c # TODO: WHY?! - self._set_ioexpander_polarity(exp=1, addr=4, polarity=False) - self._set_ioexpander_direction(exp=1, addr=6, direction="output") - self._set_ioexpander_output(exp=1, addr=2, value=0xFF) + self._set_ioexpander_polarity(exp=1, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(exp=1, cmd_byte=6, direction="output") + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xFF) - self._set_ioexpander_polarity(exp=1, addr=5, polarity=False) - self._set_ioexpander_direction(exp=1, addr=7, direction="output") - self._set_ioexpander_output(exp=1, addr=3, value=0xFF) + self._set_ioexpander_polarity(exp=1, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(exp=1, cmd_byte=7, direction="output") + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xFF) - self._set_ioexpander_polarity(exp=2, addr=4, polarity=False) - self._set_ioexpander_direction(exp=2, addr=6, direction="output") - self._set_ioexpander_output(exp=2, addr=2, value=0xFF) + self._set_ioexpander_polarity(exp=2, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(exp=2, cmd_byte=6, direction="output") + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xFF) - self._set_ioexpander_polarity(exp=2, addr=5, polarity=False) - self._set_ioexpander_direction(exp=2, addr=7, direction="output") - self._set_ioexpander_output(exp=2, addr=3, value=0xFF) + self._set_ioexpander_polarity(exp=2, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(exp=2, cmd_byte=7, direction="output") + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xFF) def test_leds(self,single=True) -> None: @@ -78,36 +78,36 @@ def all_on(self, color: str = "w") -> None: raise ValueError("%s color not supported" %color) if color == "w": - self._set_ioexpander_output(exp=1, addr=2, value=0x0) - self._set_ioexpander_output(exp=1, addr=3, value=0x0) - self._set_ioexpander_output(exp=2, addr=2, value=0x0) - self._set_ioexpander_output(exp=2, addr=3, value=0x0) + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0x0) + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0x0) + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0x0) + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0x0) if color == "r": - self._set_ioexpander_output(exp=1, addr=2, value=0xb5) - self._set_ioexpander_output(exp=1, addr=3, value=0x6d) - self._set_ioexpander_output(exp=2, addr=2, value=0xdb) - self._set_ioexpander_output(exp=2, addr=3, value=0xb6) + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xb5) + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0x6d) + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xdb) + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xb6) if color == "g": - self._set_ioexpander_output(exp=1, addr=2, value=0xda) - self._set_ioexpander_output(exp=1, addr=3, value=0xb6) - self._set_ioexpander_output(exp=2, addr=2, value=0x6d) - self._set_ioexpander_output(exp=2, addr=3, value=0xdb) + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xda) + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xb6) + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0x6d) + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xdb) if color == "b": - self._set_ioexpander_output(exp=1, addr=2, value=0x6f) - self._set_ioexpander_output(exp=1, addr=3, value=0xdb) - self._set_ioexpander_output(exp=2, addr=2, value=0xb6) - self._set_ioexpander_output(exp=2, addr=3, value=0x6d) + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0x6f) + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xdb) + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xb6) + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0x6d) def all_off(self) -> None: """Turn off all LEDs """ - self._set_ioexpander_output(exp=1, addr=2, value=0xFF) - self._set_ioexpander_output(exp=1, addr=3, value=0xFF) - self._set_ioexpander_output(exp=2, addr=2, value=0xFF) - self._set_ioexpander_output(exp=2, addr=3, value=0xFF) + self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xFF) + self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xFF) + self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xFF) + self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xFF) def switch_led(self, led_id: int, color: str = "off") -> None: """changes LED with led_id to specific color @@ -204,36 +204,36 @@ def _set_led(self,led_id: int, rgb: list) -> None: self._set_ioexpander_output(2, 3, next_status[3]) def _set_ioexpander_polarity( - self, exp: int, addr: int, polarity: bool = False + self, exp: int, cmd_byte: int, polarity: bool = False ) -> None: """Set content of register 4 or 5 which determine polarity of ports. A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. """ - if addr not in [4, 5]: - raise ValueError("Address should be 4 or 5") + if cmd_byte not in [4, 5]: + raise ValueError("Command byte should be 4 or 5") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], addr, polarity) + self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte, polarity) def _set_ioexpander_direction( - self, exp: int, addr: int, direction: str = "input" + self, exp: int, cmd_byte: int, direction: str = "input" ) -> None: """Set content of register 6 or 7 which determine direction of signal A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. direction (str, optional): "input or "output" direction of port. Defaults to "input". """ - if addr not in [6, 7]: - raise ValueError("Address should be 6 or 7") + if cmd_byte not in [6, 7]: + raise ValueError("Command byte should be 6 or 7") if direction not in ["input", "output"]: raise ValueError('Direction parameter must be "input" or "output"') if exp not in [1, 2]: @@ -241,38 +241,38 @@ def _set_ioexpander_direction( self.i2c.write( self.i2c.modules["led_expander_%.1s" % exp], - addr, + cmd_byte, 1 if direction == "input" else 0, ) - def _set_ioexpander_output(self, exp: int, addr: int, value: int) -> None: + def _set_ioexpander_output(self, exp: int, cmd_byte: int, value: int) -> None: """Set content of register 2 or 3 which determine signal if direction is output A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. value (int): 8 bit value for the output """ - if addr not in [2, 3]: - raise ValueError("Address should be 2 or 3") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], addr, value & 0xFF) + self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte, value & 0xFF) - def _get_ioexpander_output(self, exp: int, addr: int) -> int: + def _get_ioexpander_output(self, exp: int, cmd_byte: int) -> int: """Get content of register 2 or 3 A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: - exp (int): _ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + exp (int): ID of LED Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. Returns: int: content of the ioexpander """ - if addr not in [2, 3]: - raise ValueError("Address should be 2 or 3") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - output = self.i2c.read(self.i2c.modules["led_expander_%.1s" % exp], addr) + output = self.i2c.read(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte) return output diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index 64facbe..fbefc06 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -17,6 +17,22 @@ def __init__(self, i2c: I2CCore, led: LEDControl): self.i2c = i2c self.led_controller = led + self._set_ioexpander_polarity_out(exp=1, cmd_byte=4, polarity=False) + self._set_ioexpander_direction_out(exp=1, cmd_byte=6, direction="output") + self._set_ioexpander_output_out(exp=1, cmd_byte=2, value=0xFF) + + self._set_ioexpander_polarity_out(exp=1, cmd_byte=5, polarity=False) + self._set_ioexpander_direction_out(exp=1, cmd_byte=7, direction="output") + self._set_ioexpander_output_out(exp=1, cmd_byte=3, value=0xFF) + + self._set_ioexpander_polarity_out(exp=2, cmd_byte=4, polarity=False) + self._set_ioexpander_direction_out(exp=2, cmd_byte=6, direction="output") + self._set_ioexpander_output_out(exp=2, cmd_byte=2, value=0x00) + + self._set_ioexpander_polarity_out(exp=2, cmd_byte=5, polarity=False) + self._set_ioexpander_direction_out(exp=2, cmd_byte=7, direction="output") + self._set_ioexpander_output_out(exp=2, cmd_byte=3, value=0xB0) + def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: """This enables the HDMI output of one specific HDMI channel. #TODO not tested @@ -48,12 +64,12 @@ def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: self.led_controller.switch_led(hdmi_channel+1, "off") def clock_lemo_output(self, enable: bool = True) -> None: - """Enables the clock LEMO output. #TODO not tested + """Enables the clock LEMO output. #TODO only with ~40MHz default clock Args: enable (bool, optional): Enable clock LEMO output. Defaults to True. """ - #TODO this does not work I checked with all combinations of expander[1,2] and bank[1,2,3] + cmd_byte = 3 #this is bank+2 in EUDAQ mask = 0x10 expander = 2 @@ -70,33 +86,76 @@ def clock_lemo_output(self, enable: bool = True) -> None: self.led_controller.switch_led(5, "off") self.log.info("Clock LEMO output %s" %("enabled" if enable else "disabled")) - def _set_ioexpander_output_out(self, exp: int, addr: int, value: int) -> None: + def _set_ioexpander_output_out(self, exp: int, cmd_byte: int, value: int) -> None: """Set content of register 2 or 3 which determine signal if direction is output A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. value (int): 8 bit value for the output """ - if addr not in [2, 3]: - raise ValueError("Address should be 2 or 3") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - self.i2c.write(self.i2c.modules["expander_%.1s" % exp], addr, value & 0xFF) + self.i2c.write(self.i2c.modules["expander_%.1s" % exp], cmd_byte, value & 0xFF) - def _get_ioexpander_output_out(self, exp: int, addr: int) -> int: + def _get_ioexpander_output_out(self, exp: int, cmd_byte: int) -> int: """Get content of register 2 or 3 A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. Args: exp (int): _ID of LED Expander (1 or 2)) - addr (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. Returns: int: content of the ioexpander """ - if addr not in [2, 3]: - raise ValueError("Address should be 2 or 3") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") if exp not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - output = self.i2c.read(self.i2c.modules["expander_%.1s" % exp], addr) + output = self.i2c.read(self.i2c.modules["expander_%.1s" % exp], cmd_byte) return output + + def _set_ioexpander_polarity_out( + self, exp: int, cmd_byte: int, polarity: bool = False + ) -> None: + """Set content of register 4 or 5 which determine polarity of ports. + A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. + + Args: + exp (int): ID of LED Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. + """ + if cmd_byte not in [4, 5]: + raise ValueError("Command byte should be 4 or 5") + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + self.i2c.write(self.i2c.modules["expander_%.1s" % exp], cmd_byte, polarity) + + def _set_ioexpander_direction_out( + self, exp: int, cmd_byte: int, direction: str = "input" + ) -> None: + """Set content of register 6 or 7 which determine direction of signal + A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. + + Args: + exp (int): ID of LED Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + direction (str, optional): "input or "output" direction of port. Defaults to "input". + """ + if cmd_byte not in [6, 7]: + raise ValueError("Command byte should be 6 or 7") + if direction not in ["input", "output"]: + raise ValueError('Direction parameter must be "input" or "output"') + if exp not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + self.i2c.write( + self.i2c.modules["expander_%.1s" % exp], + cmd_byte, + 1 if direction == "input" else 0, + ) + diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index a1af307..a15c41f 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,166 +2,51 @@ "cells": [ { "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [], - "source": [ - "import uhal\n", - "\n", - "from tlu import AidaTLU\n", - "import time\n", - "import logger\n", - "import pandas as pd\n", - "import numpy as np\n", - "\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, + "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-02 14:47:09,239 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-02 14:47:09,378 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-02 14:47:09,379 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-02 14:47:09,413 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-02 14:47:09,421 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-02 14:47:09,421 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-02 14:47:09,423 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-05-02 14:47:10,748 [Clock Controller ] - INFO DONE\n" + "2023-05-04 15:53:21,116 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-04 15:53:21,242 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-04 15:53:21,243 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-04 15:53:21,269 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-04 15:53:21,274 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-04 15:53:21,275 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-04 15:53:21,276 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-05-04 15:53:22,511 [Clock Controller ] - INFO DONE\n", + "2023-05-04 15:53:22,535 [Output Controller ] - INFO Clock LEMO output disabled\n" ] } ], "source": [ + "import uhal\n", + "\n", + "from tlu import AidaTLU\n", + "import time\n", + "import logger\n", + "import pandas as pd\n", + "import numpy as np\n", + "\n", "uhal.setLogLevelTo(uhal.LogLevel.NOTICE)\n", "manager = uhal.ConnectionManager(\"file://./misc/aida_tlu_connection.xml\")\n", "hw = uhal.HwInterface(manager.getDevice(\"aida_tlu.controlhub\"))\n", "\n", - "tlu = AidaTLU(hw)" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-02 14:46:16,846 [Trigger Controller] - INFO Trigger Veto set to: 0\n" - ] - } - ], - "source": [ - "tlu.trigger_controller.set_trigger_veto(0)" + "tlu = AidaTLU(hw)\n" ] }, { "cell_type": "code", - "execution_count": 8, + "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-02 14:48:29,008 [Trigger Controller] - INFO Trigger on falling edge\n" - ] - } - ], - "source": [ - "tlu.trigger_controller.set_trigger_polarity(0)" - ] - }, - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "1" - ] - }, - "execution_count": 5, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "tlu.trigger_controller.get_trigger_veto()" - ] - }, - { - "cell_type": "code", - "execution_count": 7, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-02 14:44:46,482 [Trigger Controller] - INFO Trigger mask: 0\n" - ] - } - ], - "source": [ - "tlu.trigger_controller.set_trigger_mask(0)" - ] - }, - { - "cell_type": "code", - "execution_count": 8, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "0" - ] - }, - "execution_count": 8, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "tlu.trigger_controller.get_trigger_mask()" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-02 13:06:44,746 [LED Controller ] - INFO Testing LEDs colors\n" - ] - } - ], - "source": [ - "tlu.led_controller.test_leds()" - ] - }, - { - "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-02 13:34:45,329 [Output Controller ] - INFO Clock LEMO output disabled\n" + "2023-05-04 16:22:57,125 [Output Controller ] - INFO Clock LEMO output disabled\n" ] } ], @@ -171,40 +56,19 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-02 13:34:21,642 [Clock Controller ] - INFO Internal trigger frequency: 10001 Hz\n", - "2023-05-02 13:34:21,644 [Clock Controller ] - WARNING Frequency is set to different value. Internal Trigger frequency is 10001 Hz\n" + "2023-05-04 16:19:10,309 [Output Controller ] - INFO Clock LEMO output enabled\n" ] } ], "source": [ - "tlu.clock_controller.set_internal_trigger_frequency(10000)" - ] - }, - { - "cell_type": "code", - "execution_count": 4, - "metadata": {}, - "outputs": [ - { - "data": { - "text/plain": [ - "10001" - ] - }, - "execution_count": 4, - "metadata": {}, - "output_type": "execute_result" - } - ], - "source": [ - "tlu.clock_controller.get_internal_trigger_frequency()" + "tlu.output_controller.clock_lemo_output()" ] }, { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 53f7a3f..fee6b41 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -7,7 +7,7 @@ from voltage_controller import VoltageControl from clock_controller import ClockControl from output_controller import OutputControl -from trigger_controller import TriggerControll +from trigger_controller import TriggerControl class AidaTLU(object): def __init__(self, hw) -> None: @@ -22,9 +22,11 @@ def __init__(self, hw) -> None: self.voltage_controller = VoltageControl(self.i2c) self.clock_controller = ClockControl(self.i2c) self.output_controller = OutputControl(self.i2c, self.led_controller) - self.trigger_controller = TriggerControll(self.i2c) + self.trigger_controller = TriggerControl(self.i2c) - # init pwrled + #Disable all outputs + self.output_controller.clock_lemo_output(False) + for i in range(4): self.output_controller.configure_hdmi(i+1, False) # if present, init display diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py index c5916ee..7856b41 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/trigger_controller.py @@ -1,11 +1,56 @@ from i2c import I2CCore import logger -class TriggerControll(object): +class TriggerControl(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Trigger Controller") self.i2c = i2c + def set_internal_trigger_frequency(self, frequency: int) -> None: + """ Sets the internal trigger frequency. + The maximum allowed Frequency is 160 MHz. #TODO What is the actual purpose of this function. It operates above the + + Args: + frequency (int): Frequency in Hz #TODO is this Hz? + """ + self.log.info("Set internal trigger frequency to: %i Hz" %frequency) + max_freq = 160000000 + if frequency < 0: + raise ValueError("Frequency smaller than 0 does not work") + if frequency > max_freq: + raise ValueError("Frequency larger than 160MHz does not work") + if frequency == 0: + interval = frequency + else: + interval = int(160000000/frequency) #TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. + self._set_internal_trigger_interval(interval) + #TODO check if this is really Hz + new_freq = self.get_internal_trigger_frequency() + if new_freq != frequency: + self.log.warn("Frequency is set to different value. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) + + def get_internal_trigger_frequency(self) -> int: + """Reads the internal trigger frequency from the register. + + Returns: + int: Frequency in Hz #TODO Hz? + """ + interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") + if interval == 0: + freq = 0 + else: + freq = int(160000000/interval) #TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. + return freq + + def _set_internal_trigger_interval(self, interval: int) -> None: + """Number of internal clock cycles to be used as period for the internal trigger generator. + #TODO In the documentation what is meant by smaller 5 and -2 + + Args: + interval (int): Number of internal clock cycles. + """ + self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) + def set_trigger_veto(self, value: int) -> None: self.i2c.write_register("triggerLogic.TriggerVetoW", value) self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) From 4f1c39ec43fcc0c4b4e83c7236454b98c9eebc73 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 5 May 2023 14:50:32 +0200 Subject: [PATCH 10/68] clock output for HDMI + more trigger logic --- aidatlu/output_controller.py | 41 +++++++++++++++++++++++-- aidatlu/test.ipynb | 57 ++++++++++++++++++++++++----------- aidatlu/tlu.py | 4 +-- aidatlu/trigger_controller.py | 56 ++++++++++++++++++++++++++++++---- 4 files changed, 130 insertions(+), 28 deletions(-) diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index fbefc06..fbd253e 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -37,11 +37,11 @@ def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: """This enables the HDMI output of one specific HDMI channel. #TODO not tested Args: - hdmi_num (int): _description_ - enable (bool, optional): _description_. Defaults to True. + hdmi_num (int): HDMI channels from 1 to 4 + enable (bool, optional): Enables the HDMI channel. Defaults to True. """ - if hdmi_channel < 1 and hdmi_channel > 4: + if hdmi_channel < 1 or hdmi_channel > 4: raise ValueError("HDMI channel should be between 1 and 4") expander = 1 @@ -62,6 +62,41 @@ def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: self.led_controller.switch_led(hdmi_channel+1, "g") else: self.led_controller.switch_led(hdmi_channel+1, "off") + self.log.info("HDMI Channel %i %s" %(hdmi_channel+1, ("enabled" if enable else "disabled"))) + + def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: + """Enables the Clock output for one HDMI channel. + Valid Clock sources are Si5453 clock chip 'chip' and FPGA 'fpga'. + + Args: + hdmi_channel (int): HDMI channels from 1 to 4 + clock_source (str): Clock source valid options are 'off', 'chip' and 'fpga'. + """ + if clock_source not in ["off", "chip", "fpga"]: + raise ValueError("Clock source has to be 'off', 'chip' or 'fpga'") + if hdmi_channel < 1 or hdmi_channel > 4: + raise ValueError("HDMI channel should be between 1 and 4") + + cmd_byte = 2 + expander = 2 + + hdmi_channel = hdmi_channel -1 #shift channel + mask_low = 1 << (hdmi_channel) + mask_high = 1 << (hdmi_channel + 4) + mask = mask_low | mask_high + old_status = self._get_ioexpander_output_out(expander, cmd_byte) + + if clock_source == 'off': + new_status = old_status & ~mask + elif clock_source == 'chip': #TODO Signal looks unstable + new_status = (old_status | mask_high) & ~mask_low + elif clock_source == 'fpga': #TODO nothing measurable here for now + new_status = (old_status | mask_high) & ~mask_high + else: + new_status = old_status + self._set_ioexpander_output_out(expander, cmd_byte, new_status) + self.log.info("Clock source of HDMI Channel %i set to %s." %(hdmi_channel+1,clock_source)) + def clock_lemo_output(self, enable: bool = True) -> None: """Enables the clock LEMO output. #TODO only with ~40MHz default clock diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index a15c41f..87e95c8 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,22 +2,26 @@ "cells": [ { "cell_type": "code", - "execution_count": 4, + "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-04 15:53:21,116 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-04 15:53:21,242 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-04 15:53:21,243 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-04 15:53:21,269 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-04 15:53:21,274 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-04 15:53:21,275 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-04 15:53:21,276 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-05-04 15:53:22,511 [Clock Controller ] - INFO DONE\n", - "2023-05-04 15:53:22,535 [Output Controller ] - INFO Clock LEMO output disabled\n" + "2023-05-05 13:52:34,700 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-05 13:52:34,793 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-05 13:52:34,794 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-05 13:52:34,816 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-05 13:52:34,817 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-05 13:52:34,818 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-05 13:52:34,819 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-05-05 13:52:36,152 [Clock Controller ] - INFO DONE\n", + "2023-05-05 13:52:36,186 [Output Controller ] - INFO Clock LEMO output disabled\n", + "2023-05-05 13:52:36,202 [Output Controller ] - INFO HDMI Channel 1 disabled\n", + "2023-05-05 13:52:36,230 [Output Controller ] - INFO HDMI Channel 2 disabled\n", + "2023-05-05 13:52:36,249 [Output Controller ] - INFO HDMI Channel 3 disabled\n", + "2023-05-05 13:52:36,264 [Output Controller ] - INFO HDMI Channel 4 disabled\n" ] } ], @@ -39,36 +43,36 @@ }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 69, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-04 16:22:57,125 [Output Controller ] - INFO Clock LEMO output disabled\n" + "2023-05-05 14:43:38,932 [Output Controller ] - INFO HDMI Channel 1 disabled\n" ] } ], "source": [ - "tlu.output_controller.clock_lemo_output(False)" + "tlu.output_controller.configure_hdmi(1, False)" ] }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 70, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-04 16:19:10,309 [Output Controller ] - INFO Clock LEMO output enabled\n" + "2023-05-05 14:43:46,385 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n" ] } ], "source": [ - "tlu.output_controller.clock_lemo_output()" + "tlu.output_controller.clock_hdmi_output(1, 'chip')" ] }, { @@ -76,7 +80,26 @@ "execution_count": null, "metadata": {}, "outputs": [], - "source": [] + "source": [ + "tlu.output_controller.clock_lemo_output(True)" + ] + }, + { + "cell_type": "code", + "execution_count": 34, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-05 14:04:41,899 [Output Controller ] - INFO Clock LEMO output disabled\n" + ] + } + ], + "source": [ + "tlu.output_controller.clock_lemo_output(False)" + ] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index fee6b41..cf12b79 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -7,7 +7,7 @@ from voltage_controller import VoltageControl from clock_controller import ClockControl from output_controller import OutputControl -from trigger_controller import TriggerControl +from trigger_controller import TriggerLogic class AidaTLU(object): def __init__(self, hw) -> None: @@ -22,7 +22,7 @@ def __init__(self, hw) -> None: self.voltage_controller = VoltageControl(self.i2c) self.clock_controller = ClockControl(self.i2c) self.output_controller = OutputControl(self.i2c, self.led_controller) - self.trigger_controller = TriggerControl(self.i2c) + self.trigger_logic = TriggerLogic(self.i2c) #Disable all outputs self.output_controller.clock_lemo_output(False) diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py index 7856b41..6f92f3c 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/trigger_controller.py @@ -1,14 +1,20 @@ from i2c import I2CCore import logger -class TriggerControl(object): +class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Trigger Controller") self.i2c = i2c + """ + + Internal Trigger Generation + + """ + def set_internal_trigger_frequency(self, frequency: int) -> None: """ Sets the internal trigger frequency. - The maximum allowed Frequency is 160 MHz. #TODO What is the actual purpose of this function. It operates above the + The maximum allowed Frequency is 160 MHz. #TODO This should generate internal triggers with frequency > 0 Args: frequency (int): Frequency in Hz #TODO is this Hz? @@ -44,13 +50,20 @@ def get_internal_trigger_frequency(self) -> int: def _set_internal_trigger_interval(self, interval: int) -> None: """Number of internal clock cycles to be used as period for the internal trigger generator. - #TODO In the documentation what is meant by smaller 5 and -2 + The period for the internal trigger generator is reduced by 2 prob. in some harware configuration. + #TODO In the documentation what is meant by smaller 5 Args: interval (int): Number of internal clock cycles. """ self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) + """ + + Trigger Logic #TODO where are here the different DUT or trigger inpout channels and so on + + """ + def set_trigger_veto(self, value: int) -> None: self.i2c.write_register("triggerLogic.TriggerVetoW", value) self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) @@ -78,6 +91,37 @@ def get_trigger_veto(self) -> int: veto_state = self.i2c.read_register("triggerLogic.TriggerVetoR") return veto_state - - - + def get_post_veto_trigger(self) -> int: + return self.i2c.read_register("triggerLogic.PostVetoTriggersR") + + def get_pre_veto_trigger(self) -> int: + return self.i2c.read_register("triggerLogic.PreVetoTriggersR") + + """ + + Trigger Pulse Length and Delay #TODO prob. to account for cable length and so on + + """ + + def set_pulse_stretch_pack(self) -> None: + pass + + def set_pulse_delay_pack(self) -> None: + pass + + def get_pulse_stretch_pack(self) -> int: + return self.i2c.read_register("triggerLogic.PulseStretchR") + + def get_pulse_delay_pack(self) -> int: + return self.i2c.read_register("triggerLogic.PulseDelayR") + + def set_pulse_stretch(self, value: int) -> None: + self.i2c.write_register("triggerLogic.PulseStretchW", value) + + def set_pulse_delay(self, value: int) -> None: + self.i2c.write_register("triggerLogic.PulseDelayW", value) + + def pack_bits(self) -> None: + #TODO this is a weird bit shift utils function only used in the packed pulse functions + # but it still uses the number of trigger inputs + pass \ No newline at end of file From e30ac90f6f33a082f2f27eedb03ce9626db051d4 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 8 May 2023 15:25:13 +0200 Subject: [PATCH 11/68] more trigger logic + DUT logic --- aidatlu/dut_controller.py | 50 +++++++++++++++++ aidatlu/output_controller.py | 13 +++-- aidatlu/test.ipynb | 95 ++++++++++++++++++------------- aidatlu/tlu.py | 22 ++++++++ aidatlu/trigger_controller.py | 103 +++++++++++++++++++++++++++++----- 5 files changed, 225 insertions(+), 58 deletions(-) create mode 100644 aidatlu/dut_controller.py diff --git a/aidatlu/dut_controller.py b/aidatlu/dut_controller.py new file mode 100644 index 0000000..1cd9a1e --- /dev/null +++ b/aidatlu/dut_controller.py @@ -0,0 +1,50 @@ +import logger +from i2c import I2CCore + +class DUTLogic(object): + def __init__(self, i2c: I2CCore): + self.log = logger.setup_derived_logger("DUT Logic") + + self.i2c = i2c + + def set_dut_mask(self, value: int) -> None: + """Enables HDMI Outputs the value is here an 4-bit number to enable eache HDMI channel. + e.q. 0b0001 enables HDMI 1 and so on. #TODO see TLU doc p. 62. + + Args: + value (int): _description_ + """ + self.i2c.write_register("DUTInterfaces.DUTMaskW", value) + self.log.info("DUT mask is set to %s" %self.get_dut_mask()) + + def set_dut_mask_mode(self, value: int) -> None: + """Sets the DUT interface mode. value consits of 4 2-bit numbers. + Each 2-bit number corresponds to one HDMI output and its Mode. + E.q. 0b00000011 sets HDMI channel 1 to AIDA mode. #TODO See TLU doc. p. 49 and p. 62. + + Args: + value (int): _description_ + """ + self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", value) + self.log.info("DUT mask mode is set to %s" %self.get_dut_mask_mode()) + + def set_dut_mask_mode_modifier(self, value: int) -> None: + self.i2c.write_register("DUTInterfaces.DUTInterfaceModeModifierW", value) + self.log.info("DUT mask mode modifier is set to %s" %self.get_dut_mask_mode_modifier()) + + def set_dut_ignore_busy(self, value: int) -> None: + self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", value) + self.log.info("DUT ignore busy is set to %s" %self.get_dut_ignore_busy()) + + def get_dut_mask(self) -> int: + return self.i2c.read_register("DUTInterfaces.DUTMaskR") + + def get_dut_mask_mode(self) -> int: + return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeR") + + def get_dut_mask_mode_modifier(self) -> int: + return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeModifierR") + + def get_dut_ignore_busy(self) -> int: + return self.i2c.read_register("DUTInterfaces.IgnoreDUTBusyR") + diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index fbd253e..97b2cac 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -33,12 +33,14 @@ def __init__(self, i2c: I2CCore, led: LEDControl): self._set_ioexpander_direction_out(exp=2, cmd_byte=7, direction="output") self._set_ioexpander_output_out(exp=2, cmd_byte=3, value=0xB0) - def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: - """This enables the HDMI output of one specific HDMI channel. #TODO not tested - + def configure_hdmi(self, hdmi_channel: int, enable: int = 0) -> None: + """ This enables the pins of the HDMI channel as input (0) or output (1). + Enable is here a 4-bit number for each pin. E.q. 0b0111 sets CONT, SPARE and TRIGGER as outputs and BUSY as input. + Clock runs with seperate function clock_hdmi_output. #TODO see TLU doc p. 60 + Args: hdmi_num (int): HDMI channels from 1 to 4 - enable (bool, optional): Enables the HDMI channel. Defaults to True. + enable (int, optional): Enables the HDMI channel. Defaults to 0b0. #TODO this is wrong! I think this can also configure each pin individually of the HDMI output """ if hdmi_channel < 1 or hdmi_channel > 4: @@ -67,7 +69,8 @@ def configure_hdmi(self, hdmi_channel: int, enable: bool = True) -> None: def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: """Enables the Clock output for one HDMI channel. Valid Clock sources are Si5453 clock chip 'chip' and FPGA 'fpga'. - + #TODO does FPGA work? + Args: hdmi_channel (int): HDMI channels from 1 to 4 clock_source (str): Clock source valid options are 'off', 'chip' and 'fpga'. diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 87e95c8..bb21e65 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -1,5 +1,20 @@ { "cells": [ + { + "cell_type": "code", + "execution_count": 1, + "metadata": {}, + "outputs": [], + "source": [ + "import uhal\n", + "\n", + "from tlu import AidaTLU\n", + "import time\n", + "import logger\n", + "import pandas as pd\n", + "import numpy as np" + ] + }, { "cell_type": "code", "execution_count": 1, @@ -9,19 +24,19 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-05 13:52:34,700 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-05 13:52:34,793 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-05 13:52:34,794 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-05 13:52:34,816 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-05 13:52:34,817 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-05 13:52:34,818 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-05 13:52:34,819 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-05-05 13:52:36,152 [Clock Controller ] - INFO DONE\n", - "2023-05-05 13:52:36,186 [Output Controller ] - INFO Clock LEMO output disabled\n", - "2023-05-05 13:52:36,202 [Output Controller ] - INFO HDMI Channel 1 disabled\n", - "2023-05-05 13:52:36,230 [Output Controller ] - INFO HDMI Channel 2 disabled\n", - "2023-05-05 13:52:36,249 [Output Controller ] - INFO HDMI Channel 3 disabled\n", - "2023-05-05 13:52:36,264 [Output Controller ] - INFO HDMI Channel 4 disabled\n" + "2023-05-08 15:20:14,136 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-08 15:20:14,228 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-08 15:20:14,229 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-08 15:20:14,246 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-08 15:20:14,249 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-08 15:20:14,250 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-08 15:20:14,251 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-05-08 15:20:15,499 [Clock Controller ] - INFO DONE\n", + "2023-05-08 15:20:15,523 [Output Controller ] - INFO Clock LEMO output disabled\n", + "2023-05-08 15:20:15,533 [Output Controller ] - INFO HDMI Channel 1 disabled\n", + "2023-05-08 15:20:15,546 [Output Controller ] - INFO HDMI Channel 2 disabled\n", + "2023-05-08 15:20:15,565 [Output Controller ] - INFO HDMI Channel 3 disabled\n", + "2023-05-08 15:20:15,577 [Output Controller ] - INFO HDMI Channel 4 disabled\n" ] } ], @@ -43,36 +58,57 @@ }, { "cell_type": "code", - "execution_count": 69, + "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-05 14:43:38,932 [Output Controller ] - INFO HDMI Channel 1 disabled\n" + "2023-05-08 13:16:16,951 [DUT Logic ] - INFO DUT ignore busy is set to 0\n" ] } ], "source": [ - "tlu.output_controller.configure_hdmi(1, False)" + "tlu.dut_logic.set_dut_ignore_busy(0)" ] }, { "cell_type": "code", - "execution_count": 70, + "execution_count": 49, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-05 14:43:46,385 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n" + "2023-05-08 14:57:00,926 [Trigger Controller] - INFO Trigger Veto set to: 0\n", + "2023-05-08 14:57:00,928 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-08 14:57:00,930 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-08 14:57:00,932 [Trigger Controller] - INFO Trigger mask: 18446744073709486078\n", + "2023-05-08 14:57:00,933 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-08 14:57:00,952 [Output Controller ] - INFO HDMI Channel 1 enabled\n", + "2023-05-08 14:57:00,956 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n", + "2023-05-08 14:57:00,959 [DUT Logic ] - INFO DUT mask is set to 1\n", + "2023-05-08 14:57:00,959 [DUT Logic ] - INFO DUT mask mode is set to 0\n" ] } ], "source": [ - "tlu.output_controller.clock_hdmi_output(1, 'chip')" + "test_stretch = [1,1,1,1,1,1]\n", + "test_delay = [0,0,0,0,0,0]\n", + "\n", + "\n", + "tlu.trigger_logic.set_trigger_veto(0)\n", + "tlu.trigger_logic.set_pulse_delay_pack(test_delay)\n", + "tlu.trigger_logic.set_pulse_stretch_pack(test_stretch)\n", + "tlu.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE)\n", + "tlu.trigger_logic.set_trigger_polarity(1)\n", + "tlu.output_controller.configure_hdmi(1, 0b0111)\n", + "tlu.output_controller.clock_hdmi_output(1, 'chip')\n", + "tlu.dut_logic.set_dut_mask(0b0001)\n", + "tlu.dut_logic.set_dut_mask_mode(0b00000000)\n", + "\n" ] }, { @@ -80,26 +116,7 @@ "execution_count": null, "metadata": {}, "outputs": [], - "source": [ - "tlu.output_controller.clock_lemo_output(True)" - ] - }, - { - "cell_type": "code", - "execution_count": 34, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-05 14:04:41,899 [Output Controller ] - INFO Clock LEMO output disabled\n" - ] - } - ], - "source": [ - "tlu.output_controller.clock_lemo_output(False)" - ] + "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index cf12b79..c32e62b 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -8,6 +8,7 @@ from clock_controller import ClockControl from output_controller import OutputControl from trigger_controller import TriggerLogic +from dut_controller import DUTLogic class AidaTLU(object): def __init__(self, hw) -> None: @@ -23,6 +24,7 @@ def __init__(self, hw) -> None: self.clock_controller = ClockControl(self.i2c) self.output_controller = OutputControl(self.i2c, self.led_controller) self.trigger_logic = TriggerLogic(self.i2c) + self.dut_logic = DUTLogic(self.i2c) #Disable all outputs self.output_controller.clock_lemo_output(False) @@ -51,6 +53,26 @@ def init_power_leds(self) -> None: def compare_write_read(self): pass + def test_configuration(self) -> None: + """ #TODO I tried to translate the default configuration file and configure + DUT 1 to run in EUDET mode. + + """ + test_stretch = [1,1,1,1,1,1] + test_delay = [0,0,0,0,0,0] + + + self.trigger_logic.set_trigger_veto(0) + self.trigger_logic.set_pulse_delay_pack(test_delay) + self.trigger_logic.set_pulse_stretch_pack(test_stretch) + self.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE) + self.trigger_logic.set_trigger_polarity(1) + self.output_controller.configure_hdmi(1, 0b0111) + self.output_controller.clock_hdmi_output(1, 'chip') + self.dut_logic.set_dut_mask(0b0001) + self.dut_logic.set_dut_mask_mode(0b00000000) + + if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py index 6f92f3c..0e5e327 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/trigger_controller.py @@ -65,36 +65,66 @@ def _set_internal_trigger_interval(self, interval: int) -> None: """ def set_trigger_veto(self, value: int) -> None: + """Enables or disables new trigger. This can be used to reset the procession of new triggers. + + Args: + value (int): _description_ #TODO + """ self.i2c.write_register("triggerLogic.TriggerVetoW", value) self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) def set_trigger_polarity(self, value: int) -> int: + """Sets if the TLU triggers on rising or falling edge. + + Args: + value (int): 1 triggers on falling, 0 on rising. #TODO not tested + + """ trigger_polarity = (0x3F & value) self.i2c.write_register("triggerInputs.InvertEdgeW", trigger_polarity) self.log.info("Trigger on %s edge" %("falling" if value == 1 else "rising")) #TODO NOT TESTED - def set_trigger_mask(self, value: int) -> None: -# def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name - mask_high = (value >> 32) & 0xFF - mask_low = value & 0xFF +# def set_trigger_mask(self, value: int) -> None: + def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name + """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. + #TODO To set a specific trigger logic one must find right two words in the TLU. doc p. 30 + + Args: + mask_high (int): _description_ #TODO + mask_low (int): _description_ #TODO + """ + #mask_high = (value >> 32) & 0xFF + #mask_low = value & 0xFF self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) self.log.info("Trigger mask: %s" %self.get_trigger_mask()) def get_trigger_mask(self) -> int: + """Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations. + + """ mask_low = self.i2c.read_register("triggerLogic.TriggerPattern_lowR") mask_high = self.i2c.read_register("triggerLogic.TriggerPattern_highR") trigger_pattern = (mask_high << 32) | mask_low return trigger_pattern def get_trigger_veto(self) -> int: + """Reads the trigger veto from the register. + + """ veto_state = self.i2c.read_register("triggerLogic.TriggerVetoR") return veto_state def get_post_veto_trigger(self) -> int: + """Gets the number of triggers recorded in the TLU after the veto is applied + + """ return self.i2c.read_register("triggerLogic.PostVetoTriggersR") def get_pre_veto_trigger(self) -> int: + """Number of triggers recorded in the TLU before the veto is applied. + + """ return self.i2c.read_register("triggerLogic.PreVetoTriggersR") """ @@ -103,25 +133,70 @@ def get_pre_veto_trigger(self) -> int: """ - def set_pulse_stretch_pack(self) -> None: - pass + def set_pulse_stretch_pack(self, vector: list) -> None: + """ Stretch word for trigger pulses. Each element of the input vector is stretched by N clock cycles. + The input vector should have 6 elements for the different inputs. + The vector is packed into a single word. + + Args: + vector (list): _description_ #TODO + """ + packed = self._pack_bits(vector) + self._set_pulse_stretch(packed) + self.log.info("Pulse stretch is set to %s" %self.get_pulse_stretch_pack()) - def set_pulse_delay_pack(self) -> None: - pass + def set_pulse_delay_pack(self, vector: list) -> None: + """ Delay word for trigger pulses. Each element of the input vector is delayed by N clock cycles. + The vector is packed into a single word. + + Args: + vector (list): _description_ + """ + packed = self._pack_bits(vector) + self._set_pulse_delay(packed) + self.log.info("Pulse Delay is set to %s" %self.get_pulse_delay_pack()) def get_pulse_stretch_pack(self) -> int: + """ Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. + + """ return self.i2c.read_register("triggerLogic.PulseStretchR") def get_pulse_delay_pack(self) -> int: + """Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. + + """ return self.i2c.read_register("triggerLogic.PulseDelayR") - def set_pulse_stretch(self, value: int) -> None: + def _set_pulse_stretch(self, value: int) -> None: + """ Writes the packed word into the pulse stretch register. + + Args: + value (int): _description_ + """ self.i2c.write_register("triggerLogic.PulseStretchW", value) - def set_pulse_delay(self, value: int) -> None: + def _set_pulse_delay(self, value: int) -> None: + """ Writes the packed word into the pulse delay register. + + Args: + value (int): _description_ + """ self.i2c.write_register("triggerLogic.PulseDelayW", value) - def pack_bits(self) -> None: - #TODO this is a weird bit shift utils function only used in the packed pulse functions - # but it still uses the number of trigger inputs - pass \ No newline at end of file + def _pack_bits(self, vector: list) -> int: + """Pack Vector of bits using 5-bits for each element. + + Args: + vector (list): Vector of bits with variable length. + + Returns: + int: 32-bit word representation of the input vector. + """ + #TODO Numpy would prob. be more elegant for this. + packed_bits = 0x0 + temp_int = 0x0 + for channel in range(len(vector)): + temp_int = int(vector[channel]) << channel*5 + packed_bits = packed_bits | temp_int + return packed_bits \ No newline at end of file From 11fb106285177cafabf725183e0eed6a9388169c Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 9 May 2023 14:54:06 +0200 Subject: [PATCH 12/68] documentation --- aidatlu/dut_controller.py | 87 ++++++++++++++++++++++++++++++------ aidatlu/output_controller.py | 24 +++++++--- aidatlu/test.ipynb | 80 ++++++++++++--------------------- aidatlu/tlu.py | 7 +-- 4 files changed, 124 insertions(+), 74 deletions(-) diff --git a/aidatlu/dut_controller.py b/aidatlu/dut_controller.py index 1cd9a1e..d367971 100644 --- a/aidatlu/dut_controller.py +++ b/aidatlu/dut_controller.py @@ -7,44 +7,105 @@ def __init__(self, i2c: I2CCore): self.i2c = i2c - def set_dut_mask(self, value: int) -> None: - """Enables HDMI Outputs the value is here an 4-bit number to enable eache HDMI channel. - e.q. 0b0001 enables HDMI 1 and so on. #TODO see TLU doc p. 62. + def set_dut_mask(self, enable: int | str) -> None: + """ Enables HDMI Outputs the enable is here an 4-bit WORD as integer or binary string to enable each HDMI channel. + With HDMI channel 1 = bit 0, channel 2 = bit 2, channel 3 = bit 3 and channel 4 = bit 4. + E.q. 0b0001 or '0001' enables HDMI channel 1, '0011' enables channel 1 and 2 and so on. Args: - value (int): _description_ + value (int | str): 4-bit WORD to enable the the HDMI outputs. Can be an integer or binary string. """ - self.i2c.write_register("DUTInterfaces.DUTMaskW", value) + if type(enable) == str: + enable = int(enable, 2) + + if enable > 0b1111: + raise ValueError("Enable has to be smaller than 16 ('10000').") + if enable < 0b0000: + raise ValueError("Enable has to be positive.") + + self.i2c.write_register("DUTInterfaces.DUTMaskW", enable) self.log.info("DUT mask is set to %s" %self.get_dut_mask()) - def set_dut_mask_mode(self, value: int) -> None: - """Sets the DUT interface mode. value consits of 4 2-bit numbers. - Each 2-bit number corresponds to one HDMI output and its Mode. - E.q. 0b00000011 sets HDMI channel 1 to AIDA mode. #TODO See TLU doc. p. 49 and p. 62. + def set_dut_mask_mode(self, mode: int | str) -> None: + """ Sets the DUT interface mode. Mode consits of one 8-bit WORD or more specific 4 2-bit WORDs. + Each 2-bit WORD corresponds to one HDMI output and its mode. + With HDMI channel 1 = bit 0 and 1, channel 2 = bit 2 and 3, channel 3 = bit 4 and 5 and channel 4 = bit 6 and 7. + The mode is set with X0 = EUDET and X1 = AIDA. #TODO They mention the leading bit X can be used for future modes. Is this still up to date? + E.q. 0b00000011 sets HDMI channel 1 to AIDA mode and channels 2,3 and 4 to EUDET. Args: - value (int): _description_ + mode (int | str): 8-bit WORD to set the mode for each DUT. Can be an integer or binary string. """ - self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", value) + + if type(mode) == str: + mode = int(mode, 2) + + if mode > 0b11111111: + raise ValueError("Mode has to be smaller than 256 ('100000000').") + if mode < 0b00000000: + raise ValueError("Mode has to be positive.") + + self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", mode) self.log.info("DUT mask mode is set to %s" %self.get_dut_mask_mode()) def set_dut_mask_mode_modifier(self, value: int) -> None: + """ #TODO Only affects the EUDET mode of operation, looks like some special EUDET configuration. + + Args: + value (int): _description_ #TODO + """ self.i2c.write_register("DUTInterfaces.DUTInterfaceModeModifierW", value) self.log.info("DUT mask mode modifier is set to %s" %self.get_dut_mask_mode_modifier()) - def set_dut_ignore_busy(self, value: int) -> None: - self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", value) + def set_dut_ignore_busy(self, channels: int | str) -> None: + """ If set the TLU ignores the BUSY signal from a DUT in AIDA mode. + Channels consits of a 4-bit WORD describing the DUT interfaces. + With DUT interface 1 = bit 0, interface 2 = bit 1, interface 3 = bit 2 and interface 4 = bit 3. + #TODO not sure if this is true here. No answers in documentation. + + Args: + channels (int | str): _description_#TODO + """ + if type(channels) == str: + channels = int(channels, 2) + + if channels > 0b1111: + raise ValueError("Channels has to be smaller than 16 ('10000').") + if channels < 0b0000: + raise ValueError("Channels has to be positive.") + + self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", channels) self.log.info("DUT ignore busy is set to %s" %self.get_dut_ignore_busy()) def get_dut_mask(self) -> int: + """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + + Returns: + int: Integer content of the register. + """ return self.i2c.read_register("DUTInterfaces.DUTMaskR") def get_dut_mask_mode(self) -> int: + """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + + Returns: + int: Integer content of the register. + """ return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeR") def get_dut_mask_mode_modifier(self) -> int: + """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + + Returns: + int: Integer content of the register. + """ return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeModifierR") def get_dut_ignore_busy(self) -> int: + """ Reads the contend in the register 'IgnoreDUTBusyR'. + + Returns: + int: Integer content of the register. + """ return self.i2c.read_register("DUTInterfaces.IgnoreDUTBusyR") diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index 97b2cac..7011169 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -33,19 +33,29 @@ def __init__(self, i2c: I2CCore, led: LEDControl): self._set_ioexpander_direction_out(exp=2, cmd_byte=7, direction="output") self._set_ioexpander_output_out(exp=2, cmd_byte=3, value=0xB0) - def configure_hdmi(self, hdmi_channel: int, enable: int = 0) -> None: - """ This enables the pins of the HDMI channel as input (0) or output (1). - Enable is here a 4-bit number for each pin. E.q. 0b0111 sets CONT, SPARE and TRIGGER as outputs and BUSY as input. - Clock runs with seperate function clock_hdmi_output. #TODO see TLU doc p. 60 + def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: + """ This enables the pins of one HDMI channel as input (0) or output (1). + Enable is a 4-bit WORD for each pin as integer or binary string. With CONT = bit 0, SPARE = bit 1, TRIG = bit 2 and BUSY = bit 3. + E.q. 0b0111 or '0111' sets CONT, SPARE and TRIGGER as outputs and BUSY as input. '1100' sets CONT and SPARE as input and BUSY and TRIG as output. + The clock runs with the seperate function: clock_hdmi_output. Args: hdmi_num (int): HDMI channels from 1 to 4 - enable (int, optional): Enables the HDMI channel. Defaults to 0b0. #TODO this is wrong! I think this can also configure each pin individually of the HDMI output + enable (int | str, optional): 4-bit WORD to enable the 4 pins on the HDMI output. Can be an integer or binary string. + """ - + #TODO use DUT Interface or HDMI channel? if hdmi_channel < 1 or hdmi_channel > 4: raise ValueError("HDMI channel should be between 1 and 4") + if type(enable) == str: + enable = int(enable, 2) + + if enable > 0b1111: + raise ValueError("Enable has to be smaller than 16 ('10000').") + if enable < 0b0000: + raise ValueError("Enable has to be positive.") + expander = 1 #TODO what is the difference between nibble and bank and address? @@ -60,7 +70,7 @@ def configure_hdmi(self, hdmi_channel: int, enable: int = 0) -> None: new_status = (old_status & (~mask)) | (new_nibble & mask) self._set_ioexpander_output_out(expander, bank, new_status) - if enable: + if enable: #TODO move these LEDS to DUT mode blue AIDA and green EUDET or so? self.led_controller.switch_led(hdmi_channel+1, "g") else: self.led_controller.switch_led(hdmi_channel+1, "off") diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index bb21e65..46155f1 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 1, + "execution_count": null, "metadata": {}, "outputs": [], "source": [ @@ -24,19 +24,19 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-08 15:20:14,136 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-08 15:20:14,228 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-08 15:20:14,229 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-08 15:20:14,246 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-08 15:20:14,249 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-08 15:20:14,250 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-08 15:20:14,251 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-05-08 15:20:15,499 [Clock Controller ] - INFO DONE\n", - "2023-05-08 15:20:15,523 [Output Controller ] - INFO Clock LEMO output disabled\n", - "2023-05-08 15:20:15,533 [Output Controller ] - INFO HDMI Channel 1 disabled\n", - "2023-05-08 15:20:15,546 [Output Controller ] - INFO HDMI Channel 2 disabled\n", - "2023-05-08 15:20:15,565 [Output Controller ] - INFO HDMI Channel 3 disabled\n", - "2023-05-08 15:20:15,577 [Output Controller ] - INFO HDMI Channel 4 disabled\n" + "2023-05-09 14:40:31,596 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-09 14:40:31,687 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-09 14:40:31,688 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-09 14:40:31,706 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-09 14:40:31,708 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-09 14:40:31,709 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-09 14:40:31,709 [Clock Controller ] - INFO Writing Clock Configuration\n", + "2023-05-09 14:40:32,996 [Clock Controller ] - INFO DONE\n", + "2023-05-09 14:40:33,026 [Output Controller ] - INFO Clock LEMO output disabled\n", + "2023-05-09 14:40:33,036 [Output Controller ] - INFO HDMI Channel 1 disabled\n", + "2023-05-09 14:40:33,047 [Output Controller ] - INFO HDMI Channel 2 disabled\n", + "2023-05-09 14:40:33,065 [Output Controller ] - INFO HDMI Channel 3 disabled\n", + "2023-05-09 14:40:33,076 [Output Controller ] - INFO HDMI Channel 4 disabled\n" ] } ], @@ -58,39 +58,23 @@ }, { "cell_type": "code", - "execution_count": 11, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-08 13:16:16,951 [DUT Logic ] - INFO DUT ignore busy is set to 0\n" - ] - } - ], - "source": [ - "tlu.dut_logic.set_dut_ignore_busy(0)" - ] - }, - { - "cell_type": "code", - "execution_count": 49, + "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-08 14:57:00,926 [Trigger Controller] - INFO Trigger Veto set to: 0\n", - "2023-05-08 14:57:00,928 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-08 14:57:00,930 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-08 14:57:00,932 [Trigger Controller] - INFO Trigger mask: 18446744073709486078\n", - "2023-05-08 14:57:00,933 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-08 14:57:00,952 [Output Controller ] - INFO HDMI Channel 1 enabled\n", - "2023-05-08 14:57:00,956 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n", - "2023-05-08 14:57:00,959 [DUT Logic ] - INFO DUT mask is set to 1\n", - "2023-05-08 14:57:00,959 [DUT Logic ] - INFO DUT mask mode is set to 0\n" + "2023-05-09 14:45:24,470 [Trigger Controller] - INFO Trigger Veto set to: 0\n", + "2023-05-09 14:45:24,472 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-09 14:45:24,473 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-09 14:45:24,476 [Trigger Controller] - INFO Trigger mask: 18446744073709486078\n", + "2023-05-09 14:45:24,480 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-09 14:45:24,500 [Output Controller ] - INFO HDMI Channel 1 enabled\n", + "2023-05-09 14:45:24,506 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n", + "2023-05-09 14:45:24,507 [DUT Logic ] - INFO DUT mask is set to 1\n", + "2023-05-09 14:45:24,508 [DUT Logic ] - INFO DUT mask mode is set to 1\n", + "2023-05-09 14:45:24,508 [DUT Logic ] - INFO DUT ignore busy is set to 1\n" ] } ], @@ -104,19 +88,13 @@ "tlu.trigger_logic.set_pulse_stretch_pack(test_stretch)\n", "tlu.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE)\n", "tlu.trigger_logic.set_trigger_polarity(1)\n", - "tlu.output_controller.configure_hdmi(1, 0b0111)\n", + "tlu.output_controller.configure_hdmi(1, '0111')\n", "tlu.output_controller.clock_hdmi_output(1, 'chip')\n", - "tlu.dut_logic.set_dut_mask(0b0001)\n", - "tlu.dut_logic.set_dut_mask_mode(0b00000000)\n", + "tlu.dut_logic.set_dut_mask('0001')\n", + "tlu.dut_logic.set_dut_mask_mode('00000001')\n", + "tlu.dut_logic.set_dut_ignore_busy('0001')\n", "\n" ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index c32e62b..5f8839d 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -67,10 +67,11 @@ def test_configuration(self) -> None: self.trigger_logic.set_pulse_stretch_pack(test_stretch) self.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE) self.trigger_logic.set_trigger_polarity(1) - self.output_controller.configure_hdmi(1, 0b0111) + self.output_controller.configure_hdmi(1, '0111') self.output_controller.clock_hdmi_output(1, 'chip') - self.dut_logic.set_dut_mask(0b0001) - self.dut_logic.set_dut_mask_mode(0b00000000) + self.dut_logic.set_dut_mask('0001') + self.dut_logic.set_dut_mask_mode('00000000') + if __name__ == "__main__": From b6e9ff1d0edb8096160bea67cef60abafa1b85e7 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 11 May 2023 15:49:33 +0200 Subject: [PATCH 13/68] Generating internal triggers with EUDET mode works with default testing config. --- aidatlu/clock_controller.py | 4 +- aidatlu/dut_controller.py | 28 +++-- aidatlu/i2c.py | 2 +- aidatlu/output_controller.py | 8 +- aidatlu/test.ipynb | 110 ++++++++++++------- aidatlu/tlu.py | 195 +++++++++++++++++++++++++++++++--- aidatlu/trigger_controller.py | 58 ++++------ aidatlu/utils.py | 19 +++- 8 files changed, 308 insertions(+), 116 deletions(-) diff --git a/aidatlu/clock_controller.py b/aidatlu/clock_controller.py index 59df726..846eb2e 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/clock_controller.py @@ -101,10 +101,10 @@ def write_clock_conf(self, file_path: str) -> None: file_path (str): File path to the clock configuration file. """ clock_conf = self.parse_clock_conf(file_path) - self.log.info("Writing Clock Configuration") + self.log.info("Writing clock configuration") for row in clock_conf: self.write_clock_register(int(row[0], 16), int(row[1], 16)) - self.log.info("DONE") + self.log.success("Done writing clock configuration ") def _set_page(self, page: int) -> None: """Configures chip to perform operations on specific address page. diff --git a/aidatlu/dut_controller.py b/aidatlu/dut_controller.py index d367971..62b497b 100644 --- a/aidatlu/dut_controller.py +++ b/aidatlu/dut_controller.py @@ -18,10 +18,8 @@ def set_dut_mask(self, enable: int | str) -> None: if type(enable) == str: enable = int(enable, 2) - if enable > 0b1111: - raise ValueError("Enable has to be smaller than 16 ('10000').") - if enable < 0b0000: - raise ValueError("Enable has to be positive.") + if enable > 0b1111 or enable < 0b0000: + raise ValueError("Enable has to be between 0 and 16 ('10000')") self.i2c.write_register("DUTInterfaces.DUTMaskW", enable) self.log.info("DUT mask is set to %s" %self.get_dut_mask()) @@ -40,10 +38,8 @@ def set_dut_mask_mode(self, mode: int | str) -> None: if type(mode) == str: mode = int(mode, 2) - if mode > 0b11111111: - raise ValueError("Mode has to be smaller than 256 ('100000000').") - if mode < 0b00000000: - raise ValueError("Mode has to be positive.") + if mode > 0b11111111 or mode < 0b00000000: + raise ValueError("Mode has to be between 0 and 256 ('100000000').") self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", mode) self.log.info("DUT mask mode is set to %s" %self.get_dut_mask_mode()) @@ -69,16 +65,14 @@ def set_dut_ignore_busy(self, channels: int | str) -> None: if type(channels) == str: channels = int(channels, 2) - if channels > 0b1111: - raise ValueError("Channels has to be smaller than 16 ('10000').") - if channels < 0b0000: - raise ValueError("Channels has to be positive.") + if channels > 0b1111 or channels < 0b0000: + raise ValueError("Channels has to be between 0 and 16 ('10000').") self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", channels) self.log.info("DUT ignore busy is set to %s" %self.get_dut_ignore_busy()) def get_dut_mask(self) -> int: - """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + """ Reads the contend in the register 'DUTMaskR'. Returns: int: Integer content of the register. @@ -86,7 +80,7 @@ def get_dut_mask(self) -> int: return self.i2c.read_register("DUTInterfaces.DUTMaskR") def get_dut_mask_mode(self) -> int: - """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + """ Reads the contend in the register 'DUTInterfaceModeR'. Returns: int: Integer content of the register. @@ -94,7 +88,7 @@ def get_dut_mask_mode(self) -> int: return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeR") def get_dut_mask_mode_modifier(self) -> int: - """ Reads the contend in the register 'DUTInterfaceModeModifierR'. + """ Reads the content in the register 'DUTInterfaceModeModifierR'. Returns: int: Integer content of the register. @@ -102,10 +96,12 @@ def get_dut_mask_mode_modifier(self) -> int: return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeModifierR") def get_dut_ignore_busy(self) -> int: - """ Reads the contend in the register 'IgnoreDUTBusyR'. + """ Reads the content in the register 'IgnoreDUTBusyR'. Returns: int: Integer content of the register. """ return self.i2c.read_register("DUTInterfaces.IgnoreDUTBusyR") + def set_dut_ignore_shutter(self, value: int) -> None: + self.i2c.write_register("DUTInterfaces.IgnoreShutterVetoW", value) \ No newline at end of file diff --git a/aidatlu/i2c.py b/aidatlu/i2c.py index abc0fe6..1090185 100644 --- a/aidatlu/i2c.py +++ b/aidatlu/i2c.py @@ -161,4 +161,4 @@ def write_array(self,device_addr: int, mem_addr: int, values: list) -> None: self.set_i2c_command(0x10) self.set_i2c_tx(values[-1] & 0xFF) - self.set_i2c_command(0x50) \ No newline at end of file + self.set_i2c_command(0x50) diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py index 7011169..803edbd 100644 --- a/aidatlu/output_controller.py +++ b/aidatlu/output_controller.py @@ -8,7 +8,7 @@ """ -#TODO should this be merged with LEDControll to I/OExpanderControll +#TODO should this be merged with LEDControll to I/OExpanderControl class OutputControl(object): def __init__(self, i2c: I2CCore, led: LEDControl): @@ -51,10 +51,8 @@ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: if type(enable) == str: enable = int(enable, 2) - if enable > 0b1111: - raise ValueError("Enable has to be smaller than 16 ('10000').") - if enable < 0b0000: - raise ValueError("Enable has to be positive.") + if enable > 0b1111 or enable < 0b0000: + raise ValueError("Enable has to be between 0 and 16 ('10000').") expander = 1 diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 46155f1..1671649 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": null, + "execution_count": 1, "metadata": {}, "outputs": [], "source": [ @@ -24,19 +24,21 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-09 14:40:31,596 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-09 14:40:31,687 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-09 14:40:31,688 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-09 14:40:31,706 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-09 14:40:31,708 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-09 14:40:31,709 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-09 14:40:31,709 [Clock Controller ] - INFO Writing Clock Configuration\n", - "2023-05-09 14:40:32,996 [Clock Controller ] - INFO DONE\n", - "2023-05-09 14:40:33,026 [Output Controller ] - INFO Clock LEMO output disabled\n", - "2023-05-09 14:40:33,036 [Output Controller ] - INFO HDMI Channel 1 disabled\n", - "2023-05-09 14:40:33,047 [Output Controller ] - INFO HDMI Channel 2 disabled\n", - "2023-05-09 14:40:33,065 [Output Controller ] - INFO HDMI Channel 3 disabled\n", - "2023-05-09 14:40:33,076 [Output Controller ] - INFO HDMI Channel 4 disabled\n" + "2023-05-11 15:40:59,773 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-11 15:40:59,904 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-11 15:40:59,905 [LED Controller ] - INFO Initializing LED Control\n", + "2023-05-11 15:40:59,937 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-11 15:40:59,941 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-11 15:40:59,944 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-11 15:40:59,945 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-11 15:41:01,341 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-11 15:41:01,366 [Output Controller ] - INFO Clock LEMO output disabled\n", + "2023-05-11 15:41:01,377 [Output Controller ] - INFO HDMI Channel 1 disabled\n", + "2023-05-11 15:41:01,390 [Output Controller ] - INFO HDMI Channel 2 disabled\n", + "2023-05-11 15:41:01,415 [Output Controller ] - INFO HDMI Channel 3 disabled\n", + "2023-05-11 15:41:01,433 [Output Controller ] - INFO HDMI Channel 4 disabled\n", + "2023-05-11 15:41:01,435 [AidaTLU ] - INFO Run active: False\n", + "2023-05-11 15:41:01,437 [Trigger Controller] - INFO Trigger Veto set to: True\n" ] } ], @@ -58,43 +60,71 @@ }, { "cell_type": "code", - "execution_count": 28, + "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-09 14:45:24,470 [Trigger Controller] - INFO Trigger Veto set to: 0\n", - "2023-05-09 14:45:24,472 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-09 14:45:24,473 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-09 14:45:24,476 [Trigger Controller] - INFO Trigger mask: 18446744073709486078\n", - "2023-05-09 14:45:24,480 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-09 14:45:24,500 [Output Controller ] - INFO HDMI Channel 1 enabled\n", - "2023-05-09 14:45:24,506 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to chip.\n", - "2023-05-09 14:45:24,507 [DUT Logic ] - INFO DUT mask is set to 1\n", - "2023-05-09 14:45:24,508 [DUT Logic ] - INFO DUT mask mode is set to 1\n", - "2023-05-09 14:45:24,508 [DUT Logic ] - INFO DUT ignore busy is set to 1\n" + "2023-05-11 15:41:25,068 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", + "2023-05-11 15:41:25,100 [Output Controller ] - INFO HDMI Channel 1 enabled\n", + "2023-05-11 15:41:25,106 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-11 15:41:25,107 [DUT Logic ] - INFO DUT mask is set to 1\n", + "2023-05-11 15:41:25,108 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-11 15:41:25,109 [Trigger Controller] - INFO Set internal trigger frequency to: 100 Hz\n" ] } ], "source": [ - "test_stretch = [1,1,1,1,1,1]\n", - "test_delay = [0,0,0,0,0,0]\n", - "\n", - "\n", - "tlu.trigger_logic.set_trigger_veto(0)\n", - "tlu.trigger_logic.set_pulse_delay_pack(test_delay)\n", - "tlu.trigger_logic.set_pulse_stretch_pack(test_stretch)\n", - "tlu.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE)\n", - "tlu.trigger_logic.set_trigger_polarity(1)\n", - "tlu.output_controller.configure_hdmi(1, '0111')\n", - "tlu.output_controller.clock_hdmi_output(1, 'chip')\n", - "tlu.dut_logic.set_dut_mask('0001')\n", - "tlu.dut_logic.set_dut_mask_mode('00000001')\n", - "tlu.dut_logic.set_dut_ignore_busy('0001')\n", - "\n" + "tlu.test_configuration()" + ] + }, + { + "cell_type": "code", + "execution_count": 3, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-11 15:41:09,531 [AidaTLU ] - INFO Run active: True\n", + "2023-05-11 15:41:09,535 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-11 15:41:16,783 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-11 15:41:16,783 [AidaTLU ] - INFO Run active: False\n" + ] + } + ], + "source": [ + "tlu.run()" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-11 15:39:34,689 [AidaTLU ] - INFO fifo csr: 16 fifo fill level: 16\n", + "2023-05-11 15:39:34,690 [AidaTLU ] - INFO post: 3579 pre: 17348\n", + "2023-05-11 15:39:34,691 [AidaTLU ] - INFO time stamp: 6939392033\n" + ] + } + ], + "source": [ + "tlu.status()" ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 5f8839d..a39fa8e 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -15,6 +15,7 @@ def __init__(self, hw) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.i2c = I2CCore(hw) + self.i2c_hw = hw self.i2c.init() if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) @@ -30,6 +31,14 @@ def __init__(self, hw) -> None: self.output_controller.clock_lemo_output(False) for i in range(4): self.output_controller.configure_hdmi(i+1, False) + #Resets all internal counters and raises the trigger veto. + self.set_run_active(False) + self.reset_status() + self.reset_counters() + self.trigger_logic.set_trigger_veto(True) + self.reset_fifo() + self.reset_timestamp() + # if present, init display def get_device_id(self) -> int: @@ -46,33 +55,189 @@ def get_device_id(self) -> int: def get_fw_version(self) -> int: return self.i2c.read_register("version") - def init_power_leds(self) -> None: - # TODO what should this function do? - pass + def reset_board(self) -> None: + #TODO THIS FUNCTION CRASHES THE TLU. This does not work at all... + self.i2c.write_register("logic_clocks.LogicRst", 1) + + def reset_timestamp(self) -> None: + """ Sets bit to 'ResetTimestampW' register to reset the time stamp. + """ + self.i2c.write_register("Event_Formatter.ResetTimestampW", 1) + + def reset_counters(self) -> None: + """ Resets the trigger counters. + """ + self.write_status(0x2) + self.write_status(0x0) + + def reset_status(self) -> None: + """ Resets the complete status and all counters #TODO I think. + """ + self.write_status(0x3) + self.write_status(0x0) + self.write_status(0x4) + self.write_status(0x0) + + def reset_fifo(self) -> None: + """ Sets 0 to 'EventFifoCSR' this resets the FIFO. + """ + self.set_event_fifo_csr(0x0) + + def set_event_fifo_csr(self, value: int) -> None: + """ Sets value to the EventFifoCSR register. + + Args: + value (int): 0 resets the FIFO. #TODO can do other stuff that is not implemented + + """ + self.i2c.write_register("eventBuffer.EventFifoCSR", value) - def compare_write_read(self): - pass + def write_status(self, value: int) -> None: + """ Sets value to the 'SerdesRstW' register. + + Args: + value (int): Bit 0 resets the status, bit 1 resets trigger counters and bit 2 calibrates IDELAY. + """ + self.i2c.write_register("triggerInputs.SerdesRstW", value) + + def set_run_active(self, state: bool) -> None: + """ Raises internal run active signal. + + Args: + state (bool): True sets run active, False disables it. + """ + if type(state) != bool: + raise TypeError("State has to be bool") + self.i2c.write_register("Shutter.RunActiveRW", int(state)) + self.log.info("Run active: %s" %self.get_run_active()) + + def get_run_active(self) -> bool: + """Reads register 'RunActiveRW' + + Returns: + bool: Returns bool of the run active register. + """ + return bool(self.i2c.read_register("Shutter.RunActiveRW")) def test_configuration(self) -> None: - """ #TODO I tried to translate the default configuration file and configure - DUT 1 to run in EUDET mode. - + """ Configure DUT 1 to run in a default test configuration. + Runs in EUDET mode with internal generated triggers. """ + self.log.info("Configure DUT 1 in EUDET test mode") + test_stretch = [1,1,1,1,1,1] test_delay = [0,0,0,0,0,0] - - self.trigger_logic.set_trigger_veto(0) - self.trigger_logic.set_pulse_delay_pack(test_delay) - self.trigger_logic.set_pulse_stretch_pack(test_stretch) - self.trigger_logic.set_trigger_mask(mask_high=0xFFFFFFFF, mask_low=0xFFFEFFFE) - self.trigger_logic.set_trigger_polarity(1) + #self.set_run_active(False) + #self.trigger_logic.set_trigger_veto(False) self.output_controller.configure_hdmi(1, '0111') - self.output_controller.clock_hdmi_output(1, 'chip') + self.output_controller.clock_hdmi_output(1, 'off') + #self.trigger_logic.set_pulse_stretch_pack(test_stretch) + #self.trigger_logic.set_pulse_delay_pack(test_delay) + #self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) + #self.trigger_logic.set_trigger_polarity(1) self.dut_logic.set_dut_mask('0001') self.dut_logic.set_dut_mask_mode('00000000') + # self.dut_logic.set_dut_mask_mode_modifier(0) + # self.dut_logic.set_dut_ignore_busy(0) + # self.dut_logic.set_dut_ignore_shutter(0x1) + self.trigger_logic.set_internal_trigger_frequency(500) + + #self.set_enable_record_data(1) + #self.get_event_fifo_csr() + #self.get_event_fifo_fill_level() + + def start_run(self) -> None: + """ Start run configurations + """ + self.reset_counters() + self.reset_fifo() + self.set_run_active(True) + self.trigger_logic.set_trigger_veto(False) + + def stop_run(self) -> None: + """ Stop run configurations + """ + self.trigger_logic.set_trigger_veto(True) + self.set_run_active(False) + + def status(self) -> None: + #TODO just bugfixing for now + self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) + self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) + self.log.info("time stamp: %s" %(self.get_timestamp())) + + def set_enable_record_data(self, value: int) -> None: + """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. + + Args: + value (int): _description_ + """ + self.i2c.write_register("Event_Formatter.Enable_Record_Data", value) + + def get_event_fifo_csr(self) -> int: + """ Reads value from 'EventFifoCSR' + + Returns: + int: _description_#TODO + """ + return self.i2c.read_register("eventBuffer.EventFifoCSR") + + def get_event_fifo_fill_level(self) -> int: + """Reads value from 'EventFifoFillLevel' + Returns: + int: _description_ #TODO + """ + return self.i2c.read_register("eventBuffer.EventFifoFillLevel") + + def reset_timestamp(self) -> None: + """ Resets the internal timestamp by asserting a bit in 'ResetTimestampW'. + """ + self.i2c.write_register("Event_Formatter.ResetTimestampW", 1) + + def get_timestamp(self) -> int: + """ Get current time stamp. + + Returns: + int: Time stamp is not formatted. + """ + time = self.i2c.read_register("Event_Formatter.CurrentTimestampHR") + time = time << 32 + time = time + self.i2c.read_register("Event_Formatter.CurrentTimestampLR") + return time + + def pull_fifo_event(self) -> list: + """ Pulls event from the FIFO. This is needed in the run loop to prevent the buffer to get stuck. + if this register is full the fifo needs to be reset or new triggers are generated but not sent out. + #TODO check here if the FIFO is full and reset it if needed would prob. make sense. + Returns: + list: _description_#TODO this is nonsense for now. + """ + event_numb = self.get_event_fifo_fill_level() + if event_numb: + fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb & 0xFF) #TODO check 0xFF + self.i2c_hw.dispatch() + return fifo_content + pass + + def run(self) -> None: + """ Start run of the TLU. + """ + self.start_run() + run_active = True + last_time = self.get_timestamp() + start_time = last_time + while run_active: + try: + last_time = self.get_timestamp() + current_time = (last_time-start_time) #TODO these are nonsense numbers for now + current_event = self.pull_fifo_event() #TODO same here just an array of nonsense + except: + KeyboardInterrupt + run_active = False + self.stop_run() if __name__ == "__main__": diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py index 0e5e327..e46649a 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/trigger_controller.py @@ -1,5 +1,6 @@ from i2c import I2CCore import logger +import utils class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: @@ -21,6 +22,7 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: """ self.log.info("Set internal trigger frequency to: %i Hz" %frequency) max_freq = 160000000 + if frequency < 0: raise ValueError("Frequency smaller than 0 does not work") if frequency > max_freq: @@ -30,7 +32,6 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: else: interval = int(160000000/frequency) #TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. self._set_internal_trigger_interval(interval) - #TODO check if this is really Hz new_freq = self.get_internal_trigger_frequency() if new_freq != frequency: self.log.warn("Frequency is set to different value. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) @@ -39,7 +40,7 @@ def get_internal_trigger_frequency(self) -> int: """Reads the internal trigger frequency from the register. Returns: - int: Frequency in Hz #TODO Hz? + int: Frequency in Hz """ interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") if interval == 0: @@ -50,8 +51,7 @@ def get_internal_trigger_frequency(self) -> int: def _set_internal_trigger_interval(self, interval: int) -> None: """Number of internal clock cycles to be used as period for the internal trigger generator. - The period for the internal trigger generator is reduced by 2 prob. in some harware configuration. - #TODO In the documentation what is meant by smaller 5 + The period for the internal trigger generator is reduced by 2 prob. in some hardware configuration. Args: interval (int): Number of internal clock cycles. @@ -60,17 +60,20 @@ def _set_internal_trigger_interval(self, interval: int) -> None: """ - Trigger Logic #TODO where are here the different DUT or trigger inpout channels and so on + Trigger Logic """ - def set_trigger_veto(self, value: int) -> None: - """Enables or disables new trigger. This can be used to reset the procession of new triggers. - + def set_trigger_veto(self, veto: bool) -> None: + """ Enables or disables new trigger. This can be used to reset the procession of new triggers. + #TODO there seems to be a bug here. After repatedly setting this to False it changes sometimes to True Args: - value (int): _description_ #TODO + veto (bool): Sets a veto to the trigger logic of the tlu. """ - self.i2c.write_register("triggerLogic.TriggerVetoW", value) + if type(veto) != bool: + raise TypeError("Veto must be a bool") + + self.i2c.write_register("triggerLogic.TriggerVetoW", int(veto)) self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) def set_trigger_polarity(self, value: int) -> int: @@ -86,8 +89,8 @@ def set_trigger_polarity(self, value: int) -> int: # def set_trigger_mask(self, value: int) -> None: def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name - """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. - #TODO To set a specific trigger logic one must find right two words in the TLU. doc p. 30 + """ Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. + #TODO To set a specific trigger logic one must find right two words in the TLU. doc p. 30 Args: mask_high (int): _description_ #TODO @@ -100,7 +103,7 @@ def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ self.log.info("Trigger mask: %s" %self.get_trigger_mask()) def get_trigger_mask(self) -> int: - """Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations. + """ Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations. """ mask_low = self.i2c.read_register("triggerLogic.TriggerPattern_lowR") @@ -108,12 +111,12 @@ def get_trigger_mask(self) -> int: trigger_pattern = (mask_high << 32) | mask_low return trigger_pattern - def get_trigger_veto(self) -> int: - """Reads the trigger veto from the register. + def get_trigger_veto(self) -> bool: + """ Reads the trigger veto from the register. """ veto_state = self.i2c.read_register("triggerLogic.TriggerVetoR") - return veto_state + return bool(veto_state) def get_post_veto_trigger(self) -> int: """Gets the number of triggers recorded in the TLU after the veto is applied @@ -141,7 +144,7 @@ def set_pulse_stretch_pack(self, vector: list) -> None: Args: vector (list): _description_ #TODO """ - packed = self._pack_bits(vector) + packed = utils._pack_bits(vector) self._set_pulse_stretch(packed) self.log.info("Pulse stretch is set to %s" %self.get_pulse_stretch_pack()) @@ -152,7 +155,7 @@ def set_pulse_delay_pack(self, vector: list) -> None: Args: vector (list): _description_ """ - packed = self._pack_bits(vector) + packed = utils._pack_bits(vector) self._set_pulse_delay(packed) self.log.info("Pulse Delay is set to %s" %self.get_pulse_delay_pack()) @@ -163,7 +166,7 @@ def get_pulse_stretch_pack(self) -> int: return self.i2c.read_register("triggerLogic.PulseStretchR") def get_pulse_delay_pack(self) -> int: - """Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. + """ Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. """ return self.i2c.read_register("triggerLogic.PulseDelayR") @@ -183,20 +186,3 @@ def _set_pulse_delay(self, value: int) -> None: value (int): _description_ """ self.i2c.write_register("triggerLogic.PulseDelayW", value) - - def _pack_bits(self, vector: list) -> int: - """Pack Vector of bits using 5-bits for each element. - - Args: - vector (list): Vector of bits with variable length. - - Returns: - int: 32-bit word representation of the input vector. - """ - #TODO Numpy would prob. be more elegant for this. - packed_bits = 0x0 - temp_int = 0x0 - for channel in range(len(vector)): - temp_int = int(vector[channel]) << channel*5 - packed_bits = packed_bits | temp_int - return packed_bits \ No newline at end of file diff --git a/aidatlu/utils.py b/aidatlu/utils.py index a4cfd7c..064a22d 100644 --- a/aidatlu/utils.py +++ b/aidatlu/utils.py @@ -16,4 +16,21 @@ def _set_bit(value: int, index: int, set: bool=True) -> int: if set: return value | (1< int: + """Pack Vector of bits using 5-bits for each element. + + Args: + vector (list): Vector of bits with variable length. + + Returns: + int: 32-bit word representation of the input vector. + """ + #TODO Numpy would prob. be more elegant for this. + packed_bits = 0x0 + temp_int = 0x0 + for channel in range(len(vector)): + temp_int = int(vector[channel]) << channel*5 + packed_bits = packed_bits | temp_int + return packed_bits \ No newline at end of file From a4cf39b78e78561db78fe4a68a090981dacc7761 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 12 May 2023 14:48:10 +0200 Subject: [PATCH 14/68] merged led and output to io expander --- aidatlu/ioexpander_controller.py | 449 +++++++++++++++++++++++++++++++ aidatlu/led_controller.py | 278 ------------------- aidatlu/output_controller.py | 207 -------------- aidatlu/test.ipynb | 61 ++--- aidatlu/tlu.py | 30 +-- 5 files changed, 490 insertions(+), 535 deletions(-) create mode 100644 aidatlu/ioexpander_controller.py delete mode 100644 aidatlu/led_controller.py delete mode 100644 aidatlu/output_controller.py diff --git a/aidatlu/ioexpander_controller.py b/aidatlu/ioexpander_controller.py new file mode 100644 index 0000000..97cc7be --- /dev/null +++ b/aidatlu/ioexpander_controller.py @@ -0,0 +1,449 @@ +import logger +from i2c import I2CCore +import time +from utils import _set_bit + +""" + +PCA9539PW + +""" + + +class IOControl(object): + def __init__(self, i2c: I2CCore) -> None: + self.log = logger.setup_derived_logger("IO Expander") + + self.log.info("Initializing IO expander") + self.i2c = i2c + + self.init_led_expander() + self.init_output_expander() + + def init_led_expander(self) -> None: + """ Initialize LED expanders + """ + self._set_ioexpander_polarity(1, exp_id=1, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(1, exp_id=1, cmd_byte=6, direction="output") + self._set_ioexpander_output(1, exp_id=1, cmd_byte=2, value=0xFF) + + self._set_ioexpander_polarity(1, exp_id=1, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(1, exp_id=1, cmd_byte=7, direction="output") + self._set_ioexpander_output(1, exp_id=1, cmd_byte=3, value=0xFF) + + self._set_ioexpander_polarity(1, exp_id=2, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(1, exp_id=2, cmd_byte=6, direction="output") + self._set_ioexpander_output(1, exp_id=2, cmd_byte=2, value=0xFF) + + self._set_ioexpander_polarity(1, exp_id=2, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(1, exp_id=2, cmd_byte=7, direction="output") + self._set_ioexpander_output(1, exp_id=2, cmd_byte=3, value=0xFF) + + def init_output_expander(self) -> None: + """ Initialize output expanders + """ + self._set_ioexpander_polarity(2, exp_id=1, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(2, exp_id=1, cmd_byte=6, direction="output") + self._set_ioexpander_output(2, exp_id=1, cmd_byte=2, value=0xFF) + + self._set_ioexpander_polarity(2, exp_id=1, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(2, exp_id=1, cmd_byte=7, direction="output") + self._set_ioexpander_output(2, exp_id=1, cmd_byte=3, value=0xFF) + + self._set_ioexpander_polarity(2, exp_id=2, cmd_byte=4, polarity=False) + self._set_ioexpander_direction(2, exp_id=2, cmd_byte=6, direction="output") + self._set_ioexpander_output(2, exp_id=2, cmd_byte=2, value=0x00) + + self._set_ioexpander_polarity(2, exp_id=2, cmd_byte=5, polarity=False) + self._set_ioexpander_direction(2, exp_id=2, cmd_byte=7, direction="output") + self._set_ioexpander_output(2, exp_id=2, cmd_byte=3, value=0xB0) + + """ + + LED Control + + """ + + def test_leds(self,single=True) -> None: + """Test the 11 LEDs + + Args: + single (bool, optional): Test all possible RGB combinations for all LEDs. Defaults to True. + """ + self.log.info("Testing LEDs colors") + if single: + for color in [[0,1,1], [1,0,1], [1,1,0], [1,0,0], [0,1,0], [0,0,1], [0,0,0]]: + for i in range(11): + if i+1==5: + pass + else: + self._set_led(i+1,color) + time.sleep(0.1) + self.all_off() + time.sleep(0.05) + for color in [[0,0,1],[0,1,1],[1,0,1]]: + self._set_led(5,color) + time.sleep(0.15) + self.all_off() + time.sleep(0.1) + + else: + for color in ["w","r","g","b"]: + self.log.info( + "Testing LEDs color: %s" %color + ) + + self.all_on(color) + time.sleep(1) + self.all_off() + time.sleep(1) + + def all_on(self, color: str = "w") -> None: + """Set all LEDs to same color + + Args: + color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"] Defaults to "w". + """ + if color not in ["w","r","g","b"]: + raise ValueError("%s color not supported" %color) + + if color == "w": + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0x0) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0x0) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0x0) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0x0) + + if color == "r": + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xb5) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0x6d) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xdb) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xb6) + + if color == "g": + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xda) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xb6) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0x6d) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xdb) + + if color == "b": + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0x6f) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xdb) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xb6) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0x6d) + + def all_off(self) -> None: + """Turn off all LEDs + """ + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xFF) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xFF) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xFF) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xFF) + + def switch_led(self, led_id: int, color: str = "off") -> None: + """changes LED with led_id to specific color + + Args: + led_id (int): ID for the 11 LEDs, led_ id has to be between 1 and 11 + color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b", off: "off"] + for Clock LED only [red: "r", green: "g", off: "off"]. + Defaults to "off". + """ + + if led_id == 5 and color not in ["r","g","off"]: + raise ValueError("%s color not supported for Clock LED" %color) + + elif color not in ["w", "r","g", "b","off"]: + raise ValueError("%s color not supported for LED" %color) + + # Clock LED has only two LEDs + if led_id == 5: + if color == "r": + rgb = [0,1,1] + if color == "g": + rgb = [1,0,1] + if color == "off": + rgb = [1,1,1] + + else: + if color == "w": + rgb = [0,0,0] + if color == "r": + rgb = [0,1,1] + if color == "g": + rgb = [1,0,1] + if color == "b": + rgb = [1,0,0] + if color == "off": + rgb = [1,1,1] + + self._set_led(led_id,rgb) + + def _set_led(self,led_id: int, rgb: list) -> None: + """sets led to a rgb value + + Args: + led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 + rgb (list): rgb value for the LED e.q. [0,0,0] + + """ + if led_id < 1 or led_id > 11: + raise ValueError("LED ID has to be between 1 and 11") + + # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? + indicator = [[30, 29, 31], [27, 26, 28], [24, 23, 25], [21, 20, 22], [18, 17, -1], [15, 14, 16], [12, 11, 13], [9, 8, 10], [6, 5, 7], [3, 2, 4], [1, 0, 19]] + + + now_status = [] #status of all ioexpander now + next_status = [] #status of all ioexpander next + now_status.append(0xFF & self._get_ioexpander_output(1,1,2)) + now_status.append(0xFF & self._get_ioexpander_output(1,1,3)) + now_status.append(0xFF & self._get_ioexpander_output(1,2,2)) + now_status.append(0xFF & self._get_ioexpander_output(1,2,3)) + + word = 0x00000000 + word = word | now_status[0] + word = word | (now_status[1] << 8) + word = word | (now_status[2] << 16) + word = word | (now_status[3] << 24) + #print(word,"word for debugging") + + for index in range(3): + if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error + #TODO some colors also switch on LED 11 + word = _set_bit(word,[18,17,19][index],rgb[index]) + else: + word = _set_bit(word,indicator[led_id-1][index],rgb[index]) + + next_status.append(0xFF & word) + next_status.append(0xFF & (word >> 8)) + next_status.append(0xFF & (word >> 16)) + next_status.append(0xFF & (word >> 24)) + #print(next_status,"next_status of the ioexpander for debugging") + + if now_status[0] != next_status[0]: + self._set_ioexpander_output(1, 1, 2, next_status[0]) + + if now_status[1] != next_status[1]: + self._set_ioexpander_output(1, 1, 3, next_status[1]) + + if now_status[2] != next_status[2]: + self._set_ioexpander_output(1, 2, 2, next_status[2]) + + if now_status[3] != next_status[3]: + self._set_ioexpander_output(1, 2, 3, next_status[3]) + + + """ + + Output Control + + """ + + def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: + """ This enables the pins of one HDMI channel as input (0) or output (1). + Enable is a 4-bit WORD for each pin as integer or binary string. With CONT = bit 0, SPARE = bit 1, TRIG = bit 2 and BUSY = bit 3. + E.q. 0b0111 or '0111' sets CONT, SPARE and TRIGGER as outputs and BUSY as input. '1100' sets CONT and SPARE as input and BUSY and TRIG as output. + The clock runs with the seperate function: clock_hdmi_output. + + Args: + hdmi_num (int): HDMI channels from 1 to 4 + enable (int | str, optional): 4-bit WORD to enable the 4 pins on the HDMI output. Can be an integer or binary string. + + """ + #TODO use DUT Interface or HDMI channel? + if hdmi_channel < 1 or hdmi_channel > 4: + raise ValueError("HDMI channel should be between 1 and 4") + + if type(enable) == str: + enable = int(enable, 2) + + if enable > 0b1111 or enable < 0b0000: + raise ValueError("Enable has to be between 0 and 16 ('10000').") + + expander_id = 1 + + #TODO what is the difference between nibble and bank and address? + hdmi_channel = hdmi_channel -1 #shift channel + bank = int(hdmi_channel/2) + 2 # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. Shift of +2 due to the command bytes. + nibble = hdmi_channel % 2 #DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. + + #TODO what is happening here + old_status = self._get_ioexpander_output(2, expander_id, bank) + new_nibble = (enable & 0xF) << 4*nibble + mask = 0xF << 4*nibble + new_status = (old_status & (~mask)) | (new_nibble & mask) + + self._set_ioexpander_output(2, expander_id, bank, new_status) + if enable: #TODO move these LEDS to DUT mode blue AIDA and green EUDET or so? + self.switch_led(hdmi_channel+1, "g") + else: + self.switch_led(hdmi_channel+1, "off") + self.log.info("HDMI Channel %i %s" %(hdmi_channel+1, ("enabled" if enable else "disabled"))) + + def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: + """Enables the Clock output for one HDMI channel. + Valid Clock sources are Si5453 clock chip 'chip' and FPGA 'fpga'. + #TODO does FPGA work? + + Args: + hdmi_channel (int): HDMI channels from 1 to 4 + clock_source (str): Clock source valid options are 'off', 'chip' and 'fpga'. + """ + if clock_source not in ["off", "chip", "fpga"]: + raise ValueError("Clock source has to be 'off', 'chip' or 'fpga'") + if hdmi_channel < 1 or hdmi_channel > 4: + raise ValueError("HDMI channel should be between 1 and 4") + + cmd_byte = 2 + expander_id = 2 + + hdmi_channel = hdmi_channel -1 #shift channel + mask_low = 1 << (hdmi_channel) + mask_high = 1 << (hdmi_channel + 4) + mask = mask_low | mask_high + old_status = self._get_ioexpander_output(2, expander_id, cmd_byte) + + if clock_source == 'off': + new_status = old_status & ~mask + elif clock_source == 'chip': + new_status = (old_status | mask_high) & ~mask_low + elif clock_source == 'fpga': #TODO nothing measurable here for now + new_status = (old_status | mask_low) & ~mask_high + else: + new_status = old_status + self._set_ioexpander_output(2, expander_id, cmd_byte, new_status) + self.log.info("Clock source of HDMI Channel %i set to %s." %(hdmi_channel+1,clock_source)) + + + def clock_lemo_output(self, enable: bool = True) -> None: + """Enables the clock LEMO output. #TODO only with ~40MHz default clock + + Args: + enable (bool, optional): Enable clock LEMO output. Defaults to True. + """ + + cmd_byte = 3 #this is bank+2 in EUDAQ + mask = 0x10 + expander_id = 2 + + old_status = self._get_ioexpander_output(2, expander_id, cmd_byte) & 0xFF + new_status = old_status & (~mask) & 0xFF + if enable: + new_status = new_status | mask & 0xFF + + self._set_ioexpander_output(2, expander_id, cmd_byte, new_status) + if enable: + self.switch_led(5, "g") + else: + self.switch_led(5, "off") + self.log.info("Clock LEMO output %s" %("enabled" if enable else "disabled")) + + """ + + General Expander Control + + """ + + def _set_ioexpander_polarity(self, io_exp: int, exp_id: int, cmd_byte: int, polarity: bool = False) -> None: + """Set content of register 4 or 5 which determine polarity of ports. + A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. + io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. + + Args: + io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. + exp_id (int): ID of the Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. + """ + if io_exp not in [1, 2]: + raise ValueError("Expander should be 1 or 2") + if cmd_byte not in [4, 5]: + raise ValueError("Command byte should be 4 or 5") + if exp_id not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + if io_exp == 1: + exp = "led_expander" + else: + exp = "expander" + + self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, polarity) + + def _set_ioexpander_direction(self, io_exp: int, exp_id: int, cmd_byte: int, direction: str = "input") -> None: + """ Set content of register 6 or 7 which determine direction of signal. + A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. + io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. + + Args: + io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. + exp (int): ID of Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + direction (str, optional): "input or "output" direction of port. Defaults to "input". + """ + if io_exp not in [1, 2]: + raise ValueError("Expander should be 1 or 2") + if cmd_byte not in [6, 7]: + raise ValueError("Command byte should be 6 or 7") + if direction not in ["input", "output"]: + raise ValueError('Direction parameter must be "input" or "output"') + if exp_id not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + if io_exp == 1: + exp = "led_expander" + else: + exp = "expander" + + self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, 1 if direction == "input" else 0,) + + def _set_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int, value: int) -> None: + """ Set content of register 2 or 3 which determine signal if direction is output + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. + io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. + + Args: + io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. + exp (int): ID of Expander (1 or 2)) + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + value (int): 8 bit value for the output + """ + if io_exp not in [1, 2]: + raise ValueError("Expander should be 1 or 2") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") + if exp_id not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + if io_exp == 1: + exp = "led_expander" + else: + exp = "expander" + + self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, value & 0xFF) + + def _get_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int) -> int: + """ Get content of register 2 or 3 + A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. + io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. + + Args: + io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. + exp_id (int): ID of Expander (1 or 2). + cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. + Returns: + int: content of the ioexpander + """ + if io_exp not in [1, 2]: + raise ValueError("Expander should be 1 or 2") + if cmd_byte not in [2, 3]: + raise ValueError("Command byte should be 2 or 3") + if exp_id not in [1, 2]: + raise ValueError("Expander ID should be 1 or 2") + + if io_exp == 1: + exp = "led_expander" + else: + exp = "expander" + + output = self.i2c.read(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte) + return output \ No newline at end of file diff --git a/aidatlu/led_controller.py b/aidatlu/led_controller.py deleted file mode 100644 index 22ef24c..0000000 --- a/aidatlu/led_controller.py +++ /dev/null @@ -1,278 +0,0 @@ -import logger -from i2c import I2CCore -import time -from utils import _set_bit - -""" - -PCA9539PW - -""" - -class LEDControl(object): - def __init__(self, i2c: I2CCore) -> None: - self.log = logger.setup_derived_logger("LED Controller") - - self.log.info("Initializing LED Control") - self.i2c = i2c - - # TODO: WHY?! - self._set_ioexpander_polarity(exp=1, cmd_byte=4, polarity=False) - self._set_ioexpander_direction(exp=1, cmd_byte=6, direction="output") - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xFF) - - self._set_ioexpander_polarity(exp=1, cmd_byte=5, polarity=False) - self._set_ioexpander_direction(exp=1, cmd_byte=7, direction="output") - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xFF) - - self._set_ioexpander_polarity(exp=2, cmd_byte=4, polarity=False) - self._set_ioexpander_direction(exp=2, cmd_byte=6, direction="output") - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xFF) - - self._set_ioexpander_polarity(exp=2, cmd_byte=5, polarity=False) - self._set_ioexpander_direction(exp=2, cmd_byte=7, direction="output") - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xFF) - - - def test_leds(self,single=True) -> None: - """Test the 11 LEDs - - Args: - single (bool, optional): Test all possible RGB combinations for all LEDs. Defaults to True. - """ - self.log.info("Testing LEDs colors") - if single: - for color in [[0,1,1], [1,0,1], [1,1,0], [1,0,0], [0,1,0], [0,0,1], [0,0,0]]: - for i in range(11): - if i+1==5: - pass - else: - self._set_led(i+1,color) - time.sleep(0.1) - self.all_off() - time.sleep(0.05) - for color in [[0,0,1],[0,1,1],[1,0,1]]: - self._set_led(5,color) - time.sleep(0.15) - self.all_off() - time.sleep(0.1) - - else: - for color in ["w","r","g","b"]: - self.log.info( - "Testing LEDs color: %s" %color - ) - - self.all_on(color) - time.sleep(1) - self.all_off() - time.sleep(1) - - def all_on(self, color: str = "w") -> None: - """Set all LEDs to same color - - Args: - color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"] Defaults to "w". - """ - if color not in ["w","r","g","b"]: - raise ValueError("%s color not supported" %color) - - if color == "w": - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0x0) - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0x0) - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0x0) - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0x0) - - if color == "r": - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xb5) - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0x6d) - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xdb) - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xb6) - - if color == "g": - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xda) - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xb6) - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0x6d) - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xdb) - - if color == "b": - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0x6f) - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xdb) - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xb6) - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0x6d) - - def all_off(self) -> None: - """Turn off all LEDs - """ - self._set_ioexpander_output(exp=1, cmd_byte=2, value=0xFF) - self._set_ioexpander_output(exp=1, cmd_byte=3, value=0xFF) - self._set_ioexpander_output(exp=2, cmd_byte=2, value=0xFF) - self._set_ioexpander_output(exp=2, cmd_byte=3, value=0xFF) - - def switch_led(self, led_id: int, color: str = "off") -> None: - """changes LED with led_id to specific color - - Args: - led_id (int): ID for the 11 LEDs, led_ id has to be between 1 and 11 - color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b", off: "off"] - for Clock LED only [red: "r", green: "g", off: "off"]. - Defaults to "off". - """ - - if led_id == 5 and color not in ["r","g","off"]: - raise ValueError("%s color not supported for Clock LED" %color) - - elif color not in ["w", "r","g", "b","off"]: - raise ValueError("%s color not supported for LED" %color) - - # Clock LED has only two LEDs - if led_id == 5: - if color == "r": - rgb = [0,1,1] - if color == "g": - rgb = [1,0,1] - if color == "off": - rgb = [1,1,1] - - else: - if color == "w": - rgb = [0,0,0] - if color == "r": - rgb = [0,1,1] - if color == "g": - rgb = [1,0,1] - if color == "b": - rgb = [1,0,0] - if color == "off": - rgb = [1,1,1] - - self._set_led(led_id,rgb) - - def _set_led(self,led_id: int, rgb: list) -> None: - """sets led to a rgb value - - Args: - led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 - rgb (list): rgb value for the LED e.q. [0,0,0] - - """ - if led_id < 1 or led_id > 11: - raise ValueError("LED ID has to be between 1 and 11") - - # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? - indicator = [[30, 29, 31], [27, 26, 28], [24, 23, 25], [21, 20, 22], [18, 17, -1], [15, 14, 16], [12, 11, 13], [9, 8, 10], [6, 5, 7], [3, 2, 4], [1, 0, 19]] - - - now_status = [] #status of all ioexpander now - next_status = [] #status of all ioexpander next - now_status.append(0xFF & self._get_ioexpander_output(1,2)) - now_status.append(0xFF & self._get_ioexpander_output(1,3)) - now_status.append(0xFF & self._get_ioexpander_output(2,2)) - now_status.append(0xFF & self._get_ioexpander_output(2,3)) - #print(now_status,"now_status of the ioexpander for debugging") - - word = 0x00000000 - word = word | now_status[0] - word = word | (now_status[1] << 8) - word = word | (now_status[2] << 16) - word = word | (now_status[3] << 24) - #print(word,"word for debugging") - - for index in range(3): - if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error - #TODO some colors also switch on LED 11 - word = _set_bit(word,[18,17,19][index],rgb[index]) - else: - word = _set_bit(word,indicator[led_id-1][index],rgb[index]) - - next_status.append(0xFF & word) - next_status.append(0xFF & (word >> 8)) - next_status.append(0xFF & (word >> 16)) - next_status.append(0xFF & (word >> 24)) - #print(next_status,"next_status of the ioexpander for debugging") - - if now_status[0] != next_status[0]: - self._set_ioexpander_output(1, 2, next_status[0]) - - if now_status[1] != next_status[1]: - self._set_ioexpander_output(1, 3, next_status[1]) - - if now_status[2] != next_status[2]: - self._set_ioexpander_output(2, 2, next_status[2]) - - if now_status[3] != next_status[3]: - self._set_ioexpander_output(2, 3, next_status[3]) - - def _set_ioexpander_polarity( - self, exp: int, cmd_byte: int, polarity: bool = False - ) -> None: - """Set content of register 4 or 5 which determine polarity of ports. - A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. - - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. - """ - if cmd_byte not in [4, 5]: - raise ValueError("Command byte should be 4 or 5") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte, polarity) - - def _set_ioexpander_direction( - self, exp: int, cmd_byte: int, direction: str = "input" - ) -> None: - """Set content of register 6 or 7 which determine direction of signal - A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. - - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - direction (str, optional): "input or "output" direction of port. Defaults to "input". - """ - if cmd_byte not in [6, 7]: - raise ValueError("Command byte should be 6 or 7") - if direction not in ["input", "output"]: - raise ValueError('Direction parameter must be "input" or "output"') - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - self.i2c.write( - self.i2c.modules["led_expander_%.1s" % exp], - cmd_byte, - 1 if direction == "input" else 0, - ) - - def _set_ioexpander_output(self, exp: int, cmd_byte: int, value: int) -> None: - """Set content of register 2 or 3 which determine signal if direction is output - A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - value (int): 8 bit value for the output - """ - if cmd_byte not in [2, 3]: - raise ValueError("Command byte should be 2 or 3") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - self.i2c.write(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte, value & 0xFF) - - def _get_ioexpander_output(self, exp: int, cmd_byte: int) -> int: - """Get content of register 2 or 3 - A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - Returns: - int: content of the ioexpander - """ - if cmd_byte not in [2, 3]: - raise ValueError("Command byte should be 2 or 3") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - output = self.i2c.read(self.i2c.modules["led_expander_%.1s" % exp], cmd_byte) - return output - diff --git a/aidatlu/output_controller.py b/aidatlu/output_controller.py deleted file mode 100644 index 803edbd..0000000 --- a/aidatlu/output_controller.py +++ /dev/null @@ -1,207 +0,0 @@ -import logger -from i2c import I2CCore -from led_controller import LEDControl - -""" - -PCA9539PW - -""" - -#TODO should this be merged with LEDControll to I/OExpanderControl - -class OutputControl(object): - def __init__(self, i2c: I2CCore, led: LEDControl): - self.log = logger.setup_derived_logger("Output Controller") - - self.i2c = i2c - self.led_controller = led - - self._set_ioexpander_polarity_out(exp=1, cmd_byte=4, polarity=False) - self._set_ioexpander_direction_out(exp=1, cmd_byte=6, direction="output") - self._set_ioexpander_output_out(exp=1, cmd_byte=2, value=0xFF) - - self._set_ioexpander_polarity_out(exp=1, cmd_byte=5, polarity=False) - self._set_ioexpander_direction_out(exp=1, cmd_byte=7, direction="output") - self._set_ioexpander_output_out(exp=1, cmd_byte=3, value=0xFF) - - self._set_ioexpander_polarity_out(exp=2, cmd_byte=4, polarity=False) - self._set_ioexpander_direction_out(exp=2, cmd_byte=6, direction="output") - self._set_ioexpander_output_out(exp=2, cmd_byte=2, value=0x00) - - self._set_ioexpander_polarity_out(exp=2, cmd_byte=5, polarity=False) - self._set_ioexpander_direction_out(exp=2, cmd_byte=7, direction="output") - self._set_ioexpander_output_out(exp=2, cmd_byte=3, value=0xB0) - - def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: - """ This enables the pins of one HDMI channel as input (0) or output (1). - Enable is a 4-bit WORD for each pin as integer or binary string. With CONT = bit 0, SPARE = bit 1, TRIG = bit 2 and BUSY = bit 3. - E.q. 0b0111 or '0111' sets CONT, SPARE and TRIGGER as outputs and BUSY as input. '1100' sets CONT and SPARE as input and BUSY and TRIG as output. - The clock runs with the seperate function: clock_hdmi_output. - - Args: - hdmi_num (int): HDMI channels from 1 to 4 - enable (int | str, optional): 4-bit WORD to enable the 4 pins on the HDMI output. Can be an integer or binary string. - - """ - #TODO use DUT Interface or HDMI channel? - if hdmi_channel < 1 or hdmi_channel > 4: - raise ValueError("HDMI channel should be between 1 and 4") - - if type(enable) == str: - enable = int(enable, 2) - - if enable > 0b1111 or enable < 0b0000: - raise ValueError("Enable has to be between 0 and 16 ('10000').") - - expander = 1 - - #TODO what is the difference between nibble and bank and address? - hdmi_channel = hdmi_channel -1 #shift channel - bank = int(hdmi_channel/2) + 2 # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. Shift of +2 due to the command bytes. - nibble = hdmi_channel % 2 #DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. - - #TODO what is happening here - old_status = self._get_ioexpander_output_out(expander, bank) - new_nibble = (enable & 0xF) << 4*nibble - mask = 0xF << 4*nibble - new_status = (old_status & (~mask)) | (new_nibble & mask) - - self._set_ioexpander_output_out(expander, bank, new_status) - if enable: #TODO move these LEDS to DUT mode blue AIDA and green EUDET or so? - self.led_controller.switch_led(hdmi_channel+1, "g") - else: - self.led_controller.switch_led(hdmi_channel+1, "off") - self.log.info("HDMI Channel %i %s" %(hdmi_channel+1, ("enabled" if enable else "disabled"))) - - def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: - """Enables the Clock output for one HDMI channel. - Valid Clock sources are Si5453 clock chip 'chip' and FPGA 'fpga'. - #TODO does FPGA work? - - Args: - hdmi_channel (int): HDMI channels from 1 to 4 - clock_source (str): Clock source valid options are 'off', 'chip' and 'fpga'. - """ - if clock_source not in ["off", "chip", "fpga"]: - raise ValueError("Clock source has to be 'off', 'chip' or 'fpga'") - if hdmi_channel < 1 or hdmi_channel > 4: - raise ValueError("HDMI channel should be between 1 and 4") - - cmd_byte = 2 - expander = 2 - - hdmi_channel = hdmi_channel -1 #shift channel - mask_low = 1 << (hdmi_channel) - mask_high = 1 << (hdmi_channel + 4) - mask = mask_low | mask_high - old_status = self._get_ioexpander_output_out(expander, cmd_byte) - - if clock_source == 'off': - new_status = old_status & ~mask - elif clock_source == 'chip': #TODO Signal looks unstable - new_status = (old_status | mask_high) & ~mask_low - elif clock_source == 'fpga': #TODO nothing measurable here for now - new_status = (old_status | mask_high) & ~mask_high - else: - new_status = old_status - self._set_ioexpander_output_out(expander, cmd_byte, new_status) - self.log.info("Clock source of HDMI Channel %i set to %s." %(hdmi_channel+1,clock_source)) - - - def clock_lemo_output(self, enable: bool = True) -> None: - """Enables the clock LEMO output. #TODO only with ~40MHz default clock - - Args: - enable (bool, optional): Enable clock LEMO output. Defaults to True. - """ - - cmd_byte = 3 #this is bank+2 in EUDAQ - mask = 0x10 - expander = 2 - - old_status = self._get_ioexpander_output_out(expander, cmd_byte) & 0xFF - new_status = old_status & (~mask) & 0xFF - if enable: - new_status = new_status | mask & 0xFF - - self._set_ioexpander_output_out(expander, cmd_byte, new_status) - if enable: - self.led_controller.switch_led(5, "g") - else: - self.led_controller.switch_led(5, "off") - self.log.info("Clock LEMO output %s" %("enabled" if enable else "disabled")) - - def _set_ioexpander_output_out(self, exp: int, cmd_byte: int, value: int) -> None: - """Set content of register 2 or 3 which determine signal if direction is output - A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - value (int): 8 bit value for the output - """ - if cmd_byte not in [2, 3]: - raise ValueError("Command byte should be 2 or 3") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - self.i2c.write(self.i2c.modules["expander_%.1s" % exp], cmd_byte, value & 0xFF) - - def _get_ioexpander_output_out(self, exp: int, cmd_byte: int) -> int: - """Get content of register 2 or 3 - A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. - Args: - exp (int): _ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - Returns: - int: content of the ioexpander - """ - if cmd_byte not in [2, 3]: - raise ValueError("Command byte should be 2 or 3") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - output = self.i2c.read(self.i2c.modules["expander_%.1s" % exp], cmd_byte) - return output - - def _set_ioexpander_polarity_out( - self, exp: int, cmd_byte: int, polarity: bool = False - ) -> None: - """Set content of register 4 or 5 which determine polarity of ports. - A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. - - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - polarity (bool, optional): False (0) = normal, True (1) = inverted. Defaults to False. - """ - if cmd_byte not in [4, 5]: - raise ValueError("Command byte should be 4 or 5") - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - self.i2c.write(self.i2c.modules["expander_%.1s" % exp], cmd_byte, polarity) - - def _set_ioexpander_direction_out( - self, exp: int, cmd_byte: int, direction: str = "input" - ) -> None: - """Set content of register 6 or 7 which determine direction of signal - A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. - - Args: - exp (int): ID of LED Expander (1 or 2)) - cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. - direction (str, optional): "input or "output" direction of port. Defaults to "input". - """ - if cmd_byte not in [6, 7]: - raise ValueError("Command byte should be 6 or 7") - if direction not in ["input", "output"]: - raise ValueError('Direction parameter must be "input" or "output"') - if exp not in [1, 2]: - raise ValueError("Expander ID should be 1 or 2") - - self.i2c.write( - self.i2c.modules["expander_%.1s" % exp], - cmd_byte, - 1 if direction == "input" else 0, - ) - diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 1671649..1d0fd25 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -24,21 +24,21 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-11 15:40:59,773 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-11 15:40:59,904 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-11 15:40:59,905 [LED Controller ] - INFO Initializing LED Control\n", - "2023-05-11 15:40:59,937 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-11 15:40:59,941 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-11 15:40:59,944 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-11 15:40:59,945 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-11 15:41:01,341 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-11 15:41:01,366 [Output Controller ] - INFO Clock LEMO output disabled\n", - "2023-05-11 15:41:01,377 [Output Controller ] - INFO HDMI Channel 1 disabled\n", - "2023-05-11 15:41:01,390 [Output Controller ] - INFO HDMI Channel 2 disabled\n", - "2023-05-11 15:41:01,415 [Output Controller ] - INFO HDMI Channel 3 disabled\n", - "2023-05-11 15:41:01,433 [Output Controller ] - INFO HDMI Channel 4 disabled\n", - "2023-05-11 15:41:01,435 [AidaTLU ] - INFO Run active: False\n", - "2023-05-11 15:41:01,437 [Trigger Controller] - INFO Trigger Veto set to: True\n" + "2023-05-12 13:42:52,479 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-12 13:42:52,593 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-12 13:42:52,594 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-12 13:42:52,631 [Voltage Controller] - INFO Initializing Power DAC Control\n", + "2023-05-12 13:42:52,634 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-12 13:42:52,635 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-12 13:42:52,636 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-12 13:42:53,958 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-12 13:42:53,969 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-12 13:42:53,980 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-12 13:42:54,007 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-12 13:42:54,025 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-12 13:42:54,041 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-12 13:42:54,050 [AidaTLU ] - INFO Run active: False\n", + "2023-05-12 13:42:54,051 [Trigger Controller] - INFO Trigger Veto set to: True\n" ] } ], @@ -60,19 +60,19 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-11 15:41:25,068 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", - "2023-05-11 15:41:25,100 [Output Controller ] - INFO HDMI Channel 1 enabled\n", - "2023-05-11 15:41:25,106 [Output Controller ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-11 15:41:25,107 [DUT Logic ] - INFO DUT mask is set to 1\n", - "2023-05-11 15:41:25,108 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-11 15:41:25,109 [Trigger Controller] - INFO Set internal trigger frequency to: 100 Hz\n" + "2023-05-12 14:33:03,718 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", + "2023-05-12 14:33:03,743 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-12 14:33:03,754 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-12 14:33:03,758 [DUT Logic ] - INFO DUT mask is set to 1\n", + "2023-05-12 14:33:03,759 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-12 14:33:03,760 [Trigger Controller] - INFO Set internal trigger frequency to: 500 Hz\n" ] } ], @@ -82,17 +82,17 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 37, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-11 15:41:09,531 [AidaTLU ] - INFO Run active: True\n", - "2023-05-11 15:41:09,535 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-11 15:41:16,783 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-11 15:41:16,783 [AidaTLU ] - INFO Run active: False\n" + "2023-05-12 14:38:13,748 [AidaTLU ] - INFO Run active: True\n", + "2023-05-12 14:38:13,756 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-12 14:39:12,232 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-12 14:39:12,233 [AidaTLU ] - INFO Run active: False\n" ] } ], @@ -118,13 +118,6 @@ "source": [ "tlu.status()" ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index a39fa8e..cd7d024 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -3,13 +3,14 @@ import logger from i2c import I2CCore, i2c_addr -from led_controller import LEDControl -from voltage_controller import VoltageControl + from clock_controller import ClockControl -from output_controller import OutputControl +from ioexpander_controller import IOControl +from voltage_controller import VoltageControl from trigger_controller import TriggerLogic from dut_controller import DUTLogic + class AidaTLU(object): def __init__(self, hw) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) @@ -20,18 +21,18 @@ def __init__(self, hw) -> None: if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) - self.led_controller = LEDControl(self.i2c) - self.voltage_controller = VoltageControl(self.i2c) self.clock_controller = ClockControl(self.i2c) - self.output_controller = OutputControl(self.i2c, self.led_controller) + self.io_controller = IOControl(self.i2c) + self.voltage_controller = VoltageControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) #Disable all outputs - self.output_controller.clock_lemo_output(False) - for i in range(4): self.output_controller.configure_hdmi(i+1, False) - - #Resets all internal counters and raises the trigger veto. + self.io_controller.clock_lemo_output(False) + for i in range(4): self.io_controller.configure_hdmi(i+1, False) + self.voltage_controller.set_all_voltage(0) + + #Resets all internal counters and raise the trigger veto. self.set_run_active(False) self.reset_status() self.reset_counters() @@ -128,10 +129,8 @@ def test_configuration(self) -> None: test_stretch = [1,1,1,1,1,1] test_delay = [0,0,0,0,0,0] - #self.set_run_active(False) - #self.trigger_logic.set_trigger_veto(False) - self.output_controller.configure_hdmi(1, '0111') - self.output_controller.clock_hdmi_output(1, 'off') + self.io_controller.configure_hdmi(1, '0111') + self.io_controller.clock_hdmi_output(1, 'off') #self.trigger_logic.set_pulse_stretch_pack(test_stretch) #self.trigger_logic.set_pulse_delay_pack(test_delay) #self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) @@ -227,8 +226,7 @@ def run(self) -> None: """ self.start_run() run_active = True - last_time = self.get_timestamp() - start_time = last_time + start_time = self.get_timestamp() while run_active: try: last_time = self.get_timestamp() From 297be54b5146efdfd57c660af8ea22fc63dc5fee Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 16 May 2023 15:35:27 +0200 Subject: [PATCH 15/68] threshold works, there seems to be a bug with the DUT mask for multiple DUTs --- aidatlu/dut_controller.py | 6 +- aidatlu/test.ipynb | 174 +++++++++++++++++++++++++++------- aidatlu/tlu.py | 69 ++++++++++---- aidatlu/trigger_controller.py | 3 +- aidatlu/voltage_controller.py | 78 +++++++-------- 5 files changed, 233 insertions(+), 97 deletions(-) diff --git a/aidatlu/dut_controller.py b/aidatlu/dut_controller.py index 62b497b..a8bea18 100644 --- a/aidatlu/dut_controller.py +++ b/aidatlu/dut_controller.py @@ -19,10 +19,10 @@ def set_dut_mask(self, enable: int | str) -> None: enable = int(enable, 2) if enable > 0b1111 or enable < 0b0000: - raise ValueError("Enable has to be between 0 and 16 ('10000')") + raise ValueError("Enable has to be between 0 and 15 ('1111')") - self.i2c.write_register("DUTInterfaces.DUTMaskW", enable) - self.log.info("DUT mask is set to %s" %self.get_dut_mask()) + self.i2c.write_register("DUTInterfaces.DUTMaskW", enable & 0xF) + self.log.info("DUT mask set to %s" %self.get_dut_mask()) def set_dut_mask_mode(self, mode: int | str) -> None: """ Sets the DUT interface mode. Mode consits of one 8-bit WORD or more specific 4 2-bit WORDs. diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 1d0fd25..d9bd386 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -24,21 +24,29 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-12 13:42:52,479 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-12 13:42:52,593 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-12 13:42:52,594 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-12 13:42:52,631 [Voltage Controller] - INFO Initializing Power DAC Control\n", - "2023-05-12 13:42:52,634 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-12 13:42:52,635 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-12 13:42:52,636 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-12 13:42:53,958 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-12 13:42:53,969 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-12 13:42:53,980 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-12 13:42:54,007 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-12 13:42:54,025 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-12 13:42:54,041 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-12 13:42:54,050 [AidaTLU ] - INFO Run active: False\n", - "2023-05-12 13:42:54,051 [Trigger Controller] - INFO Trigger Veto set to: True\n" + "2023-05-16 15:13:18,557 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-16 15:13:18,659 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-16 15:13:18,660 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-16 15:13:18,661 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-16 15:13:20,020 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-16 15:13:20,021 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-16 15:13:20,062 [Voltage Controller] - INFO Initializing DAC Control\n", + "2023-05-16 15:13:20,069 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-16 15:13:20,072 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-16 15:13:20,074 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-16 15:13:20,090 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-16 15:13:20,103 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-16 15:13:20,120 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-16 15:13:20,137 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-16 15:13:20,153 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-16 15:13:20,168 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-16 15:13:20,170 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-16 15:13:20,174 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-16 15:13:20,176 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-16 15:13:20,178 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-16 15:13:20,185 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-16 15:13:20,186 [AidaTLU ] - INFO Run active: False\n", + "2023-05-16 15:13:20,188 [Trigger Controller] - INFO Trigger Veto set to: True\n" ] } ], @@ -60,39 +68,122 @@ }, { "cell_type": "code", - "execution_count": 28, + "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-12 14:33:03,718 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", - "2023-05-12 14:33:03,743 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-12 14:33:03,754 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-12 14:33:03,758 [DUT Logic ] - INFO DUT mask is set to 1\n", - "2023-05-12 14:33:03,759 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-12 14:33:03,760 [Trigger Controller] - INFO Set internal trigger frequency to: 500 Hz\n" + "2023-05-16 15:13:54,350 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-16 15:13:54,364 [IO Expander ] - INFO HDMI Channel 2 enabled\n", + "2023-05-16 15:13:54,375 [IO Expander ] - INFO HDMI Channel 3 enabled\n", + "2023-05-16 15:13:54,387 [IO Expander ] - INFO HDMI Channel 4 enabled\n", + "2023-05-16 15:13:54,391 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-16 15:13:54,396 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", + "2023-05-16 15:13:54,400 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", + "2023-05-16 15:13:54,404 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", + "2023-05-16 15:13:54,405 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-16 15:13:54,407 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-16 15:13:54,408 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-16 15:13:54,410 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-16 15:13:54,411 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-16 15:13:54,412 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-16 15:13:54,413 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-16 15:13:54,414 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-16 15:13:54,416 [Trigger Controller] - INFO Set internal trigger frequency to: 1000 Hz\n", + "2023-05-16 15:13:54,419 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-16 15:13:54,422 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-16 15:13:54,425 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-16 15:13:54,428 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-16 15:13:54,431 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-16 15:13:54,434 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n" ] } ], "source": [ - "tlu.test_configuration()" + "test_stretch = [1,1,1,1,1,1]\n", + "test_delay = [0,0,0,0,0,0] \n", + "\n", + "tlu.io_controller.configure_hdmi(1, '0111')\n", + "tlu.io_controller.configure_hdmi(2, '0111')\n", + "tlu.io_controller.configure_hdmi(3, '0111')\n", + "tlu.io_controller.configure_hdmi(4, '0111')\n", + "tlu.io_controller.clock_hdmi_output(1, 'off')\n", + "tlu.io_controller.clock_hdmi_output(2, 'off')\n", + "tlu.io_controller.clock_hdmi_output(3, 'off')\n", + "tlu.io_controller.clock_hdmi_output(4, 'off')\n", + "tlu.io_controller.clock_lemo_output(False)\n", + "tlu.trigger_logic.set_pulse_stretch_pack(test_stretch)\n", + "tlu.trigger_logic.set_pulse_delay_pack(test_delay)\n", + "tlu.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002)\n", + "tlu.trigger_logic.set_trigger_polarity(1)\n", + "tlu.dut_logic.set_dut_mask('0001')\n", + "tlu.dut_logic.set_dut_mask_mode('00000000')\n", + "tlu.dut_logic.set_dut_mask_mode_modifier(0)\n", + "tlu.dut_logic.set_dut_ignore_busy(0)\n", + "tlu.dut_logic.set_dut_ignore_shutter(0x1)\n", + "tlu.trigger_logic.set_internal_trigger_frequency(1000)\n", + "tlu.voltage_controller.set_threshold(1, -0.04)\n", + "tlu.voltage_controller.set_threshold(2, -0.04)\n", + "tlu.voltage_controller.set_threshold(3, -0.04)\n", + "tlu.voltage_controller.set_threshold(4, -0.04)\n", + "tlu.voltage_controller.set_threshold(5, -0.2)\n", + "tlu.voltage_controller.set_threshold(6, -0.2)" + ] + }, + { + "cell_type": "code", + "execution_count": 11, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-16 15:25:42,767 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-16 15:25:42,783 [IO Expander ] - INFO HDMI Channel 2 enabled\n", + "2023-05-16 15:25:42,812 [IO Expander ] - INFO HDMI Channel 3 enabled\n", + "2023-05-16 15:25:42,839 [IO Expander ] - INFO HDMI Channel 4 enabled\n", + "2023-05-16 15:25:42,842 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-16 15:25:42,855 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", + "2023-05-16 15:25:42,876 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", + "2023-05-16 15:25:42,896 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", + "2023-05-16 15:25:42,898 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-16 15:25:42,902 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-16 15:25:42,903 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-16 15:25:42,907 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-16 15:25:42,907 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n", + "2023-05-16 15:25:42,909 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-16 15:25:42,911 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-16 15:25:42,913 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-16 15:25:42,915 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-16 15:25:42,922 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-16 15:25:42,928 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-16 15:25:42,936 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-16 15:25:42,953 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-16 15:25:42,962 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-16 15:25:42,971 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n" + ] + } + ], + "source": [ + "tlu.default_configuration()" ] }, { "cell_type": "code", - "execution_count": 37, + "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-12 14:38:13,748 [AidaTLU ] - INFO Run active: True\n", - "2023-05-12 14:38:13,756 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-12 14:39:12,232 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-12 14:39:12,233 [AidaTLU ] - INFO Run active: False\n" + "2023-05-16 15:26:44,007 [AidaTLU ] - INFO Run active: True\n", + "2023-05-16 15:26:44,009 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-16 15:27:04,720 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-16 15:27:04,721 [AidaTLU ] - INFO Run active: False\n" ] } ], @@ -102,22 +193,39 @@ }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 17, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-11 15:39:34,689 [AidaTLU ] - INFO fifo csr: 16 fifo fill level: 16\n", - "2023-05-11 15:39:34,690 [AidaTLU ] - INFO post: 3579 pre: 17348\n", - "2023-05-11 15:39:34,691 [AidaTLU ] - INFO time stamp: 6939392033\n" + "2023-05-16 15:27:33,946 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-16 15:27:33,961 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-16 15:27:33,993 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-16 15:27:34,017 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-16 15:27:34,045 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-16 15:27:34,061 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-16 15:27:34,064 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-16 15:27:34,068 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-16 15:27:34,071 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-16 15:27:34,079 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-16 15:27:34,083 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-16 15:27:34,085 [AidaTLU ] - INFO Run active: False\n", + "2023-05-16 15:27:34,088 [Trigger Controller] - INFO Trigger Veto set to: True\n" ] } ], "source": [ - "tlu.status()" + "tlu.all_off()" ] + }, + { + "cell_type": "code", + "execution_count": null, + "metadata": {}, + "outputs": [], + "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index cd7d024..7013e5b 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -27,11 +27,19 @@ def __init__(self, hw) -> None: self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) + self.reset_configuration() + + # if present, init display + + def reset_configuration(self) -> None: + """Switch off all outputs, reset all counters and set threshold to 1.2V + """ #Disable all outputs self.io_controller.clock_lemo_output(False) for i in range(4): self.io_controller.configure_hdmi(i+1, False) self.voltage_controller.set_all_voltage(0) - + #sets all thresholds to 1.2 V + for i in range(6): self.voltage_controller.set_threshold(i+1, 1.2) #Resets all internal counters and raise the trigger veto. self.set_run_active(False) self.reset_status() @@ -40,8 +48,6 @@ def __init__(self, hw) -> None: self.reset_fifo() self.reset_timestamp() - # if present, init display - def get_device_id(self) -> int: """Read back board id. Consists of six blocks of hex data @@ -52,13 +58,13 @@ def get_device_id(self) -> int: for addr in range(6): id.append(self.i2c.read(self.i2c.modules["eeprom"], 0xFA + addr) & 0xFF) return int("0x" + "".join(["{:x}".format(i) for i in id]), 16) & 0xFFFFFFFFFFFF - + def get_fw_version(self) -> int: return self.i2c.read_register("version") - def reset_board(self) -> None: - #TODO THIS FUNCTION CRASHES THE TLU. This does not work at all... - self.i2c.write_register("logic_clocks.LogicRst", 1) + # def reset_board(self) -> None: + # #TODO THIS FUNCTION CRASHES THE TLU. This does not work at all... + # self.i2c.write_register("logic_clocks.LogicRst", 1) def reset_timestamp(self) -> None: """ Sets bit to 'ResetTimestampW' register to reset the time stamp. @@ -131,20 +137,47 @@ def test_configuration(self) -> None: self.io_controller.configure_hdmi(1, '0111') self.io_controller.clock_hdmi_output(1, 'off') - #self.trigger_logic.set_pulse_stretch_pack(test_stretch) - #self.trigger_logic.set_pulse_delay_pack(test_delay) - #self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) - #self.trigger_logic.set_trigger_polarity(1) + self.trigger_logic.set_pulse_stretch_pack(test_stretch) + self.trigger_logic.set_pulse_delay_pack(test_delay) + self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) + self.trigger_logic.set_trigger_polarity(1) self.dut_logic.set_dut_mask('0001') self.dut_logic.set_dut_mask_mode('00000000') - # self.dut_logic.set_dut_mask_mode_modifier(0) - # self.dut_logic.set_dut_ignore_busy(0) - # self.dut_logic.set_dut_ignore_shutter(0x1) self.trigger_logic.set_internal_trigger_frequency(500) - - #self.set_enable_record_data(1) - #self.get_event_fifo_csr() - #self.get_event_fifo_fill_level() + + def default_configuration(self) -> None: + """Default configuration. Configures DUT 1 to run in EUDET mode. + #TODO set dut mask does not work with multiple DUTS + #TODO this needs a better solution, some kind of config file. But its now useful for bugfixing. + """ + test_stretch = [1,1,1,1,1,1] + test_delay = [0,0,0,0,0,0] + + self.io_controller.configure_hdmi(1, '0111') + self.io_controller.configure_hdmi(2, '0111') + self.io_controller.configure_hdmi(3, '0111') + self.io_controller.configure_hdmi(4, '0111') + self.io_controller.clock_hdmi_output(1, 'off') + self.io_controller.clock_hdmi_output(2, 'off') + self.io_controller.clock_hdmi_output(3, 'off') + self.io_controller.clock_hdmi_output(4, 'off') + self.io_controller.clock_lemo_output(False) + self.voltage_controller.set_threshold(1, -0.04) + self.voltage_controller.set_threshold(2, -0.04) + self.voltage_controller.set_threshold(3, -0.04) + self.voltage_controller.set_threshold(4, -0.04) + self.voltage_controller.set_threshold(5, -0.2) + self.voltage_controller.set_threshold(6, -0.2) + self.trigger_logic.set_pulse_stretch_pack(test_stretch) + self.trigger_logic.set_pulse_delay_pack(test_delay) + self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) + self.trigger_logic.set_trigger_polarity(1) + self.dut_logic.set_dut_mask('0001') #TODO the mask does not work with multiple DUTs only with single + self.dut_logic.set_dut_mask_mode('00000000') + self.dut_logic.set_dut_mask_mode_modifier(0) + self.dut_logic.set_dut_ignore_busy(0) + self.dut_logic.set_dut_ignore_shutter(0x1) + self.trigger_logic.set_internal_trigger_frequency(0) def start_run(self) -> None: """ Start run configurations diff --git a/aidatlu/trigger_controller.py b/aidatlu/trigger_controller.py index e46649a..db90a05 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/trigger_controller.py @@ -91,7 +91,8 @@ def set_trigger_polarity(self, value: int) -> int: def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name """ Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. #TODO To set a specific trigger logic one must find right two words in the TLU. doc p. 30 - + #TODO CAREFUL LOGIC TABLE IN DOC IS WRONG! The inputs are connected differently see DAC connections for threshold. + The trigger inputs are connected in the wrong way Args: mask_high (int): _description_ #TODO mask_low (int): _description_ #TODO diff --git a/aidatlu/voltage_controller.py b/aidatlu/voltage_controller.py index 8d0c627..3464502 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/voltage_controller.py @@ -11,50 +11,50 @@ class VoltageControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") - self.log.info("Initializing Power DAC Control") + self.log.info("Initializing DAC Control") self.i2c = i2c - self._set_dac_reference(int_ref) + self._set_dac_reference(int_ref, 0) + self._set_dac_reference(int_ref, 1) + self._set_dac_reference(int_ref, 2) - def set_threshold(self, dac: int, channel: int, threshold_voltage: float) -> None: + + def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: float = 1.3) -> None: """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. Args: - channel (int): Trigger input channel. + trigger_input (int): Trigger input channel. From 1 to 7, where 7 controlls all input channels. threshold_voltage (float): Threshold voltage in volt. - dac (int): DAC input: 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. + ref_v (float): Reference voltage of the DAC. Defaults to the external reference voltage 1.3 V. """ - #TODO This function is not tested - #TODO This needs some init function to set number of trigger inputs and voltage reference and so on. - numb_channels_trigger_input = 0 #TODO this should come from some init func. prob. - internal_ref = False - v_ref_max = 1.3 - - if threshold_voltage>v_ref_max: - self.log.warn( - "Threshold larger than 1.3 V is not supported, Threshold will default to 1.3 V " - ) - threshold_voltage = 1.3 - if threshold_voltage<-v_ref_max: - self.log.warn( - "Threshold smaller than -1.3 V is not supported, Threshold will default to -1.3 V " - ) - threshold_voltage = -1.3 - if channel != 7: - if channel < 0 or channel > numb_channels_trigger_input: - raise ValueError("Invalid Channel number. Channel has to be between 0 and number of channel inputs. Or use channel = 7 for all channels") - pass + + if threshold_voltage > ref_v: + self.log.warn("Threshold larger than %s V is not supported, Threshold will default to %s V "%(ref_v,ref_v)) + threshold_voltage = ref_v + if threshold_voltage < -ref_v: + self.log.warn("Threshold smaller than %s V is not supported, Threshold will default to %s V "%(-ref_v,-ref_v)) + threshold_voltage = -ref_v + if trigger_input != 7: + if trigger_input < 1 or trigger_input > 6: + raise ValueError("Invalid trigger input channel. Channel has to be between 1 and 6. Or use channel = 7 for all channels.") + + channel = trigger_input-1 #shift channel number by 1 #calculates the DAC value for the threshold DAC - v_dac = (threshold_voltage + v_ref_max) / 2 - dac_value = int(0xFFFF * v_dac / v_ref_max) + v_dac = (threshold_voltage + ref_v) / 2 + dac_value = int(0xFFFF * v_dac / ref_v) #Sets threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. - if channel == 7: - self._set_dac_value(channel, dac_value, dac) + if channel == 6: + self._set_dac_value(channel+1, dac_value, 1) + self._set_dac_value(channel+1, dac_value, 2) + # print("channel = 6") if channel < 2: - self._set_dac_value(1-channel, dac_value, dac) #The ADC channels are connected in reverse order - else: - self._set_dac_value(3-(channel-2), dac_value, dac) #No Idea what happend to these channels + self._set_dac_value(1-channel, dac_value, 1) #The ADC channels are connected in reverse order + # print("channel < 2") + if channel > 1 and channel < 7: + self._set_dac_value(3-(channel-2), dac_value, 2) #No Idea what happend to these channels + # print("1 < channel < 7") + self.log.info("Threshold of input %s set to %s V" %(trigger_input,threshold_voltage)) def set_all_voltage(self, voltage: float) -> None: """Sets the same Voltage for all PMT DACs. @@ -114,7 +114,7 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: else: chr = [0x00, 0x00] - #TODO not sure if these module differentiation works... + if dac == 0: self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) if dac == 1: @@ -138,22 +138,16 @@ def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: raise ValueError("Channel has to be between 0 and 7") if value<0x0000: - self.log.warn( - "DAC value < 0x0000 not supported, value will default to 0x0000" - ) + self.log.warn("DAC value < 0x0000 not supported, value will default to 0x0000") value = 0 if value>0xFFFF: - self.log.warn( - "DAC value > 0xFFFF not supported, value will default to 0xFFFF" - ) + self.log.warn("DAC value > 0xFFFF not supported, value will default to 0xFFFF") value = 0xFFFF - #TODO Also one needs to differentiate between the different DACs here in the modules["dac"] - #value = value*2 chr = [(value>>8) & 0xFF, value & 0xFF] mem_addr = 0x18 + (channel & 0x7) - #TODO not sure if these module differentiation works... + if dac == 0: self.i2c.write_array(self.i2c.modules["pwr_dac"], mem_addr, chr) if dac == 1: From 7707895789cd321441820ba73c58821335bceaf0 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 17 May 2023 15:56:29 +0200 Subject: [PATCH 16/68] started with event handling --- aidatlu/test.ipynb | 212 ++++++++++++--------------------------------- aidatlu/tlu.py | 48 ++++++++-- aidatlu/utils.py | 2 +- 3 files changed, 100 insertions(+), 162 deletions(-) diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index d9bd386..554733f 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 1, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -24,29 +24,30 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-16 15:13:18,557 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-16 15:13:18,659 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-16 15:13:18,660 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-16 15:13:18,661 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-16 15:13:20,020 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-16 15:13:20,021 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-16 15:13:20,062 [Voltage Controller] - INFO Initializing DAC Control\n", - "2023-05-16 15:13:20,069 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-16 15:13:20,072 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-16 15:13:20,074 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-16 15:13:20,090 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-16 15:13:20,103 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-16 15:13:20,120 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-16 15:13:20,137 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-16 15:13:20,153 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-16 15:13:20,168 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-16 15:13:20,170 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-16 15:13:20,174 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-16 15:13:20,176 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-16 15:13:20,178 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-16 15:13:20,185 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-16 15:13:20,186 [AidaTLU ] - INFO Run active: False\n", - "2023-05-16 15:13:20,188 [Trigger Controller] - INFO Trigger Veto set to: True\n" + "2023-05-17 15:31:33,239 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-17 15:31:33,336 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-17 15:31:33,337 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-17 15:31:33,338 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-17 15:31:34,608 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-17 15:31:34,608 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-17 15:31:34,647 [Voltage Controller] - INFO Initializing DAC Control\n", + "2023-05-17 15:31:34,649 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-17 15:31:34,658 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-17 15:31:34,662 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-17 15:31:34,684 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-17 15:31:34,699 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-17 15:31:34,712 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-17 15:31:34,737 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-17 15:31:34,756 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-17 15:31:34,772 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-17 15:31:34,779 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-17 15:31:34,781 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-17 15:31:34,783 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-17 15:31:34,790 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-17 15:31:34,798 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-17 15:31:34,799 [AidaTLU ] - INFO Run active: False\n", + "2023-05-17 15:31:34,801 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-17 15:31:34,802 [AidaTLU ] - SUCCESS Done\n" ] } ], @@ -68,102 +69,37 @@ }, { "cell_type": "code", - "execution_count": 6, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-16 15:13:54,350 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-16 15:13:54,364 [IO Expander ] - INFO HDMI Channel 2 enabled\n", - "2023-05-16 15:13:54,375 [IO Expander ] - INFO HDMI Channel 3 enabled\n", - "2023-05-16 15:13:54,387 [IO Expander ] - INFO HDMI Channel 4 enabled\n", - "2023-05-16 15:13:54,391 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-16 15:13:54,396 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", - "2023-05-16 15:13:54,400 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", - "2023-05-16 15:13:54,404 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", - "2023-05-16 15:13:54,405 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-16 15:13:54,407 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-16 15:13:54,408 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-16 15:13:54,410 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-16 15:13:54,411 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-16 15:13:54,412 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-16 15:13:54,413 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-16 15:13:54,414 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-16 15:13:54,416 [Trigger Controller] - INFO Set internal trigger frequency to: 1000 Hz\n", - "2023-05-16 15:13:54,419 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-16 15:13:54,422 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-16 15:13:54,425 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-16 15:13:54,428 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-16 15:13:54,431 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-16 15:13:54,434 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n" - ] - } - ], - "source": [ - "test_stretch = [1,1,1,1,1,1]\n", - "test_delay = [0,0,0,0,0,0] \n", - "\n", - "tlu.io_controller.configure_hdmi(1, '0111')\n", - "tlu.io_controller.configure_hdmi(2, '0111')\n", - "tlu.io_controller.configure_hdmi(3, '0111')\n", - "tlu.io_controller.configure_hdmi(4, '0111')\n", - "tlu.io_controller.clock_hdmi_output(1, 'off')\n", - "tlu.io_controller.clock_hdmi_output(2, 'off')\n", - "tlu.io_controller.clock_hdmi_output(3, 'off')\n", - "tlu.io_controller.clock_hdmi_output(4, 'off')\n", - "tlu.io_controller.clock_lemo_output(False)\n", - "tlu.trigger_logic.set_pulse_stretch_pack(test_stretch)\n", - "tlu.trigger_logic.set_pulse_delay_pack(test_delay)\n", - "tlu.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002)\n", - "tlu.trigger_logic.set_trigger_polarity(1)\n", - "tlu.dut_logic.set_dut_mask('0001')\n", - "tlu.dut_logic.set_dut_mask_mode('00000000')\n", - "tlu.dut_logic.set_dut_mask_mode_modifier(0)\n", - "tlu.dut_logic.set_dut_ignore_busy(0)\n", - "tlu.dut_logic.set_dut_ignore_shutter(0x1)\n", - "tlu.trigger_logic.set_internal_trigger_frequency(1000)\n", - "tlu.voltage_controller.set_threshold(1, -0.04)\n", - "tlu.voltage_controller.set_threshold(2, -0.04)\n", - "tlu.voltage_controller.set_threshold(3, -0.04)\n", - "tlu.voltage_controller.set_threshold(4, -0.04)\n", - "tlu.voltage_controller.set_threshold(5, -0.2)\n", - "tlu.voltage_controller.set_threshold(6, -0.2)" - ] - }, - { - "cell_type": "code", - "execution_count": 11, + "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-16 15:25:42,767 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-16 15:25:42,783 [IO Expander ] - INFO HDMI Channel 2 enabled\n", - "2023-05-16 15:25:42,812 [IO Expander ] - INFO HDMI Channel 3 enabled\n", - "2023-05-16 15:25:42,839 [IO Expander ] - INFO HDMI Channel 4 enabled\n", - "2023-05-16 15:25:42,842 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-16 15:25:42,855 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", - "2023-05-16 15:25:42,876 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", - "2023-05-16 15:25:42,896 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", - "2023-05-16 15:25:42,898 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-16 15:25:42,902 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-16 15:25:42,903 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-16 15:25:42,907 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-16 15:25:42,907 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n", - "2023-05-16 15:25:42,909 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-16 15:25:42,911 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-16 15:25:42,913 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-16 15:25:42,915 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-16 15:25:42,922 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-16 15:25:42,928 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-16 15:25:42,936 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-16 15:25:42,953 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-16 15:25:42,962 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-16 15:25:42,971 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n" + "2023-05-17 15:31:38,450 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-17 15:31:38,471 [IO Expander ] - INFO HDMI Channel 2 enabled\n", + "2023-05-17 15:31:38,488 [IO Expander ] - INFO HDMI Channel 3 enabled\n", + "2023-05-17 15:31:38,506 [IO Expander ] - INFO HDMI Channel 4 enabled\n", + "2023-05-17 15:31:38,510 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-17 15:31:38,513 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", + "2023-05-17 15:31:38,517 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", + "2023-05-17 15:31:38,524 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", + "2023-05-17 15:31:38,545 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-17 15:31:38,547 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-17 15:31:38,551 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-17 15:31:38,559 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-17 15:31:38,563 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-17 15:31:38,565 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-17 15:31:38,567 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", + "2023-05-17 15:31:38,568 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-17 15:31:38,570 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-17 15:31:38,572 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-17 15:31:38,573 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-17 15:31:38,574 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-17 15:31:38,575 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-17 15:31:38,576 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-17 15:31:38,578 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-17 15:31:38,579 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" ] } ], @@ -173,59 +109,25 @@ }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-16 15:26:44,007 [AidaTLU ] - INFO Run active: True\n", - "2023-05-16 15:26:44,009 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-16 15:27:04,720 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-16 15:27:04,721 [AidaTLU ] - INFO Run active: False\n" + "2023-05-17 15:31:44,060 [AidaTLU ] - INFO Run active: True\n", + "2023-05-17 15:31:44,062 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-17 15:31:53,101 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-17 15:31:53,102 [AidaTLU ] - INFO Run active: False\n", + "2023-05-17 15:31:53,103 [AidaTLU ] - INFO Event handler\n", + "2023-05-17 15:31:53,104 [AidaTLU ] - SUCCESS Done\n" ] } ], "source": [ "tlu.run()" ] - }, - { - "cell_type": "code", - "execution_count": 17, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-16 15:27:33,946 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-16 15:27:33,961 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-16 15:27:33,993 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-16 15:27:34,017 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-16 15:27:34,045 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-16 15:27:34,061 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-16 15:27:34,064 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-16 15:27:34,068 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-16 15:27:34,071 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-16 15:27:34,079 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-16 15:27:34,083 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-16 15:27:34,085 [AidaTLU ] - INFO Run active: False\n", - "2023-05-16 15:27:34,088 [Trigger Controller] - INFO Trigger Veto set to: True\n" - ] - } - ], - "source": [ - "tlu.all_off()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 7013e5b..abe251f 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -1,6 +1,7 @@ import logging import uhal import logger +import numpy as np from i2c import I2CCore, i2c_addr @@ -29,6 +30,7 @@ def __init__(self, hw) -> None: self.reset_configuration() + self.log.success("Done") # if present, init display def reset_configuration(self) -> None: @@ -38,7 +40,7 @@ def reset_configuration(self) -> None: self.io_controller.clock_lemo_output(False) for i in range(4): self.io_controller.configure_hdmi(i+1, False) self.voltage_controller.set_all_voltage(0) - #sets all thresholds to 1.2 V + #set all thresholds to 1.2 V for i in range(6): self.voltage_controller.set_threshold(i+1, 1.2) #Resets all internal counters and raise the trigger veto. self.set_run_active(False) @@ -170,7 +172,7 @@ def default_configuration(self) -> None: self.voltage_controller.set_threshold(6, -0.2) self.trigger_logic.set_pulse_stretch_pack(test_stretch) self.trigger_logic.set_pulse_delay_pack(test_delay) - self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) + self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) self.trigger_logic.set_trigger_polarity(1) self.dut_logic.set_dut_mask('0001') #TODO the mask does not work with multiple DUTs only with single self.dut_logic.set_dut_mask_mode('00000000') @@ -198,6 +200,7 @@ def status(self) -> None: self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) self.log.info("time stamp: %s" %(self.get_timestamp())) + #self.log.info(self.trigger_logic.get_post_veto_trigger()/current_time) #TODO mean trigger rate over whole run. def set_enable_record_data(self, value: int) -> None: """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. @@ -251,25 +254,58 @@ def pull_fifo_event(self) -> list: if event_numb: fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb & 0xFF) #TODO check 0xFF self.i2c_hw.dispatch() - return fifo_content - pass + # print(fifo_content) + return np.array(fifo_content) + else: + return np.zeros(6) + + def event_handler(self, raw_data: list) -> list: + """ #TODO data format for now array with size 7 first 6 entries are from fifo and last is timestamp. + #TODO Except for status updates during run all calculations should be after the run to minimize calculation time in while true loop. + but pob. not so important I dont have huge np arrays. + Args: + raw_data (list): _description_ + + Returns: + list: _description_ + """ + self.log.info("Event handler") + + #loop/slice through data + + raw_data[:,6] = raw_data[:,6]*25/1000000000 # Transform timestamp to seconds. + self.log.success("Done") + return raw_data + + def run_header(self, stuff) -> list: + #creates makro list of run number.. + #timestamp + pass def run(self) -> None: """ Start run of the TLU. """ + self.start_run() run_active = True start_time = self.get_timestamp() + event_array = np.zeros(7) #TODO Could be a place for run headers. while run_active: try: last_time = self.get_timestamp() - current_time = (last_time-start_time) #TODO these are nonsense numbers for now - current_event = self.pull_fifo_event() #TODO same here just an array of nonsense + current_time = (last_time-start_time) + current_event = self.pull_fifo_event() + for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + event_array = np.vstack((event_array, np.append(event_vec, current_time))) + #TODO write here the raw data in h5 file chekc how this is done with the pytlu rep. except: KeyboardInterrupt run_active = False self.stop_run() + np.savetxt('tlu_run_data.txt', self.event_handler(event_array)) #TODO this should be a data handler thath converts the raw data to more useful fomrat + #TODO most likely one file for each DUT + if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) diff --git a/aidatlu/utils.py b/aidatlu/utils.py index 064a22d..2149deb 100644 --- a/aidatlu/utils.py +++ b/aidatlu/utils.py @@ -12,7 +12,7 @@ def _set_bit(value: int, index: int, set: bool=True) -> int: Returns: int: value with a set bit at index """ - #I stole this from https://stackoverflow.com/questions/12173774/how-to-modify-bits-in-an-integer + if set: return value | (1< Date: Fri, 19 May 2023 14:10:42 +0200 Subject: [PATCH 17/68] basic .h5 --- aidatlu/event_handler.py | 22 +++++ aidatlu/test.ipynb | 186 +++++++++++++++++++++++++++------------ aidatlu/tlu.py | 48 ++++------ 3 files changed, 168 insertions(+), 88 deletions(-) create mode 100644 aidatlu/event_handler.py diff --git a/aidatlu/event_handler.py b/aidatlu/event_handler.py new file mode 100644 index 0000000..2554dc1 --- /dev/null +++ b/aidatlu/event_handler.py @@ -0,0 +1,22 @@ +def event_handler(self, raw_data: list) -> list: + """ #TODO data format for now array with size 7 first 6 entries are from fifo and last is timestamp. + #TODO Except for status updates during run all calculations should be after the run to minimize calculation time in while true loop. + but pob. not so important I dont have huge np arrays. + Args: + raw_data (list): _description_ + + Returns: + list: _description_ + """ + self.log.info("Event handler") + + #loop/slice through data + + raw_data[:,6] = raw_data[:,6]*25/1000000000 # Transform timestamp to seconds. + self.log.success("Done") + return raw_data + +def run_header(self, stuff) -> list: + #creates makro list of run number.. + #timestamp + pass \ No newline at end of file diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index 554733f..a3e088a 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 2, + "execution_count": 6, "metadata": {}, "outputs": [], "source": [ @@ -12,7 +12,8 @@ "import time\n", "import logger\n", "import pandas as pd\n", - "import numpy as np" + "import numpy as np\n", + "import tables as tb" ] }, { @@ -24,30 +25,30 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-17 15:31:33,239 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-17 15:31:33,336 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-17 15:31:33,337 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-17 15:31:33,338 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-17 15:31:34,608 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-17 15:31:34,608 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-17 15:31:34,647 [Voltage Controller] - INFO Initializing DAC Control\n", - "2023-05-17 15:31:34,649 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-17 15:31:34,658 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-17 15:31:34,662 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-17 15:31:34,684 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-17 15:31:34,699 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-17 15:31:34,712 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-17 15:31:34,737 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-17 15:31:34,756 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-17 15:31:34,772 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-17 15:31:34,779 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-17 15:31:34,781 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-17 15:31:34,783 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-17 15:31:34,790 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-17 15:31:34,798 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-17 15:31:34,799 [AidaTLU ] - INFO Run active: False\n", - "2023-05-17 15:31:34,801 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-17 15:31:34,802 [AidaTLU ] - SUCCESS Done\n" + "2023-05-19 13:51:03,571 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-19 13:51:03,683 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-19 13:51:03,684 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-19 13:51:03,684 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-19 13:51:05,001 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-19 13:51:05,002 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-19 13:51:05,047 [Voltage Controller] - INFO Initializing DAC Control\n", + "2023-05-19 13:51:05,058 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-19 13:51:05,060 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-19 13:51:05,062 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-19 13:51:05,078 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-19 13:51:05,110 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-19 13:51:05,137 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-19 13:51:05,154 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-19 13:51:05,168 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-19 13:51:05,183 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-19 13:51:05,186 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-19 13:51:05,191 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-19 13:51:05,194 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-19 13:51:05,202 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-19 13:51:05,205 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-19 13:51:05,206 [AidaTLU ] - INFO Run active: False\n", + "2023-05-19 13:51:05,211 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-19 13:51:05,212 [AidaTLU ] - SUCCESS Done\n" ] } ], @@ -76,35 +77,40 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-17 15:31:38,450 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-17 15:31:38,471 [IO Expander ] - INFO HDMI Channel 2 enabled\n", - "2023-05-17 15:31:38,488 [IO Expander ] - INFO HDMI Channel 3 enabled\n", - "2023-05-17 15:31:38,506 [IO Expander ] - INFO HDMI Channel 4 enabled\n", - "2023-05-17 15:31:38,510 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-17 15:31:38,513 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", - "2023-05-17 15:31:38,517 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", - "2023-05-17 15:31:38,524 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", - "2023-05-17 15:31:38,545 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-17 15:31:38,547 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-17 15:31:38,551 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-17 15:31:38,559 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-17 15:31:38,563 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-17 15:31:38,565 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-17 15:31:38,567 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", - "2023-05-17 15:31:38,568 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-17 15:31:38,570 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-17 15:31:38,572 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-17 15:31:38,573 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-17 15:31:38,574 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-17 15:31:38,575 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-17 15:31:38,576 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-17 15:31:38,578 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-17 15:31:38,579 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" + "2023-05-19 13:51:06,036 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", + "2023-05-19 13:51:06,057 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-19 13:51:06,063 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-19 13:51:06,065 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-19 13:51:06,069 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-19 13:51:06,074 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-19 13:51:06,076 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-19 13:51:06,080 [DUT Logic ] - INFO DUT mask set to 7\n", + "2023-05-19 13:51:06,083 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-19 13:51:06,084 [Trigger Controller] - INFO Set internal trigger frequency to: 500 Hz\n" ] } ], "source": [ - "tlu.default_configuration()" + "tlu.test_configuration()" + ] + }, + { + "cell_type": "code", + "execution_count": 12, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-19 13:39:45,734 [AidaTLU ] - INFO fifo csr: 3 fifo fill level: 3\n", + "2023-05-19 13:39:45,735 [AidaTLU ] - INFO post: 0 pre: 30414\n", + "2023-05-19 13:39:45,736 [AidaTLU ] - INFO time stamp: 2433128852\n" + ] + } + ], + "source": [ + "tlu.status()" ] }, { @@ -116,18 +122,84 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-17 15:31:44,060 [AidaTLU ] - INFO Run active: True\n", - "2023-05-17 15:31:44,062 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-17 15:31:53,101 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-17 15:31:53,102 [AidaTLU ] - INFO Run active: False\n", - "2023-05-17 15:31:53,103 [AidaTLU ] - INFO Event handler\n", - "2023-05-17 15:31:53,104 [AidaTLU ] - SUCCESS Done\n" + "2023-05-19 13:51:09,712 [AidaTLU ] - INFO Run active: True\n", + "2023-05-19 13:51:09,713 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-19 13:51:14,926 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-19 13:51:14,927 [AidaTLU ] - INFO Run active: False\n" ] } ], "source": [ "tlu.run()" ] + }, + { + "cell_type": "code", + "execution_count": 7, + "metadata": {}, + "outputs": [ + { + "name": "stderr", + "output_type": "stream", + "text": [ + "2023-05-19 13:11:10,903 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-19 13:11:10,916 [IO Expander ] - INFO HDMI Channel 2 enabled\n", + "2023-05-19 13:11:10,932 [IO Expander ] - INFO HDMI Channel 3 enabled\n", + "2023-05-19 13:11:10,949 [IO Expander ] - INFO HDMI Channel 4 enabled\n", + "2023-05-19 13:11:10,955 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-19 13:11:10,961 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", + "2023-05-19 13:11:10,967 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", + "2023-05-19 13:11:10,974 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", + "2023-05-19 13:11:10,984 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-19 13:11:10,988 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-19 13:11:10,992 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-19 13:11:10,997 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-19 13:11:11,010 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-19 13:11:11,013 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-19 13:11:11,022 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", + "2023-05-19 13:11:11,041 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-19 13:11:11,043 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-19 13:11:11,046 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-19 13:11:11,047 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-19 13:11:11,050 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-19 13:11:11,054 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-19 13:11:11,056 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-19 13:11:11,062 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-19 13:11:11,064 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" + ] + } + ], + "source": [ + "tlu.default_configuration()" + ] + }, + { + "cell_type": "code", + "execution_count": 63, + "metadata": {}, + "outputs": [], + "source": [ + "data = np.dtype([('w0',int),('w1',int),('w2',int),('w3',int),('w4',int),('w5',int)])" + ] + }, + { + "cell_type": "code", + "execution_count": 82, + "metadata": {}, + "outputs": [], + "source": [ + "filter_data = tb.Filters(complib='blosc', complevel=5)\n", + "filter_tables = tb.Filters(complib='zlib', complevel=5)\n", + "h5_file = tb.open_file('test.h5', mode='w', title='TLU')\n", + "data_table = h5_file.create_table(h5_file.root, name='raw_data', description=data , title='data', filters=filter_data)\n", + "\n", + "\n", + "for _ in range(3):\n", + " data_table.append(np.array([1,2,3,4,5,6]))\n", + "#data_table.flush()\n", + "\n", + "h5_file.close()" + ] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index abe251f..0249dac 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -2,6 +2,8 @@ import uhal import logger import numpy as np +import tables as tb +import time from i2c import I2CCore, i2c_addr @@ -49,6 +51,7 @@ def reset_configuration(self) -> None: self.trigger_logic.set_trigger_veto(True) self.reset_fifo() self.reset_timestamp() + self.run_number = 0 def get_device_id(self) -> int: """Read back board id. Consists of six blocks of hex data @@ -194,6 +197,7 @@ def stop_run(self) -> None: """ self.trigger_logic.set_trigger_veto(True) self.set_run_active(False) + self.run_number += 1 def status(self) -> None: #TODO just bugfixing for now @@ -254,33 +258,15 @@ def pull_fifo_event(self) -> list: if event_numb: fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb & 0xFF) #TODO check 0xFF self.i2c_hw.dispatch() - # print(fifo_content) return np.array(fifo_content) else: - return np.zeros(6) + return None - def event_handler(self, raw_data: list) -> list: - """ #TODO data format for now array with size 7 first 6 entries are from fifo and last is timestamp. - #TODO Except for status updates during run all calculations should be after the run to minimize calculation time in while true loop. - but pob. not so important I dont have huge np arrays. - Args: - raw_data (list): _description_ - - Returns: - list: _description_ - """ - self.log.info("Event handler") - - #loop/slice through data - - raw_data[:,6] = raw_data[:,6]*25/1000000000 # Transform timestamp to seconds. - self.log.success("Done") - return raw_data - - def run_header(self, stuff) -> list: - #creates makro list of run number.. - #timestamp - pass + def init_raw_data_table(self): + self.data = np.dtype([('w0',int),('w1',int),('w2',int),('w3',int),('w4',int),('w5',int)]) + self.filter_data = tb.Filters(complib='blosc', complevel=5) + self.h5_file = tb.open_file('data/raw_data_run%s.h5' %self.run_number, mode='w', title='TLU') + self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) def run(self) -> None: """ Start run of the TLU. @@ -289,22 +275,22 @@ def run(self) -> None: self.start_run() run_active = True start_time = self.get_timestamp() - event_array = np.zeros(7) #TODO Could be a place for run headers. + self.init_raw_data_table() while run_active: try: last_time = self.get_timestamp() current_time = (last_time-start_time) current_event = self.pull_fifo_event() - for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - event_array = np.vstack((event_array, np.append(event_vec, current_time))) - #TODO write here the raw data in h5 file chekc how this is done with the pytlu rep. + # self.status() + # time.sleep(1) + if current_event != None: + for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + self.data_table.append(event_vec) except: KeyboardInterrupt run_active = False self.stop_run() - - np.savetxt('tlu_run_data.txt', self.event_handler(event_array)) #TODO this should be a data handler thath converts the raw data to more useful fomrat - #TODO most likely one file for each DUT + self.h5_file.close() if __name__ == "__main__": From d0416dd05d11c177746aeb9f05cd9bea7308eef5 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 22 May 2023 14:54:32 +0200 Subject: [PATCH 18/68] bug fix h5 now it should work --- aidatlu/data/raw_data_run0.h5 | Bin 0 -> 5258 bytes aidatlu/data/raw_data_run1.h5 | Bin 0 -> 159804 bytes aidatlu/data/raw_data_run2.h5 | Bin 0 -> 167541 bytes aidatlu/data/raw_data_run3.h5 | Bin 0 -> 70666 bytes aidatlu/data/raw_data_run4.h5 | Bin 0 -> 76885 bytes aidatlu/test.ipynb | 181 ++++++++++++++-------------------- aidatlu/tlu.py | 41 ++++---- 7 files changed, 94 insertions(+), 128 deletions(-) create mode 100644 aidatlu/data/raw_data_run0.h5 create mode 100644 aidatlu/data/raw_data_run1.h5 create mode 100644 aidatlu/data/raw_data_run2.h5 create mode 100644 aidatlu/data/raw_data_run3.h5 create mode 100644 aidatlu/data/raw_data_run4.h5 diff --git a/aidatlu/data/raw_data_run0.h5 b/aidatlu/data/raw_data_run0.h5 new file mode 100644 index 0000000000000000000000000000000000000000..ddc474104ef54ed787d9cc06b7c24bc741ee180d GIT binary patch literal 5258 zcmeHK&2G~`5FRHqSZyMu6i|UnB`!ThiIWJ93B;kP+$6Fcek3H5&|8s^04d@CXW%v9 z0O18Va)U?U*dyWuhf2Mfo!yx@+uDIBH}FQ;*_oYh*Zy{9Y`@-VwF|44R{@)8!Wk&a zA$K9QH|Ldwb)J7f1B2u%lJ7HWU_cIVZjkPF!*}VfIi9{!oG}?shT~v=_-x2J$7O>J3(9qh*OPIbhi$nM#OKFHq zL;wCgzj?#4y`bH5_nLl?gttnSDGsmUkS>Jtv~gBW!zaquEHn&sxtLQqJyzQ*r#Zc# zMbs8-TQF8zk}i$0orbZL%b)UJ!&DU}AG>;F)G#$=N87xnVd~10N@OBz7PBJG2zkt8 z)zPm(Sq>z*!fw34`5cTMJ%0KS{pgQz|1$*Om@%ocW;2;%Rm5Q;B&P?Q6Z>Gpy#}L$ zeW{4f>-JiPuri;fIvk!(*_+Vr*iI`b2i@i#mM>XfFxD8y`5lj|B;zQ@<8f9pj`BPn zS53xEb3N|-O&8bG0Z6o2qRMq#p9uN8LL6i5zr`=Ixne?If zV@CY|&vo^ZuD;dPHC?@;tG{&hKv$16HM)lIW4QY+{^DnxVuvpc_&hT)2`_l?P1|OH Jb-&=p({D!%&;tMf literal 0 HcmV?d00001 diff --git a/aidatlu/data/raw_data_run1.h5 b/aidatlu/data/raw_data_run1.h5 new file mode 100644 index 0000000000000000000000000000000000000000..28850ed4153a203ffc5ab4c8332e4edbef2d0de0 GIT binary patch literal 159804 zcmeFZd0bB2+yA}Kv$>>_ii%aJNScH)q)4VD6_IEzG*FopX^uiFg=nHOq(Y`hib`ZA zBF!_AIU1hNI?vPhx_s~Jd;gy2bwB?+ujkIIy^pol9@jpOwRVp8{zT3*F%=h;7iH*= zurR~VNOH{Iivixx^bKrI)$j7}b_brp;;kci>n!f(42u!OFT)Ju)l2e@uf)f#Xyese zTh5uoV9Vdf|GnllGd~2lmhkV2|6Tw8st4xHF}9#LyqtH}zx}D4=V!k=!`E?(y^G^U z$KU1Oerfc(;{V}Vis!HY?$?8uv794O{}#qS>-pAZa9~Cn;X_^f_j#?&mU6cH_w8q! z8CzNLwx_QBd;7VTi<|2V)fzkNoS zH?s1v*+000ICC27-{HXw!FlKp=dn6_xNr(sSeY+2VWIYSe+qLS&hO^`UH{+g0UB@g zhsAqveTCc|f6rfk#W8oB_)l@qiB|0T*Y^K?-0Nyi;hgBN?f>gIL?0>Vxnuo%OdJG1 zqdzQ09M4{uF`Ylh%*0;X-rRT*9lki@3-z_%`~8mZf8I~$Kkvtj@qgY=_doB)i}Qcp zZ{mO6?_aV0Pxq(ypZDX%`#+y=(tqBM7xROd-{Y=0p50&lX*%D`jP~c>W1g0O_bavO z&p+?4WB)(fU-y5w|HS{{{(Aqz{U`lD*#GzQ7UcB5x#eOTI*IDX+wvRZ%Eobodx8$Ag_g1~vxRw&{`g&*nZsD%e-f-7ndHZK9__MvZ zpIg4eYqwbXXZvL(7NdtUpuzds57{aYzkpE}<84GUv@7mw(|C)$@H~jDVe|zA6d*FY2;D3AI|5H7{W=RdBe|OQ} zX3P=vZ@o&aN7K8g`~CLt$J!2m+WoBs6N=;?Me^=J-T}X5Q%CgGm86HRG1D6S@#%&5 zZFVyWyZKJm-u0G5NBY}~D@S)a8jliax}CYlYmuhZ$0zxT{wt@G{@PpVVZ?Qgno!%l za|eAaC(C|+bv=2P!>m#4rm|C!YZs0ed;jPHiQ8nOKfJI0PG*FMnWp5ImsgJjte!bq zsPleyjGxsM`2kXUGdG)YQpax}YE{;0ZkEXc(~O|0|5`9s@n4VBr=<=N!G2Fpn@-(TNM3v!(|Rq&AyYi=Ch?J!G4sN?RLXfJaeneSCsj%>G`p+xwbZf8Y$ES@Nb zTR0ZrIA^R#$AcW&GYY-6MQLH{h`F}Zm*d2`CD1{&N=9ko|>B}L9QmMBJUn# z@Ap}(BmMo=m7@W6hROnM<)@>)Er_1nuh%zH0-a6O#os;5i}71(Frx46t<+$*`Qt@9 zADr9I=etyYm_rG1o~tI(c`y5b@3Kj9J=F!rce~6{6=|!;KHz7G3wv2Wj_-EFjiM&T zXNjKlr)QTBZ(A{4vA4GPWSHB$aUz}ML0$}QLK;_?v}3gquCU@Px>#@6ue##25I56t zWYC9)=MMN-=nnnW}P-(?2!eRa1^M0i=~4E^znTu%vho1-Sue(xOoa?&t3LQ1gn95q2W zcgzM$J!$d<7rhPl)n8YV5$cNmfA{FZLBFMwWPel_9z%qXQRu@{dpylFrKp$hv^Q1} zdH*mscGEHg#eq8XJ8@qKFModJ$PW8iDuT`Bry@NU>q_IWxG3XMtj62qMA+Je8iPJO z%8lK;V%qTDw}q)8?(@fry~8EoW{3S0L4Z;B7j3`qkV2@lrUW_89>dpMb~?&)v5wT| z7gvw@uQn#Ert;H!JO-OjkVMVl?W<-Wg6?KH=g5D3b@k{jd!x~U9rtLLPn7)$-`R=F z5pLbBM23ESaqS44VKiSuX-3%EMcR_xPcO!8SusuiXH6kojF_qlw%yIzgGVy>@k9*?cV9V-ivT&&o9Prv7uppGkLdz(P)A8yQiW&7ip0pU!LVBZe1~*@X?@N zw@8QH)=~7is!;1)>`RvD$$WWn30FOR1U+n!%iOW_w)T20)|UMA^n9GiCYvd8J+H4N z?Q#k%Cm6QIi+^~4M`AO1SkKFA$96dyDf2ayoQzmIPhG6zKFK+_(Na(L>&t@Uf#_K_ zu6(b@BCWw6o?J}Wyi8yAJE^{oE;gn^)tSh3X5$BS!Ebz*>dE|gU3e_O-f$#g8g8cr zInN#~fP0JdG#fv-``N|#tt+M~4m8|K4RM(xq$c{l^6WlubLS9}L?cnKtsDc`61`zP zuWlUQ>0qKJ+;%^EABF~nU*v5OoMxfsU_82n?W<8x5Xzz-502fe|!dqv^N?h(0)IAzps^^+|Rd#G!)2Ku@4XP zFq}^t$!;n|M=qJH(2IBs#^62p!{hU@(wkPy7&*{Tk`C9w`7sP^UNO}!-^X&&uph6TQ`zdGUCyaw#t2sP-7`_^m*@=r{`yAJE=Oa`50&tF z>nZa6bwz1|LS0P94Z<@x=z{=v*+d*>s|vT?J+s%_LQn47%WH=b)G7i9>ZtWrn1x;! zkYl@D=ZzEVdXN{lb>*}X1GPoCrupLscRj?cfvJln*I0HIv&Q6MKWlDfgs(Nzl=$@G zT2g?cvBM9t&&P5iriz=%!7g*gioJh;L2!wl><6}EAxZAM8r86j}~YyJF{#BQlL7rowUB08b)c%TcNB_8D++(ee?D-1Lgp9o*O zP3KPc9{3q8`oPTtUu6ZCGlc(ARMLWTe*;-Jw0NZ={Bzf@hKW2!Q{>GsJ8&&BA-$LHfV zFGm1j3>*|-KVu|QUyK&GfWrZ@93EPIBPGOj&RAj0k+B=;3|m){8NS90o%i$-IfQ`^ zcUzhnfk9@-S2~V57>yBrSBa_4ax&f)=)u;YBfeJ^9N&eG7~J*vLhNR|39vArMs2Vj zIz{dm9XHpSO_2KX;_C4r_qpnWKREgj!%^(!yO<)DA*>q9&ql4c)RpOZQ-tZ*bgUTW zEE2nE=@j|Cddx_!)Y~v}`%(XUi`NQgRJ@a~%%v|HH0Che;}xO3GCSI5se$~j+FNTz z5y{W=)oGRCNOt4xOn9cY^p~gki976OC{dT+w*j3c^Yv9>TCg)h4sQ%`8*L1R_to9b z2w!K0$?C-wf_}to)pielg3#`*#a%dKZbi_=Z?u^@l11u@PXxQnRTsx=)xk~6rxB+9 z_KDCni1iOo&co4XDiEd-E|wS{z&nIa!JY=<8$?{8kRT&vFltr0)yC5QirhA zzcDK?h}E{Qh=uopeCfxWS3 zCv2sY>ageDUjqB{);`$s$zx!bE_~z=#P{C!yFaQ9k4#6QXimjq6g;~(qYyjn*eDcc z-k$FeB<9w+;VVucf-Y7>5&c_^bG$+Sen1hudlaY1LGKo$SnJa6jWY$IYvUb)$oVPP zjd8N2=-4&%bm-UpLvg~fXLT-3!ztw#qhp;~an@hE_#+3?^P*oTUBj6l1lh82;vid= zVrrT#Q=Vqa`mhnkQT!I8(C!T*9>3R%ZJ9M#??>gOX)v5nF&KqO*HEZOA-X}*mKoGA z%yxGb^RR)16&p`>cNNS0DQY%xYM6Nq3TR**A!xm2dJm@8Czx;$m_~PYSMkF$==Goy zF5y_khC{%HGr)!`z=kKl7K)OBeL?>Q>}vZa$0|NnnAianvUM+GiHC^hK!H85@ep@c z@mamJt9Pto23&Oc6!8#UblK=(EI(}Q3DISvdtn#P#q`2P=b|QFJ{dN87B;#SHagWs zR{&iKR}Hca(Pa&e4biPR@W&H7CSuBgEj8lDG&0T%_krzEFv~&u!Pi*p|Wzr!6VQEE{E?d50rQK?KM<*9o_ciN0yu3H~_-)+0W!nz_ox64ig^_2cYme%4VSFRRZhsjS*)IjTSDj{J}F>2y;+By^U=uSB0 zGMg2)v=*n`6&MsuO`JOG)S0t%1DyWB!^cl(EiU2vkDtF_@+*uOsifQ}^y!ubd+z)N zTw{Z;U!wr6Ih1&qTXXYPF^t_7?B>=sZp~mxDXuZaU@CXxRrU_tny`pSj=|(`%g?J` za*ck5)yy}WB_oGJk5N^FUC(F1UbNVPXKmiPt(nECOFEv+tGiQHPA!%NyYqb)uMUpR zv*6aeJ>bT?I(RK_4|plB4nE1V;DM!a^#T@bI2;Ti3%1qLWgLU?=a%6(TmxPL1DnDr zxHX6_j={|4mJv5x13>}`*Ue!A~7GjMh*u z&7lT$$(OW=dSE}Tp`olsYiQ&yqBS&3H&cVgWHN0+43^xXH8kM5P{T%iQAa~4;R!P< zvi4=fu{QVy)8~gkp>8FtsFL4ag73Xfg_a{BI=F{b$kRk0MzW-}Xv9 zLE3xE%V|0R)z)W@y_l}EyVK?NRnfJ671Qzu6V}w&q?col417}coaa`ewtHhtcjM5_ zua}s(Tsn02v(aG^u-jiEM|*eeY_e?ao!9+4 ze}(2&&31}tUi^|7v?=zK`WYj)z#aqREWB-&mTIg?luJ-@j2+<>B6ZSuf7aOW8IjO7^T|M8URAuL;%wKhizPE<`92>YLgYhiB z_)0}qZBq+bIp68&`?05{oGbd~>=pjO>rI#R{_LmvY0`R&FSSZV%8^4)K3Z;z`LOVM z?I+KDsZ#qG^(hHA&dbFQjGKCuZ&d1F5^?+1&>8AJ*N1AU?aoN~xvI48%}krcN59SS z-ug_q-@cU0iQ4mNj$sC~we-=bHP%PoEshE=?8yCWeC9`s=62hJ9^!fL*$Dsr4U5Vq zObn`it#x4V2KUpSK1~W|Z}(0A^;v5_`DmmvCn@ss;D8q|hHYPe*3#>cwnE}nVp+2>WHNNu%m(^SXTbDt_m+8sN=XO&eHSKIeoadm0K z!|`ieu9KmgG7dcZQ8V1_TF*;4OPx)H3iBuWW}W!u(Z0|svAuIT$yMDM++d`>quTVK zU*eZfGtZ9N;9D}+CRuKON8N5!PxMuH@F-}G+l4B1&Q4QK0 zQg-eEQFOh2`TlUh0n_u+Lsb22En{mnm1Uj0U9 zPs$MA(DI#G5gyvL@Wz*4zUNPq{)MsGX(BTWj`aIwUskYM@n})0qS}FbPjq92%q`D! zwUJ5tSTnRX-F~WnMA_BuuA=HO@l-xx|K_%p)m}~Sttvl~)%Q%I{c?LdChu#TKEeBO zDa-wV=6H|d6R-7-bv24vYLfXe&qnqr@A8PLny_d6rW++c9PW)DrW3Fs=8Z~JXjIQT zB5YGE-!J9n7S-+k=KF})k`JEU+w&ir`tAF$s-#6?cQUy=;pi|M|JLEta^!;2!XEZ? zo1K!Hy6D847rHTwWQW#rvhY}kk(0}<)>pa-13LVx4<&rEFaBJmtzPyp&&4CI{WV%D6s|`Ki9rVB;m3)o$ll8^2OhHfB7GrliQIGI@e{;h<0O3u+YcMn%c zIxW}V=D*_T!%~HaC#-0j)AzK8EYMpN-8ffm=ArGo9*hli-(Fh$X0+#xoTAUw^;)6b9|nlC>-IuX zXLp|mRUPwg&oFnn`+itZhwaWIgU3(Imf4qoiF{Mmj_X?OX`PUI;FZjZtqvufFQr|s z+)J+d{8GcC@YQ27(nMy__G`DZK58kr6^+!B!|fbyP+vXUzwk(vM%0ykOt5(8D&H1dD1u;JydUyQZnmp2=D>^;xEzc+Lk)bZ8s}5Id3rlQR>Hl)ZvB1q)dlQ~2II9kxtvO|Th1OugXEx6?@%su_ zuUDT%R_2QGeYdptJTK$B#q#LMj3F+!TS(LV4erHCiBGEMZual6f65kI6CY7MFaLS{ z;vG?g{aeNp?WCr5i(51I9{4(QUat7oE7{D_`G-E(9glr)Ffr_%R|&}zbumjE2z}OI zk#nZcxpsj?Vnfk4mOC9W-9oT_18u#d)L|Ddp<6^Qf~J*`}H?e$Jym6$0WX- zHFwRu85c-F`-*@oW0T0dIGO3uf(Iu!M)rtIJUM*N(JY~1lf!$rk@V{$#_2?|0%H=p z`+iJI>KP>Hd#XqweZ<^VW%cit-?Jp^H-Az#IHi*NP9*qV#keygm$*Dt8hu!F&y&~F zLqdOfe`%pQ^2~IPu+{T*_=w6)@N@WNW;& zEb*0gLe7SNV|LWoLL^X zFE&j}BtKa?Nr#G*_e+gidFQjy&4o`NNFTp17Qmzxf3)3`rbOp^KjnX>AQogm4^Ccv!^F0(JG}7sto%t1w?4)3>U=ZFpGv z3qx{x=%{7aK2Ck;@J-dNLBR0R%;L|Z_TFw^QDU%sx7ci#Rf+dugBD%y0I zZ2YpVcjVYTg^xAke<{cXrGzyTi{kHJe9z>{`gV;sOzHUS-nymo#r)vFTI+}M%Hfq& zGf3X-UEvMdqc^->osp;J8PKy^*ki-}G1^Jed)_q7KY6-`*cD2M7#-hVGylS1{^bg%8c6c7rS3|sI18kjcR%) zOZ?s}oU{Dm%~u8~@19nU9jEMd*TQ2*`I|PgVtuE5L#J7gySh0gjY~sAtEVI$OaG;5 zk~1Qv@VWW^tRcP)ePR*!o{{BG7H{-^BB^jhEsq%-SY9#nu50d1<6~DQoYRZg*Qq~+ z#7iIh#`rb8TlHkg*;3u3v7OEz?6!2dNA&GiyHui*ag&S;>bEjY9wg!OK2B`%;W6_s zm_D+x%_nN>KRDJ`%LW`Euk?@h^^Ok@K0i3*Q~jWT?MdHkOU_j4PIbH~e<1R#xDuIr zuzQumuE&om6$5M9zON05>+`7U;Pc!P_HpfpQ8Nn%$jHbcY4=}FG}Q}kTNiaiYP#hm zgNz3cr>vYFQZw6aYrPVgo;M=p@dMpm!M*#%jV4AvDONl>a@mU0{etUjY%{Kkx{_sQ zMPpm4XC6#?xA5`gNqb&*F1WuiC$-ybMtGZDK`!G-?5`;9{nRXBW8hw*8oKko_9(xX zrkBh4-Ir~9ppkH(REo$iy=!{?{39L14X?}}b*P=K8nmB3>6Va}+m_b`1r@I*6C%GN z>vQ{08;3~7?NP~#NzVS2GmfRDFrq`epUqmiv5JT*?5A6mQ?X?xt4(_`6t7}S3`()X98G)H?2K&5INniIU&&%~^AM$@2z2#El*}RtQ;-3q% z_9VZr8d&>!y1p}c_<(VJI7P+iM0%n6QFa$=ncb%9saY9UhpJ87+qK-9fEMH-}(r(pi zdk04+XBSsD_cd$Rd3btxuixO~>$h>!X0m1Lw(UFo19t8T+#M7g5*ijB5xHk?RP?_6 z2VxG!#>F2Z35kb~98EfQJUJybE&asFjLfW4r_Y?tK9`f5cmBdf%vqPOT)kFs{YK%< zqFco!x9^memEWzncfaz%!$*&wJbm{31*v-Zs`~Ytnzyxe^$m?p%`L5M?H!%(-gkZY z*!}7Am#^PMzW?a?`K!0Df8cMV!|4J1K|*@#%vo3~S=BA(tWVSR@d0I4odqo`uRs}p zqww&C#aaAcKa!lt;2>wK&|g=a9^^WQi~+BZ z9kpQzV2@YVklUFylGR*#GJMTEBm1F%q;jr7=L*>5k&6`&JH5;Y@9BG;AMv-FP6ld``C2nigA7JqGARHl3#78M zqmi`dq%3wi&PFo3GH1UZvDTC8c~f{i$QjveZoX&|4+fY&LGsg+i!`@H(^#dPyt~ZT zs%u9AkUGV^p9Kssb@(rkD5)W97O2yVODs}Y!ztbnw%sb#?jqGmq^(23LkTgwYnc*}8`l;#){scv8 z1Ic$zibw9(n;R*+k;@e6xR(>-V?9No_qE8459&|9D(+5LoEIvlVHS1ZE3qhY;u;F8?u z)AZlvqXDaDslpF)k@o{hQ&W_>n;?S*d?;r>ZczRw@R1O=1t66kAl+&b)YjY zra))PPVMzxtR?k{;!ZR%hn#ox`bAn&9|=vO+Rh;T^|()uMcNXdo?Qh)W6WV_qP&;r z5V&T+(Ex{;qxjq4Ts}*X2Yv(e$Z58!XczL@J`w<*`T@CwAWCR{D>isEDM|^XkGl2l zsYnlV-65ZzUphoVtp55U&_5t{$otCdn2nTyd0hanM9+5uoAI>--tY`y5*QC9b^|ae zr0b+Vzqoch(7{9%kpZVzJ{=j)vQv8qfC^mMwIlxa$mF$@X9ClhAoUfA?VVsgggYw; zn2;r4YF-!8YZ*7F6I2geY6P4+J#_6N9Vzk!z!ZXJj9>@O?YC^Q9OXv>oe;pycaS(X zBN`H26qP_G^=CD*(8#2U(rehXY?@+U!<{S+hXen+k-W=!4m#t>g*YT9ae22n`Dv-I zRRpZjD&sLCo%d--zy;~xqBc}Uj`PmRaNse0_fT zaDW2=y3mA-t1q1D8{z~c5g3Qc+&I6b`f@+t+)Nh?asj>5`OrCz$o9Osd3>iMuD=!Z zzy=GXglh_s_%|JohnjzQJ6UNsN)XW><%NFfslJgMi0~K$GV35%2LjfCP}o5W8&lZA za3rg-1l_Qh!WNf~20G1F6XoxyJb!4LgF3l`bS4m;FIC_S>}LURcz8Z`({cla{)Wz5}&ct4*Qu({7oSEyv?;K{c@b545F0ziU*Q195;I>_mwBys>0Z*W>dsei%tXG$dmr9V66U0i0#Q1l-|;LtB>@PDF8T;AkCCho~-9}A&3BUK0V`+9jk!K0SVjhLwUlt zG%gn4gjJWxVgJ<}P$37v*EA*mmU4`>*7_p{>H*DpTTK~GxR3}25QJcI@Y;nFFos@F z4O=rG-U1_pxGvO``0@%<0&Y*@^UH!H>Of*2o?J-Swqk}7e=8|Fjd>4j3MCyWG5HB_ zm%sgNHQ~;OIR`hdG$e$t6^`#`qpvUkNNtaoxh`m!o9Q6_K$ShcoJ4>&s)>H!0zPAe z-#^Yf2!Bw-pnfXaYq8$&K2lqPQGxCTuqe=F{shb~92iqo7)~F*!(Q5WG+3$Z7^L(S z`aldtP^u);hm5AP@_`M26M;0Gq!^pzmsi)1(LsL@?%)u?EhuBpvj=>Z>W`pT2WQol zL3}2G;!qcT=jtXp6@ExzOTb+irbpok;5^IpN6>K=u`^K`<3<`@EYt^me0KFP+2Md| z>A+}%QDL+QMSHfcG*T6N{}4c$ov{i?vHR!t`z@b75>QK4l+Us$cpJENGSYjow)9tU za)C|+;o14{LIPkG4Ahl*@teS&ARM#kD+(pgNFpY1E{a4^RiH@d%J~`~J@OL}bYp}> zD4^(VIcfNhYLH?g?;hsFY_^3vH(@{m$RqOu6h^Q+C=7aa+sJZ*5fp60gC2W zfIVft?&ro}B*nB3pWa^I^9fEmpp;41E&+3$-W?*sG%h(>b=;vY9lqX7dkK@5!mjf;uPTxSRdqodp5 zHz1F)DG)RCd$r*8q@BQ(gxuYTwZ1|>-U!0oX-L5fx8M;9;Gs~zrY}4sJ!FlUw$!H= z`RI8=kYBgbLh$byGRUN>-f4&VzMo=!n{IwKhpc#Fc!vrJ)lKRsrJb|>na~lO2klB}@AOmvy zlL?1ppqKG?iUK))ZOW-2%TH`5sDecQPCg2%{0pT3v+)9)O1n4tO;do}I0aDUzwi_y ze*qQXFaAzbfZza20i1(^GAIC(!BXY47NC;H%L0SgpkuHE8iQ(ZG1!t)F(`nEL4gu6 zC;*2+fx<92J{N^?YNaR)id+t6(lzv3C5jz97zdq}g5r%?ToR{gZI>SoaX{t>!SSZw zG7Ss@Qz5t)7zFMJ7zDr@*nmS|1G#}sd7CQs&t3eWCn#!z0(c)RLHnQ?sE=cn06jWI zWE`smDdB@B5g5d*0Q3>qAY{u}gZyBCfvBCu;_31rTh`BAU`lW_EGsQv)J+U(U}~5N zX*G2sLB%!9)3h4qXIc$QIRi!|j4#_@q~Ny-EbE;v(!JKON-hd840ltM+y{l-2SHTpDf(dtmS#1v!js!DXH?4-X;w`K|0azM& zK{cR4R@FPzum(bcY8s^-uo!~{8=mG=LoprLa58K#^L8W40cQlBlZs;D?8YGu%>8r+ z=5vVylZ=hwGH9j%4p#7%5$O)BId_8{Se_rT)i9K1mcZ!5?+|Q35h~O*uR}Se#DSU7 zDCEFMy6W>&?=wnogheqPX^utlotBbD)_quWXn%6t5wuu!=oA*hvFJ67MBrC1)1-b#M3cN5v&Xrym9Ol!TixiOdSL7wUQ+U!dYXK`lJZnKS%d8`xqY?Q9GjF70h%l@0Ry$}5>CS(Qu=)+e(nS@)MjRD#j!Es=_- zWR)&Njqi6@hezJ=WGYU2HnTo3%6C36m1`=QP86^)#*a0XYz!W-F>*vyGBes43-T}A zVz!AX(*I`2#amkajkX}Jz%4wz)`JMxgzP*Iw?Gn^Lt7k-jVC|^jv`y1`Uh?S+M?ik zA)tZVcSza4;}-P|O`r%mkk0=|X$!)`EjT2LsF*mw0_i_U7Q#hYfH_PCYw!;w3t3@n z2l&A0pGcOV;LxxL!bMsBBv~jHaV{s1L$VMq%JMIgDQ$siCp*Bz%x&jr0Y&<^)f`K8315@UO)^QLQT1Sx<5G~Z?!9lc{!vfJd zF8kw1O)l!Q2dR8P9@|4}c_AmCegGzah&YJm;` z-NLH_+`_dO1>W``YvJ#a15r6w=LYCQ^8Mt-H_58aBVI^ z0Z))-Z^=+G976=;d9WddmlV*uUm&e~d# zlVC$SPt`I%Xal$z?q+jA>o7L$tlEKq0ntoGigF!8DAyrPt)bMCp$l1Bl%v)#Y7M8B zJhc?4rAVz2)EY@GLM^4bsZ3*X^xys$m5=p*fI`MacNk2X7()o_Y%8AYgS4O>=9p#zwrc5d5lksGaToQk8LQqk1gQ4=uBAtU+zt7r)HTigt@z8qSY=YA{@B1(|K0`eDAB z`kM8duSu+Pc1b-FULft}V0Za8vZ}YA*IAPN56qre`A77sOg+}Oe&^2mzCoK`FAhog zp{agUIJ~e_i?~?l_d6t>5I0oW-DDXaQYSCBtK0v=+2QUk@7F#aI>?bE9qP2cC9QO@ z>#OBi#f^T2LnOT3th|ugwQOmoa;6A-4$00QSb1-hnDynW>{;>kCH#vGeajR*W_sPf zR6RXYidc}C&@&&F=EmpucF3+N8$V1hIy75;e|PuD4NLtBMD6E$kO%jkss(h`b=lc( zek|wiOhAXiB-sUE`BkV!j7h-d%PMBwgm3NA z=u?A6n-r*>diu@xV4h-dwAA3S*+j|k2O?egbN^D|Ij@c5U5Hn(Hnp#kzWQdpz z@&3GI)hoLulXb1;o4;*fR`_;VuJ3f|vT|=^QYugXI=awl4 zo=($G_~KSj^mEOIYbr7C$>#|N_CGPaBrd-CtDL{ok&#m)ehzbe=iVi3-TY25L2x?> zyQFJ=NMu)^?7B~~YkE)F9{hT=LG8R{w_4ygd6(+twdA{YdQpGifcv3By$xyd`{ib@ ztCurO6Y}uh^y=HsC0Esm$*j1%vm$GnBvrEBf3eDtG?);Wnbf!PdPn2bbt^NN)g*dH zL2WU6?$PVnokU`5{fd(*eGAPqrM8~lcK+SN5j(rdG-a<-N4~o?80vbJUlnoxxOmEe zUwk%~M><;_|Jpfv>q|0S-}_0Cm`lBt%l@C8!XB-bYZDmp>B&m5yHD~7nC2*vxWi9P z7X((DTr29dnC5WD;AmKMW%-K{9%Z*mgnEAHXA-$V!?*4^{Mn)8MtVnUr+!T97uK49 z@J_pmS5=dZXI_vZyOWNKj1_gx5*_m0a^1rCPMfV;YKKS!zF(W1^jUY}aY?fO(cAe4 z(}si!u5zoNZ+>ZNzL2Q>xwFEa&lJTS&L!4rkhq7ZIyJ`yv$wx)H8`I7YVJb~WtZGM zzMZ{xbM`hp6ZIf%i{?i3^;%t#-Mp)4v|iA+9bfy+ODaYE>TH+B4H{`tO7u^p-X1yH zIKEj`Qg-Fq4L9Vxm#;p3B%w+tGW?YAkm1`&=h}e7y@N-Ebi405Hr_Qv*!vaVxQuSO zz;53&WfONL4JDD1(=4tw)GjQXywdvW4}O0>|J-sd-)-Mlyqmh<{7)fA^2jWH&!?F> zQSB=;Ps(}tJ)WG}{$|3~b+@$AzI7Qy#rBhxueFA+I22qsHt9p<;Ei6Vzxv&)kn(ZN zl072MnB}H)kok8;DLR+m`s~>_-_YxBk%(Q%cr}}x^B-nr|ET`#TcUI* zy=45v+i>PMok8RQMEsUV!jWN1Y&pm6lI4Pq$ z>2fFOS&}>2e9@WOnnfqS%Ct>!T7FG^e?_T`zT)bvA2RRN=aMlVw-szn5^7$}Nfb0P zOn-e>+5hcG_GCYsL$&%_UDMu?rz8EECXI1F>VJJ$bX_^Wh4HQzT086y_5RcfZqXX5 zzn++Ex~k~C&@s_7wAv)WE3K!gP09V%nMdj>mKlA%-U+SbWvz@uLCV<=AA9GYk{UKP zEaR$_U+ue}D?N6#O*?tw*cbBUyZZKrWf!I86}?|s?F#=WKOt>sbY;`hkQnK6hC7bP zkPT6N5h8OIB_^>%NZ0xlb`Ptz=49rI{O z^ecy^$XiTIx!}qLK1JlNs6wRA;i*c~$VLg)Cy3Yo?4JyROo+C2AF8U>tR z@WSInrCt8duyHqfh+bw5v-MlRg||kVVkI}dU?gMeC$!FLjhVdZ>ik2G9op6%u3DLJ%lMh? z@u!XlDxE$@kg|bYrM=sJMwPxc-5T-3r$K&dv9xjN^b*CzPgEDb^57%ucJ)uuN*EM< zugYNe#<$w>FPojOUDG->C2(*1%sHo(lSt!R?U>`=tY2!3^(nhE`NED9V6^rq&lfClI0Z{s45Ju-VhG#9P9(V(2FnDt8TM7{I@!2{P@tpgL;Zcm42p4fS+9 zB`#rcnuso6a#&QrIWOb?{>!L?S@~108M>@*k)3#Dc3ubL zd+MGUdE$|sH)!70pX)l+v=8Q#O-LSM>C~yFadO;s5%J)vc1uz;ZmIQ^_fHl4K2Fs1 zzn(qF;bKdf;;MCzXP@i;XniX2DH*f3u58ef8Jmko|DCe<3$h^pOj-N|S&;gFq%8h| zEXY68CV#;u_(SraXp_HS6Y|fr$zQMu`DfbXFW7|qGi~x0Y$B5SFWTgE(X(u1Qz(CMP*5y4@E>&D!3Gg>L@N1qPmS&eoV_|18QUcldi@;avbH% z|7$!**#PHiQ0!N>Qx`+bm)!dJpcJMkGSKL0+Aq&2?eeR=svfD@UE zkIf(C#%%_j(N|NLLep}h9T1k-h%dpvy||i0c>Dv>nMi!n4~n6!2Xb&Vj=?BO7c3GhxU+RXq z&mAjVqK4Nk!o2vVU+w2VS1vu0)kpH4zBqwaMH6R@6g&-=uKpkbhInwMe0Rd1wkk-=7$TbAd4XNNm zn{1HFuECuE5)y4kJ_%nHOMk+5<%D84$oQp)xG$K12byyL>UDKwRtqgfsxrjL5Zc0@6ic5hT_iQ-jaXWyLUe*_H$2(uv14y@`8 zgf(11Mm3j`Oejid3O6`lvMicm-l2sDw>tiA9{3N^&}Sx%DuhPw%* z8S?gf+0h=0G{p(N%SY~S8uFiTcb7S1fFor`d0U`YtEdvdbV6hNM5sH|Q{Fv5c5tyS zlIoWdx32&!&`_Kn;!GfA&~f*46o4ryBocYlz>VYpU?=>Ice28XJMR4bgB%2@&XDdW z7a=lXpzsp|6ciUmvFc0GND!o01e)&PEDJOM8l1tS0weR{5`tnHy!i$>w#&%`fnItt z0{LU{4>Se2++g^xHwEAgfQU3x{$c}Q84O`G8w#eYA{%-!ROkhR1iDp#zx7_uLEm71 zVX9$-FWt*e2mKw^Z@C9Ifi>s_u|Vk-0+ESadH8 z7opGt9es}aucjZ}HV5I8D95C8x>6TKc()fcF#Y_pq= zu?%V|=xV{%(o-aAJ?(2~;{>dpp~Rvgz)>E#M}2Lg43L?tKxSqtGoXKv)zy~hehM;g z1^B7AoOG$GuowV299|p5%#{Qu89u6|;(VLjhkt`5gI>lljFO;nAh+a4lfG}c>1HB9 zN4ibRG0*{b!&s{eun2^WBW47!ehK~rW2?x!%JT{SP;}yNhNOfq_0u;uPe9O#5(fmT zL#u$Iv_VpUs%rECI1B^KC;1g)sz0KR2vXu>gO&aW5Ot>jdrck=MGjDSX4;Z~Dvs;~ z5T+^u>II@yKp=36m`&i)z!;p0UJqye@rF?SjzyZ-x*!SD4TUo>N?GX2{(K9X&(&0& z3`V*>ahoj%aEQ{oP4Ejbh`!-Q6UCo^oV8OA{>jX~9RKygJ=5r@9|Tj>#N7ZDw~ zU*J}N3hBsvdwByc$pJDh9@@Sd=n=3?a$qAyWej*wOj?Y=p&FCL8XhIE(;m)A05HY) zECq1&mLhpvK;&4EvkCBu$Cw&y$TY$Sj02$3WX#*QXlfo>BhN1^WoJ}Z&ho`s_z-V(t z4#ae(QcjR$?ePEoHRPPu6cWuLn1eYAQy8H@ zZUB;k775kiP{JgdxtFZBg1$=iHE7Y`o$4LJ6>>OWxk@tkdYNfS(ibIwJ<~__*Oz2K zRttj`SWFl4Thf+$Gx;Ss@`0CcE8JLP~RnW~643;MFB3(%K7u-Rh>q(}hIT2BIh zQk(`D3Ea*L#3o)Ws7l&Cs+KhD=PL|tjwX1s0)9rvgMo%SkeacaEcdInNajQ&m}2Q~ zpiH1_2C*s-H9PEP2F;=<7<{P+?}ZCBa0hf+1z6JgC@*&F3V3TP;Ygm*SEE7*wP94~ zrkXamIZVCKXR(?>hGCXW4P9rhO)m;MA#+rO@n*q+k9y&x5d`!R#7{0^G@Y#qqVD3M z&9>e=p^tbf^g%cP1yAW?4NvG}|4^>b$E9gEO$bNngNrv%K?Q9qg)D#JSyb~g;Ky-07(IKL4g#sadDIaBj{>a zp$)|8^iFDvf|$LiIX?x3)i6RuvCz4M z_T@FO_#OpyBwwBE)GG0TxFN`1~UkL0R1ok2jW0E zkclvCVK`PbsL(}_E#nF;kXu!ZZfX^?qF$nkv8p$yV&t2Ybe(h9ci01I*gk{9>z`>7;{Iga%ms*RCI7anwB2#F2GnvrT8mUGLRd7G}m z2&8L3NF!YXNJp>+OZ5z@p5V6!HA*L8+{f?dli@7+XYJxgd3Ey9LPOgpnDLbi?_6d}tDw22<3H#ewGGN}A8a{=f_okS4f zsA%wXDR>GDU=Mzy2Zs^}PnQBY>%X%H*9v~iQV^a(!?VA$2RvB{B(=Z)Ab+q29LWzP z*5wtbgaZdXAUyVfN`CO9CH}-Z2o)c2bavrtP5c|y0m+X&geyG}|L<4_BtN)P6okih z{0r-NP9;A$+7w)@gYdWxj)uViizim`H>`t<;3$+p@&k!|s$TJLSjQqsa|@oB#c!;` z(Si-#1DZ*O775KyL-T;Hpm;zl|I#}^C1X!pfT++F#6Rc;Q2L-HD(Zm^RH+S31G<9Z z2{P4!Jc$z|sRgN=0u)WC$x|?)8{iZ}plCpAA*(9sb9TXMz0S zwL*f0R|oinYcWN<8lWdU3$irS0-yn(?;3nJ};3nJ};3nJ};3gb{k>SA^uTgOyQW-C(=tc{Cgkf_khby2`rHxIMI%b)`Hm4h`#!&!W2E#*`bU+o^bceef z!Hv2GZ1j#RxFI6O5!}EaKs_3;3Eh4jw%(RHm53C<;uBrq&p0sZdLm zT58l9ORaI#Qm2dYw5UO?3DnZ0mKL?N>+C3s@z;N4LLKScej&p$R-d6DZyLE^sN=15#z?TCP#A?20% zeCBCq!mk!(eO&cU-SqUsGUe^$S#O`mjq5tGBId?Ok}CK-798-*%w#N!KE2Z1;&GJ_ z|67*_&D9O+Sg?I-nXKTlT&cw-(a)WXzU@tIuaVo{;kW^HBR`TkdEdk2@s_tR`KW3uHkRe)zOeJMVg+xMBDuk3w8477a8qG9O5)D%3A!$x3p@^h`NJ^Qf zN}++0e6Mx5Kkny#?%yBJ@A>2VeZAW2tiAR==j^l3+H0R&?{!@dbi-nL*Kd4i{A}K; zGCixCS`QS2-QM@FEVsD-NNi_78PUk$;lL+ z+?w^Uk#-)grz z$!A&ZZ60+mmuqwDq~TSEg4-<~vi{QDv%PHEp=UR`rv4$suHa|Y)HTX0;)mx-*k4I( zlnZ(FV%j$6+=;&Vu{i_vE7t>vlX_ZwQo?|KLoc2SR*K`l@yfMN3TwozAm` zQk`NF?Gaf&FT^8*gG^p?O(w$c`t<3Rd2>^bJ&@Je)3o$PZsn|*wwI-1&ekj<+8z(* zUBCF&bYpC{Wnrs8%#Er=nL2{8)ME#eJ3qYGGe2&c*i_Om{f-O>L46IlBLadeqxjqFzq%?=`|)QU<%kd|pke z`f04Qt4cff;v2HiJfKGBm1~7ReuoPM)}>y5)<*p~$Woo?Enc zMfx}BrsZEI?DM7{YMXDSZ13S*$ZflAZR-1Ck@Y!sj}@#YdlZEZ^hkohPwYMR=K} zjN4rzJpIVw9a-Hb`s&_${qwl3?8wsKsPNZf+k>`UD=U)ps~;KEH&qF0&@zzPus5J< z&0B8r$K`B1hxZ#X0sp535 zJZ)&fUauU%9a&q_-@O#sR4-2y+=}k~w!J#oE)%dl@q=643xOTpsbBRjBv;K4wa;U5 zB#Ug5k6s*dzF*j?Yv9{xbTy-TriMk@=hdsM(-X7*Sf!J+GlRS`TM7gRd$ly>JaT#) z?sG^?T5+uJSC`_vx&AlViKMWzpMBy_>vejEGeU}4ti0F9<(=v>yHs(L*VHIW$b%f- z{iOe$?y>hn@8pdq=!bul`Zafl-=$BB_U^jbT_LdhBT<`o=w?6!?L?y{4hL9p5H7H?iF;&`&G|oHq$KmxA{DqOIMm!7Hb&n`qD3ZRFoV) zQ#@yZYprU0M&J5Pfv=4&f0zNpJ*@KBjVa);9GmEDT# zzMAcua>FX&#!Tnk&6`N))S1yw-9qb|ws)(IU+?=Sbbw9vFh4oPD&+TLa8>2{=Pf!n?uo@pok;%e z7d^0Z#Kq^S<&HXUGU$2WrNy?_Ha+3jcn-w9clmkx9#_PfCW8{gWm_s=47igf9l4c{ z+Qg0sB$xE8+mRv_t7f>VW=J|lEbitjhk$c}Bu(CA#r1ceZ0<~*F(;v-c2*dxlF5}1 zzt&$9nmJE zbw7JhOovCvQ?j_mVqNx3vy)btEhXwJNw)0iFEw1wR*3^1l}}{TOC|^KgvWmIKK{zN z%P;(e!Do;j(pJQ+((deA|N1GH-}XxroboT#>&vBP zc3FYG`6)4jT|YylERyE$EV7?;v5xo1^%lp@1NVNAz@+Dki_L@6raK%II4JFO%iQc_-=kH-ntwb{_T_}Qkn|tNrHF?T6)ordx%6h$C zdGCQl>e*h8j%`QCBVD@;sif&@UQNR$w{>6kYd`DOxI5==i*P_>h3W0tOUVh=scx?w zUU!QgzW*zTH$LZ;;j(pkN*AB8MHCN)Pf2)68XZp+uc|fKw7dGJfFDa}ZiTA5?+5!@ z)<0VlvP8}*_>)|%BUk3!T^^G;n0_RmM2HFwQQJe}_26 z84mJytBi4oW1Qh2f49mQhd9O=4)S-)ka37(oZ%pUw+tDFIK~+co^i{N@&7V_wGe@A z21swf6{55dqlGvvBv6bDj7a5Ww>T1=w_Y5L9>|4+x?YwJgRmyW;q-?wc1|7EqY zab!ZyzrUW{Kdm-sCK#nFj{Yy{3SCJCq8AXHf@Fnvg-8d19>~=8R>A@RQUD2raK!VG zskuYJsJ$8IX9KMlS~xUE>N7#Ikyrqa0eAvnhB;i->Ev+y{ky*wK@6Bd{fEF0Ab6uO z{-h5)0h8V_4I3&nG~Yk(sARZAo^a79k*yFiAikg4Z3l7d4NNu=zyPNX5Dm+SD)mff zT57{D;{sFrgn9Q7PnzoR@>ZOm=vwWm!_9ylHZ6m$4oPc|J)M4Bk?mMS;0q5`4S%br zLdqTo$WJ|0H{${};Lql5DX~0f|L$872LZ(b4?(0ldG|QFn^%a;6pbWD|18E zh{a5Hh<=4BIJY!pg2^wy=Dap94uU%7!Iq?ix)~uk<|!upg3N%q1ZKTr5;W7F0rD0l z3XXcidjVf6(kDQNumVt_r;7gzX8J=Xocg-~_7jk@0H|D!CdeN_xD2MuQvZOjwYSdf zheZqYM@$rkq|VYzU@oy`g_|vFQVMMm z`3A_1hznS&BgJT;>OO(7J=OiU!L)t|oo^qFF8~SyjZjl`5Z)qWH{^8~ty6Ux9v?L~ zaSruiq+f~v4|7$Rw2;emYO=^6lvt=}FtUgC%p@ORsNsuqIzXr&3TPR&7TC8iRq0At z>|4-)jr;_N($bKMN&tyKw1;9$9T;eY3x#HI!T`-|WhM;O-$m*OGou8S8=y6Q;9vtC z4W@I`gc}i`)o=iTNd-ho8Ft_=Acbb~_c&@3ynh{}I>^YZtsn#Fn|yqB33SC=02nZ# zC$Qu|stSkzbHq_#68i29uw%hzIOuJT_=eisNxT0be%` zN)+q`I11fqzuy&}!~AZlL1sjf%}8B>gi9$W@I&{{gK|>l>3N#Vl%e7E!G^E_edmQR zcYq=bK#C?hfDXY_ba$q?#+4I0t+d1j-jD+DE+)#DgpTu!0Fz%0MahBAOE6u(BImG| z`78l3sQ*k{gR0Z;>VUrlHJm`qh_fuAJ(waIG^2?ERXf(qn>qpo9W)O$cDPKUAh(Ml zRRGfHB3X#_ppeW|CIAUS8jKn+Fmg3!r}Y9%gs1i*i(%3OJ!A8-nG-%$f^4vWI*FJc z2)rE_f&e;98LWpp2$W4eFi-Kjz<>?oq$3>$P7mMe?xKH#H-cy#g@_rkAp|*5sha;Q zq0%HxOX@5GerB!)HVa%0JUW0_WTF$#A)rTSQV<<#z*bNc>l0O^0m-m*0Ce#Yw?w=K z6ltVN0O%@#M*{jAblkK1H#jfkML#gd6<3>oZvTdj^GWt0oO3>EJVIF#z(iXj2Dg% zA1c(H4Vc7dmvv-;DEbkuF+({HJQb-D&|{ed0>(k`KgS8aWAUGGR0#zP#)Z)-8i)gI zH4tC5ZXs|84685*pw>CpfFwY61>pe2T9$^Q09u&A_Zb;jpfeze*XP4z1Uhsp<)aL7 z>@fK7f_oF`tG+@nUBCzV$a;V~P#dmxfH{+B@XA&=>mbSJ_Gw7BlEWa)AS>fTm81ee zKo(1RcH|~A4hZ1SuO4%5#n%)E-;$|6;U-1*XOcWXW7ygd2>~|&9%preLGSa6%ODZw zLX*FaAdvZh2=dWCY5Y^cIXD3=kz;EwNe*!}RzUC+j3#KF7&S1mMCcGYF4<+bK)d4uWZRu2C2M4(`a`7U?kX)!Ah`S7e|DhETig z<}BK#G^HN2gu1DCx zYuU_+pDK}s1owomurt|(lpxqXU`)`HW@%=7h_n({&M6p#k(Kfl-XgoK=S`tWVxXfW zf4MsmntoK4eHeoayjO0V#C1orXD(oTkb!+l_Cd0Fnev2BOf(9R3%wly5X61sLXZng z6S^z2$zg9Z>R$wS!E6Q|FC9fX5*Q%3%fLnps1XOo(#6xc(<3F3wgIyRj7=OMMQ|@s z6G0FcbzzdjPlK=l0<$krQ#z8r8_};}LNWn#8Uom0Ytse?4vf|K5rf#3U{`np;kw0- z3u=NyjcxD?1x5~M+4k5kn&y6o0KuZj8@^54i|E)qhE5&d!L&l67E4Yr-{^tI52a-A zI{~MjVuMW74cc^WUvsMhs)z`0{ksJ-cAGhhA{#^1@>&v8_kwsI!sWP zCVM>@R+kd46>}!{;ikFg!Uf4go0r3*3%5!1FPzt+3kRHViFH-N{mDwxX*&VVrpWsl zzo3x)0>_N|7ho2oNN<`kaM=JOLzvb!a6-Z}fW}ZW7@&ZL3LJC@r3e~3Oo&eH3H?E+ z0}(8VUNZSD&`hvjfzyaE%r_G8lR}wy3ed|_z&&tsAEZYB-~mE~p9O1NZjdDSO#$Np zDv2)rmlqP~o0r#4`T;c?;%~T{xZfV#sii16#0i(|Mpv?8j^J1Dw1BEa(6eKAV0idN zlib$pF6nt@+2H06&UDSsr^fBhn9i~{)OK(814c!Q4x zGmry57EtsFB?n&HL)8a6FcL}wS7=tnUp^K#Z^@XC1v7Ak`dHAw6$%m1ycHS>LWXJJ z3OIu4G;oFbSTF-uz!D75yp?ESa(WsKT%o}rV;F{49|BjHxggBE6@ue{(FEl%#&ciB zFpLEh!ywGu7bb^+!x+ObG{!ItJ7h?ZvD}wYpO9JCs80wp_hr;3gb~I*f%=31F+juz zr~^P4sKXxwFhaf_8sH3q>XFHS7UqLeaA?C?I4{=Jv9|U-3XVfqyNb$ddlaDvdSRJ! z6VHVD92ktO7gT|Bp!#YZssK4q!e7KOm=zQUn)kAx;Xeci>P&zG&KEdVIA7pEQHPre z>TsGs9jFLOfFfvtg$e4wHc*FoiBlaHwJxFO8fXVS)6}?R)G*|dIz7>#IcWTfV=#?K z;c4NwW3W+X;uS5j08YH1l@wGeXyqI#C3L6zbnQ6SZa=VNY@{pm@B+XoY>Vp{?0B5n z%vHzuLyIa}q@w8wDy)gJ8BTSKQkTmd^o)Ps4SSDMQb`Ip=q2SVPxV60u`>CjM6+TY;~;&x^#~6ML3qe)JX#~!grQ7}-)&0jA(z|a)Kf0E@u-8! z;G`TzeX9UKjJk)kV5wUdLLV8~fHNl7F;)TF@J9klqFhoPtJyQlpgP76`udNXrRx}R zIOYPp$|me|3_IoNqT)hdQmcb&LM!)WMngJ=gituvaZC3XHC_R}B74wH9%ORw-snQDyI zay3SDm%19`x_d68s*6XBaS4?tT`X!5xzrj4uWI)E;hz>pR@$o;o-Bq8UV3TTt7e`$ zMp;B|GfN%gjC(FCuDXa^HaPS2x#t4hIKfQqn4tCqGBah z{f1CGCwqv86=TjJ94*qp%g_a6`SEAKp)^C zK&5J!bI`SM4*}YE?Z!>o2oC|e2ICC622O=OSgx_AjqnwrYoqo7?4=Yfp-{w_LkO*o z8G=C1<{WbXp{-+vAE>g!u;6$MZNuBqWExt z5NMJNZ5?wm8D%Dr6EliR0B0GkAd+Jgus|foXbaGk(Van&jJALw8LfaI8QmHD$Y=}b z5oU$qFuD$MWV8ZqWQ>#mHZn#^fEpRA0U8-2H9(DwwE-F#dj`7uSQ|R`SPgx7>>1!z z#@c|bj6DNAbF2-qIb$_YE1)GnUmz5RiA~ThHCjRc0X>1R6eI_Ywt!Ya1v`UQ8EpZr zGFpL4N;q?8@G7G%;8jq;&frx>TfnO@D@@ChVoFpr!LpgcX8DWU7sqC|mKs6oj}x+@I|@}(_+R1y;Du807_7tUy6 zau)+Xfl;uHau?FFsH>pv7RzG16m^TycAssi?-^}B8IO9>D0Xqb2=$85dS^H4Us1<% zC-R5%vxrXxoipl|!dOa)4Q%%q-8U=(_2Z-U+$*TxL7nC4oTdc~h8m?S)G247L2H_{ zHka14Xl)*?&8M{mw5CmKI<%%sYYS;jkJc8^LceL>|B%rzb9}wBN>a5zWY)<^VOht1 z&EQy*DP*V~QhbkhTZ@eR0a95a9sW&)(=6Qm!mwSaXLx#K=1-?2pOX(S)d=}MzVx2R zvJsDb_J%*p=7;_cW#KhVI#W6CcxS_84^z8~lReg-B0VjiM4i&Z4!*2YqO&kmF$t6j} zAGtq6ZCkU`>qo3EUWiYaBxss-u0|cn3`FrV!R_r+^afWxH z@s)w^JHCZJsWCm4%)9%O?DVkwi#(fZ1c_+q(>l|lMM1mYi17K>>-+mY66SV#voz)W zPph?823*dPz}M14OS2^GEmOXA%s$Cxw;{f{T4ZCXl7!hQm*`q)%uEP)k@kFw&z+NZ zC$Z0o?Omz0E@M~FBgt)M)~Ca=2dooF;GxFSM%9!3t=|j{R>pnOkWxJme*DYAu6Vy%aIcxnVa7<&KXKjiuLr3Iz9hWZu!( zcTyr@!O7IAf$`D@hgL4T!TD!njUG83(j~*|S}195aIn09f9rb@Uhk4}xg!$0!vAaz zVU1)Vc9!WMKF&=R-m>as@8@|jTpR782N+uZbqXBw_B+LQ=#o&MY_8AK{2Mid6a%~? zUM-CE%ThH9`(J<8`MFpZjQ( z6>+AnxJD}AURD7&>oiAFNW|uBclLQcdEH$7g8?CxGCOx}dHLo0;xj+{zb;(6`!SJ? zJDtKMr(%hqc9w`qDc6wIox!hTH5Tr74SJz;*!#eP?59N7|CWD9QC1O`bF7{BrI4eQ!k%tH z&5tT3TUK=KhbJ3}s#GdK$d)_QuwL0}hDUtOaTC(a+*N4^DlO|j8 zGFuq=SbDXp`>7D}YWAu36~x`)rsl1oUfE5yXS>bMZofI9fBMD>p+Ti>+QdGtOL|1n zRn0Q4L#Ch4R^O*=c4pMI-~Ie9wOVp^w^PX@`LMc2)r3vPvq*w5ZSRq+Ryjw)p~25@ zajLuQ?gTb6*(&jOZ==9O!Sz=s%WE7y>0dI@XokF$$QrXFpV!_j_%xk+UJSpp#i2K(WW?c#*s#2x*NJx9 z+X>HPrBS%}Wf-Q^bM}+>zB?lRqQj z{8b(zHg|uI>#oB?e3A#g?2C#U5*6Eejc>{Xdzsw%q5^SwfgNSjwWe$!iO0L`9&-$tCts5Et>~=~T(vmyk5~5D0prDywR1P= zZ6z1uYUf7Pm+)8{#`HPdh%V*%xinYAZPoF{l3tw){UvV^`YgZw<${_1pE~3Z^zHP2 zv2u9?o9mO}2Hj~oF(b}v50Ov(qQO0@bZo9&eKN(fsGwF}VRqP4`H8Cjj^0&sq9P(l zYgfN<8lTj>_?R=FtZu)knx-tZ>-ls=E=@UM+l0>dPs#LovKvlycbD;qi*J3UqQ)`b zY`)($UislgZW`OOheh5KF%6jw89gE&W;q&QXm-|(tGdH#now~3+2nTKHJ6&NlM2o^ zgGx>Z%zZ9~=6_qfbD^25yt3W-s_aVMhCZpSg=fe^j+#cPZC8Th9>m0#bL)QZ7j1n# zGS5LqW!qZ!>%TV`5&PKCLw7n%qE}g2me|K zFPEIDZn@nf=pI6B&!lZR+pO)X;Tr9n)1_?4rMiS&boMIm=~mkm40qpcCdnE3-jNxY zuMm9M)jjsLK#39ZuYZw(!Vfi{WbNa8qZ)4J)=L<0k4$NLIU=>- zW8IIE(r>alq^IOVadbw#bbDFFlp>td!7@a>)MiyIIXZ*)jbKX->>bTVNq| zgU{f^uN5*no8*-BypJrNyG~koz8^{Q_QStBf98v8Y+r79tjpMB$Ln_Pjn9-NW`qQt z>03>zo4Gfx-*|oUF28uz36ci}19l#K|8dB*Tt!za{A>>E8girRsnm3_t(A+{uge0Y z71Ap_b$8ZXt-_Tjj&rWDd}B#o@l4!NUN|>Rc#~iE^7Yk=Dke|Y?T_OOg^qYcYdz*j_J01P+Y4r-y)yz zZwrWhUjCTh>&@q1X3n7<`sd@~TYm8QJkeSo*d{6$M4D_v&;OLs2)C!e7tK9Zp|Yjrmib9Uf!GJQ}O1?FT=U}TI{p3h1}NM65Ut!Y=*l}37MHZ z)S(-);|hysZp^c(g0{D2g>AjU$D*IYZ@nS*ORW$I?OCY>)~ro0CM)Ty!GWFA(-`O;kSDYK%ur6XiV0JR^!Rw9YK^cVq(3GW- zLmIwcH$Leav37UAFB+AZ!zLqp@Yys~6~Bad(zm*#Yta2{<+3uPpwRkBzgOOy<+h>V z`*+J+$+?mq=XQ{^4~9-lBGb2}KIN2b7gFLf-dFnNmB4bnJrz^**k>BDlL>Quc1+W< zQn5|{GM#mX`%Lqr?FuXcmQre-*FKj0T0WPoDV(|AasSh-688PAmAy+%w$$1b-G4u8 zhJDHMM^8G9wTNBHS1Y}xi5IIDj4;NbhjHeCh)nr6=wY0BfQRP4K@a211JX<2j+_iymWIQv0v-WqrC7>7T`*$-0t>fhjxarT4f`;Wiik8$iFdxV8Z zQ|>~B7P7REqs4Su$kRfB7K*e`qJ=UoC_4Uk0AsxVe-YAXlpcjN%JBHV$wTp9rwa%2 z;#8_nPJ%P{NlfpRq-N#^H)F~zW-Y_!9e*2VX=73bn!Wf%NKt$rq2YQjQ)X&Ym?o~k)d4qlHzPTYHdxiW8OyP^JxGNU z1dPK=Nk-)6Wix1=3r&#_WQtd7=ZpV(O{bGXp1j{k|7rw1@&77iRqAx;K##(r9ao3SD%X{T}VOK0#P8X#udY7M{)n3@hL z=0{!r*#O%GVg$2;Py1WX69E8m%72ZP2pEqzU#q#o{V#8v^tIF!Brv{+*}ifP|EH(9 z(OZ_QP69h|Y^(Wf0SFeyx0%o8N8}zFR;W(;1f^&TG{SB;J3s-OgeM%|j+7XJteKb{ ztC_w6C;br20*wZbbEy>qj((mPfd@pKzm8E!2hjFhg}G!f^V54D7Ojb3a1r zp@?cv{Z)S_VLv3T-w>nrVf`mPRqM5<@(w|I*^hHJgdGC;2{dV($e;LVd)Ceq{ZVrh z3b&T%02q~BYhk=n$B}n>&${{Ij*AFA&-COu=t}*;RM${9DyGt}5H=p4fNqfOn5#>p z4{(~r2VUo&*=wgQF<6hOwCi-FAe$wUAP0R}2Ih@Nx|=9rI`+k*p37!YKavylbVDE} zr*|RX;U|1V_HWRK-cyYa-wedZxsX=#Pr>m(6wgT5W3MMQ z(n3noLR=xO!Vlw+CsT~fJ?gU(W)n3x5p{sj9rET5J`bf%AlGhOjO*la2GgRT=Q(sy z`W1Y8b>k$yU9n$p^G^rZ%mZkXe|k3y6|GuGD<4*zwyNFdSwsK4cu zN(Sm7A+BY*cAVR9uR9GU8Rr8X^f2YQ_(HIgz6@r(1IwZB*o82YO(qaZK^u54Q^D1D zDaw2KECTKN;$hFF2qCG+h;TEU&fZaqC?t?9Z8%gH16i8;0D}qxfL3^P1$59=4zj^m z5%Y}k8B7!j_@T^$oAC-Cp8}kL*!%f;&Ji#8mVA5)RBNdcKMyt>@-kEA?RgGYjO8;O zxrjFmH@;LOse?J29KIyzLkZU=TW!hV zclXG-{p;~Dn~=k@0hR{1c0-(Tk>gqpgRVE><6{C`YlZ6MzUNntL1h&DR(Q=xkz4UQX$VVw{+V4MJpN#ufZGN*od;>5t~gQM!jCT=gg836_r1J z@F{;==W;@=KBR`;+&vQj6lS>LJ}@mkY49fJ55Vn#wW*juLtQ+bt>s|~#w>X@SXcyN z9X1}Rkm{AtdkIJkI4N|Nr-yDdQs8WTm=cIFffbVVeg}OSM#DXl5MYOE1&9t9qAA}& zQ($KPv@uM6cR6g?=z^yt3WWkyv`lF1HERT z_GY}38soL|D}v-Ch@UuM28=WIArxc;W9mLS&cDM42WKXOPmXVXi2_$A@;E5f&{LUv z)O#fWftP^rY^Twy8czY$z~1@@hD9JpK2+u$hDVIR=V!S`@vXkNdThHDE@RM2i29Ia zv^-1($E1v&k{L$-3f(v|z!2z};^>^a^tT9MeU&Sb*$kkbvNy1!>K>L)W z207|WBChHju5;iG`53dc==gYoY+X4UmQt6G`>dEX0k#WAHsOqP0+_%x#L-rg7C~H% zU@`+-2p1Pyb8&L8BW!%&r?7AR0&%by@wo2M!2u)YLpk)p6>EYT#NFAqq*?>UOPC+yuKAr$Dwcd zhA@useZnY!1Lq*^50io%ba8Fo1;GK=h*vjoPXRMmeKpDl2mXoR8}Kw!;z3*vxUA_M z9c3AzICX5TB`H8JC4avo_Y?Q5*M;>+!MWY*bYV<`^>sR6dhVXxWxD{bL*#b+PAg4x znybffBj@TULpL>61Vxq_iW>!Jph<}b>~*E+J!qdDz4w%Maso!%uyES%^j(vX!<4Ys z4*XReMpj!bH%Afx5akE`&0#r5pr-ERv(gxH75tb=qoz%U9#Xa-IY-Eju`NP3tXyNME>u`!$j z9~Y~RT!I-$?wU!lvV*wffj(i3bt@p30ISq!R4 zz=g=jyP|mnPr%cM-%{LyX*WS*L*PQdObvnE1R2FGs09KOw-_@)_>b#_1c6}tUtBMG zkmtd~Eyi3gh)&VpLp_+d#ouE+EG7ZB_{;U;C|oaq3{cMt01I%ufStwvm)!;U5hi9q zXb=kJEGR!hRsSF0N0@Oelpg`ZKp_2(I$khyP!O5%4-*P8rV9l%y%^<3vQ+=dL2;~N z1=HYI#daE|7g#|$21=x31Xi(#okOvx1clqj3so$F@u)4hf1!%ej)f141{OOkL0B@e zJa4k83QA!yszBk@mz2T~!?Fkq{L@ny4yc4ET%~*EL*?hDe;mh?i-?L z-;DO-SZ-r!OiFR~Wkq-kN+iex`!=(tG>KLNEOq6C%`V$yQlw@Covrb*M%Duz|6 zc=MbT#$>!L5D=8euEF8pBTT%+WNhFMV*3v8NAu*A67d$|n_|)?@DT-qKuj1N4^7&n zKemYZ7N@lM`?gIH506GFMS3)2JC@TOlcHHB#-K?vAH}++@MzA_sGJK<{jBI4PW^)D z8~=&P8B58*HdvvcM9*-EV@0<>iB91X$MbzR6n-XB)XDGU_MR1e8706Wl%R&(-m_%R z3V#m^dc~47?GFC;rP>i!kd2XXg~jM`IA7V7Bx%N6EbFm^1W6;~M%76gW*uvsq!~NV zb|y)hwb-ZvZCze{D3Q;DQtQqlCuuf{G`aBwNi!5OgBdHZ?CjzSW*BD%BjW{|1&}D@ z5lmBFJc8XvSaIo~1g3-%kdpfdORsOl2(_^op*9vH$Ulh~VH{~))ZTvTV0l&N>{*Xf zI%kitZ2OMpQyC+S!ZxnFl=jWOtZ-M^>`T*qf;gc_Lm#WFhe+E7#NcWkCgEAQhBGfU5@8jp=ND6WWZ~{IvPR z$vC=}fA=0;YiVnz4@fPcjqtLdYe*xZ&&0(2uyER(buouFBU6Nu24lnqB@M=mJJ1TT z7~v~)Gv0>Ua?s6qD;lnXR&KoAXq*b&1#e38Q|K;ZaVfNQ%t(W_j>Vy%6|V~e61o`& zLDNp?=CN=SCYZp?j$j{U6cAm43iWD02+U{`GG9jN1O&W{Z3CP!x()FyquWHqC73Pj z3m54xHXPmP=CNsPe{dP2P2e&{_Xm|h$pqj4Ts#xT7=>B0=Zv)h$rx>8XA&7>+tV^K z$F`&YkF|aKF)&6hpnH#PMQ0w{iq1RMhORo=7WS!~VMv2K&=137n|YYdA*g_BKpz`z zL5CWxp!bY!x_Bvfv;|ikvn67o8}m7G@(QCHaP^FBVC{AibKQp?O}#!2^-}Z&L^RM z5nJ7up9tegcr453-jIGgpDl~h45H%LL#~^>k+GoEkYU`-NgLffn0xHWYGj4MO_(9$ zWH)ys<0|u&OF%PVm-kJ0-UmF71tfwfgJD3KgvAt5Fr>95v}QzW# zZ5gdCr?nNdW=?A>X>Ap1EUW)V_7nNK*4yvD>{)h8Vuo>g#(m*kja|Q;cc%#L9*|Nv za=mZ{i4$I6Q`9!-TC*lFev0|VD&6dR4-}WHZO@l*SXKJ9eLmS+{iRFK-KCIY)47P6 zpC2qTgbb9o-@7*A+q=a0@ZHNF$jqbF`NGaFIo#0=_1YKHe!A5O_Nq86-B)XJa9d1o zJqK}pHCJ}yjpQzq4DLS~hxerQ$gUGrbkJQJ@nqm>Jx?zOvAR6)bU>F!(mJ#vX2-?d z?#CZ~I(Otj#<`dLtJS94sj-lm>l1x#_q(q-*}Onw#?GCdxqns|DA_IiEx&B9!B>v< zR8rnP@+|IJ{9510TJGus%Pcyf;Roc<|G z4kvnr#94W!_wl~$n@lPyzA7vj_$4(E=)Y?=vC<+I_z+TQoy_a1?Zm4`{;Bb*sEd z$f|8ud#4RdB>EgPcD?7XYW-DGJ1lQ4DX93Sdx}Y*?qa{$dRsC+KCB{qO|8=pSLNL3 zxL+`$>1D7a(8b|G(~KWq7bvLju3)RWOIW@!l-q?GeR!!(O<$Hh^{ zgP$-AR5;0Ok&emBBe$6x*gk)ITGzXbj*k1El&sep1g8g=h`H`GB;otk9;??}z@wu2 zUDz}sIWQ+Lrj%=yhnDYQr>qZT`XHIJMBTSScIlJ_Yozq|mJJkDv%Tln3oW`(#r=Fh zWlm3Pxqq_!t2(ldHWb`OnjWZGbUhF;K|HrHDi@Vj!6m=NWrZbZ7cUff!d3;YCE}? zS@6%(_$|BsNVwrLqffsTo%RxAyUm&#BFk%&a;r0LRF8-}eE%csPRm4@n$NP$pQjq_ zBs%817cJTpb8G#wRi8c!E%Fp#h*iBF(%mvs(Ug~C@wP0o$tlJ`Q+MY@FWWFDo9HsW z{x0G6RtcxH-JZpHcZcWVPZdiJorx*ou(37`b+w9osVvI7!e;rIUR%pq{(Co`t(`)+ z-w5${3YrH6JM25;dgxlqi^R&OiPc<|7ToHFlav>SkV7l|c9|R~6P)=+-(iNwg|6>c zp1(VExj}9jue3@KM6yrEh-7qNxk{`ldUa@!fFP zZP~W?C#kX3_p?7uCmY1t*_!Tup6b3>KwoJ+kF1&GxG7N<9eGvQijgPoj<=v>1eTY`BJrt*^G#etoN5m)cVcN9x-}$=XOm9k;m) zokFhd3%at?HMT*j_5GixkG{>>pge26%2ac5s`lKTi`&e7l1kzNk~Zs`duQ!ibK=0( z>@Fp_$wu>)){w~3?30b3N;y}@2fN1x@3}Q(qOH0&>d;$N?~Mxs6A#=Z!;6<`$7cuL z=v7jmyxMt*e@0Vva z+O=%cvF(ZEjflzjNd|r2*``getaul+=WupSLfWhJTb+V3CHHC%hm-q#O5Z;GdHO

MI2>MIo}pP=Q0ugGn>7QaOt>9e39xb?v*eSebbxe;j=fvXn5e3r~N9A zMV~&UnGwfr5i7qB+}#%z7`pt+_lt|=iFD~E7wH@4P8?p;+;PX3#9Xd_7;!PhIW(m> z-PT^OgKwszQTOMUTQ>zo+BCl@wkOLsZg!TPJL_pp-(>Ey$D?LXoo_O~Fd;^y?=#!P z%PEO7$dt)~Jsz4WkM7(ltMlFNBg!#l)w=$t&zy{%4}==3FL_JKTYqt0k4wQ}Wf@UzzE*Ubgse zjvx!w^*lnvM|jhtVj~@zvrkN|xt)ZT!Tt#;4tZ;IlQ1)WC;Y!cF>K{I5*;si7E$(VLzutA*F-x+L za2389l=+^1HB!se-ouPrS>$csi2QK+cXI#IK`MmE^BDv+D zuCvPOUH0t_Ykkg?`dDw3`y;m0M@oFohgUocy|oR=_9bfO`>q|@b=GdS=CUob#qxO7s&O)Yqn+flq5enWMJy-tNiN4j!C_p>`#s!StBYr+h%4j`T8{S zcveNWoxlCWDe9~Bnpz)5WEQ-?xxq@WZ^9gNwMO!}CMM@ePnG|H?bDY9m4CclTYcpC znWB9E(^(FiLLM|6CFgGigr(IF6x_XOVYM$c-(vo(r^WR@`;*S+Z`-^trRFTjtvHqT zR(8Fp!qVaHVIcz^e(~ChULJ++Pogz9%RfohliO8ie4_5YijTZ_z#+V-=klTJhy0Ee z_vWSGZ|@I2&4zHJA;PMJ$m=li&?iQc5Q{>=SAT?>V7ekJ{>q>*#*(q~Cm zOHl*)S05%Wl&xy2J$|i@ZLqMu`fz>&zYuxM#`pXqxBB#FZ+r8)$&N#-wgwxn_Dwp! z%5ATn`^i_sB)_8j(%UJkHwjD6@BJ;c+KzqVydOUmocAx(b&!zK^E^pB{ceOMHp#ll zD6Qt_HS){w+?F14tXgdC^hw5oTw0cUh_1Y5*WJ24{;Df4()h;~dW> zdc_uZy-R#h9(DOC8%xorrsJ0?xrtP-)U;H;Nc%CdQEo;@~)Lm@&>wj3X1|K;XDx#yB%Ej!f{JzmSxi zlA4yDk@dy{oT87sxE2HbN^Agj^1kpuz@NSm^?})% zqkx3w6!N_e0v3YB=`3tm_Rrw?2w@iUzA-`a8Y~NRh{W%Akjx?Fu(ZIcz#X7MR~ZO~ z6)F=yKfM&a&4SDkfVc^>ZODA^zTN}nV+8pg2pIr}@CY^m1d1Tojaa|~;)C^f!5`2+ z+9O1P8EODh0TX9uO{Ad)JAo5?dxdlhTOA3g+KKztFCxQ@#i=BOnHW%(8R=%CfQXRn zgYHX}kZk}Nk~(2jVTvTCQo~gIZURCMB!=DIf{Dfr%xA`d*9+45&zQXW=HBVu>*xgMyQc##@QWi>15!8@8WvC?=veh)@bf^TX6&OzVf=1=WEuK_0dT?vxm! z4h@)qj7SdXf9rLo4#Qp`&{-d-L`h1>#wBv>9pwZvH86$GRaawtanWFsH)cD-$^z^J zq)W^W+GQj~eSpIl05Bl)LbZ6JeB zh*|n3%Jdx4Ing+GpgoWQ0I!{CroZ4)-a)?-D)`cF1?GifON5i@H3E?z^bVzv zn9NN8fQ8?IaOqV+n%n2U}%vCVPadnr0B`{Uw?7|m``wSdN@X3wj7|p`>&%#RtRKpz%bzm*%2e)0| zc#qIX8TcmPI^f18S^EIjScTin3`$_&(AkKMKso%UJIktYN74*`9E@v6^**so5% zpr|d&2?(Xi>D{MLTZvZ1v2iC#{m~l=0`c8K6O;y=fB+NpJj(%L zHj^9Y7^Xtf!*A~p{8X7cUCbOvLwQC- zum!<~H3RTairdOk{Eh%v=4vd@-VRG9u$(X?A$S!dbcZ6KDvJOM(7Vz+5u9AmUn)H9 zr7184)0ZB>Sr5RSeW2$0@h$Kx>cQP{BS!kBf`r|~T1(`6HAXuV1E^-Bf4pHQUMG8i9(4_!bz?Z;@<}_eOV3L=k0jCkJ_R_R4X9F3ygeC67Pm*sh zVHC4!Hh)hgfDW8G0ulyy0f1qTDdY*F<;kV!tt$b0g1g+ha@Hi$^8|@$E5KI*OabXK zq3aR&(WSr@A7x-&k%zUrEXz@p0O7&&XH58j-&MHHb9Dmcac6R;Ku?y)u#gtOv`z*X zlaayWY$(IpT1+?KmIhxl7qBU$8E}fzDC6_5?h$1B7|(E8YRo<2A2H)AOta7kdgM<`vQAsz%N9yMbr9z$bTgY4g_1~O`9Fp4!WZ83l~{GDo$ zq(L74#2WrXH3U{M9U!Wx0|X>~m^7ed0u4d{8b(!mA^JO3k-wuM z%p?*RanK|ZN`}xN5;ls4U~l+vp!^-g30#6QAy|O{2TGhjlsJ0;Bp#ci==DV*nt(#@ zAqrStRIwd_{{r@cmjp_ORu7cn6QWT9CTJ3=Vtr;&hZW4!Z<qvTqYh6)OLYhj1}z;qeVI zVVRbK!l(j;S09QKV&E1QVR6H9w3X9@@fH^{tHEv%<61J3M`GRFiiiya=2Q;~bI+u(&hENVbZes!~I2M$kSWv<$1SP)e&;%Bz z4iu3SQxm{Mpti0FMKBkNEY;8i`o$u>V_+}Vr=_GOz~=z9K0g#<=dc-HCte6&CrW&s zC~=~KtdN<4B7!f-iWOhs#stSI4)~}57zjnRU3LrOM7!3OrrA}Dx1d*8u_#}l=YGpq z6elGBdl+Exz!Ht+7M2DqY)L6BGcIF~)vr+C)lz_up!?&yK<5wr$ly)=$WTxH$h!8O zvugn(Fs-14%eSDj8{3&rAobNlh_}ZswGY+R|1J+m* z8QeTuI;EYF?QFm(Yvz`I*v>FY5n_0Sv;(@pWfz*qV);5^7GrnDEWi|uHxq9dK6 zvy!CK4z_R^urzKAUze)M$jVA#yht{1y_{nc~c_z!H$+%yMCR7-uV| zGwU(o(?QZKIz>U!XyiDEmwC;w%rtN2mu}~N%_vTL-7?9b+`OA#n(X|!pKZ){U>t53 zV;dBpwG$PH-v145z`S*+?@VI?^55ZxT>+y$1*2>O`J07AA{6>msN~s@tFK(82^3%( zFzc%baKnGHI{q6sLLlM;9HChqV+j@9e{myIAnSu0VPZvcTn$Cq z#&(PwafR^zU%3%73XXsqp%xAQ!i^9bEkZpT3SgT+qc7`mpXZ-6y+W&#RrJ{oCJrX-8*G6s>*UB;X_Xe$M9 zKzh(tyg9{5&E1Be0XK{w+dDCdDz?*EvQ&|Ppi6F_>50Tr5B^G1ORU>>7D z1vrzjZD2e`w}J5(-8MA*XS78|Zu)2oK$Ee(cli2^ZUbd9y6r(p>DV@yZ;Wo6EF?U} zQNVd)l%rsEbarV+ss~64&?RGAVZ1T6_4S*#V_VVvN8A2GUZ8J}?T?;3wm*9A*#2Nk z#*r!og0ljH-1G>(C*#N3!bOWv~<_17Gl1rLRKS-#%fAgSWwEslGdzfZ4Iqi)0z#f+0xotTC=0Ib+opg z*6e9*1FbpGnj@__HHrNXT_~*ml_VFf51u(y=H;^vk=ae%Q*K^uoS@cJ`~BjDd(9K? zk&ESl`*SM$Ga~YKY>K+pnVM36tzbwe(#ZEi-v2fa z0QNJ6w)CVmw&sD?+51VggpA<&xOaD=ALj?=w9ZzYxN56c{fg_wg^KI!b6DJulO1<%{^9?wVkosTow0ySv)-!i9QS7ALHBen!=R$hjO_Op zAO5ipT(grh20tW8W9x9)`)Rh}>-XjzO6%1Rx7?H!b(7okO4ym|lj%J=2Z_tp{8P7h zEOO7@7=Hgn)&EMwwhMlHo~Q)7*~IL3x!kWw6jir*yIdW#SZ#X1-6Fa|=uf*6Va#() z3Us@e8S&t^DN*GyTWz}Ma_jTsS5G;I-Q@XvtF0q%!iwm~RVT6!R{Sv`u7;6E?4ql6 zO!<~BpQy7rvtaLq9M7{a__>NZSsO+c>?h~e2BtV4%x(RgS@ZSb554ef+Ye^=9j~3W zQO_yC+OO~z5vzUEla<#gyp(m4dRtw)#1gKrT#pJ{CCoTj6uTODREeXwp!&d&nth}u zpHOX4hoXgWKlwk*y$Mu~-~ab}-ObUc$xwEqj3qkLiwAtdGVz9m(Qb%%{ABM_`Hfqx~WIr>^9ZU$YuJWa@hs z2ED%epg!Vy_iXo7%XVw%?oa-j@u)89@@vwkSluF8n^iYvy)4(*x~FgITD@25@iuZ! zR&RUJU-GDpNUomDJ8fj=Xak>Z3mi5taZ37BoY7o*zfs$UyCcn-#i;oF_V*CCQe3cJDy#q>!7Xac}K&hM3S2WR_RZk%a8ax|5W+=$7806 zY`#0r!0e^4=;k|Q$z+!}64o*!ASJZC`@Puegxu4GeGRHR?zI*$6US_eW@dBG+C{cp z=dzC6efM+q)DvaAZ2}8MPTBdOGrLi;P0}J)iev5}vd;05;@+K?xj8grr1qE{d>H?f zZ?V(DO_zQA@6`xaewsz3XSmm^Pt-oM*Co1Bl~;Vzigkzc6a{!S#t1Dw*Z-Ms8(F)% zY82pC3OZ*`3Xg=H7_dqs}&NSY6vqtFb zj}LK8N_32`9w$)xgN&*Y*1MH==vMlPyx+z<7Fj#Zv$@v7(=*$Ck#kII@69KqGrNX! zttnaNEI-da{O*AhDSM9Pd=q`~>i7K@Tyxib|4C#!_~z`t=612<>QhOloRG_f39rO= zC%PTIe=@RawDwPKq9HroIY&)g+{x7OzL=S*)19#JI+^V=X4n~PA5Lkx7e~4Z?l&lG zRQfrkHM>@1&cIvIuKLOD1v$CGBY}&h-oHfBqin8}^L1wQaI{Tc?P)pB&T^sY!L+o{ zH=Wr(G(5abNpOVqk?Wt!E?hgmWVK!J5hbzq1G^6R9htIh>961Yyse(ZYE$*Ait0@L z1@hUqA3x1B(J}b=w5UASV#`iRd5!h<(qx?4%aYFuT0-1XFLT;BM-@Krxt3TZq9-OY z^JniAr?XqhTFWUj4nF4T71NtC$2n&_x3vC*Ddq_cw?p!ye7%BiI+5jwxzllswcT)b z_Zs_vOB-diM60{_)P5!1+QiEvzTgLm3_md6k~x6nlCN1Rqwin7b4%UY?=0N$ zU3L-aEN;Hw;u)7_K7B^{%`!f6Y>tFVZC2&?F{C=HJ<%uf6;EEwvpeBe zvT9;>UD~tS+|og%y6w_pBg?a7#|%BCIv&M^0wwi%ryo@PsJd5E7M1&H(j;z;xdY=C zA0}SQO|8g5Q18#j+oUh1UJO{AZe14xrD=VZ=>WlJc(tM0g!k>B?t zrJ(Y{)f(m1l5$G~rfv-(F>6*B5A=ymQLlO166_V6`}&B3-^3A8>IU7Foj!X)?vdZ=;cP$})v9wUI-B!l~OC!`>v}`BAqE z>+Q~Fe~FE*@(oCS(-(IveuMUACqE^@@zn*5L|FFujfY!j%-wv#P)S>|?lYH2)c$k& zf>KI)W4i>a65f&8{sf2PDC%gdE?~h6Q=v+cg6Ww^5}WUa-%t2 zKYsC-9@;(YWk$q_1!;F(d0D)p8Uw<8Ce9&?w8z}I;x5d?C0K5{ddgk==g+nIMZ$_j z^OjA&efF>zLw@zVu~@Mr?_ScQC{>|OZ%czs>l!kX7f6dKj*kvGqs&dd5Bzl0nET}X zZWo)*dui*Z&0Mpp>ayRs>guuvUrRHNm*n}IS}(^yoyod30qTM>6)8FGvNic#DZ#1D zqA#M-e7BN=R|*2H+&4{Fvh$L|Dqjcl@G9QwbFq$7^{m|u1V_DgAzl$FM^)$aMqRx5 z@W^Q9m9^>mmA#%WvkJ1G*FLf`)B8-meCx?yrOA6X;KYTUOy@I$slrk5av{WjTVLbr4GSkt<#b)A*Hly0T<*Aj!K0|q0AD$w-x0(&H0j>TIV)RVb$Txf!Ct{k>0yE4JRs1nDIV7Yv0BVPCnXGHNf1CTrA9^ z#6doAX?UzvwOpaO|62K-EAMU`JbUY1yyMB8nl>lz?wg_hlVrUfm^-G5uPQRLiSO0r zqRi8eK28;R(%zBxfqTk`rm+A{dexjv#V3r)D|s)*9hZI2*6ZYh$Ym>Z`IP5dm^0iW zLXE+*`1vC5>odcG%_A$ABNEf zVq^O^_F))(KwQS(*oR^Cf&85T8Ad=z;nQKih+zg~7y%)FXF!G#5W+uV*f--}42bL> z1cXeQ{5J+<7y;qeTk(eh`43cMc5M{3zyp0ThZb{bF^?AWX|aG73u&Q2i$%0pT>JI^ zf0Tx-{GbU1AATw@_&0o#!zLL61E!n|>t8*{ttj#zn}mk1{;E#9bO@Tjuj)q^5oJxK zDEf08eqP{qgHqC8y+^IK(A3`o9 z2!!80L5%luWuB(|7zj|xyad6OkUy1q8ljs&sO4`dKyWI4wiaH4#yWwUAVwR1i&sLN zZZurf5wDw1$p$!{gKU5xEyxB25G^kB@#QUwfQWp23Df}r=buX<3L+Gnd@i}ewxs{` zGV!F{%Bg~o6MU_;m3f}W4%}LXi48}N8JY_y`6lgHetG~bl9*=IpPJ*Cho8?q5 zi1Gw*z|>^|=|CK1)uZ$K*UyA5Om3fY1Q*a+0G(sW_<@?Nh(lWtKU@AV43VplEANxg z-G-`&SRGUwoAYC#cgRBY3O@*P0>^iT?AD()vIQ3O)|#@=bk6NvrzZ00IpoEakWZ+F zO`uOeeSlatwhx8`G#nTH0sc06%A9ps2rr*c#sNkN0v|xdI*7g#cpz=T8Di`Y7#!?| zbp(M%bOti9(5Dv(r|k3~gMcrvqJk_;KVb6ki`1lFaD!a=r8M!RtqvUVA$4tqX!$*ns9al;t;p; z<9w{N6)45vWxfof)! zE-<+jfarXxu0t?GT9lGIArKQGlj2gbxh8^&(JYuDB%h^Y6v|rgF5H^V=QoZ+(dTQ+ zmx-p57?e3gRzugM%ABzp91(6Fr@_)Ko+gBf+K09*M40x&^WX!hWO~NQKm{mN{PFGD z3P@&zBpX|CpD0(fdBIpni#WsCuraxR{=hnQi7({U-4J+*h+tSkHAh4;+ofbOm9u@f zL*A<z&c3&O3-d*aZAoB59ydNE(2%JC6y%{I>F2!r}7&uhe>HyQiFGI-fuY7oJ zAK-_t5R5HX@)yQ=TWJ9?c^qNZMc};Qx(nig$KshzX04l$aPoE*$h1q1QtH=R7fxSwXCkN_{M5T-c)(1>Rgd+uSR(VQ^3ge$ zwW$HZV2%_yZgb;^ad4P^R`?H z)GYnd{`Kmk2+U%FpqFCNjXP$!R2~%Fr9*J+`CNoEUonxdiR1$RSuFkYEgj{Emwy56 zcs0V`sf@cs=EwW{Tp@695Hfp(>?ptAKY%bjQw(Dq(`$-Ad%?A1SjhQX@?w1~wTL2j zLskT0|HuA(k2A!6bhnaKaJ$ zj7b>;Uj#gAZk)gzqR0SLYDEOc$NFv8R^q57NO81H1GfZEG<-le(onb<;zr|4D2h-6 z^ADH{jqWYGgAc?!DgcJyt#8GI$8Pz}Jx^UO_5CWT=7q?E>u281-6kgyHephE)K4^lGfixJnth~_7qkUi&<59vj zTLShZ9wlNJ?iP!s`!U@2VKw+t0_!0|vtsI!p~$MsyhI%xU_B84|DloKP4K1)tSV3! zge~kR2h%6RM{saJpSbWuIJz088*lFh?}kGKm>=M9+KLnxfxwRkUs@Q24uIbP9-1mR z5?%&$__AR>E9+39XjpQ{(8rtG#(A(YuzF8MwiVu>HXxkf9Nb~AK}Ym1+)PODKA27e z1g<*=HmQ&L0;J7GN0C*Fhr`CX;$1J}j{(r5#w-*W5dRE758E8ok1p&p#@HyqMb=T` zYRn2hNH)z9r>lj-D*7!W79Kp(n0)75i~v(SOE_-5j3{9J4tHBWTf7V40WRW*W_-BS z67mZcC;Qf`35k6yi~~nUepfv>k5nmeHUZXP?nolx&Ow0>tk_syp5O2Rq{LJ`y090% zOy98f5nG)J+)W^8HeszSyMwo$$lIJxpPf=YWyxp2yG#T{b=4hfHMT>XLE_==dEr9# zl_iH_wGbj5g>a%+PM?*?goUNG3=r#DRSZG+!Yq~TuOPs2jAvr#CHUbYa@A&rAHb8h z2alnh;4YdTUm-`qYxcgm6X*z>s5uwV4Z1O69am2RxrM7ia6@2if{_e!sT6uD!ksU{ zU(g9dF;i_a0jx=sw>dr4N3ijjHx}8V&h0a%4lZC$RwxrJh0)%4FyISEguB@yX*}pb zC(V(d6A479D4-l%*ER6ffX5PWO0LFi3W6f16lM^dV~~+685SP1@rCC$X7V(Q3AQ%| zANUVX3`dSy5kPTUU^c<#P3Sk5`#DDB41H#Fy~GoBHFC4T$A&IH7^!{~I&033BpzF_ zj9^4i!>qn?q-w*Lop1OS0muQV8)7CCB%)YElYbx;g*8q70q=&B?A#y7M?rIckd=_c zw>Au>q@c+^sDZ;^?vJNyUcr}uAS=b*q2wRoafEF#W$#uKSXcz|1qVY^alC2DFsbxLQN_D&>PUKO9hd$qZzU_R9`>AAW5JQ zsTwG1tWfZr0PgTtiURE*PylAwb+NOT;F7Sjm*9%9lb7I%d~o`9;Fl-iW%DPBG(`tY z6X+G8_{p$s0vFPN%(!nZ%K`WVCD0RdS(bbp#A>~lDBwCFOI;^qnT$wTrU@^BItf`u z9u+;jcHwmnuMF%3kU*A#1hTAKGx1I!8&K}+_b``5?h8~wHJ}95U@j{|6QF>kq0StX zq@mY^k-BtMlgYvBL%pD;hZBef4<}}Pq!XhZ>BO?{GIwGL1*2s)T1?UX+Y5!# z4HT+Fz8k0bzF_8&mgz5zYncvt_ z!fVx4h{Uo?OC7hYWfBK++gK*miOMpc@PmnJL6u~wz599{2Hjt@>>Q58MwUCNr9?p?}ki!Nn+ z@w(Y4SZc*^U|d@wlaL(q6DcX>8@U;#J(^)g z;Wf{jVJh&G!<%76PeSp`0L7PmXdXlNt4AL{ewmT;UE0XYFru+bnYpn`>x7+{*Ui(G zGTX528!5}WIRj_;dXr@mvo}%}2crJSqJ{%LEhsS|a8U4Qu|m8yy()+4@Cw0@dK4p9 zCnU?1#>j0CYT}pk4Qk=DY~qn)MJZ!jSdMw<%l=W^Bq+yZ#mLo51U8MA!%<)#bEg*m zJD!2aTGP}#E(il6txnO&kJZY&irK~R`mw;v__6YKK~81m2QkZHgBUyCAV#o>dl&Pj zm7B#xTw~eDBkzvCJQyt$H)r_EXV)-4+a%-}(d+WeTz`4y{neVC2Amg2)I`skX6{-> zIJTBikF8~Gsk>SOB&CLRLls5IdR(hsv=CgX<=%{qGqoB)iCK*jY?OHhWut7I!7%wd zvz8U2u$0~H<_A+^z=q=wO+k8k+37?6 zPO2y8X{E)&7tuhHXOSF7W|7;A%4eUZZKrSaq-gsBvlC8hT}2dga1OR zkPpBS{tKxhMstIV`p4pAB5V={Nfj!|!^{LKJ3Dj4F)Fv;NVrLxVM#)p*&GRNhS>;h zhOY?Sf{O^6FkXfqsDX!G3p$fQEyj3K`iRKaw>90e6Vd`u#xT2kl^ zL;fd&J8*Du(;fbCH=#QW*_qJxAp?^`hiUteV+pEwXV{a_9dHnXSzqW5I26j34DJB3 zgbi$P?XZD|NCPL^$OCA}5YGX!WU#xY4*nrS-M~tQy5Sze?&jFA$z-SrBW>_EVz0qG zWau}FN=k>iF>D6A$IDW*WbpHlRAva^*tL649`*R(Vtbgds~nk+vxj<1S`mK@M>OoKT~ccm~ANCN{dnm(p!utSJ;P+n^mIw((q zi_YwAVKf+DU>kvFfNey?u?dj}k38x90$D7={-#x8s#u#42-E^0G9BN>fW=5&k} zYr`hAZ@+dLXb9up%7-Qp8i~}eBM-k-RD#~>!QS3Ky~?y#1HC$W=v@z##1hsVyU-2V zgzfQ>OplhrUKQwQr5#*@9n)yXD*ZKvMyLP{p>`!)bp5zW&zG`S&jvfb(GF_IGnRHN zT(nqI3oV@RDlxA;#4r|=?XaYU6-7O)X>B{L?Vz=tv}QwVwzOtPYxcC}Kx>Y)=0t0| zXwA8H{{Mjx>5K6H*WW)mStKmGG33ZO;}z!TZreFW>de_!&(PL(OGLPnA|K&^+j_w3x4cPhA40$`S4a(^)Yj|xhzzg$5-0G zG2?SVLy~*=E3W#4e4lk>Z}j7E`%`-tYzxZ2A962fk)dNsw1tPWj`Q8VFA0SSTZvC< zPfx+Oiqq%LhP$aQUfi#=_a2vbOTLYBfgY4qVp^*K2obJ`|w!h zdB(!&g`qRG*Vs?bdK98=tYOE=ZNi5k=X+*E=0 zTe*?wz**x3O5#2`vmX1~JMEF?CnMwij)z~G{rg9t>#^wDOPQX1N~2X*)CoEXvc=3S%`>n;9vIrX!>_S7rebaY4z*=y1BzU5PUNML~G0;8bY z2ZFPFFBFL_Zf*G(ej&9*i6PRfWt2@rlWcZf*k*I?VexU-6Z&(^A}jrOo>;DCeBibf znK$ocVDPyZgRx4Lk4qXk!YpjYw{wdsyo<@+yLh?Q@>UWXqWA4XbN&92tit1$lAawM zO`0ypJbZuI$Yo6*BQd_2M8@xxo;+ivM1IkQsbj{f2nHXV^Wts2Q2qJ%6SEet^Y0<#i>^g$j8WEIH_-eu(la{!H973C zXH0A3kKd=9_KUQC;u=?)ly13n^U+g^{lfX-0ap@<@f6MO2bnL5kEl&A4?GbNI-|V! z=$z>@XV!-JFaI$hK9)S$zhi7xN?N89Ur*$+WgD%9b2IkK&sehbbCAEz+v4&bl4`nr z`pc%y?#GsU^xL{V_C0mjtzFgem6f+nTQCf~CvogD)=;WhP?%$95R$jq}t~x_j=>2) zq`1OCQY>-B6k#$Vbm4?oPPY2%1b*1-WnKibMsFsiR9}~8Tmb2P5LqZJWf7cAO9_0WlZmfm`NOI3&^+T(4JRe0za#t zhKcr7SuT?$eL31=zHOc<%$cRe*XcM*r66s(kOZ%GhYmBTLOpTa&HE zt;uQj%T35GJCUd9>zRgkwY!zarEZ$9JmTc|ktZh!{rqu8ffcDTfvC=FJ#)o=$_$N7 zD%Is_`%k5}-E}_es;0eV|NMevZ+Us5tigZ#{%ILi&5f!JRdMrVRn};A{%ZC*bmZpM z4JHfgyNJl#)x0u_?|Vci%cK`%ICw`Dm7hEvsI|#HB3Ny{MqLf*8Kc(PIgp(4o=@nK zf0&+@p50E)*Tn~S`9I3t>v&KR`S|3O^B=!IV4$;MYe!!GI#K=!>Xlg!b$)g>cOG4~ zj^_<&6q{O_R_1FTeCL_fk}WgFYWNrW?X(;7uBemyk>{!95@ho7%>&8F4r&u-uIf7( zwD@&NM&@A&!89`qTi3}iUmY7wIBROpOdDTjsg!BPE5&JNx~snpq;!?FaX2 zSCZ_)ij2+nyQeQ%vx+n7#xe0R6E(-DzD(I-X6I?gCp2)81g8`}ao&Ao@+d)x9v>T{ znyjRoCnS2mp4hYh{AtCJKTZ;dqtOYAr%uUnsd;$4Xq7DwDC-ZmZ|i^75dS zD_7~haoZ)7a>f6Ya$V(7B0NH1)LkQe-lQAX@7j)=(3k$Ksy$jw=8cuD{h^iJT@Q%< z;zi59?RK4=aq)=b%#zHDlVxQmeLw7?)A8X`PtFcoZF1*gM7ZsTcS+ha7n-f>s=KSk z*U^?RXByvet9|>o{Awv9b|&jAj62>uHQQogW5v8Jbg*^{IVSPBWXmGGy$42i43PVY z`BinEmNrr%)7NZa%8G4QY;y1suleY?eD2&u)v;HII@8Tha!v)!V)c|fTglVwH@>&& zo%2}X6jytPef&vDWE0Pik3aooM_idcvMq1L=hu5!&F>4gR$Q6+2!E3<+@eQ*9=BSx zvd>}lmxBhAMXpSg%vq_ct^4bU%bdF&rn=4cOG%sQ3aw=W0p9D|US{T>5$AljcJ?@_ zI`d_}_84mFNw}>e^PWLZlD)8hLwVw@)ZodZh0ppr?lY;ld9dqwc4~0_)$DMYT)$<^u5+^5+`h>|3K>NKGO4ONvfCF>V* zdD~Kp)M2H=<(%IZ?Z(=0L%M;%-B7&?Ml3Xh9 z26%6JdDiJe_Vt(`iPn01Bdu*tGDJ!ue78$ztnsW#55vNfQ_Zwjv_E@N+#KqDa^eUw zcWoERe719*))qVQe!l!mx6&S&D9PmQJ$m%8a8~Y)iMrN)ONhAoKw(a4bNKq5vIP~L zBDuak%O%vbcIYsoUlWs}Zf_+5Qs2{y3TyAKGg7>M^;SlN)v?{8<0tD1W~KWmE!yN^ zLHfBW91gj-E^Gf#f8~D3r!seU$C0C@rjC7@o9le!Qfd;}U}4R}2*~qA-?+7Ai-X6p zu`;7l6AP=W&t81!>V7r1ngky_yKL!lYugX6$~~Nq2A@~@_3PBZy%)o#E66>6@T!+Z z&hPV;8Lg(bUHJ2_-^0wsFmge}#Q(-z3?mn0=B#1gjbY|u7`Y&SXHSOF6SBu;*mq-? zJsCz%NN~uo@5V5DGK`*(yZ46uM~2yxVf2K&di^){WEef+|N8BZ|H$xv3T_N=E?&HN z2?akiX|a?RTC`Y33vF5~r-cqJbZMbSixsuu%zvXW{!L{3Q!ikctl^Ix0GjdcKQ{l_ z@&8%gAcd4O! zAYLJw{*)bA3H2>E8iL0-w4aA*Ir4JcrZ(&X2;ubKv5Y`9gVF)D4~F@{E=DlGrW(Ua z>aGs$8IN^VEdqT_=8AtUh5I_70Vq&x)}bC+nW=_!A4K4@unv)eDi`Ez2#o^P49G4a z2$>1uAao_dmbm0uNNoTQb7;)>&q4E`q1dM(%Z+R=K#CFTWs2NQ*;jC!NU`$h0`LHs zw5NpbTZeBR<@d>1RG@LeFoTAcm)=I?GJf$nd@Gj2uc9Cp(i#GX=>^yV4xus)f&CCe z%93f|GFXp98YVvl<_wVZb(N{<0z#;1Y<48{WU2(6bs_M_?<#=`kKpz%G^+?b6L#_t z6=A$VfD^S4XnziQ9@#`b!hB>Gl7~S5WJjI1!Z5&wXe%NX`-+D-{5BvF9@$2P$YdDv z!{Yx1?9W$|$$YI;x2GgT_7x9{Ww>Q*`*5h~nSdNL2@FTlFTpk(N-E4K;7#!TT}lY7 zQ>18gz3ck9JP3wPMm7yIVFK%rIg;O?`XQ&gWcOR@OMq=e1>+Woekw{N)Nfq~ek#Y1 z@dL6>H01zT)ftzdR*nAt`d%mmRA^?DtHFsN9R9>kc(*`l#}z^zjDlFG5!tROJHQ8z1<1Ce`^wCn8PP~*GU5Yb(P3?Z zA%&p&rN}q~Ny=ot6v!1|60^k!g5SYWs0l$pK5k2Ow?a6|>rMjt6ou;~_nTB@T%x>0 z|NDm+s}MVjZc~ax^waa3xK0!Knh8)S7<6#JBA=Z<0%+EO^>7=Zf;Hw=2hEvaMWK<$ zbZ)^K1IqatsJAa~9*2}n&zOLzQsAKWCsd%Vf?o5wNnL2-nUyJQh@k*4$!I+Yyk?NSvy!DSSAJ{Nk{L70QewLa9 zSMtGGR|6Hnj;Bzf7eE)i7vQiG+H+RK!A*0KL+3ULu+y8w)qwNdid&BJ+-pSF5F`#* zIf=g+Mizw4MF47B3lQK*G*nmsCaDN(p9#Dw&dWlR$U!E>ZNjfTkB1aV0f;LYFA(6N zt|EG!bUuSbxdh5Tr0n%GumVE61w8|t09XS^9&$SXvFcpFRNS$E(x7(?FaAb&qimTc z1?DDzIIfz++w>UuFTi{O`G5xr&WH_9(Kp?Rkk9A_@-iHa?D|%vax= zyHwC8LY>dpvO6pQAnh)ZWz}b5Xbk!>?7;=%MG^(T4+@+XPK3HJuvscm%oTudlc{hF zF9SLb&Vmyn0EHm8V=1HKV;k1?*Y`s97)}#t2VSyWYy2-#LA?m(h<`1)bsC!OAT>a} z8}b0+P(TMJI4+QXzaYT{wGn{F0ZqR!vK1CUmPl{YkV7yoH8EncB9BlRxQeY;Q}l-N zc)+U?$O*dkHb1_680gLSw*dSMKrOsr(+L1RqCAK>kVSw;uq~n)sW1>#;31LV4~rp8 zi>pUX33O=OZ)n6KNXT>27Dxi@a*mjS`~h0A+h7_I{P2XP*^y_}AnVNfS;C#q;oP7N zKpG?#a62xf`EWPWpfnV?7Xp(Kcxm7P!VgRj zk2JV9br zC?fnfJ|pdmJ=hg^v3QwlNK>bVJ%)s>*n?~w3%{+9UHVhuVioIa0YI?=NhFNaL;y2g z_uD~J3~VqN6fH6QRFKgw>OvRww-jt3#T*8on-zlvFjr$xLU!E(u_XxB^|iD7%x z6p$+{d8{<0``#pBDV{`d0C;xI8r4x4>%IkSB9PM^%j5^D?uYEgqSu^5F1u}*A=L5o zn&;L9l3$8$9=BdHzV|hL7X)7wM&(8_Q}`pYI9X`oM&3SYqcef2e2Duubp${hw{@6@ z4xW;3?zrred0LnL; zg&<1*cySEE5PNzL4S8{}S%`%MX&lzDy*Pk}u)R2fkp_d(9Kb`M;=dz9{J_9aK8Xo8 zRN+)@2HHglaXb%pyG{JJYJ<9vMiTA4_W3SHnOo{)rR7P z2#Py%P?T>)AA>$+Y!vFF=yF9NbqU3y96%uW7?eO$Py$RbmmPsSF$C)Pq7C=KT$X>> zmIO_>1t@U?P=ek-2{gx3lXY*Ng(e569F%Y)vCsslfGThtl(^bx2pNOj9xcH(GQ*$* zf`bxSVJtMckrD<@CP)_o9}|>tz%c&;qY#fTFbcso?h(qo6-6kKQwAlt6_lV>u%CG| zs-SjIPEMR<{)I8bQ8|6vkMiue2$boX`R1}rW27v{$N+I{&q!H-?M)sOv*E(FeC!mI zdN0n0igGgCsAEiw!I4}Y%Em(|?mjz2X!P25$L=&}IYcC6iw?WW?=>Z%g9wq~rWS!Mu*ls28m9kz;xDqllmGBgav?p~ABUEQBl? zvURNGI5C31cw{sxF@R5`@LCj^LBWpXAqP$MrD zR=1m%3Ws#0lBEiaS5z2VFBMMeMq@394HLXP(5(7o9lZ;BGuh32dMyiEZwUPVd{57(qW2yBfx(a1B7v1sBxQO_Aqkho+p;m3av6NA76wS^$(;mz#*>^7fFGM+%FHWRRGc`CnlaGDM^NR~Us1 z(J22FMj`*{?NKt6fn*p)A^&)L{1+I7NFyfnx-U=>+6-=kHtVh!Mnwkc3$Plf z@C>Dsp=KWVkXFzdX#K}hMS|`!lzWHnGK`M!;bVP|(cC(8mmz!vRe%KL6_xZo*sKa` z5Fg>eVbJ6_gF6@+8Pi`HLPv(^3}m_)q%+u_BG&0!BHY6GB1vWC&I|z+D zHsE6WkqnU?z($6;2cZ#kt4ycV$RPMJh>f5Tz9^nvY$St=&5f|D9Kc2f*$%Gm?*K;z z8v%|CQ5-|q2q$Qb7&iI=Xk@4h%m{n$KRp<~Lp|U{{@ud`T;#+ldUrsJ+)Dwi0Q94V zVho@~IJpP6Rq%rYBV`c$7=%UG;0HxTxF~+~oW8>#EW+-fs0cf;%`)2YZ&U;T#+9o8 zFcRZ5*w98%3l}P^PoL5D8`uZxqsMyETL^9hcZgX%*to+6JM3lCA57pMrX!Ow9c6gS znmY7$4iX=He|qQ8-qq;+LyNFK(3iGe!tud8B##~@O|R5W50mCn5+CdUC&K7Z0>qMb z(3D7&5dr!!%!mwbQ$oc1BJ)29kr4)9G&VELZc1zHq1=WGt+~?LURvy?Fg+Mr8Re2^Punde=C6tNAyuQyUh(3&Z>QDtY|)AW-U-&Ui#d5)|^_f zIxk%25tVY!y*suvx>!xDDJyw#V20}3`0UqxS$n)!^nL1QZt9Vhedi9kd#E;7g{sU@ z*Hf+TOty8h-#fdqxAeftQ^A{CNc%IZ6>}#vn(7F~=aznXzQb!{cUwnax|&$Kv*m{U z>jnrnE8#h0UHaZ>Ix`M>zF@f&pr>;_YHS!4tv}?K@4^-sOjNRl6+Q^=XNqCC&JKSJ(rj$cecI;6X3DWZwHZ6 z9#g?NW9v3a%?)BRSG(Hth>X0IUChIZi@UYk^LlO;Iq2rCZGJi@*Z*41p~K!u&kr8- zR~#umYg2don4;$$T_4HObqlI0n)?RQ?^XKwpNqPsJx%$xv$Nd+QeW+;yLtCMB2@a^ zVY!~U=UnL}BU<`pba_jwFS&$Q^1XS<@g(%x^A9B6^P0Pr$H^EYfw673b33G4ZkJrP z^154>?h|)J|G?QI61ywRX~E75&u$%!Ji5f{TxOuz$$iQ?+s}nMrX|>%O3Wsay4#n2 z`utP)>&@Jo+Yg0h1k7EfnxBVNDr5ydz1+TwLE59!5xO^_Tt~uy{kH$ppodFBRsTM>>7LsBcO@qGj zrruv68#lf`vaX%; zclh=yd8(8gS+(R%?Z>10?Y!N`e62rVvCZCPtbllOMioea{YS1b@!ivs(%|`vc(dPp6E6!5cU`oF9J_mJsXAx)h4a_< zj^uh}XK%f2+|}SMpMLU5wYfSiD@rE`5w;(zQa9KgzI;e>+=yGL@4kEr2*02@cEU>S zzLu(kJBYKFqY%f}=!lEaM>wjVnyRVI9Cztd!1ynpez)%1U|98#q&>-AFVAy!i;1Pl z$LBA%Et)RF+Bb!B7k_z1xKgfX`95O0LNm|Xm8RVO!e@=bDXyWyu}_7hSfPkRZT zTHj*2a0*XA+hd21FCsmN?~S_?0lq}r(XP5)A!wv4NI z{*UUioP|9ftfp}P`r*HIQ!7codoyamsL>Doc6)@*WqO0O)hABBxo%;)mDU33^NS{= zk*!N+DW|U2tU0#XU`gs~`MXOL@!frndcLu`vUI$G%3I>Q%W~(WvZjay+Pb=*&&92I z`y}a-R&AMceQrv$&9~;`M6xOGp+$A-ma?QvZi-irZ~59%({gjFRM}PyMakw3V{3`t zq6y+9E2e$lHs;IIqd)V5W!~Hg+tT)Ee`{W3#PN}BX=H(-to(0xLxppD&DN4f*uE znGsI1x1GlP`o)#7O0(>^r}rMA%w!t?KyLH9K9eX*>#ZjJ$%*E?Se?=xwu>j;xEis% zJl&)&{n|xS<`*e)I^yQ8_Uzsso^Kz!AFftwvR<#J(XnsGqTGyxld922iO!2>WsN!O zW^sgEy#A!n*2(lkLkmZ#{pE@wS)S-(e)f2@LhlH2JSpE|qyPp=_M$}akb9v_JF z5YM`wk)tbt8!Zce;UU;1peb3LivLwb|<``pQ~Rw9j2HzFffwl_ttF#K>;==|)=TxTJ%_m)P>4Mi^p&d$FPb-}pu*)i#nLK1Ix5eMb#ahcBoBzta; ze)k~SDmQH1qcbk{YIl!l-4Azjm=Z*6)uU~UR*D4~ig>NpSv5M+Zq|*%+qaDNn*Y;s z*2u~m#~8u$WH5NsxOZpbToJ!>e8PZ>9w((L5mFaJc;BR=Ys)fw~_X*g)TlPBKL3z{5b97 ze|39bdCUZf{+|h=KhtMR@NhN~7e~X2!tD3Sy6TLR!Mv$`4zt_VDDZP8OT9S9Uvw$N zn!NGf@G|OL#3|+8A}dvq-!B&plq?$PYI>rnAQ-X#fcHTn_w%dQ{0XX4ANwC0-P8ZQ z&1I56u%--OtDT}`oRgh{Ir(z^>ZIcI)Eh=)CZ-?Sx#x&%aqiw(lhjSV-F)n!IaNcC z^gqZtYP5Zyk9vJ~;<`1Ot3L<3%`7P>st8ix&C;DSXEG^w+A5LxFzl4dwV{FMx_a(1fw+VqJu|N>)Dyk+q zSP|w5eD16^oAdLq*;>QJ&(FIyMxA!w^W~z``-_M6k!=;>$G&C9hn*BHzA9OG>x4zV zyYufSw_?wTJc$`ym|8$8OvedD7#ZpAp(|AiF>9 zw*2JfVZW{Kq$_u1=*fLt2WMR$k!&+NN$$r<{uk$nO~;loVVosrHoVth9c?SP>Mr1; zQ>P>RCQR|LGNRQw^;!e#YZ-ly04$jWBffs zE<56oajyB)a~d*4^oy-XkK2q<1C55C^5<6;#vJK7zWJqz;EQmHOFP@Ww-GgN_tAn; zb7XkF?-r`sJy7~MVe*4mt%CGhA+m9Ycn>ZkiTe_FE z`mO9S_#M}uyjQ1hgLJvp$16MdH*^OBLz*w~MCyj=?KS*a4!N7ew=wB{8prwW30*Xm zc_V&q$w^_7={Ui*{&baId(yswwfwtC-}|Q;nNH0oHYoqt-gKhHkZ|W5uiYef?E5ui zkzMaD@wut8}QPj7rZqvK()T7oVA85eVd z-d(ebWJuFV)ySXm)Amah$6Xs{D25RVlAiH5hGH0@AXU}F{vE>%#V|ra{?3vNqa;LT z{Qo|M3(;8gHW{CvH zv5FR}X`xSxHMB6Gg&{50(!z)q#4gaU9T1{QQ3deJq#% zRdN5!E)Wg%nHTpO&k%0Ui*h$nha`LBn5D+pUh?MdDO+86raCQbkD&_GfEcfB8siWf z8ibHk?wa(AKtDxO4h0g zH0ND82oB>j4CXB$>%6-YXpg`1*QP`An>vC(VnWouC}h!Nh}Q3gsf4561g;ve8^$UE zZSc=`r+ULnr~yl4dS2Z=z61IOBK+;3ztutR)t^H6nscCMPZw-2h&hUP`Uo|6%Y3QN zP@b)qNcX)417W8NxdG9_Yp0KF$&GM>VgjWCLC-k7cz>(KMEb|uq?0yU#a0!P)e`OF?k^B;JPfi~9@-WJQQr zo7Eu#-9BNf1FMCMOZ(Q%6oQ=N2kGSpDTjlJ{#5=3_yw3P9NP=m|f<7{64c1Us)J6L}gQBd(r$U)=CETQsH{?_s-KiK#>m zc_UT9R)S;==J0ZVMR^)rUut`xCeWG(6OTFKP{BM2pqM@=Hg?OEAiO- zIATf`Q2ptIEh3~b@GwlEyTy59vivN+$DS;t;qj#d8)k@fzJ#Wy1s#=2dJryiuexuZ zBhmFDo*dt?l>B;k?~L=B$-K=#qDQD5(!aae&+^_++!kmJ4-<6IVv!+pfeUon9NKxyCF z=>mWlJWS_GVru*E)I!*PGJ);I6d)3p+&0Y__3>FOXpXVn#gG!0D{?};cUeQGjcm)k zd}!m$QJ;(A>2$)#2zFXMnH!kJ;f=FLbr6L7TOcmK_$C~FWPf2T0Rq6=loO5_A=df) znkRuIkNI@M{vH~ z?rR`{-lYi+IBPP(Tp{;<$d5vlzEn5XKBR8wf1gZ(99Mu!$qaK@JB<&s@Zh=`g01<{ z9$V*0Vm&!#tx3l9y@txGqwwo}3QTb(^VVh1@gf9ooTDc5q`toh;O=XM*=B{lmeN@CMo39-*bH()A& z<@I4GeoL?gYw@NzNQ1HibYBfJIbhzjY1r!nMt8{reOX&#j5yFtW>-tt_U zA%wcgP!SwP36Td)=tn{F)ndu@TivW>QiZ>l+{Or;$kUh!s|>7-+lg5!*<(0es5LM8 zDCimJ$ESA!ajU_yyJiaVJ^&z@i|5I!q?0?B%l)o=cpjQKKcr|(Aj!{QFl@D9v&4-| zR)*8~%}$J1I2v{^Ac-b%*KW@uqI5n|Cg9z@V5gOnu;PO^!IQ4^7A1IoSCjM$u7g^# z_g0ND-MF4k@M@_Cse|eHwFoqW!%8@JWP;KHZNx^F6bGsvh5|qo?Ra(_{t*N#Md~@{ zHPZwzC_DkOeJ@KK#0)YoP%Dad0&K)$$+%vS7MK?h`6*r?{)vwKW%PH?5?5nY`pfQH zH9&&gf$hZ~?O z249Osbbtpqte^utY_AakQl1|P*P+p%t>C^PKTv)@7|$L)DC-!ZqMydR#FGi~|GQ-R zOPHJ&Tntr5z*EF~8-{Fv189jVV0jy$&_AKahb%HT{VC9Z=Z&)g!4S*Eb6`Hy_S*p% z5!{Vfz~CkVpyBvVTwcN!@+b2ES3F4B2}tt*!Fr(B+v~!z_nkPN=yod&8>>4T6OX<+EXCt_P3i1FAw zM;xSynp?fe_0BjxJtS)Lfk^r2*s!QLgCLL2;RS@4Vu;_?=0Xl2J{>GGa z!Up3I5RTJoe1X9;9G)M|IalyCK=dP)5n{1u44(fdY;^G5D-|n~3VI{4*pKchPCSM2 zF3eP?hU|h%44%~oHmC`8Sj-TGZ3oO;R!`=FcM$!w&gZ_$*aiJ5o%jwrR%<;+G^;zL&=Lu|IaGAQEUH99L=O;KC zEXo|UY3KJE;rmw3<^6<`Fi)c0P3B_wK*z5`#yt z(+c_D<@n&`azEZAo!Ci-S8|XYp)5vfh%+#lx{UL#hEwpUyb7}-;r1sno}1@Nbb-2} zU$A~q24v*~4lGP`p{~ygb2Y^G$H(*-=$8Y0CB>mUi*erySg`mOo^iMd$-(#v%0ciw zYd^laY;T9*1sIQi3_Jd$TOot_R{oRm_=9**2awT}@emqhJlGZ>dPp?FMm(94)O5s0ibggbky2=N;Sdx_>Sp1!y!!l+KvF0{@?=%*Lj5b@wd3@!bkqulbFl%FTE1|`*1bbS zfSoM{Jjoxs5wni*``CC2V3MI!F>E|#OFi4&0x$`VX+peN2diMUSqDE%MuxBy9CNC> zKHon;3bqyS%ENi==y2B;IXw!t81Q5mgjyiko9Q!s*x@2hD)pbNC1~I=3l|y2Sd0cS z7M#~t-1Ye(_`?+h$Vvzf@6H?)$PlAX;DW$5N1^n~ zpfAX3&>8Rr8S+LUe^T4v2-v1CL+}fIr_iwxir2^>{jvh43e@z)8E;5UU)V+?YAHZA z2%CNxbQ(EIbLCLa6p$Mfeqp=FNLhavvXMa|nh5HdLTzN=nPR5T0ml>^Y1nua3$onx zSr#p*arxu)reN#ET5LIe!`4}B%}vKI0BFHkvHlm|nL+->{xjRPMJQN`ux(pr|1bOv zjBG?8lSfpuhD3ExEPf>yu7fXbOV)Dcy+q#3kOP2 z-y4{`EXZPWO}IaMK^E|XX&SP;K}+3s+$2mMQEwk?ttvs$xd($4V-9b#5bs%S;q8MH zHq&R~u%-9H+lO(A_Hoy5RCs+wzfllH?rR?f=5W&_1*Y4qgBjH%q0lJ(8ip8NEz#=C z1n<{Of%of133aBwQ9zy9(a5cy)52O`;dQ=YatlX~+koYHEAevss+|5mMvE5oS|bcD`2lYymnZr<8q@=PViP|>Aym) z%hg+*k;2x4MX1!8>2ty)=!BIza~*9fi_x|l$H6RU9EZOln&~(4s&l|G$g%~EEbT%s z`jfeB<UASaoyo_RH*L?s$u;n_Fx*k+8w6-w5Jf9bS}nIe$_Dus zR;&H7BJ3)t-XPJ!O!P}*o?c6H*Kd;^*(&J3x;4XZJoDObe4D_?MjrLHu_GA+cl}!V za4;xVK1`$kb?e8Ce6MQ-^qIH*y)g=fy=2I@BZe)L-=d=Re`Y};&z`@8ZvI!_j&D>( zC&=vqX`71&2`~PYVMc~+$UsSn|24x5%{>BCVwjZpSB9CtQz(NOW4u7Rk$Pk9| zUn!KoW|;X83gtgC%>0Ey`6t87peM@zq)Zf(q-B@y0l{F;JC|~c7&WgN4MDs2d%IH4%#6gBuuy2;0CR*u?^Y*WP@(A zagBOjs`SI_V-U*d|2=>TAEj2v{vDT{N#jmh`aDX*p^BF_if0}Wt{??4K z{I_N}$qY4fM8VI4pZ)HvS7iv{FyMeG46Z+*g>hgPIAEkh5gkkG?SqZMv=NhvHp2I2 zuyG`9gr5y`Al{FcrIz<7fZxoG;ACL8xQOG*B7y!EbPY{K@&^8OdN# z)8N?SvKRY!vG-x3T1TAbfLRQ?y8?U%2fSL){S%l*j21JFcEdD=-EBm>Ev>erd(U8Z zFztqA47>Xox~;Y9S@a~4nQ8DSC*vsn(2PsWvD?LUu<3{$Mk=Af zP@*(a$(%%k&?pTmg_0(ji>S<%CJ~8}RC>>~H+T2_Jf7z`e(&#(_q~r}t-bbjUG07C zYgl{V&d>KeLuj|3K0QE3p>z~RN8xmIkd7kg=nx$prlTWtbd-*cHTwP!8IDjv*Xh^C zR|gy3;Z4(zaTs$`+h6^OMDm(Rw?-Gt_7r_Y;&u1RKAc)}&W>UDIgzU*Dr8~0hl1}M zfzH!C8QUs76iG*9_1dpG^`Yhxo7f*N{FD{3D1Lg6MbGs^#xcr$tD161s9mMq%y@Gx znLg{b%aXDCHfSa*@Vl|yI_UCZ4VQ8HS~9jyc)+vwsvHRm$vAv4z zry3NVF1+AErhZtSvZYD?>t&x0YbIui-wLyNIl0c^hWq#?m&*O4t!2og7%2zYjE+z} z!R)cmeIJDDaUOk_ydrIrbhX9trHl>2r2g!JP>F=L6SHew6V&gH&RWYJ*SLk>;B~BR z-^@abQ<~((5jD5Ln5S-vZ}#NK=6~DDpTB6oz?EPRRu+?uqcVEU2XxM;-w(MvyJdC5iDi7w_XEDK|B)T= zQvKPy6J-9oRDS14{?)$9%?qQ|;y<4;;Bo90lkVX}|nj~drI zp3}||TU~`8PLlPiO(!hHT|~=8DZ{YOJh)KYkN^3iG|OpUZ6EAvH5Mq`kRx_O zk_4JgWOM4cG%wb5PQS~uxM_#i@ZQloZPUAPzQjFlL$WA_ga!XOts*+<0 z=l89d)wDr6w?EH+Nz<&i-sE<;*3W?39vq&UquNe!6q={ljIHs!xayO}ixW0{rrfz? zC~E%q168hLe5Ut23VwBDVboIozK43BF0$ptZd-EEN}8NlCdpQ-^X`EOPis~7oNsT} zjpzGXw?>F<>$v{59H9hv(iOFF+2jLb8?D&tFQ1)s>!eufez$dBlM*dtm6ptV>|;(| zSWO?xm7>O*swlw!^~B^cm-RHId$#kuKQ?VleWhJvIk9NGnO(51wrc-SW94-({+|Wv zqkG?;5aF(Iv2}6Nsk%juPZsQXeN^y!vFu=Vp3}1nF1NyMl9RfA;{@1Kvbdc2$HrmYzNy<+l>z)xYzh3iyGg5GS^ z;4PN99n@$q+fzQZgdFbMXD0jA_-)>#vqnP|Q`2m3%;&33o0{X*;=X|Mq0Av-e9u_4 zFyf_637AhLr(b=F;7H6N!ub(f5gKMMK)IS=^4Y(YPx7aQ&?Sl}|RY zs~Z*xbzGlMf)>3{|B!!bVQLWBzFgw-foFRJS8(J7NZJh@da`|bM<{s_MEn=nx1G|lxbny+ceUOE!W(Lkr}3j`U%f$} zMcqM5v7H^~CPip$J)yI}q9CxypIb^`MYqt0RsnlfuU@G*nK3DjnRr(rP#$)XtrP9^Kr%ZA!#)n9~ zxIml>v_7u-lpnh3w&TLIMLaDzKlU%r)B0Kv(-*qvqV%gX#5#YmM9}1)t=FdJxV1Vd z4ZK)UmAjxSVek4D-(^*%vOh@MhF2N$(wrA5WRkbu4cRAm@rRp#bX_4Ycvj-e5&nis zl5hDc*M;$^?PbDru2k_)w{y+v%J&SsD2~=U?<^S~xR&U4=#NevRh#H0;5C1E-C%jr z!qba|_Gpj&c1^zL8c%gPIc@tm#;(|R?E)ch;X2(D};B+58OT4q)%0G{8Gn9Pi4>vUJC}^kx^V9{CsJ&wKWn1KeJne(I449iBkI7;nW@3% zB{9y68Ww&l(dvVvx?|baiNWjCSjAteAXwuAt9!(wXmE?yi4n zg&a?XWYLk{FfAEQyU}$s?(fnT-AlUH;%p`beGOW5eVOE^hbA9O=hj@>$!nST zMYb%%V6u>_*{|-Dve%TZTVV|$Gb4?Kp6)aPk|b{~T5 zo+I#CLp*BIbCXBmD@S{MxiqG6BmzqdZNp3K{BaN+<8-pZVLuXZ|)<#YewA){%SWVpe}IXSf{E`-knn( zAMRY-@7Hi*5$E30Eu=-g{m_crDR+8Y_0Zx^=t-G%Q1fHjR4+C1V!qI; z#Q7%|epxR&+!WfDx3$_wqdmOF`ngEX?gd?jLnLeP>e?PzLM)Zy<`zfKkH$xYkmm&|fo@R%x zzGbF-R&_9YbM7M9DAIUxeLC+=p{>IAcU2Nnsd92TN40K&;{#pJnqzO5^1Id^Sw10t zT!Y!&(D`gu@nrG&#{Ek;U9va5m|7p8o7EaRYVqs+n&%t*T4c)i>EymAQ6d`{af`E? zT->ZuE zN7;P}nYp?C$m2mWALv!IhR#=|>X?90PT1nN0tuUs$_Q}7S`C}f|3F!ggj=Ce^14Xs3zKrBf+0L8TT(Itq` zsOQW+?7C#?@T+SSCE#r?A#qS=#z0C4gcb|g`v_hM5>fQ7H8c6&K}@kEU?!-V0uj6# zqU$2*p?W|V%jGyIUty}u+e*q}y@8i>+&aIah^0sT{nuLXh%oCJVM_*$r<%sK@U&^RdX;f`d1 z6yT1-c=G{BXReDU4?WL43Rw*5(s8%t^4yJ3_;##>Pd?RTp~h97-D9o{m?PfHREevp z==6@|M2_RtRY>R4*x%&C;T_gy*CXL)&jX3r0}m}X;8(wL1|<79=rRzilJ`LhL}_vG z2CyQq5ZS0p#`itW2zN4oVxI$WW*Xxqq#V!~kY#s4(&(sQKfBi)StLQW+Hgt9MtQ6> z3gJ(AoP8@1o2-0W3TtsYyTfwuOBd!LhsJ%*6W^6hebTbC90ugvuK@a)pcjaf9Rlt?!_d&<~bPsYh9`2_SoB?Q} z$${%MggYUztk)3kx{G?^`H)gmgY6*H_dd!1Z8MRc2#^T_&vOsEQrY#|31BC9&ls|E zL@=>4aAOpMNoArj#u7M~l#p#IJZakgq z&DAJR6CCma>f^7#*;pKn%m{wy&;XS9-<8MjS&OUvUXuTMsyC5Lh}M%}zHki85gW9~ z9V->6Z0fsi4qQAyxe%(0UqLX2JcuYr`Sean3V?w9tp+XvU^>XFt6;3CphxMA(I4QU zf#XXrR-8<_PrN%;L1QcdAEI`ygGhXVBoFFiupWiw^hQHHzX_Za>Qn22qF9Dw?yd&da4IZ0Fa!)m-#TIn9ki= z9#4EM)Nl`_h1lV!KFK+{)kuyLf*MXJWKF2N^T@cbkH9P}#K+G8OEG2mdH!*_ORo#4 z@`uTU&;tqDAqI8WJk7WkR?ouOrx+8irsN2#hZdKcnWC_hV& z@}~zvDZxOFV0VK1gu{AB87rpdLbX$*F7&a2;4pL5g#5y1=BCz8`l5 z4$!f!W0!z0Kpj6tYaXNo+Rhj6by!A?JaCSU6+jpvjtR;u%F6Aufp=s8(E+JKm|O&Z zYgxQEB*spl7nWcFpn;=w|J&2-@J(YDPUw4b`4G%Zz!@FhtS{|&gKybXNR-HXoWb1` zs7hK( za3jkAXh7ay^Gn^QHSigTeUKe~2KjZ#R1Siv3(OSUPvZALO8#&+d7t%Mk*)`6`)#!) z>3mC^aecya1F|sKkuxBH1Uqhl98(($jTY0J82S1z?SP#&MBmJ?jZm(iUOwogPw$4K zI3_=yW=9YTz11SM$4C|vF1|OWfUN_!0SP$o*cL-sHu45MpfS+15+DVXsKK0|GzuED zkD0OnCMz$p8kf!u%)9a&uL^K^$ueHzFAXq%=Rr}H9(+!)bjcK)(UTs_<+rvZrXj&_9oq#Tb)=!}% z_(9leNf0>G9H4r54G;qZ>4E3Kd4OHf(*?tn&-YRY69QpQ0?6MeIOay}YHs2vDDi*` z^jV`qKuw(Uvz$HVLj@2!bs#|TaM2lqDcgI^EP;0=F~ob765qQDdh+mHoe1fFG|r2^ zrJ7I&KsQX}!kv`rm?zQ&bsS$8_!bJ~$o1A7@2uT7<7Sm<}3E z<9JO9K^K7Dx`ppH06%nU0YL%^!W{-9EjVssfZMPq^b58338r^lxR>M}a#`$9A>8 z1FSSeKHtT^tO9)4v`>`YvO$1Sx~u5TKk+-brp@|JgB+kH7*2y?a%@1!U^jz#Ino{I zYZJaqSIBd3aw97EWSH9k#bGuE-_}!wA6NSLA%Pnf--mD53r}v}$VKqsF$-3n$CU+( zm83u$O`M&K{r0-}oY{vrE#$^5h+ln%4)tW~QW@Om097XUKgq&J!T0dRko9xu6}ZnD z94fh&5`a18(>?qgq(6&rK(xkn)7R7j<%rKm=1Jf%fv*+&S_R(@Tr5=!Z!iB_whGzL z3|C2%hiXl;RakBuTYtH6L`uYwzuR&Aa^qmKAE%@em@EaO7y>Nh2?(cO8poS3&Oyaq z51Y*HBfhX!{^^N1>5;_^v{W}@=(6%&+m@sx@FahlbnoWQfgAIRt0nGr7 z>d#@LA&)C&ql4}r2i@P+1lb$tay7BV3$(pxlh8m4FznGd8z-AE%rNMqJCls}U4r%= zEdp&O+9Ry=#A}P5#w+G)p|g06?#DiKv6J!II_216BN`7{8rm|nk7!5GRM4Jaqt81r z5J$U)ms$tCn{6l%-PtMV7F42Zc19P%hfeMiI%LS0FkJmj*aVN`kJQlW(7e&cqd}%- zY(Qh6ok!D0YeNggA1Gwu&mXM9v#)3;(dM8%ryuVZ6v23yV8Zx?L@<~KhMtlO_^bwK z?KlzKCJa;71M^7ZXtViumY6W^;h1kh8+}fTp^nFTwB2YE0<^HUB_N!!A8mR-I8LRV zRug0=dP*BbO&BKvo`aiVBm_=pEDW69$Q{SXu%BM$#ppio#gGW}Vq8bFM*A7))u>?7 zC_pa;hKqe=v*5U9MMuV`AVzOYnh(F*cP0? z5JJmo;Ywia!SR|H+{L(wW{1XsmV~w#tpn>0qA4YqFxKGtH;kjv=Apep^Fxzv)G=Y~ z!(cK!=+!u;9=KKxi=dlz1zn9fx*h%K!~pJ)U)YQl3!7mAIFy}05Xl^xQB+OVJcmCD zTuy$w&`<&h`8+hF2pmr9X2Jw+Aj=+@rK^gMp*Cx?GTzW z+GDis{wAA^5Iux$Wi7fdUg1UzNwh+=^=QN4Mjc~*kbk&d&`^tZq)PmDy@2Tj85(A= zb8vCPuiziN0n7CQrWXV`A%EZvR;)5zV+KD1>-B_Yu>3o3FcQH+jvPB4`5#>`V0uAH zO3P`g0>u#ih;oq6UnrUIA6zeh5Bzu63!ogt6gu{Qi(nyv!L;oEKS!_#<>uuV{3C*; ziE|}q<6D+Z1}85+3xHr6WdL`uuwq$d{4-SrD}I}0aQ-7#1uK5rWpFY*Dj;0{Yqkm} zGuDKKF#vd=aKkT~3}mcOu!7SLV!0D*gMZ6dVQ#==9#|XvTgD1=119po+5p4@eT!fD zDoM$#w?M*5Rdq8P#C@plUwV33Py;8tGJc~EoXA))T#QYcI99U%6|%w!zl`4q1Siv& z<<}N}<*fXMDDcQ&{w=Pp-^j#Ym<4MMa32Wwe?b(#p$R}ce_D*hoIfoF3Gt`JU?Kim z%w!AbtFsAv8U z!wJefMAGggeHukar|2k}j!x6j89IugqgXnMqoa5_I!i|hbaal6{zsk{Z%lq(USA;f zQ13>-TJ>uxQfX7aU*6lYQYuBH=}O#a_yRuF>_~BXq43%y-A?j}QS_RI2bxk#}wQ+Npb(~nQwOH%zUI+zDu)9vwHhg?e`aKau#%| zT{aWA@eP%?tM4LHV90&6_9c6si?7$Pnbm~pzn>DO>{{*ka#JdUoO-KW7p}DVvaa_d z2d<1Bo3Tz;R`eH7+Ag)X#(zqK_8DSQKJDS|vDQgTF7~BuA9tW``wNL%eg;{?*Jg&O zzRQ|yLIxdAYc(9|R(+zjMc}Mq{yX2^Ij;_=U;7-~GcntoBjzRX5i)8GQLPcbs^|VG zd`9#Q{leS&l}k@$iui$T50CRJdSz?ajvekfy07MWPW?ksrI@2XH9zst1e z;g9Dw8$Uj3%)2&cZQ{3>wSz|F^2Tetmt5y%DYb5U9j?`ElxcrY?6#lArQy^mfl5z; zn}~YnhPy}fNBb3TsuQo+pQ!I09-IqfWrtRU{ zg@eaAN#zd7m^z>C$=U0E?j_e}q!g(%gh^VzS#JMgqsWE3^NPRuh~IaKm(6*$sy$*d z(aTrc)OJ+w!GKdM!|mG<@i~#>S6x=A@i--N?Xw+w>MC-OBl@K|*M&vCwcb}J-E-e0by>Y9%iC(((Rq2Wy27Y%eFL9)<=dmw#ywn?J@Lp-_pyu{|`1YZJwjaiJVyl z?PkySjx)ZqCR8xPO=NL_VVGE%x0Lylo!R0Ei%9*b9YX4d_zJAOAKM%s2;n)HKlWIS z#>-G$zh^F|+CoK1V$taR72?U3!j5&JEhe8M6dZ1EO&yh}_jJbDMbY0bS`#tfM?Nj) zqaH+$^OBG23)B2)lk56k`)AIkr*dr`b!RsdwQSDY>uw)%&?^>c_Nj?l%-C^z55I-n zmu%x)XZF{0u$?|!g$)B@p;DZSBpk#pXX3%cGi)oL}N zt9s_W+ke-Vvv||>rOh%ox5-zHNwL3T`h(=!Whghv7g%r?42EchiQn*>v+$Cyw_b$hP^3W1g)o@tn!I>-|OL5PiY7JGyTgrPxb% zSY2@+vYkQdqbKh*d?tOvdBWMx2L%>?h+UHRE|x<*0UZX!{?A#74?+2VmL zr477cne)qShZa7ujaGaRZ4fPbrT-Ao{(7WjMUQr|uNkL))81-(q4w0yJ!2f&!(hgOmeh2rP7)UG3wKj#nIk6ridq?JtY z&=s{?!3)H8)*s{cQtZ4SwnHUFid4m}D^cqAsEPBkeD6B-vS{5AHz&(@$;ETsa5uQ6(GmDIYU$9n%AHd}4253re6U;4oyfLanByaLzR{ahEeW1^ z?dR!byvJW|`=Q=(%HrjyIDUDOrSs7*MJcCx?S~WN%^H347kxUd>nm2;8!CHi^%2e! zcgWIrhm~EreDjwMXIUqyelU4*%3)@RK$S{u^5*%GQctInt9y<3jBYqznR;iBauD17 zg_Zj@8x}4f7d~~k?v8rSuII$4r!c5yDTn+0UF5A+*7jCU{Ry3><%b0i*eG#^bJV$# zrWjMHD85>gt6LN~4u30Id}1ZvW0TXy6=O53g${j8nL>i*e#ubUZ`?k&E5^K4b2z5& zTEL1%jgNckl6TLz+_X?@b%`9B} zNYA$4#{In;kHsNL67$T7CwIJF)pEb-_jV2~>)L%*?M?csGfP^ zh12%UdVf)9Gf^xOe-)sS+Lx+%LbL0Vq&dU8*yd-hjM}vH+Q7LzR)v@Zq(6(=}D&|#A zC%Ce-VeXkJo6g7M7BYB%)|8riV!Oz4RGO3`;MzmyK!-laA zrB>|8%}aWWi`}?0M{O5bUts(x`W?}3o#ifk)%p^55}(2A19QB~%(MDq^go+~$$H(e z6fG7aDt9II$_(Ebc#U<5*J?N{uyJbEgvZgYUv%EC^BZ-+_z{sT)7VRHdViboV*3@d zF|XXyR#mmTapdX;i=@2X!c}fZP8l?fFLs<*z_vU&{NvGy02up0YeHR=Hc=wsBY1l&V7u$=<<>U-zrNIr(b#*VT`Y zui`blFxU0AJ%3uaF~joK>Yiv)JLlH0?f0WqMo^JaI+6#Qw@1jkt4imlu+Yx94UTYLuGf-IVWuu zBksP!jqYU~VN(_y=$qxwf0dXXtg|}y*5zaLLy&!OaTSR`x6knREJMoYlmW-w)IX=2-G?N?%_qUAT)Zh^+N~&IlWQv6KJoPr0kh zySH86aF^@+N^b9S^K$y)3$<9b6#x{f3Yz_?!o7c-?H_5#dF&{B*;CN!y<*a z!hZq~M)(7wqWUKQVT3;*e+Dr|I1J+9IpR(+0%DAC806UTKYPfG7j@Ds&x>L_{%<@lpc83{q7*wA{{r2Gf1b9TC)SOBy?21x0YL(~s}yuwY8BBB zfgUamKVL9)tl`%XzL(*j*bB)isB(-~*P>9^$o?Y#I7Dr>*S~;)LdgA!ga=+1kO_HP zQTPjH0ph}5OKN;g|8*ax-swQj@o)e1pW+w7A>s02XlKK(AoiKeK!rad=v9gE$2%#3 zaAog%jFkHY1pW=jpkKpH9F`E!vu=~*0Bh=LLFE8x_(-4@9HMmT*}YVhzLylRfoRbW z2bFRBH)Isp>7v3DJO%X~K!LB3EE{-=Xb%$w=nofaQ0;J?4#rj_Gf$PJ}ukUsJ}6zN{ACHEsLq8&fDPUVSq?VZ;skypAwUhFYlOkA0*BzE zAop0#l+4IZQ>vN8?>1HDYbi@W*%N0ho6vud@ zrk+w1)*7gizC<7xk4NP-l|>n^i_V}bSD+o<3mag%UX>mOWCKDYEvTIVkBnHjn2+sb zdVz>`-%AdJUPPTMAd5hpg{Cu~`Za_hP2wAb7#Dr$pIL~(AP`AVoC^&qQrtw+?F&yfw=`17*Xo9l(V{m2_x*R2>uo`B+MSN5>BWCirXRfJ3u>vt%8G|RPW=A12|2*{V2G0z<;>;q)YIX_?@9hDO=1fbTJ+Fa0T z>6%L@;g>CIm7f7S+6(A~*Qi8H#b2TW}7pQ>s9`d3!Vc{$rSU3x= ztv*O_o9&0oO*VqZ{03J?xER|z_N%b??VQjN?DFLc-1+q3ck?W}C zRv6VxF&pq9RE|{zphKW3t08J23643C%YigOfJE^=ldCz8PfNz(76iB=*^5(U3qy*U z^aJ$7J2a>c8oL3uQu+@q@ zP1iv$z`Ei(?yKpTx{kncgACMWggI)XCOwN5cjJl<$1$fa0njt)#V=$tPvq0x^L`N9 z@gaR7Oxhk5#YK7NoG&Idl8Rx9!0xO$n*9MuX#ja4(DCPN?x2*gv&G|*`)vHd{aQgmPj zH$?N@t5u+x!!ku(7*hxoO%$*v1&|GpzA>YNs=>V%<_HU!y6i*FxW4LhaAQsy5CD8a zi_=*`wEY>fQl1NF+RoLpFh3+DhWQa9y@x7UzMBN%PHUPZI|b;tszP;0vCV zcPfBrjvI=JmT|th9!1$S(ujKpk1ZvqcbPJA4R5nqeC_24(5NX-<4Y`5M`K>47hDG* z0dsZe_DO!=GrrtU!K^aw3#kUh!;+Ubf&i+`J&M_I@Y$6}k7Zzbu1D`AIMVH9@q1|b z{hjmp({Z0bgWzm--Jy4la2I)iDVYLK8Q=w_0OZg)7zr9D+;M}x!OoMFid=7RL__Q6 zYbk|qkIHB;7(Nh=KT$gx*j*ru1G6E4B}61Mj-nLOI?c&|@sc*=_e`)N1_TETpAJ6hnY&F8&S$H}ro=^)F>b8UxfYCtA z0MIKu=|KraFeRqS1ZD>;7N;fyUNX{f2*J0pJQwwxpauzd2+S-n^HM?)dkNbD3Z69; zox=47`XJUD0WD)YD--u2W~K*+(-s65P#|Z0B(PvVAeRFhI<=iTwjj6&u1*w)WP*Hf za{%@Nj=_U~hiJw%0B4ww0E6f&)ue}Q(wjH{r#)OQLxg@oVb8(Od1Houhie&>S@->v zV5Zof5l&!A^An~TZulB#z8Ob_c^n)-L?9yYKKQDmzy!P{*pZ-xh!o(N@wmZos{{YU z{SULML#{?3rf`$5P=dJ(3jr&q);IvfQbO$JOM$9j257J~0JmB>1BMXs6x?bB#AiOs zse{8xL+F!}KLMqX0rwxkoO$@#1Xcjl2moaVozcMwJS2cT7EC}86WD`kY;TG{0;&j6 zs~l>C0lIA~Vfs_SKn9cX!&KyY;C8`<1dA8M@dUzzh<PPV0&SMCI4U}AVinMVc|+teJXlKzDpf#hx;ea8BcGus8&Gj>OM({YgEH!ki>d<}lMt5>Nx;aJY zo^L?6hka*)r<8-PdJQ^{Z|Fp#(OsE`4$&kg zZ2e57AMam==7`3PmWsBNe$W^Uw9y*S0;n+FiBEGFZ5C92;~I2bJMc^ltFNM2p$+<* zu*J(_jovMEZ=LWSzkNbX7v?u!??NJ(@Wh3KBGN9Qw)PU;-G z;zjVeK#B_R03@(bJm3$hH)Jq?E1Zc3&>S6RcnQ-kEM!r@l%ccohuy1La5Y9NUF|~DcA0r{8kFhYM z53tMI3DX(Y`wbaC_Zwmd&QE_U>IM9S(j%&yTH3`PH*-5OltZPPxpP}Y*%>LJZVV%| z520@FG>h@)p~WR}jJ3|iEwTx8|G5$xc{<4-j2!+Ec|m5W&Q{mZoU1ioM|T0!yx{Lf z4v4%UcJ_`N5hp_Z3_MXb@4b7^UcY?-BjP_{#$fz8PK0TCKp-b00pVZcM5qq}3#=et zd%pGkElz|<2K+rvgwQ+@R-6b+zn`zItG95Gf#DLPWy?(fRuGUCOn%_cU`0R>p{53Z zj1ysnV&sv3nq)Bj9co~^@azR@{2QB^TgD;;;r(B6BEL}tPNoY3lwEdKa>rjN0wwaj&za}}9WcEXScn0v7ARtXH(NOZ z9&j?P7FZj=X@PElH@(9I4_FKWu!FwutPMaN&<*hBdQ9wqwE;6NhP45p1G)ir;wqCm zU~RzUU|1Ufd-=sMFfo^3V`iAkFG2xc48NA9rC-X-`ZZ=+jHe5*h=Sl=*;E*vm;oSg&VZubZ_JH>9KgCT%*Y0JlJ#q4%Ymu)* z*Y>d1@(Z%oHVLd`$CW*@KS3b?91dvH$~$9OoFz|4uK^HE=j#t*Q9?nvL|BcPKY7sHX{i?4XZA4UF>?LbyP?L`otg(NQuT zrO;6-9i`FHMLJ5Sqf2y@K}VT%lto9`baa`HavH_|hdjfu+I@M)Pu_fMLZlAnFL~wn zRw9v0@2&rgfUA}d_;S{A5T|3pThD7%?fE|MmBSgmFQ+H3|LBmQddquArC8bg{eB&C zxq4~t1N(1b{bM3Wjf<2i)bZ%@IVW^#d8_yZHHX%NO5|q8(pLhhD?aZ{lS*szobbf! z=CY0n7gmW@Fr3G&sS8mg)ofdOEdHbs1MBIXt*gFrJJ87o<%$~BDCyrnC_^=sSHGaq~Rt7b0Q)Vy2r><#Xa z1m06BNmu1k3nXKSM)6%qKcBi4&sJ=?zsl*JX;)wpM^wr5O6xB|n_Bvoz9HU%b7Pfk zFU@J%apvRpRM9KueRIz)%aVQ=Fw_02<>}^wL@ujS;ST?XAL&~QMXm_!o>1ZSQ0z*V zTHO)d3tZ{KTPBm*fX}PD>`yQ1FC^~b`>(J09{pu%k&N}2rza-um{Ks5N!AT)x@6ft z3$F0bM9)t#?uszHFIT&*V7*ZF`r~>HG7rd+H4T$9R$b8fkY{vsTAkee{rc~fUWU{K zt(LqZSm>B#O!(gYRmZVaT+5yY7EL0TmVXuqooH5| z-x81~m)~IU@zC_OmyMk7d8>5m!b4(yN`1k!?X&%A7Lnv$3dE9eCcwV1oAz*rIuzM^C6) z%JbDPg-EjgYwO*Efh9%_`#2^ih|Nk+Yw|uk5O9J2%>3%1py_6$WaUS%gM-PfE8BJp zF3Ox~nkJt!&mwueqWf33VcCFjD&&C3Ri}vd&8L63e%&4OeC@t^i%-Gn><3dD*1z0# zsBEDX+4D@l;``K#J@Q#Iiic;Ha2VC?=HGB}cGR;S;+10^CVG*}x+P|@56o^4MNKId z)vcQACvnldNFYbr>PPtrS#P;G^1@s0){OPNo=GddUzxL$_i)L2zJj?s$Hc$)oz@ZF z6t{>Rp7r6%vg7J^f5e&$94}k&ls!zX_h`+ACgJ$CLMh$hvc$GAbj}-rB&(Fge2?~K zPq{NDRIbK1L*?b*F2Vc_`Ix_j=Up*=Q&O&+-g}^_-tmDYW$h5O}n3NW}LVwdFsljaJARpO-NOj z=1t@JZ}YFd8XYBe{=VSB?9ZNk!I9ULbiEta)DG*AvhTC5ENBy7T)}U6PrqJ3<$TpU z*9Q0W%K6^$vWb#&u>prntVrsh!gb;G-8L_I$Ay+w&C5~u*vn?*HXOAe;|=j_9Th22 zG$j zkk`SpzsHg%E?nA;32XZ2J_@Swnf=DQNdM=enxpn&uESUPFWHLTB|O_FZ2lO%jz_E1 z!vDt^qdv{tmB;!Is@`Za|DsjwBKnrhN_Q$W=*f0I(;i&F<|}xzR5-CwDSdiTyV$YY zY@y@Q$!m$&-nmbm`K%8~x!-aXINve(w)Bdo9ikTDlR|Hqb%&AXe3zw6f26Fx%Mml$ zsxLx5rq-jDH*?<88y&CJlTSq}JBD3|k#x1Vv5C+rnko5|E_Z6fUY;FmK5N z_X*@$@77-B^p!tP)wxeFeYsEl&bJ6PyQjgcIP~((PD$VOCyKjAmkCD5w;e8<*CKvI zv(Y2&XT?Zs1s*XwrT5?bMs&ocJFdlRmVfbz%P%va@wXzkSh;iO-GBuWL7x z?k-S2w7N~P>wcV)k4Di@(rUsLaNX|RtS^`SMy=`(f9Rpm;+DVhoqTnW+QrTQk(KYG zh(Tq~5jm%?=bVQ%OIID2x_3~~`F+F_U+uxXF*h8qT8fg+q@ifjtVP{dZ6arM>o)CI ztr3V&-T5ua{D)$qd5lmwiQbT}!&v$>+-$Nh=RMtf;Y&p5Xs*Y;VxxV45{2OxG zDqs4E(#<_O{VNN7zHOdb8{DD)amnR1U5C0Y1|46WAo>i83oCCz2TxzH~V?~8z-R$ z0aX^<`o$Lf7e8!~-6KqD&)FtSX;3ZnncF|V4Bx=~jcJ3sX83;3+pl+S;ozDo;y1fl z^Rh?Pq-q~E|JLK(`t8S8mM$9D)qdrGNx544+FQhH&d1Vi(g(Qcj#hI!4~dsWCCmkCE2 zBBYiL}en!GUd6>EBveOwWSmNrMmB*=e-p8biE8mvlVxX2O)j67be}l zF!B1q6|yH}`rHIQKFXeU&ZT4DTImn^4?;VM9|47O4xS<7TnAr9OzxxSBW z=M4VWEAJf=Azq7wUz^-GO7<@uOWlIjm4$Cq&)~debHi;$k6pRHBw7EX;N+Ym+D|6G zI%xLM@JB@BalNsH!ezEM4{x;@Ts`&*;k)5Jr+q$x0v&*pRAbD=aem%TlymT${jfx`Jy~dv(d`-4elyN?#Y}Wy%i7wiR76&`hRum@JWiB%$yRv3Un73#VW8sk zW&72OhvJNy9WvH+&wQ|bTHKohL}11Dq|K6nN_P~Kl1Bi65k7zv7X1kj7~uoR%U2^# z4I_ZS2p>TH3`&e}5@dqZh*QG|C^5oG5Uu(DJ;j5pvmSA*7y%_lI0>?6@1H=45l(_P zCib^u#ou`bfC;M@!+paH3lwaDQ`kzoZM1WvojdJ3Xy-}0?X=rLyPb^&jK3Q^jEt@S z-}vdFTa4WvPvNLP<0$7u$C|f zxDRuENGR2)Sk;5F42_?PwkX9%g)P*w3-I-4=|%=H#~{o?eN>WC%mTR_*mKysLwiLL z`nRW9;m)Y$M>Ydgcm%zpNEh|`G|Ivb0@jnu%(Mjp0UAE-_ymZ3pcU7dVa_nzrltR@ zXQA{TIUMleMoa+oWjUIo04Yxpp6me|U{vua6Y>H<%`0pKjNwUfgK86kAD~!6sfML` zxbtH8xRYWS#;el;cuGYKKqH}*^DvRvZKeY61_++a65Z^B&dd<>m|ZlLq%tuOA`yKD zS3?;NYAcYCKzyN|e4&OKhEpL-M0p?!0EXo>v4E;4kmKM8a^ti&A`U)1ycmKkcPhC- zyrahDfGzl8+yUA`4f-64`L*%txEx@2P>;%N>a}n!%3ai0fwv9d2@+AdA>KiPMsfII z`<XboH&*atYhqZs_vH5zJw{DyP;2#VQz;VrS*2s$2Q#nmc8op)1V(LZqr z$8M|9bi&hGLW}ol%)@?1J*YzP5(iGx0d|CG(NAz(s49e_da4TH0MP1xstPSZ3Jt2d znQ9z0U7*FSNLQF6)(f5nmJCd35RMGwEtnFN=|kV8R`9g8-U+HPv@4hnz`TU!eyGDC z0oAK0f5w^KxN!2%=XuN`b(D>}8BOJ4Z=}SI{Z~len!>0Z$EAX}icH)AGLU=`7^5_* ztpox$968#{VacL_AQedb^P!Msg2b2%GY>!%@;q%71Q|Cp$R!m)91@UWA^N}rBJa36 zd369GyT+4}4-M?Lwt}DxMKqT1@u}^3`_4OTchp?>Yc9kD!h% zh5%MXV!YNs;>UD@3V4t+Fg+m1B#87}g&>r&_`O!*b5S~+e!y{|^k5xO3)}*Yg=coI zP~vlVMIaU52N0o!@^TbW!|4CTHJF~Dpt~FnHaIYXD69w4(pz&0_ra85+xuh|fT3jg z+A}@kVW@%t2C6c`H-Z^g&`+MzxSQ$Fc+aA89vDJmAoc#IuLGpv(IqOU;%)Mv(aYhM zz@@D~@d^0A@Vx^Qf$N@pd;skgZUFTZ;lfQ{f>%<9oz2BCm@xD|%_Ya+B!B}S54K9U z`ys3Y=nVj;12}9@EzX!wUMFt%YJ9r8pg%M)6+sxKrR&s$0lF}`c4|9~Sb|9h725Bo z;vS+C1d8YtsV#z+2&{2X-jDnQ35bcnRG?iK*zG1Aw*e@D&UpeAa>*37#*(vpEanJz zRb?K6NQ`U`a+-SI<3k704}fXH%>-TrrPxyxS5s9|$`D>QH%{+dGh3JzWZPn1V&=Qh ze1_QFw%?%A?5KC|?F^JgCpU?;O6?!x7Mr8QR1%{Ls z>SO?54cQl_vk09Y0})69Jm&;H4-RXBpCy8aa1CtKmFll2;LWIUMscjy8dZEFB>``t zxgkfk8R4?NhQt_r2Q?R;q0T7pLAzjT0@k)4#2Sc}2$~KcUXO{6Y59+233@r7+HRr* zR_KE5V)B?KY~X7tpI-R50BMp4e$WH=3U1(b4)aX`i}2S^XU zDLS=t4S|=?-IO3ZUCF+uuv>wC%Tnek90qw!F-(aLl#6Id@Mrt^S~})rT~;{$2$bM4$g?{dR2IO=SqBw;%2sC zmh;1w1!)ucCx{1(3z(4+8ls;bfQ6yqOS#k)fE{oNB5nx(d;A>0&HM!)LXzSfvba54x?W!T@~$HN*`O2;83gT{Tp zqXTaN$Ancg2ro!1d~w5x1r!pZGVpbdBqE-s5`=+JUSc%Z0Nfb02!6q(-%=WohBFxM zLPL`fzk)jm6a!{Ix&_RJrf}c~1`o`Pgz(Gaz@`HPCKfbrsp10lp@N1EM*^6I;I|GY zAK2&k5KBZ%{Z78Q7D-7uCK^J&VbATu#6SQTAc6-TIAEt%AZ3VU=z-%!&)$!^E8`=n zJ62n10-|O_zgAxa7&v+8)m22wDD!VTMj*=HPeZDcR6n>qg!C{Y!QkD4^9>gYYJQLk zI#9eDhzTZSOx{eJChE#SQOlx}a6AGackSdhI26&e3u;sZuLc;#0B)prKqp=y1V_IY z$z1e@)@z)))k?hWrE$nV!}XLBL~vsJYZ1$~cq&3ZPElj3R={wW2PoD96o`P9h;V`* z2{M}ykb_993jyl^3O&S!Su_d1%HSPEow>%IRJ!9FA%1wlzyOIGR??B0cH0I&Nlc(K=DJ0Jl1kD4X+OL6GbL`3Hzt_x53>| z!=fZ0al%~>KujW??I|zJLts^b-wr!$pK->*^GMMCq(cum-~i6`8I9x^@aGI;C2}aT z5;-2yL=N&FGd_Mre25|)0f_~yxP$quxPv$LjhwJtpz8Qo$lJMSW@vqArzth?mk|TX z=}S7XS>uGxCRr_pFAN6=T4{h5YSit*QKKFZ&X|E#6%fwm-iyI0w7Gcl(iW?I+0l_i zyKtd@Gpw+CE(Fn=MPWSqzk@y)sIa{N=GUpldh73sm!=nspqQbDdDsDyd~ytFkL^IP$kPGlJZ;EjGk z3T&xLcv6k#L2V!AVeksgk3Lz99dPVG$9)hx$YTO~gE-h5#5JnG?ZCDZe=)&?k>uYA z{{|i*mZd?6iayKVUf$Z2QW}7&+;0 zLRkI=NCjcp8~j_$2p217#NTAJAk*7GA&cp4@L*NKFDne}=^Ps9z=A=r)C8a8GnP`< z3^uiEfFSDD;i>nKZQQ8wXPKS~MGO4nsM|&yb=!!8+Xg-!{4T_qADAcbS7-@dr_#1l zXe6#ggcVo9q*H7=xjygg_u~j{WHaH{VTGPFI(BUPisy_p> zXBaCRMbXw|?2?D3b?X{8zIW^KMsx;^CBcjR3OH%OkZnJ@3)Atp2p6$6;bcaeY_fr8 z$tFs-)LUZ>kRv2-Buq`4xk-qz3`3Vso_(8yK=|N!zO4z*QwzK?Zu>?V#Fo%IwEzdk z(%+d?hr{fqnOE|@}vXwIULrNJLtz4!1 zh1en`SdYU&tum!-R&PQ)8UC$Yo(v-lJ|uZEgz=ac;)(Q;jj~ClY%wIn6Mt~aGpV#y z*0Y8CDciO$NbI=uw3SPiaVJDJ$+J~Z*1wZ+5)ZfxS_M5BYIudZkkVFe&s(y1kn&70 zX%+QktxPI~*~XtihTj|mv9hX|&D!n5bUK!)EO z17SKuFhPcY5Bs2u2w}1fDBxHAcSeK>Ado*fL@>P=ela5CUmPM>&IpM~DXACJGcvO; z=aSzJ5hVyXpv1yI%r{^aK>&#`O&q%a$cPAw3Xc<)fLFjj!am^Zz{DG%P}58cictJ! zD>#{016_eA3%Y{IR?q>+idiaj1rjXib0$+k2Oug^)94D=IMC-zmVypIQbe!hD!xfKpl>b0CDJL+B&@k2p zpeX1De%5 ze_9NulRqs6u!O@N9K&z`w(-kaA~wEsB|9!E){2)SD@4Vx0{jqb#p=ISFd`7I!Js)8 zc%l0vi#h3Hns$N3i{5g9{= z3l>c~sGBsV>WJyJi8ZFA$XlV;?&`*e&{hqSK$JiBmUTdA|4mEiqDQ~adw6PYgsLDK@%8nrqv2FkgO$@_lB zZstq5;*GJ&4GAjFqiz$)J3HsR<&Rr(P@(mLx<~){5AGa^T6Wz@t+rf+>mo&=m85&l z`)1vfDUjhRC$RBdeE(h*{s$A1S6tT{Oy5?rke9f;J+yGh>UsR=qmEM>Bu3q9Cx zTqs_@DL=w#$s=X%MDE7y7~OO~*-bOsu3MK!lJ#ZBw`esPa9teC+o)Qt(i-$&i`;Pf zmpH8hO0Sa4a?Hu-t@1z0jJ6LPeCDJ2Bl3C3Oh2j0$Ylv8k~_xKmt2x=Bq@?bXM$ZG z+Dm&1T;HjCihQ;as7-Uay_9@}mT2)iB{FI(I^si}`Zh(XWqontWPUEf zm|bIoEV@iaK1iA#O4hb$G$+`-mA$UHORD;m=*Fo9eW$eF?af@$Ms9DNen*g8UU6)~ z?fv66{fv6GUH((ThYRa+c4>S+*L_g`+|2&OS)oMpr%w4^l?LhP)i)f+v|W*Ynk`qA z6=9Y(=bcesZiLMRGNs$}+l^53l09an8x*-JBodro?U?rCiP78gl?|7CTpyA-KezMT z&+u>Gte!E4e9E61yZZ6U$v>WM?0&MF|LwyQ66C1cfUnJj_g2^Ud~;LmuX3u3Ry=A= znr(CZ$N^*V%0=XaPJvuoxb++Lw|mNW$u+J|TySSpSQ&LzzYGHG4X#Kddzui{ecI$IPr=GeC-Enmt|J0UJg4`0TwGfCKFw`L{?{lO55?AVMA>s}WR>T{H zD&O6=aeCg`sV66Yytw-yoreXHd^Z72^D4_zn!%XdVD)_I3d+GwXY>r%Uk7L-s;q) zcgNn_G9~d1=9g?^;f9=@VlHajq~)S;UF5*sC>zmre8m%<#|9f+wB}vimmlL$5il=l z1sD17XhwZR_4ZjK@5v#OYehQFNu1gJZO1gBPPf`)0}dL5 zdA#V(h2R68fbD_JcZRdSef>qy4e-Yy~Ep$eWWG=Ci zyD{pDeaiB&9p`(4wN6a!NU|*0GB?IR^wZ4=4^NE9fCe$jgQsm-Z|$R7%Fi;Si;|9e zwHz|PZa${FQoAVNQv|WspLBXA-@)-;@0=9Q3*tVqVgAJUsXun|*QOj6PT$(Qo0!d% zj1g|$@-o$B&Q--$o7!`Gj5^Ku_IBn4ta`Rs;oe*#_@>aZ`=Q^DpI_d^js1FjWYfbN z*5#=>u^xu1b;~E0$&-%{a$Q=kcr;`Nt>?CtE#Q4}-hXkn)5MUK5*_IRkIoB`N5^c* zQ495i?fO#pN!9UhXWi#Esub+1^<*+U{Mpw?Woo$?yrE?w;&% zlX2-hIPb-{sCkiOT-||1$4BHzM(cmOBNJm0J9xvI+u*#B|1&54j0Uw@IkJ^I+r41Y zBh`3wfilfhkDrIv>rX9NC>YUuY1^#xBOmIKROgw!-mjv@`VTvQ!#b?UfJFP-n7 zTz>n6ul3+wS6y=Xbm-wVRf*PRC%w%Y77JVuZq7=yyBlJ7Zrh}vcU1;*2zSP&X>}?M zQGJ))rnPBSY`CyerazH4&!cp=u@d8^%1gXvO&HU)S*_2Ad64M#aJ%Z^DW4u3YYd!! zYFdA`V~+YOvg}26lta#vQCm5lW*+{sf9_$yEcc1KzFgo8)%jhla%u^=IH~udN9BYU z(-X|czgzz}{KJaglPj*tzcDyAvGb<(o#RCEjKczn3sbma#tpv8*FL|O$J<1teREan z!dR<$a+y*M#QwIwq3F@J#EA!1DgO*;J!gA6*i`P?r~<@`6SeO00uDFf_9yq3GBry+6rKt04z+z9=x)9IjxEu;wrc$6LeUtj zy8R}yJ$8={eA%YbUp(hQqSSGdvGt2CkxiYeIBtfF^i(+TI(5U0%cOTNcjx(C-?wUH z^Hl6JuAM^K)|Ks>Kk>3evrpUYh@j~)Ry_MHb;NU(`OZmxdXi_BNnC~8wa2vvwP!9$ zG#6~}s!5!mwtkA}P1*W0{EyEc(7Q-12UqjgT-#NXx$f~9hlRq&SB$kD(R9lpeO9B! zJ^y9D3`w2e{WTMQ)oM3qD>Y;tw!FTI+vwr$Y2DM~m&MDz2+-I{zTHx};;7iS`1bC% zQMQ`AJHHjG-wd`;Ixu)o?)1_z?WW{KhE)3gwT3UOMZ)+hPw!~8SGl0ncYQzae&ZI$ z>A&x3lccqE`u*4U^vrs{>7JiCZ}9V=e)AFK(Ij+D&ti^}P;Ro4BjU|ou{RDGOK%Ni zc<8^Bsmh3|#v_;KfO`Dt-oClcA)@^ycd9sA;~wvWGH zzg{SR_Kz)s1zWRJ+YO4hDc6$mN7g=b*OTsAdc&p4U{v`@=}U*j&+eJcx4ZGAkn6+` zcZpW&&R+AM>Et(kAf1*H!ArSt>Oa8LU z_!q3PtMM$ucvAjiH(hwq#U8rYOBddBv5zi%=wd%z_%?n35Hb8B!<@$dXq7XA34=yj z|M&|o4yN`Ws~4F6@t1$>%KeFa4cW z5vj_LsPzD{aUMsy1y3`i{8E!y1;Jm*JMVAN` zhw1Xz&rb+sC@KYBg)D}w1}aaY)Rwvl0EM6eIYEp!4X=j>G|TRQr5T~Z)bITh zf!{mz*00YwP8B+&qVFlgNX_Z zG;T$suordIXS~3SblpX@qZ-QJ6F@4^FmC{#Q` zYo4hEM>`M#Dj-#YU(i7bEz-$>fz;*_6c#I?pcECYkTh_B)}R!eO5s#QhMR>JLQyKt zLT7@+g;;hf^<0l)C8yj=_JNJVP%Z?LA9AC%vC`mU;c_8J)lX|^q_FUJBG725#%NB( zUC3foJYr!6UuhvPtO&^K0`LJU0-g6@-mVSF6dDXz8trnGWH7;P!|J5ZhQpqH5#IQkSAd(^6MqdH-N%M?j(A%)od735Cjdd zj6zzAfUcm_`w`A26}Voa*xf{xzYX=~s8~k20?qySSp(5>@dQGRPmnSKtqdvkTKM2F zkXTGz+mWvTQTq-_fT$?ehw}(|iB2lmm{3(4lS+h&+Uxl+G2wAwuLn2!gD|DmYf~xx0LUd2L_TA{6unFBlka%c^$zJ&%4hj;=Z8=`Trjjjwd z{u4V;flf_HtY(SA^gkHD65iqx2nLo)yc4P>t}Q=k`yeks|4cw>Hi6Qr3?UPGz6OO) z0ypYX$?vEuMBL#5RF(Ao6xMiduN0j`m-*HR0Y=_8nc&e-&8>)xO(V0UZIR6hiFvJk7_SY*aLpy-MtiC z)?y#YqjR{@B*2^V9sol)E`#+s z5gvw0+@u*31d=FxSazAI;V31;k7Ud{m<()$(Lv>f1B8YU;Dfu-I{KTCPeG7iC)T2V z{NaUA+`J$h7!X#%$zB=~R0(_tw-|`g*llR!2|kpdY%XSxg*ygxZbj~9$CG5#+lmxc;L;QzMj`;%Wk02A%;oWz^vlyu}HRE>q;>7i4x0_I` ztMVK?8Gy6kI>9|30Kj&j2Cc<#6qq%JAU*~W1~RnXAJ+$116c zs5>%tni2M5iAWVX!N4?v{*v6aa{6d6KOuNB{e*?f4p0o8AfzxI9ij+8qSrTp$g!Y_ zKp-7-3OVZGAqj^9$ngY_!Jf6Vko$p&OQ8u+OG}k_nyE(+9E2)Tsqw@(+$F-@mgC_K zGYJb8;6PchUv+sWcNjBM1A9he>eE}`V(Qftx!qLF?7^!{%FOr)Hib!ptSKyAQm2cQJ_ zi+6xlfJMm;)aT-goeH)CSCo#_cUBP5Bpf7gZFYuZ57_EKn@9WxjB{`f_E{oc215L% z19g%xs^V$B9SxjIqz823M%*ZI2cpjsTZT*%diQ`nF)>4FHVt*?!3?FaNCqxz`2lWx z@Li@(+lk*sJXNbQ!(1pGMmdS;NJ)VW6+Q<}s^cOu0apagZ2^}=@kPACSIM>w!zG-`-`{lP(S$NjFcFzA*b3^wrO?R^NO3|N(Y4>QGO!|1gDTpv&e z3h)S7hn<%=xs9U_vvx2f*hLUwfwpsD{sHXDK*7$CA1E!J(FpC5v0ubxq{Q1CxJc;3 z1DF%(QJ<6}ZiZ8MoTP|4wa?mzryc@)sENr}mL(NtHF%HZFbpHeuYqG21s`Y{)(z@A z!q;4QY6s9O>KU?%AfboFw2Jm3f(z6t<~XL$_@CgjsAtIQkp`uUVVv&T)!DbOw;S;TV+`oxXxzt-8&-rO(a`iW z=C5Jv>3e<$*cda3=v;^ov9T5UJn)sHV$l>|K@a5gI1aauKsG?aps9HSiyA9>L=FP0(OhsR0P6kH8T}u(LEK1v|@f z|Ddn(e#f_cY60vabi!SbR15wau4I`ufzWrI5Q*9R)=}+uS0hK#Gb=- zB90XoQ=oTu8H;Ff`pb1ozm<`47TOPIaQYBh2dj1PJ@^Z;qWo1;dem;HrOx?ygoRA zdf*_+rD0KQfL$2!2*KNC<7X8tqDR$zg`87vSUG5|{v z7WcvlBn6;FEP8Yg7%`0pj5q>#(Q&SwXMoujGJw}pZ|9}I&a?|sYJ=meckp(+TG6Ih z;3e%W(t>%2Klcb3D80kX2E@UUq6D0xA{bXa=Ru)}U~m|v+nE9S8-RtAaGTyiXv#Yz zA>`n1-r=9P11bBnC&u5n1Nm=H4C);r`8V!B{^f~5k%xbJVgMx}Y)=f9!-UIbSQu>E zzH`@Zuf5(r`~6rb2{}l?i2s3-P*j54fCm4Mu#vw|5{fdk!1kbv{D&t7@*8;g_z}b~ zT3B?9xWqU~R0)A4VWT9JvG^~$5#hhhEG*ZxXV3=A#DWe0y`bx?)C}5SnOD#OAQyC#d&LO`Ib|whs(SMFOvgiSjMJ$ZqKOha9)IW8@3Fc4TNZ0sNHzpvC`4F^`eGO~| zI3-FkU>*$*D63!qo-}j-_A`GEFv%3c$j3S-A~uG*rD*qb+Kr5z;qD09eU8moASR~- zRvt_@%V;C8j}f4Qa_qrnhDNMGyT1klz+y0`*@JhA@3IE#S$-J!%O7-5m_0a0doF9x z?61M?bTE`P$YilNjiFEwPM}t-U1O&Fs~a~ER(IFbH%u?oILr(bf~%s%~7z{C~>}G3MRUk-k$k zY}C`axBbi}UeM-?R{z=g#98cD5NAWtNqKTHa^lrfJDf*E@8CUbGgI)CK%-@Px$wX3xdq~>b4d+a~Td?cIhL^1TwcOdI9*=;ZTYY32j_=R>7M@Jh)(OQ z2U{=9synDj_Hq|WmLIoL*!DZE%Vk36InLmD=M8?Bhg9i*UXp92&`9JHEHWftUv$1c zscQPQy|YGmyiF4dTQ1+T@F=Py;htz6;7qEw3!CG%1@H!bNtZE}U{ z;&^xATiZz8l$&GMevg=a@|8c&YJmqSNh6V>({3+s;P7W8;YxQUnS|MaC|G=kIw>5&y3H*Bg*B-UcDa~g6 zi>|L6h^x_hChYY6q=wh~h`QB-=ZIy*m-7mzP56qZW$tRTka!l$1lhG%j(>4kDr9NJ ztP#&ioRHVlD-&y@xArXPv(NX}{_1^i^OJEk>HD~h1Y($nDI|P(NrFX=m)^KsfvZYx zqps|Dr}oAtXXQYV!BM^5#ms$@pc`%{=_uJ=;C6q<1aFO+z)2nwPbb~og*cCLw;W3a z@-3;sLv`!#^Dg4fzpwG0-D5R=kMxUqHOcskU>A{Oe63srsn;#tHDA<+t5iM5dE&>dy*UeONY>$v zFV|?5n~r{YSt-Mh>wxXr#gA7@AOC%8pY;bf{f>1+ORzUe`0c@8byvcsUWzk+l6&!( z?)}S~>$4+{&Q14}@*?_T`~}KP;R5mY@__!*VMmh*Mk;C8x%Y9gio%%B3mD~{@Tn&)k~IA4SL0aUy1vS{(Q;9 zdU;d6S6!IkH9cnVhQs)6b#c;mh5nn9C6^ zYV-TNx5(1xTh1)(ziMzuxn%U!4Wn)eM9w1n$K^OnZ|wAaxIy5mRf^g}a&GajhzHBw zPRO#6E$-i@Ob#e?UGpfM|J8orq4z}B?laxi-;&p-jJ(okHRAT}e2q3TH)Hv`^j7T; z3(qe7lq(ae;rV3uv>uatyIU4~OkJKWSVB}UcxZjKF7j;B`&O{CR-qD)-(EYzi~EY@;gnYSInAF29){il-Kz6uIKLT6PyNaH^6}3$kb6{OGvN zi&f8R@$KS!S)4w(;Hdc7eTL-t2y%Z~ww=nyh3719DAk>zG;h zcE8aeuU1_RbJ5Kcf9_G0=(*yVPJax4@viBo8ob{s*Pn6!yo$_jnAv}BkC3DMy&1i? z&*&sukKC%saerr-&-5sVsh`gZlA09h&!x9dNp)UT|52I0_1P)6XDNHvYera4IKEuw zSCI%wvAVT(!nfzMzgKHC<)pe7S&a7~y(Nd=>{*e$K%hMEKCw}Yoc6ioWcQwV@v}!% zXN|iRTs8CirG3@wWxReqNDJa4(fj(ht&n*>_qreVp^fSy{-4f@ZlCyg@BNwI?C&16 z*DfHFdtKke+i9Hmad%~w*Ys|u$A?B(3qOyNa+`5gt}bH5LwGtYI=MlibKB!Xlf9@;@d9pVb>(CzDi=ybweiEekM<_e3vu#tE;DEuZ4@8Sg)gUYn58o9L|bp zBC>B$=`xA7vL(ft%L`6!oP1{Fy_H427E|KBol|rgdBdH&(BwVd5a(v{X1;K)V*lM! zQxDFp(&Q)$Ule1~qTY6C9~tX7?xJw@F`GW4udxHqy?s(=b-%1L`U)phZUe^V;TQfRWRd{I+ta}pvcFVjmJjcXZoj4w+5&y4y zRzEV8`uJu;&EXDf#rK73XV#X_`0}{W;L5&{{+5%-&s}eG7GI0p?K<+vCQdiKDKf?C zOoobBPtBH^G`&O@1@b=h%Xz(H*2+IG^H#W*_^*(^EcDE)_N@B~X5->Pd*vQ-(>mAW z?J}NH-xeqBsM)z7 zLj6bX{(G*=Za8QY^|7aPIh{Wh$Ly%tyE=FInD(NXxBcrjs4#b?WjQ~#Q6rp{lE=*o zS7`_|uc|-J1T7S9T3X`YxAyzxZ68YS)DPFz1z@cg}k< zU-VtGcVB^Xb0IlqwW$C1>(dI3JE$d2esB4LobxxR^|J2sHWaHjzJ5eH+wSE!s zX`mgCLuGFo5EthA<7j{Yh*j1w262hZhzvqf!XR3}n&zWu?xt7BfCXFM*v_D_^ z9$|KtOnZIaK3}(0>iB%VTfYL;gGc20tjf}5*1b7tFK=5H-bL&uHHEygQavX0Fxjuk zP5;pb`PUakqPFtH?{m@1*7~xB6#FlcKQ*#kHGDot<+8iM9kbr1ue~YJX`5xlS2pRW z^&6tkndir2FLJ0V#8~pw*ZZq;B6eE;vQWt6xV>%BtEJ-~5^d44#4rLurcEDq!Wd>Ch7kzzXBK1_1tA_=hn+BnS&(5Agd9EgCl+KF1tFKO z3_F4hvmnDL2zgxfCl+KF1>yhD`5LQ8@(pr)Wg$E7{5LGsyER=E#RC~CAVp^8=;6qq$8Yn1c z6C_(%;yiS9O_5%xrKp^z<{GDWn!!^4!3C-${eH=EZx{{hhtN&>a)U1kK$q1sgdq2Z zIH9^14&^A}rj$S+wGYQ}C+W&U?FpqCMbklcIC=!K(KZw4@2HfAy2=_4?7he^nG)CzZ%pAW_n`(BfWYFosel%)khha=GXDYwH3 z48?P`x*)W6>_647BDR`P{feZp1*}{covV!MLOJ&ayj4v$pc`7851HHo#uo@Ppt>mX zO5j$4YFt{v4h0TlGFbLH-hIBmo!)6iZnmWPJo0jJptIGnZuv0|6Qp z+Q14R>%lm|(I%fnZlwpHG}X@<3Tt&1_1oY=y*#zsK&9>>7Xq^vNUOWo&J^ygJa+)Z z$8Xdv?=({v>aIxhw_PAle%D_=xqT(rBajfb3*-i0;UJsO90Q6a%w@46R||m-jdRk6 zqAO?7`70emLs80gk3XBFUKTx6kFq0f|!s)Mt zR=HA*dd>$Uz2Rrg)#Lc^yeyvtq793iOvttf_PH5NeG)$0zi@!1d0mh8G@l_t5DbBe zahwBCOsl2~_u`a56y$7%4!jN+&=Z0Jc$CFAp=5j=V2Y<;O(374h~C$Fwm7l|4wKCY z?rFIl?_&j}^x2j0Ex<)O@Btu?!>b07CLk4%!m|@@0yI#-8tNUQB@lanj|F)g_4)*c zI<738IA)jGbV!luEc66u#a8I5t#=X$m?PX*AekozR82=j%7O0*d39AVxs??AL)+@l7=!I{A3%}|zpavzLH@5_F zGHH1}tAPLOGlyFQ(<2J?<+!TA@ywQ>pc;%3fX#qzn~r%l2HcD;v{?ACfc$8~#bl*3 z&Tk{37g{p?m=K5$)0m%EP#38u41ftMXq4m$jxbB;#(e^wFf`@^JNh#J)DGNakRw9? z^~eDIp-c-GD-lV3RChT9Q&0#jzzOh72QEX<0I2B4oK+LVT{Xyl0T2*e_@=5O2!J7$ zG1Aj43Q~YH0Y9Y8$}E8X&C^mS038?v#Y0G<^8=remAK3+aAU+Pyt)aCeyaZ?mkByJ z?ku~_r<2idfg0ICdB%;|eZ^!Rm?lsoihh`>AhL$Li4-A51TH!cE>Mpm_@zW{HJZxb zRhr}n%O0xi^SUgN=V-hg>sQX59tghAb~0Hyi4$PJ?p4!-;8GNTQhQ3c(QpXFB0=gM zNq}p?PDUJAOh`O|%nT3!?k4Sb!Q#z?f_#xsYJ%STc9v}8_%I>h3fHUSc>-#qCI@j2nAJc8@qA`c z8T5$ZMy@;V3weHZ$Y+E_WwhWSftkcN8!R&hvqQh!7a5Y5PxWUB(Gkp&W9-*v^{6ND^>coS`D7kRZY0(6@GsNy)11{t~ z$V1pTy?}d%5kRh6(Yq`S7%26>O|~QYLLSM*c zSIU+}rod(dW-_H|f!1@SKI7p?Jn(g(qx{@KSe@|TP7mlsir!lAMMVS;u<$K}5=StM z0jP&at4KT+1-tL32Rq=ryrN%VW)Xacx_HoO$s~Ha_E|Sa^4s%lpes`aZ~?$uO6C`# z+!6qeo=RYzU_+ZgBLZo}^W>Ntf`QsgNg5zQuwPek>wsMdE;vw^AHh>OLPdJ=r z`gA|wv;LeR2D}qL5_H8M4FzBtr7TPX5_%k> z50G6EhwO^DB1Bri@u1WYi%mU#Jsja<(3+kDX9QR?u%jjRv!f;CFrZs((?bBEuqE~| zR;t9`68oxJYnIK!Xc{X4m0gytvfo|=Ro%AT##k8q$-iA5kX`{=?M^9P#a;4Q*`V?G61 zK#2gz;SU@^y&gb`sA1UX33}e|!27fORdB@e{&rVk+qLlg+pgsiVbLaUw_-=Yn~1XF zX8x5n!@Rfq!7=if-49N%CTKph#KQAuzz-%aa2YcnKTRpim=S@?@b-(!ZH!ySE-TJx z=J`>a5qP>8ZYIXfBQiJ*9+=u$HS=UJ*~uA9T|froot(juJjpJD(NE6c-27puofQUV z24rx)SobEt3L7L~y(}Pu!?~xKJA+Y2&r@vqV?URj703&^IkzMD39n|33{JIdE!x5P z)th0xPEkMxw;grZpo3E{&twh47K8Qs)`Bv;M2oW>3@^Ar@MNlE&*o$>$FQe-8O+b- zQ5lRA-PLK$(ix0BZK=@3=Vq}CyB`d}XJSlf$2g3)$$V~>$!OzAXTCe>b4bL7=$EE5 z4ru!GI_WbCrRf}x&4br6pVQVdGWcB>yf!VJ;R;UYOq+7aac%Ms&Mker9oGh@7yrOz z_k}L;_igseIJrVc1<=0Az-2{BPTIrxv^@E z#b2DnzY!E_Sm7V=XUh%hVe!8#H!2@hJ$Z^0iaIFjZ~kSuLD`4z(D4TuYHl!sf8;2k z(ITQ^gj#M;^NR`p8Ot&hDnkCwW%wE;Dpn`M-N z1}CTu3ITxGpxrEc4B8E5gLZ@0pxuul?mws9-+uh0-JmpRw*h4R6|@_{HMARS2IVbS zUk@NR^?zEKnpAa0Xprp(0tJGuWE|&Y->6 zfq~x)=nT5Tf<>kP&tPu?I)nE9ouWfmShxs9gxDkoCy*d^i~D^qEKi1d!ThxFu#7c8 z_zaCZIfLjK8VA8MG>(bL?)%%r12E3e7|@&l7{h!S8pG@;{f93HC(b)-otx#X@~C5h<^akg> ziu>%&uUO*-R3t4+Pb&h8*xeqqJN(%3$SB&Kcl}1`B98L=#Ys#Jcn;2v&ThDCdWXH9KAhCA2>9en6X zTf|JK116?s7}&@j@MRC2!!PC@-EVl;uy;@bYxtn^Y3BwTTXttSyEBh=zNoEZck*J5 zTTyAUvI_kuNcmxR@2A~y35m(6Sm%OMPIaRW!#t%_$1_TGJg2P}v{ge}wX{`7TlKW{ zlD1yaRs(IlrmZ)$)ks@SbkW@C{r?dQ*}158)<9fOs;%tVjxY&J?!3ct4noC}UDq(9Z1+PfnW3hw#5Jz2mz^bVoxp->RP}E)|-;y-HnVs&&tF2nO9h`NxIFQi z9jV#-@W3OdQLY^KQoL)oss#{%>^;-NCUhIW2{TdL$y+|F;mTplJOhy(-yg*6dbC0L zGFdIx_k5F}P{vZNF+z#6`Ql~@^gcFwm}~T*>0z1W>kEs?vpY$_k_o|ZamDI-khxmD{@50$HM6BFv^lZ~ z-?Q5(rX>gFoOaX{tJD&Ev2w!iS7BYp&2l|0mWu_L%8^K;iG6tzbtk_^JFO56HjoXO zFF#h`xRFBC#uclUl=-eE1zVPB$edg=Ie+Kcc~Zd&{T3amPP4)$e{&G;s!HOI+&~(4 z>I(jF7ih8{)z|RBQ>0-NN9pY|6N<0Qt4iFlEN89&Ioy-JeR=spp@@;y@tb?ySF||K z9m8?>_w#)tI*QKdmPL>mStqtSDJF&MwAhq+z z+loE!3U=0{Z8wt$v*vfv<9)EJJbZ4v@exKr@vhEs`Fbs z{)xtmnD(&wJyG=@M9QSXVchj!5i3ePXODa`xiMe1D8}8aBFLp_tIqgNgBp^nSa){c z+A7z18Oz1Fd))cz7gijxpPj9%n7?+GG}pedq{C10-MymK9Th8{mt+Krp7s9jX*~Al zY0;iQo}VB3;-$#PV=~N#)~#=gcJOpPykq~aDP@6~o>sf-qHq3N7R+ieC6n9jjHCwB z$mrLb7HX)!x7X+K+_+4&-By3pp;gl*AFGq@bitz71B;7KZG4jMwtB3`xbj)=3St)@ zF_Yb|_U*}$cO+zHgi3X?Ny3a@D+XWO3Volvtu+OuEHQc#-g0lB=PQzitMVm>wk}Rx zJ0sJ({PxGZn@nen(QHstSk9ISiU z%XpqNIb%O1C)C}3!A&32Cuy+}PH%4}%pTEt)9KDmwXivYFOQH{yA(EYRL8E*TrTM? z^`_)hZ<5c4bo{&glF`J|^Oc0#iOYyi!~6Re$c6|!T-kX2%D;Hf8^WXUSw|ZK6+WwC4umDgSCgQ_B9O z=T^&wsD7@xI61V0;qI)tt&!o}ZllE1SX9O8R9;IX$vO|SmJ}!KbL!o0-n+w0X~4Vs zxZFO2u^;S9eK;*;+DVoDgY;E(7kAl@ITSDpuG?soXN*PmsJy)y(i&ox z=P^%?*(}*(A;C?!y4Q^Rv46>m)oU70ZEg;CT0bdh%Alv>&z6$euZyQ$-b)N8o}4l0 zQWx**(dfOhey5>^e4Yu(H&+qta9}#Ne>_L*_40K^L&e*2qkeiXthG_&>hkD+?)5SM zuwCOq&V#&tq&#CuhD-lak;*vDR6o%JHZ!?=st<~~>bxy5y&b83YCRd><#}_Tl&ehL zS@W|VeCxy>CTWGtcqIQa!BgR&Ue_`%@+59);zq_o=t0ENXcM9Jf)A5jpKP0da`^=2 z#kAJ*qi>OjZ5oG_KP+fY^HB|%^3ifc@3X{#Wc#{f8`Q-k=aW!E-jyT{9x+Iq{Viwb z8wcgk!OJ0rN#hDfdrj}llMb97$xDiTO^QtTz2G8fa^GD3?8u_MbI$yX=W0sC;f;KkzGb=1?Vk5C`F-f>861{h@2il9N4GA`SjfMLyYj@wB9l+L z+3pH&mpnb%;w<(ku*`Bs7O_wgsay0kj{lU6(ih`9dtNUcEcPv$^k`D#@-feKFS>LP z3-LEGTplw1EvKF5UKx8|E6#HKhmDVpeOvM=-nw#J%SLj;R<6Z3WlhH~7yQB2(X5^yimA(2+XRLlL>kT3| zEq*1<4pFOaD+K z-;vw%yOy@*oegadUQg~?C{~Oxkl?nLkOGD`yUy*OUaq**DeZWinZvx8F#< zYJBHesqUIb$G=n@d=!A6^f2L5x2Or z)@$I^t&0ZD~&eEJEhNe z$DCW&F6QiLDhmAh;OeOjm1p-1G}hIgks@8O!rcYYn_JFpd79+EV`hlq2=_@`UrLQ8 zOBYtQbMPN0o3`?NsC|BW{&UbBmP0tGJ_@_wO*wBkQhpp7>d41*9x;_ zJZ3O$5#MjG&N;Hjf&YxZQB`Jilq2bWdS3cxRay8z>lLZ@CC4>=4J86C7Ha-5=PH}q zcQ>M&<9j9HNDH~z#~3}Y6gu722aW0gh)ya zdu|N#Cd0T1nWOzD-eedzA(rd@--B1kp1ptKO@?t3{^*#$yhr|pg&b-$XP7XGdK{*U zBXki?7f0#h7+oBviwL@iq>B@D5!JZp|IUTL;KRy!cy9)|IR0eaF*xWjD3JM&&Hk~` zIu4G1n|jy{p&^FGTu13yqLjv8x-+R%Am)BPwDXvc(K^|Wkq8WDsK0dzzes$aoK zfr^9PFe*o2$wB9(Y;ka}mYg;K_tZchZhgwzy6swx8tef14_w59rXyRnNf^9l|bZ5hLg7> zinxz?7^@C>A3!h7joe`lt%*R>!_M%v6esVu)`d$rBp|aHqJ57qQc)MX43`J+7N`!5 zgk&}n3;@i(;avhL67t{M2N!|~@P=QnZa|?{N4e>RL!0zb*nAT}0fN$@No@l9K@ox7 zGz1+ijm>;Gom|Dfs0mPP1t~RO$dHIOEYlo@-oi}}{1KH$=JLO4Av5PtqU!Gly z^nkrNgxOFBlvuyHow%Q@N3_6mI5JQgr7R5~4e*y-s@so#k5c+g24n&U%BmraAXDT* zsMF$!kj+lQp#ielISLpI5L!e}Wf=sNtb=6v1Z)6J)}R>*a5S$tN2pZ;LgXVojNmz6 znigcEEBpOLPUH?#m609v7!wr!f*9F`j2onM1V9n``aBDvJgE4EnaNsBaRSi>`YC`3 zc&(!>y9GtZYbjR(mjarNQK2Xz+%n`)D7*aR@bJ%tpK^_gu8^v=CG)YW7C#Z9v zPrO!ZibG00>PnU&LxI}->tce#V+KhiUH8BoQ2+~SuYtTQyQUws3zYqhb7kRj0CyIc zW)vs+Vk^HQGJ)Aq_k^X@*jm!uD9hI0rdVhlu-S^Kz zYlkS9OK5#M7!0Veh){wbKM)z-<~vEOGJ8z2oy1IyYNAm2sG-3L1TJCXQ_Kf3MyP~0 zn?XciJpo}7(8cX|Ogi}Uz(vAP8Nz=Wm?1I<1DI$il4AYOaCR}ZJ0SVe=!IJRIkJS_ zKO&b7ZG!aMau+YVP>yLTN%h|dtB(4DST7v8zUr$4IyoYN9$$&rYD~q$ObGADY`B{O z>s6Ssdnfvd47ai0}VN!|76UD$2ts&Gn~O!&KSt=TP*=V+o11G90L z$bs6cF4FfDMk~05k@v`naW$C4i?aOyILi!xN!bHX?DGrA{9r}i6PEl73hfSJGvJf* z92Q6>xZLhvKHn8Xv+U#UMgl5e zOU{MbFH+!Y#`Hn){xJxxNb*25llIszl+=NDO*2RlngUWiDjRovgvgYiJpdaPyY0k8 zg?feH^x^ezHbL6KHYErW`2aE;c3aFA5c|lYK`092xC9nN`BB?3{odV&e7{7AuMHCy z0{XzqJb1Ls5cx=;l)Ivzl0t|OVQ}++#m(5SH93HnVE1qz(Jl51WI&;v0t~|60eb>u zVtjszaD^eO2eOX(p=2NlWe7h)3KmE=7r;u5g%qlTCS3)zzz8?4(lli3kiq)=)4-5M z!%!p)w{LFJb_eRcHdXJbHVu`Ys?A`ZfTvL|shVT~UjnXHlHz9#`v^=6&s7Mxd2|u! z6qC3h+7tL10gAa6xx)mITUmOr(<168gr~qvYOE9NC6DUs3|h0x9U>ZW<&T`m8JUG%!hqe(sdp-`eyT7#9TuZL15wT zhIB9Rv|A`|n+3E(;tSkF;A_R*0=E<@=T~P9(QlOZBS85mE~X6oAUboTkn4fRG02uO z`hbK%NG+UpOenmAyBf@fo?inXq6``|X&=C!PmePRte7Tp(}x1S8T5l0bz2I!qBPkL z#$uQnC$|%`>GZCEDF!H@DACsn8F4RiF~wjtaUX|ap)lZqn=yNV{lOvwk10hSJ7q#c zelCD1RHSAvpcgPvsGref5EY;j=13Bng$9aG02W-Jm1F>tz*kB|0In}UBXIv>@|;%@ zoJc@!(m3p=5TAl5DHhyDVMAbRBU6OE-26(#Pjz!cKyw#Ay z1gJc)ryvT!Fvr#ZWjB@;Ma--zC0F-rv2QiogsXSEc^`&iE#Pw&|~SIy)Q`I;yUM-7QG507&-P~vVXN<;z>yo~Zr!!2tJ5D{=I z4t&JVhGHoAss+=Ux8vUnmzk8zwR)(7%Pkq1v!AZwx@afxE` zjTywfZ}%FwS3Sx^6c_yl5WUmIo16#VBlqiN0VP@CN(Dj%PZRnTaV74WB{Y-G_rE+Y z{uKa0{x-T`n`UfmWtnDhga`~-SBTRr33x}aOfwMb0K$R70e}Wz12IGxI3Z7hk^w`E z!H^RLdBQTZ7`CGL4=0Ka!it9Z+l+!84fDvH8e7290WU}>Yam0tEvTi#J){4MRUtH} z1l|@j_Jr*)@fWu;1i}!#QnpFNrXiDva5f1u{jb;)!Zv>R&*T$!jtYfR2+Mq7h)T(x zRiH;%k|8R^n?fm^U`cQ^K$6fH6@+#SWtjZMrOeFNr8Efvvp~~6yjV^v|82DLj{M7M z23Wz21jqwyOsqH(713IF5B)BF#vCJ$p)mv9&n(gS8DPa3HzD25 zin-g&W5pbyi#2pHzIl`ta}X`NjAjlj;3Q&~!3kpxEMcpGj?^i38C=v1Bml!))X0N2 zIBKo2L*RR`UDBir=qO)-h3g0G+o6^d4xvV$}y&YFWX7lo5rcq*CFluD*2 zu#yRH5v*i&!kDkYVN7(2T9QX;Sd)+kv$stqjG58K6UO|ci@S7jBrOaHDPhbSj1|90 z!;ifh7Z9jP%{;8Nv@nkKZ)^*hfuuraBDP(QpY3>OvD3+K@T0-e<9O)_uW}T`qL`(j^nMzCbT)O%}$L!V95B`t8vDVR4nf-xxdMfqtpF>A;;(w zn+%z(R>gP@i5P4g&%8N6m*?oRL@kx|!*I3o#50wt@ysXunQ#;uH_@ouaKMn03FgOJ zD`f`7bAs3k`N@38Pb@~S&)a0!%EU8#_%$M)`I7#Vkq!BYGjqU@D;;2uQzpmC7eVnY zJU(4B`5DK9{3$-17u2rW7Pg4{VBgz$Gb{Kp+nqAI`1o6ReJc3NtW$j2C9Io-3Sqq< zQ;0uo7eZH=bqlu-`LEEEzcWwz`oBPkC;vrY{72>qQBi}QJyTO_wl$*=-bsFMEq>o@%RS7r-ELfk#J(r#8(3*8J!EwsC>qmy>Ch!EP1d=}b` zWER@Z%4MP5NM)hj&ueNa%YnCG(;sZy<3AHw=q7kOY8B$OhrJ0BS!gfbl;SA&%ION; znwo|5eq?WgP!`&Y9iTYMd_B5?-Jo_M&Mxdtkj+ASu`?7$$;-zIFLtS(CbY1rk-rmK zxLIjFL(~ZM3So~UrG?$c%`&SQ8ppgG8pkyJ4{`*7Ekk2)mHCe`IL2TMHYO~G7#8`# ziMfCVpD8C!JgbMB1u?M)aa!4fIEk#D;GQ(fk8pxLVh;c`VhsevvIoEru?J$~;{Q3o zP2Ffdf|UsF`8&gfk`wR{+2q3RrU@?GbfQof5pK#nHKFH#E+aq(rc6~)qdp?s@DW*S z$sP!x0~Gi=M+fd#K79OyH2|7~n>PT_FWi!t-*UmozF{=j1c~`-fF;yS1l#P}&)SC0 z*wd_SGFaO%4?0JLw=s*De*9*HStAN;j%3l$h#MUVWsStLM+(rBM&G9!>mWBqnudlm zQ|SKDOUQ)oAN3>hVh<$I0h+8tVU&Y^xr;E8w42^4D2{?|YBj=r0C$0K`uA6|ujWuB z1p|#u0;T{%BpIfKA|kDgAV%6~tDUwwXseU9x@fDLw%*cK4{g1pt@pI`fwp>S>mzOT zHOBoXX!17U+duw8mWzYg{EyWO4B>HA7VXKCx*PE7@OrHu7GJW&^TQl8j_HitG`jXm z$d~hE|IeHQu1j;RW+u-Y*_qzyyS&nE9#74Z-m&j<%dZ zWUun&#K66>X(v~{xpppMO4znRTV?M0oz0hZ4m)a-NXu+23q|Nmi z+nUPGo?QF%X40a0^Jnt{5MSB+_fCqVqxGgu;om`|cn+>JR1gSK;P6#f7*8(Rm^TD$ zT%~>0L@7?9^FgjUDJpduY%a`DJiWep!Qg{)a#>O8wKvmG$Yvdwnr@@YcZBfNZ+my+ zm@~7_=JO7tS-QQuHV7PbGSU4WYRMC{&2r5s670F8D&wHL>>01=X@?xGR7y)ujN|&) z`_S4PtsCpB7#^PbPeAwp4i6 ze2sMGiobw6YiaP@G)GOz-#!ysj6PRg+fN$(%nBW*5uS}-OGS^ancTVb(K&_cvmwr% z`&O1(C@@cmMrkl_{1ywnyBk+D?B43;(CX{_>A3R}?Q$Evdj?`3o)E|Hcejf3alSt; z%bb}wviG^^Rp%~yZQiG=>hqjhE`_+0A6^S;mdUl;TN=ILnPP?Sl9#4(d9wWvt3Qf=KnlIn5M6^bqt2EQP@Q6))@+NIkrCNKSC28jxx!~2R zXN<&KqdFojuB?;!@o?hP)7^(r&eJ=)r9kmny1&iypp|*<>vYHLoG#$2IkNeQ!C=j* z?$9>m@XB1nmCyh)G(^(sSrgZLaE49lsRcGiV!kO8fcKAq);lQGNdwxN-|I7{a)wjcHj5Y^ZeJl*8g2^ zYuV>I*WS+AXP>>VbMpIKpRbKh{${DK*t*&c zK1)#;Y$27o+S5hC5A5A#T(Dm)bg@8thV--GuNQSD_ZT!Ka@{;ew#`2$S+}Ar^~AP3 zYg>V*$u5RP&T|8&G(U;xII4et4d%W*R=9bz*L$P9K+Iy1vy=H6-&wZi=~aa~t-hfm zd~^9+GF_p>&1=~}!|TGW%|+%P<4@S~o-zBrO-)K5S*pdU>OP4$B_HWMC3Kh8yymS- zTDQ(;e}Aa&-9-^D&Yy|XWIH_ekDf(%=zO%~#pCVvaOtp8Q#qmgv=%eo;u# zgp8kO$lLO(DENM(*rzim-So_?4lU^X5vsR(`R4Ax3#)M?U*#W}s?>1A>41;w+sepU zD^{9~y?yWEj45Ljex;?ki<7Cdja42#2@)H{7<^-~aa`QF$g2lM_}iTvt?U<-f%xg2vfeyzx;Bf?y}7la;z7*T-ik;#V`xl&68*-RQxT=i=zwA*H5tm5zKFt5_*~7a_>Jy0c^Xo^p z+~2Aatjyk8u3w*{+xjFY$7Ygbih;4_jDmf(L?S2q`Rg5Af*BiUPa6MdpUJ2&??Zv= zZ=X7jZvRy2OB6_deEf~I^-X}>e>Ty=Ghc);<$BlmGTM>59S|MP) zxaZ=jXklVu$9R;zRYpm1`ZYtcq9*Lv(R1_K8cxogz~AMkBywpn;qN^?bySYc zMy>f}2Z*a`lew(ziYFv)$OgQ)l$~lV`&ZjVBY?!o&Q}Zttzh-)OMQ!;xo@aq0Z|*mEiZeco1% zr@~i}1)A06Rn4Vl#`@nsbW2EH3v}r!dR|s+EH&h(zhSfXCvxld8s&w$8>(Wn^ktQ1 z&dqY#@gp_()G3ZcyE1P}J?;18gtusMPEv?MN9isJE*_3^D`!5m)Ll5Q;-E?Oo~6^I zlZei$8dnpuZR4_ISA)U+m2R@S_rzh>9c;m_6K_+KKg>iN*(#*rqjbWOq)Mn|;HAtA+Ze&P49o^P) z(an5QgNt$95eL`3vLBi*ubeB?8aPp^)Wu|@72${o)BpalqA_)c{lxTy_^f@Ry|L<2 z1Kr`0jI6C|rU;XCwaE>3CYzT14DeiZKO(^T=XU8Vfw$M9ZObnkl-#&{ z-I`eXK<#}&21!xvx+C-S)ONYOLLZHJ`&z2B`-(I=${SlzAH;b-vItFt3*)mP5rowv{ z1X~iuu(iAmVrzS-KALOvOCxpua{)f&a-c-#Cu@_jTF^`A);QS?8D=QwouwT4*iQ2v0W{tORWnCeS)kiiiBb#(zL}Y9rva@wQ zB*|lS+rG<5;A!dc^=rud4ZYD(n}wvOlZ0im4{X-0H{`#OZqW3;{ztso zc9G2cr1-;`C5wLqx*A&u-@E0=+g$PbglNyL4H|MHd25y47_K5RytzxHK5WxcP%JlD z!hXVSm+9mir%XRROOM@FndaL4koejOA2Av0jpFB(sqGo0wIJqDQ`Y&x;*?8UQ_W@l zwvc(UU(Do&_Nwx;y;(l^&S;^=EH-PA3eAcLSCc&B2`4v`c@jmKFgnz|7vYU=JV%FhOwp>+6`-KLsxDFih(g9`&7;vteWSn0dimh?F1= zWI5)0-oM}oOrr($&+SVv*&7Cqbu*|(fcRM~f?oXY1s7CDS}|!CY6Fg%>Ck66kJSWNS@oz0wYJ+>3IH%R+w5D2F9q5yRpB|KWNyc$5I zA_N6zXZS#vj10mUKIWichM<#Iup7|n|BuKOA1Vf6PU*#gpuEaTSVS;M9;K-ESCBZ^ z>mnio^YzWNCW19Ubq8jGq9oM* z8))uRP@YGaPFW7`%(B#u1+8Bf+1fo`rni~QtV6%ZnWS2HYtPuV~T@Ca+0$Tv_ z0&);A6`Z~zCH^lIOhU#H94W_lt-`E$I!hi52u^LQWtbL0fh3CHyi5adF%60rJSpH0 zWUgRty(pXkCw5~dcr$qt>thLdz=wONO{!Cb#0Sa~*d9Va#Ng~pCUAtva#Uv_^oPa{ z(1JIeP)-9J5EX+OJ<*%S*F@hVM4^wK3mm!59_z+FWv(@*J3}j$-TdQPk3a@!}VX!YEhbN>2-tq#+G84i*`lq4dR??8LdbA#52daE zJ8jbdPM1L`GXc;vV#tcX{k!M)Awde43L+cEcfX09j9jC`AV_}TDIr1{!l?%r5ASDs z#%aJyly+Rg4ks{zuUrigmV^2KRDA+Yn9&~8rG)opuf7s@9mxeKF+=b(btxgNf<_o&H_L8P845OXrWAkW#WH;oG- ziA(NA^TxJWekYTDmZhJz#m;@Yhqx+SMh`-rH!#H%?uLlt0ZrnEF$tqFUcqEW!sivUazKf&zoDP?8mdLBgh95{$3R4Z3<=zNh(&9Ml^4N=;wae0We1Jm>n|2 zKty+OA?OnjA}fH^We^Z9)PxdA6PVhbB{SpWD&P^KCkf8m&Ent?p5sDagkkw607W@` zAqj(!Yn0_00*3`iRi^SO9nmh+fkR%xU?PfGApn`u{UA6_BaI7Yl`xtFw>^^3oUd!( z7^J{$A*qLkY=t^Q3PQ13BV;HMKy=8K>U@pw9eApW+jJwg_ZerYM1{TyRMvqn3eAic@L=Bn0ru6Lzp^5NwP=i@syX%R<~rr0XWUZeXfL(8N58^CsKq5u)!hxRjeG z05$btH+O@Xr1B5Fsa(SJ;@FInsvz0}nQ7mO;&y}*j1o9Ad!ci&TPaK5+WiQ*Lb?^$ z9r(?X0vOvZHxt--CSjj(0fP*tUv_5TxL*P_BHWB~z!)Ntc0ebVh-X9g*&cwGOyUKC zF#xQfM!HHRFjm9m^$DaU_7)j-emfRpXu5S~uT>);-mTOjf+!;$WCvo{-aMO*Z2|b4 z!AW(&i3_$KeA8%Tq!E44J^080FFNr4J{T-27r7dQOM?y63RvJ#5}nj_1v0boaeyik zt3u$QLqrwOJDfK(RBJsw$zl#~)x=qTi=4uM%nN`9A1L%&9!=BYfGf&vqs=!a$v{Am zFfAKux0#j=03_R~ZlV7$D>G&i8KxQj9`XX7VGJu31~D!I|HyFxV}UFcrf*|Jmq8zn z@+d4}2AR!DaY3w#6%$FJ4@b2Z%p@0tqYzfcN-JVvsQZEy&NA~3D`>^|qs1_i!X#Xf zGQ!GQS-8_;s-L@PuJt$EpKnblhea!}F; zkDz8D$k6u|qyiJHmWZ$rWCvb^X3b7CAss<8c?N#z)n>FDd(jDR4VoGc(P`O)`v8e> zU+D&#$b~_(%N->xz5oIA;ecWZWC$HD189zql*RKe22ldt%op_XokVYn zYC4*)4bgP!^F;HKjJF`dT+rIlj8>2^7O;v4vTdwD3tkaHHt86&mKWjm+o2(N7K>ST z(f`f_t)E_asLPWRasP>qg4knwqkR4qf0aUHM-&O8&J zVl;5dO=dP|LJ;r~JpLOXB|n@8YPkd{i36yIF$KSdn^xTT(uY9%2=kF*1Q})Cf?#@R z!Vf!GD!?m9_+er>roeJyr5Nk#xuh85>7uq?N{Vf47`DEv;VT8=XOPl8k9XKInHYvV zZhUQVKs>xnkLcywGIrE+*)o*qqRZEoL7xCAIKH+VRP<9Tp28R%lj3`iZDYB23O2tN zrN`I~ridfJ_nsAR?dX2kgxI8`VKIR==rJ$jS_2m^!^Mx+%CK(SYDOV^F<&{T;>Tw- zHhgTzSnOwL6<;^*A`~YV8C!fVGW|o3;~9K@@r)F|_#+W@LZ7iE)F*hNt9T;4*M1P7 z)HCKV?$vY6VR+NUntG`@@yiQ2ARS=@#iGgB=sTxLZ3CPsj7>-M7(Maljz%}~&;rqG-Fcu9I;O87c`cvFxGCqz?>B=2FS(A^A0g zcoyz|#IsN`dQe)jN3ImfhE{LAPllnG(+ApS=KX)m5Cg~^1_J4{0g zWkOh+Kv6<_@w7D0MsXVMa5HTw`s>idBU3~L8(;@60aA&Mp&N`qD%gMmD%gN&Oc_W0 zBpggX2?&*8E(CZAs}Fydr+yOFdf+L;>!}!r+4q;JM0tiPiyHx$Vr2ZUmB>(Htz^0ghq|-9mjf;oX9Zb6^u5_Pv z!@R@l7Np&j=Ti4u#-^zSj6|1(k?gcV`vBVPe*Q-vwKIZQq)Emdbmy}+`B;tiH@XQN zG}uIt1&t`fM`8tN#5Wuwc=hxQntj-BFoJewN@2Cb9(n+b1F52p* ztsdIyrL8{N>Zh#%+8U&-pS1OhwuaCeiW-ukiwXM~>~sZ3^|*htC5#{c`0MDu(UM^9 z6wl7&P;cvZj+)8q6{QCDj&Iyh7qQ1MZymWhbEt+q(dm6^)?1W#a(sT`;-;5R3eCSg zSyOPve&J0e{y32Qq;NM+f28vGFHa3?ZyAI}Pw(#Ud~-$kYk6(DLhoxw zqs^-Y_RJ9S){`Y7&6~s;H5>2T2p;|F=B$>Sq;sQ-@2{J}&DU<@zh>lw@AyxpT9-dLne^ z%PT%KT#%zZo(ObD&zt_<-9mF&^}#)SrycDq8R17~zQ{<5+ges&%icpimYq=$ZaI1S zY>f89lud=VB7|&f7PO;^Z5>Mlu7W~ zb<_#}!;tPK)!An_-`zl@aPb|zN$mb6I_qZTo^t9e$-EXK^7igxZqnXSrp@_v?|Ll_ zG51;1Zuoh)I`rJT%%7Kb+V@wi592(snm0L0OEz|k-h8!Odz+59Bm0b7E_(AsoH=@s zJvCrdJUMK?vG&+*uB%}|*4^j5rLSK&8>;oG##e%~cPPzp^FRPOe(vbpvMOJ$h7Zjr zC57%Uoh~{mUg~ow{(|hCY8APLHi?O-5b6vZ-mH!>q?DAszSW4H_VU@c5(1ra@}bvxpC~E$pkOmF+SSrikyeEx@Nx) zHX77!yCa+%V5*X`U5=bzIdw|w?wMncn{L{&B+Jj?`{Ug7v^he2Vdmy$n?GJWLDYI0 zzt%d>o1GQl?0kTyuJwe$ibacSLJtjHa$i3!cCIivtJe9g!(V3Tw2~lW@bp5-6fFg! zTxz}SyXygKqc(pVGA`%ljRH5pmJ<`WDjx6s)o@}w{^qgdUXbbui-l9}l4cSnE!6Yl zuKJiqvfrOSKG^Xpc(M=&*Av6FvhimRS;&VUA?pgm&UmSXuItqs-}UX$a*0+ORiVDh z{j&#cl|{$BTltebkbIB2$6HUG>^&;%^+x=~lPltmu83GG=_bqDa!c?gaql@}yIjOm z^sRD^+mc_~zNb%2G?uE;D8JAbvUh`KvMv!lCeP9IRJ%B8YGrYDp5Bkg=Hocoew|;c zd~<=|uigtY$)sy4ygZD%*6X@Y`1tOT%1qw!yB&tG5l@YbbyPvY0UO*ngimz?!cxtAK|u5cr6 zRk4JaYl#btHST&**1yfmMa9xw!6N@``e@k z@B3!Fy46=a)DMq)%n>sEIobDMS(oG+nZefysI53L>h_9BlBxm0(`PBn4p#sa@JvgC*V zyCC7UeHS)YDSkAMS;Kv2iNA8jV4SIZu28PYUF%6?@IHUOdvTDFMu(|*9$$*t)Q7(g z49s|Zcy+bf3+L+^pUC+M>&rc^EpEE1cV=p-;uF`UQchy;l?qR6o9e{*K>EoQvPbFo zR77k*Oml{@&%mT+bA?@`{a#3nFNV2L@sEV+Csorc|beY&J$`pQO zn6zWc2a}r~qphdZ<*mDUQ0CYg-l`*?qBYK&5z=+6A!1H|=BPKj@}0-+ncwkXQ;IpC z<@n|Y7Nr+58E)KYReVAm7FY4^+&oAcC5g6hpBYtp%Gg?3h- z(D=SQ+p9&F2o@hHThzLx$aC35`>L=(epYWJS04KtLAYl>PBxqqct zY-`9wW5V$=aZS|P=9L^}@yjBOgf{%hIIVb4>BH>LS3TAJR62DDXQ7vz{n%#;PlIbZ+rIOqMT`@~AzG9h|YV?_~sm^`oGSE$CQb1x4_TXH-;JJ|&xVM755w-(rZIhZ1S+lG5t zS!Jj|Pq?`AI+V(>m}Ydf>Qf`GxXz z-DGZx;pptP4PCQ>SMnBdyG-$JiQFfDVtmEoEGJEFmzFc+(~91bd+M1kJ)Sz!_2zGm z3m?>&%oWW2YQ-IIla)m}!sJwEx|4p<0_og2oHa$pB{9qMqFn7oPg+X`t&{3MHdI6$ zioy;U|1cc)WK#F5O!K!_*Qa|f824E5Yy9|*!Y8gB6(m_fi2cVk`R>=IOSPtc-M4;x z!l9k!YBz&jxSQTQkCyEu@AXusD{vfLB6-QyY^(0agcBU;CyyDXZBRfL@3*aE3D-U~ zwyt8;x-7W@FFXAQTUD;E6zBN3^nI@Ox7YVW_%lhASgfs<#No-EJ9>IYSd9@>g9r-! ziPacEHHfmxh;C$r)fhoF$e)>&5oCqz-t#ABWdvCvCrp- z=P&-mtc)NleBWAsYgb18j{vmf@&g7ViV`EybP+=rSLh;^F5>7So-PvTB9ShV=pwoL z0{yRu?6{G~`VDUU*IOAj#)H3Yo=nA!BO50(M%w?!76=A|>d1c!OukQIW!seDR*nt- z{`T+*9PmGmJZ>_hYvfLXJ}2G!Ut|etG!T-YIKxq!7lE=L3h>v0Y*5lb3EdeQ2s)iN zUGcsQXUi0t|8l^Zp6)=}{V2-~!fh2zK-K=d{FxGyocGq9%X> zV3Y?e7sxO`F@F-Rwd~PROX`?FA01#loEDoh%E#)L2@}Qha^byPjM`i!G-6}aY zg3{Rm)XAB7EK8+PCOm1+td@jA-!K}bEgDK9-UWum8hJNWcQLJ55hc55W zvpSm~=>V1ELQJT}&eEtLyfjp>nZY?Iaas{ftEXjnO8FEf_``$Jk4gCZ*CH(hk`I%4 zqNJ@j!Pi1-LN~G}pip4EzssZw8XsOpJ(*G@gymkL7|Bd#vC;}A0~9G?8{vmX#NPt{ zFYT|m7wWoE9Ti4c=a(Y8=iWsiKf=sBnpLs{NhD$J#z;j1g=C|xzz!+BD;~VPioKY>)>GC4$N@t48dp|8Z(jD!ygFJbaBbzl4_ zy>%Ya1r?N-U|`Ui2+s?g6PP*=F#z4w%G<%1Nl&n^5OmP+8swZ{>NUz_KGgG40R+lG zU96qFNQLSERkZtIsD9#{#~FuL!kqSwV(80jP?fL(cqj*giaw$?;BL5c!5L`=U+C*5 zOYmrjMcRN^q{m1#z=U_^tbK%OJdTE_Mv@@sBoM9^cFb%C7lC3s@^V0|;H-wRMBvLa zk_ZNcnjC_WO#*0y834jm%ur4Wml;9UO%Kcg4r}B&DRM$DP9z@eTp>&05WFlR{IxjQ zA37W6tZ>6Q;~-JNF=gsvXpBoId5tt8Cn<01k=ZS$-#ZE#N5>L_s5!)aocH|?W1mayX`1Bnnt7}9Zja1@`J6qa-!Yrekdcf5t5wOEc7!#SueUO#2G8h#}3 zL|}H<0kHtbf;oelkJiwXhd5+M$S|r=E(SpYIOin!ZT2vxW{;Z?dw_ia83gafAd)ED zjmR8~b2rY=VkeEBuv$712mYd~F(QY+TkY1H&dJmG=(6YbYvd*nIb}pe#QT5`g8%3e z>M1~4&VzF2zj zLw1Cl5iaTn8|Y&~q@vc>@83_B8RR&GJcXRrldFLV5Z*#ipj~(=pk-|hj3yLyPByPSW^g|$NRD~g@I*t>F7h~=f zAE;!0eaa4XH=Z-D8y2Ab>tLmN0l1Au6g|E|4#SAlSALhGQG(x|qei?6tWzFH9vCaA zeTe`D0(b_tP@ej8e6aUJ?=vqQL>3w@4ID5+`Id--;6ggVC_wrE$_oY$kY}ZU6V^=Q zBfxNI-5A`)DGb-}&BW3`7;09bxn5tMl6ags5f&`}Pl4FPH6@GcBhf^CCoi3X&ZEs_MhchY{RDSw2!@q7tT zNT90ZEIR>oz#DW0K#F+R+w1sPnv9_l2qB92&yrv@ktTh3bNA~jLp1`g4#sXg8TCsw zK{o{mrT-m40*gLg0X0`>pbmdM2!1IX+ek20K!;L}k{!!rDaQ#Dm}q-R3Jv7qq@iL) zvqalVZ@>jafGL7!z$XDRLXIPc4dFBADHsG(k%!3~(H{_kA-af`=r6fJX@+nd{~g#0 z;1OQ-D17*{!vbY}fM7WMMT5cgaiv4+Wu`&xJrvBLY!X;IDWDBt?_ixkGz=^-5O_d} z1+o*#Z4Q>x$3QuSSMZwVlt9yQ|DxjtYjfg-alh+T%CVgoso&p0Mh#P~1_A~G72q;! z2qbEc@Rb2rU`ZNyM+{k6mq68swm-)hp*xj_z;xtmwE{*WK&e~6vVx*y$-;07U|%W; z9XIjG^_0flkzb$}_Q9V-bH$hd-_28mQdc+)={F&OZHP_5mxbHGh%>^t9t%o|;0;a1 zfdz;J8OTOTU?8!Me$w2(fQugE(o4!-%HpxXdE#|Ll7mwkT95ON>)}Rvl?v7agF;h5 ztdE5jy}-iUw_xOk<7MwUC4p}*QUeLTSaBd|?2sv?D1m2SkK10~q#_(4D4$O6CTsDb zQ7$=r1~f+qpva0T?4RhcxBy>FGS1?F34I|&iT;*LCov%HIRG>e<3i9bdUI5QheU%uZ+f4+6#3c#8V&T{8`)m&*u;5(lb!5|k1T&Yi<%{J`b# z5$!~=lIOmD5U#LL(>F+t0w+?>goW`@0DTKE%@&M8$SsoWtH@yLa+>kYXFKqFCKrf9 z0MX1SbBN7w+2Hd`z)H~8iQvO$8e-T$>@HmWVmOb4N?rVg#fy1&wK z&@(;~E8zn{6bzt&yr zRTlk-j>$7+Jo83YP3>4yMs%&1DKc+N8Smgnp|98!sW+zJN4y20WEph|3$@!8rtGCg zRWU$3YS{!C#kJD`)6@zIGPEci!VCNZ znxvhI4Wlcs6hFpKj44C=O7TubcD#Sc=wX<$Rc`aEL~o3@slOtd#bM4wdz{zG*Ls$O^VhWtdYxM zl+|$Mut!Z!vJS0Tl0*Kd2?Qb#!ZLx_{R@g1VIs)?G=YGLz`P(-xv2=DXKPUcPb_YS^5% z_J2}`U`1^`OCG`wc?g9!s5%7QTTEH<5O&BzXg5`dpc}b0W#wqFBQ}P1gT|oU$gKg1 z!9z(5=t2Nmpz$BF5aw#ALMVqZoL%#8SqO6zs6yx_OlwKdf5<|Zn?MypHyO^Z;pU-t zm|%z!g)%tW*_N+-xQ?9)Lzu`0r`y55lp*XiyoRL_p~4W>dPLX!R)(-kPLLj6Po*LM zL_Tn)4X>lpkbka&GGut&Z)eDFLWAWC;abO;NHdL?R0ccVTQndTVL3yvQigIutd*BGej!?!Q?> zm=+Q03_0Sq;pCWeZ8rWH|Uyw}&^#4hB>qY%#Im zIc~7nj%UxkaT0J=*VZ+BW^UEb;y)xOU>il|HVolUX8~};En`q^2&0U- zf{H`XGm5oBovzTwo2EMeGx{6!GM~YE zXd}EP3y$i;3w8oNf(43qm4xrp|1y{3mIB{E z`&GI2X#BC|alC8CefJ+vlB2dic9FIadLFeT!d!CCjPb(HmyY>JW)D2Q>eQ6!TJL4I zX;zHcltU7YnE_urF_ruV&XxM&QyYxu(Q@*-M5tmwPSZ zGR!|pD%T2BCI9kYa7p^**^T$sjE|oq&|G8ElBbpLYqBtDMnBnB5`Dy;{g_dm{W5vp z!(Wmmj_M8-sV46EvPGpST`9ttd{Svj+S_iTaBa*(FM~>L(JSn)F1qyS3di2)NfCP#Xgai_?ZW$@jiUG1 zV|79nHHJ>rePkT3)t#{|bq(oX*_7m{xvSxNy6kSzrc(H)zU4E)K=146Q z=k2XF;reVeP*IgJ>20DM{%9C5s7$|pW{e5A@}0)X~!n7l@G1f zt=4+$Ytwz+#bnhJV!Wn5CH`9S`uhB>JT3Jvw=sT2Et;yVS{Hayhev6N^#qb~Zo!-U zoLd^{=hbh8d+n3C8lawW-fgd1s@u;UOHHr8%#J-T)qYA&gXTM`Q<25|2%im=c0}MZ*D~m zMY?rHI(lYlx3?(Lb)xIS zLAQ;{<4N%bZLx?GZd)fLo;5B{kBQpf|LUSp&8?FbTTsN?z%9x9pucdK6c*@pjJiv%dQ~Pg^#6ZPAbjTeaZ%?%fB;QI&TEdnF_pUwjpY z!j~wH=?|CZi%}oL(dIsyE!*DFnwavZ#c%DbefQQwO1Qwp%G^P@;6;=)$B)6M+jq`* z{`e+IHLfa+o2@)$!fQSCZa-gVC)K>j-Thx0%EA?dD$Ev-CquVY2I}mLjrSUrruy?d zyO!$3)>LMxw_0!J?NfGD52Hz_P4o3L{deOMkMn=XoyR`N-s`u+iqZOWoWk8eFRmA9 zoPtD=-oyt#I>edZ>^`0|K5eGUGdh&#KwL9uTL+GW)tPb z+8=M#Zr`awggf4@6RF=P&e_*`Kt?WmpOxc5 zk+&s#W+)Sd4C|c&MWnQ-c*j7?AxZw`Pu|L7?pg1&cbxI@jhEyYapi_9@mshFC%;U| zE=%(2^~cVv%_j0iPYPe1Rh=;qbul`_ZD?$B5IGi-?8Gbn+0V@{Drkm6+liyD?lbeQ zAK`9&TNte}smFut-({hcb2U(8jDVtGl($J;@`VcrI7-h8{%GMEN)10T8Cn%3mE!cw zd9sQcv+nztmc|5oZpwCCp6RwqZNjCsQ$ca7%a|l)K(J>)R>;SbAe2o8BdLA z?~B~r`4#y(WT5(MXYlIz6(L^xy+qOjIU=^NB&P*R*S|?|-QRAjohCXlc}Mr& z39FS)b>wbM`<{D3!q4)j?;3fLw8`xeM5HPsSUqevx9;Qohjs4<7-YFG z=u01U+qdqdo=mMSN49ugkl7@Usa?cm?B^#jCAI!UQc->t%Oc`wy;~(!Q5b zvEftLz9pFkvy1d4NA)=Mkb+HhS&1R4X~$IKopdEHFw_NpP->z1uA@%*fYA~_r z(*Bv_)UjM7xGr&*Mh3@|t%c`zENk7jqT6bLjO0heviG@ZPajvl@K-YbiyLP(;_X$= zZ&^5_&r+S&Z|@efHLuCdaLswzw)SitJ^M8#NeG6x*tNgR&Iys}Y%-P+VyoRkYBIXDkozh!&Uy|g93T6A7&Q6@tXxIP9NAHdXr^EMx z5NFOr*Pipvn`bAHNpCe$J+wG>l_w}%bK0oW3|K8L{P^B6(f-r!Ts?7q4#~T^GVAb4 zvCz&uv*HLV&BA5Vo^DVTW6RiLzVh23m-JWSv|HoV+c%B(SFf6o5`5vt5jh3k%ST-t zbl+E&Sexz+awa@K>a8`Tg+G|%*@biG~oZK@}DObph))$(MS5F)lxR)%GAAR1J|K?@++RD22 zV7rqBWZp)1GWA<`xWO#tS=stC3wBxV_LX_?(nDENjQ^&Ko$#&1s0(EAxB4h0f$mp! zJ*5FsT)zfy>8}_HbuzW$3lHCvf5~+{`D&xj>tnS zBz#V1_B82JYsUC37vroqk^befQbUFCxQccjm^VpU@U)h&lcLzKFE*0B_7X!sKUs)( z@14l@g_Ifpsy#&73lrr(6dE!b?#Ik*dTsK(;&txaCsC58HcTc$=cL;X33R-;J~KLI z1fm#WD9D|=e}X7R7z$EWJ|g}Yfha~83i4-|WP~Ll;u0g`j}e$;ge4(!=luyL8DU9? zq0xu{WdtS}VM&OK>;I0f;k$4#G>jEpGr~TA`ADwb#9*XQj^ip_q|(JTx=5po>vVC0 zE^gArExJgji;U_ejDJuRBM8NxTZN4OYs5#v5E)=&Fxc6~NVE;Xu!x^CgoS&!;r3s+ zN7_GWkD-{q;T~!FU_H9p4E}Bsxycx69VFu2rD-SZQ7`+18PFSNjrmrb=nD$~vR_bW zL@|UE#9=l*t(To~&_V7B{zSh81;&z8I7MWBRoxFI2Mp9ue8Y59%y!1#-kmUel+JPo z6hSL>4r@`^qr3s!`+en@fVyr5E5$>T3MkH^m?=n*(gM>xp-Kq|Dulm7w7z30)Dfs& z8qbBnAG4#8RKow|St9ip3{=u8z8bt9X~*G)fayMt_?R!AH~_DM8-)U1-C(9Nd@e`; zI5LH!`a!feK|l*F*rUEi#RjO9O+mHs@?ol2FNb#mZz#&|LM6HZ8~`-< zeHHgYP#7BB`jXI+E=tlxaJN%226XVK!3jD>!3l?HZUV&l zNbf*N7ygmmI<%S}Fx!{VAC0UBn4}vB(&mBjD6dd$v%{2kj zcY$Sq+SsU0M1K^cq6V!4;sO8#jO#{CY|k1+q-D^^6p0@4j%q#>5keAAXZ`l0@{Kx{ z2#zVO!9d?Z09sIcJS|?Ui?l*wZ%2g!m}qP=7g-=F$55}Df@(db14LS%CkLP`#ZjG0 zi=S{dAi6-8C<`FCfdUwa0t$6DP#5heNd>$j&rQqcrt>984>ScRZQ`r~6agE^$7~kV zQk+5&ilL8$3SUs;1Smw=AC!{;A&u`vg2z5RgmvUa6E74{F*h1TEgHvy()#krjE~es zgWr0ADd7Z@$*Xg4;8DFQxpo3YX$VZ9f>lIA$m-%+EDJ~)MZ)Sjp|=O!+)Y1q|BxK+eE zOF(82ooO_X2?b2JHBi#VE`jm!FoDh=I08Hggs-VEp3Y)tVjydk;NYRU94T6McGOoE z0AvJN2qjPMBAj9db%)Rxnt@6>ah>K8MG+dLf)f^pO%5`HP@3z}iiicggN#pEz;+0z zEO=h*P{eO6i1ngL43(KEF(Pr`Su#p~6FCM@r1x1!z(cITg!#vD{=jSosVfL^O5Z>g z0kZ*C6G~i-=7_Z6?Z*`f4GHj+xngaU$w0sXxF#2>r9nyol0sla$-m+S>A)aTPQa9n z0T~p8>aBS%FO&mav~H~Dy`K{ZO<%cX9})cWj0$Gt**@YF+pJDAngVv>vO%TV391Cl z&H;}?tA2>spp+CdC;|?P>nCt6b0Ld{xI_MdX$2=R*BobkIA;8#LWyf}l^m_OAJ{0N zD$r7x;A;*J3O1hoddmDb`Tgk2-2RFSss>alxBC+acu@8I{NxHoDsW|Yajn8$^8}n5 zR3-46;M$yus^(=%nRLB@G)WslM-Rg(IE5$_L>&>zz0tY$I84`1)2a7}B%$6DYF?t) z5rNML0XdT)vw-Y|UQ7`1td{41Jpt#b*pCtdkJCyF)H#=!1Qqp};JmHL4hMdPkgk+K zV!ccj@jGFzo0zFj^tcRE+zqBD14c1qbTG3<&hLZL1lXF-c6bO-o!!44)BUOH20=37 zFzBGbOzl0#sQd@DZ^#00`ffMkreRu;MZg6CbrZ-Mrln;7p&tO41nHG-y=)Q^YH*Pe z06yRbDS=YWq%cHKJU?y&H_P zM?&^PZSfVcPTLnFR|(Jn3iGI~`vDtanrEa9DW{cs%ofFQYP3+;h1h0O#7ZsKjR6rjT0 zbNi`k3RaDsD}c{DfE{KY9q-OM5Eybm-r)kDg5fjT3pNJM^pt))(EvLdIwaX!b{C(n>Z0545l}f0 zHTeNG0cLvX5NNo*cl47RUj*O|*`4KW+^!Y$(m@c_Y?1a?H;@2`7_8jO2Q9ygq@BViBfi7X6A!ba z5Dm26THRu%7*GsXj0#Njj1nFB_*Sr_r8S8R2dTW;TxrH68ko|Gv0AAcp@@XYaFEJxMuIvfQ1yI|$^U%F zH|E?QCL?O;!;Ih!BxTrD@fYEM$D(G5VO2#Q8IDhZtKv`TDHU5uEt49c@hM18i2*PH zY+-p3OI2Y9aDgzvfpM2)n2wNtp#$7O!odVZ|3u}Rb7|3k7$Df+eUL$G{w(PTxxEmN zs7GUKSk{rha&TA_!E>@~L5>PN;OyV__iT4y6AML($HE6_eXu}_ zGtkR{F&#h8qa7HXHCzr}IW^)A>@EXGYB(Gii)$u0F#2i)9T?4YQI7SDXlz)BU$DjP z8T{Nudkb#8a4Uyf9X#sSeYhivI}31UI5%Xw11jpE6N=ZPufuh_13Szp+a1`lme4hP zP?!u84%>6sK_g&^6JdJ}0$tF=Nq}aVDXR9re7tfPQ_!Fa3`T2o4r44z(2S3WwqS%@ zQDJ2JsQ7rWd9Yi0u#s4_Rz5?kX*XJ<&!cr?j->}X_!KnVhtLGyV(G!LtWfurj|#)l zM+L43EHoZks<3~wY{HXr9YYhRkEIH>#`}z4JSmDM-eWWktIz}&f+gNubgU{y6TvuW z3J3dmu+7c#@nCF2gW-pT!c{EvUR!#wwH-je_!SSvBJ@;S;SLWPNy=!HfFxiGm97); z@P<^w1AId#sD=7*}hVFf((DUf*6k2ld*-F0Xj{L%mkgn zOol-dS0-aZVkXE;4&AdZz3v?Kf%%wHmNR=-7J`3vj1u?h_GyQ@- zGjwonN#kd^R}LM%{-=8a>Iw4qRFMC0PXGlV|IT3D0L+XoL4%o|0>t4DdJ67gJq54>fDZrns5E7mMfj7Xf}O$;ECk|DnhJKxLa?{ldXatlM!z&nv zXpJ7>DN!->En-P4m{>z6T|rMFNxFiitzdEi_H+e}-Z@KGu*4P274>ul2NyRlMIk^m zsN)6{fGO=h=;3+98?W-8wu%JAqJVR#r<_ASm;g?0FbtwB&Ovzw+8V=Kn9?ow`z>P} zV&MvLzvEBdfMQ^@U_~cug*4?D<}(2YT^8VA&s>2J8P4RZscAQ`Ghi7iS-Zwj!?zBj z3DAM9_h~$ zY+vv~G8XM}m;Z550YeB;AZ>?Rh#?qwY)k~YTYXFUP z*S7fYadvazsOyP7>UGeOzwFiup27CsV_P@3-ib;g$#X^Jds?~%*kU~n$Ouc$T;28J zOM<19siVZ}Li_3RvSjh#%jeG0WBA8iGu8i@e*H$St&GxO_U)X<&dPFCZhLkRn+ey$ z^*>j)G4l4gE$tg4E%SD_g=~6qLcBeDXU2j#(+PQNzhh!nM#AlL(qpO9#B3tdez?fcHfqk|9ab6;B$RGIC$vyDN%p-=81t$T`bVm_mXK?s)EK zxpgP=9BD5%l0gDgMsSdL@8IAM`#ExVj)jo)RK`2Q)dI*36jB zxqp`M1=}5#I>piFM9Z_HyqXg(j;$k4Bi%lR1c()-hVN+%aqdnJIqbyty3%2m%tWbZ z%k}vuNKnuzmA1y+lLUTtpIbNo@g8e?cgbhDn|TMmw;q|qlemn`Lgs@y&rvz<@(Ws{M zj0E8mlPK02`%I;`{Ds^5>(-^Qo_jSC9T(g>ZN5nOT%aQ-X>NEM&i26O*_mCNRIj^e z$Jwfl?bx>pmAkz=mt5atUQ3eCgnM)(x_m3XbJXU^LGy}BPUdP+tEAk---YfdIA~_HTe->~#){@9Xtlk2`B0~|%$i6sTAL1QEor-WDK^ubt3N

=f_2x`$NuWPs=%Hx6%pWDib8G4hl1@}FlSmRPM~YTo66ZkLIfky0@PYKLjIwTs7k z6s2kA6&`6DrrCNWDw>qqq_9Tn=86ZSE~Q9C8Ab>$>_hs%?99G=AN~*jxBtxdKcAW3 z@3O4n3WRYxi8OvYRr4i-HGne(kgRYtI#g8koBag4=LHV7czwqfYyAg%_w+{jp)8q4 zfO8ko*AkMu&AfW6#&mbYdk+3>cSWvMN4_hkwJ6QH5!?wI?a7am#rjQQ+{_IckkFPr z<_mAKzwajvl@bH^UchV;0~hvR;+0}vRNYG1c{jl!59{kEAt5F40SLJ805Ib2bJ?gP zA~@-1A9Qy59I;g^H7sZkye_K{WumDix(p5>A7&;Je7e%H!#UT|h*QP!yy93d-6Z?< zIf@U7 zp@)vxR>1!F*{epUH@G_)i@Fa?q^XN^3W2i|M)yT%$Zl<3*Xp$eXwnR&6*{~|>VD8w zdU%dinYiKBr5{Qur;ZtwT=Zr&Q44W=R*lIl>q!dG)ZpRVZH%X|?q<0$;b9gvr8gba zU-MfXAX8YB%|;o>IeuWQoBp`kMf1z~-8)f+x8vg%UiPqCT)&KA?F@AwAl1bvWxqGl zzWMagQA#W!T2`C9ly^SLyE)Y65#Cv`|BC9bM-4z|uSx}%?R-GD)3VN#N5N(AqG_? zRn6&^YscbF5y@Tyow*Lyzz7J_l7pVmN{SDSGw-^?bu8Buka2$NN#UzOEID~`cg>yhcQU<~Qp z)~EE-U@hcJrJtK1Gd=63Rb9GSZP8Ug@tGPcM_I@hD$Eue{hdr~$3yHVPB>Y9OLx|L z5v*8a&rMOAEzp4o1q>fg$R%7bS~r&*GFW@%q`^-pF-9Q;ooBcY$kM*L>gZSW>ssPj z=zP5AcZq>WD`_-bdeZb0;L@~*n~^Xr1SpF+$a_L(wg+vp>}`KOGE8uD9I0;w%$^Xq zPB|{})NxT;XY4NT@C({=@2PlvROEbFp3^%%_@LW)ImUq1*40h0L-u9eym7;@t>uE3 z2hwdOC&TXXBT!X{8mJ59_ub1vcF@o>f3kaz2$<1tdMitUMM9b@5?CPKQLgP@_#j$0 zkq~8^pOKky+E{Nkkw`l0+|fwUl7Y{1cA%}i;OZHoX;vb7q`R#>L(a*T_f(h1%Li*r z{sJ6MiF!w_dVBG8!X)*?V0jABlbICJpB(O?A7?fls0L>FosQy8#N+_8a?5a|d4E~T zFN=k-!YdJOo3gh-DM$caB_rD{o`v#X)!U4Y#_6wKdLOqzp1M)=tQP*9f%yogx*E1M z<=c;PhI%5QqN42%_Kt$Ild#6(;!^)H<{7c}-$RqMfB=oMJE;k#v>bo#Tlq&tDFlJ& zW#v|d+<-`0R&G_u4X#rDdtw5pu36T8RoD;uSj*b43j2XzZdv^@RLd$Tg=%4ktWt1{Y@!B9rKGCFwk%6|Rz3e^C=^7Ogd aN{m;E_mXb-$`K6z_VfR7;WOp@g?|7+6-ku< literal 0 HcmV?d00001 diff --git a/aidatlu/data/raw_data_run2.h5 b/aidatlu/data/raw_data_run2.h5 new file mode 100644 index 0000000000000000000000000000000000000000..eac36f872bbcc872ca30557fc7f7c27a2a8998fd GIT binary patch literal 167541 zcmeFZc{o+=-~YX~d7ctQI>}H`rf3d@G9{r&$`}c0P#RQb%8){)CK5@}Kq?xLlr$@) zWD04J294r*pL_4V*VXsFzW49>9rr)aaXhyUYkkgP?X}i9t)2I4y?0ue%@!9?5Mk(# zkPySiNU_7;n?df+h6EmV*6;N1a#wD^;_hR(`(vEy42u!KFT)Ju=1XxuUzwMa@rs-8 zXm4)Lpyuz-|GnoHGvD|*k^%!Jjn=nY;y81b zjk$x%?0NQcXF9t4r{ndt_5O7ny@nRYlAC5{{^RD4WAr&y{!jD&k7FiqjuHFEPyZ|Pk7Eot`84mp`}yM- zLrzWE|Ly>k{rwnz^bu`G9$rq@i!!?GfZe#rXKkb90*t#~z}b>ctGd-iO_p?}r?@8`X~_9XU+{;L09*CE=awBPB^?=?{j zEG>2 zWBT*Y$LqQL&yLssA09v9e|WsX|L}Oj|BK^)_qPDM{cY{%Euzm=DJ*Q9watV!^S|2t z_xz;aH(AV(|MGqFfC{_%f7y%~!pSTRuSk zEH~W5Nn4KM?2l>wS)P;5Nmp`@x95((-?iSAaiXW(@_ysrC7gXx6KB7WTb^t6XL$^s zDfCByTW+_2lm5MZq0C~3g52x-;qtqLv;Q`eQ?AD?&sp_n`Je}u)Pam#H|{w#NR%PH63mVY_)XL+gw4?9DXTYmWX zpXHZyIpyix>$58Pv%JfmQ{Fe6lmGe3pXDKeobunf2WvUezaG@T3;uWizb){;E%3iB z@V_na|EU(>VM!08|L>x|^g9Cmzg{Hvs`M&bet+BlWABXr+WoBr4-~0ClEiDy7_r_* zC8=ATja2wv-8>%eZ>ul&^ZDiMh!qy&q(43+rRiIi&l)ZK`p)U3Am^E?{N1-s?Ahov zeFW=e_3_<-3n!BigRRxa6W2RV88Ogyy&&Fy{sh^t&nj{vSK5r1`SR@YK@#d|Hb(42 zeM#EZrADLpyKkOMTw^~`;n$1G+~`%d`a}E3lk)vLJmzSMyt{WgX`_?TNT&T-?ylAL z268{1T|N@yKac3ke}7()8|ydEVCc7|%40im4!NH#*Yjf6*c%N0)mBAvWBunD3?FEz zI=0Jy{zS$87nMgMR$A*weRzC6W4rsD(M0&|?ZUnEvYFOOeD*xOq2Hcf%8vH29531X zurzZUSw2&h?^WHYz3UfEQ5bB$c_Lxmf{6-)FKdp)t(k9#PbL*dqrI(lhV(tYcyNdN zY%R&&`qEUij2d58Z9&4ig;U7zpD!+FMR;3jioL&6w0Gl@$%+F_SC2%mvKlAZ``}y# zPGO?T({Zy9r`-zH!xWt%MbM=PyJ-(2! z)n%5tz^giXJvhaW7ncu(qhTdKJuFEJcAYs&pzG$zJsTHI9`Wl%RbCwNx78c+`APZV zP&f0@LhtUMOWVB6M1}uVUE%I^jt0Yiwvb9(khS)ZuZZ^!irbl*I&o1Syv1$xZe zN}EXaK0KGQX{oUa`uAwGudSZ+=Y~?Wp}D$XcTIj=0I}DV>8meE-@1GTVYOD}?((0j zE&ZXsG;{lM<59dFHws9?>iH9f^gSs%w8PCzP2kn7qTOp94duQ+zk+s~h5jeEapw+( z!_aTJyL@f+<@=j3+R(PLU!Ig7KyMK(@%QyOr-!AM_=ktZY1`aP)kWUkIh(f4b*8#d z_w6$zb&K;%HU929XHzyh%^1n*xLL5v-%b~Ig@NQgQ%!($-#Q+LwvheSSavYf)l@_H z?X8mu{`2&Qe#L;;;%q`x1v+n@h+Azxarn2!O9w(cW{(ltg_aT{@74*Y>~eOL_Z&?zv`p%z#nVRg(^2O+n~WChzEz0+(Utu0@LXDu(=>%& z&A1HhjY`KyZ;bBhO;Pl9(bq-iknyzGr zqANvT*A>REo^K%E_vn1;rlm$IEVl{rb9JRZJwAs?V~UAhb8Oe@`T9e?G@Re>9!)yX z&uixE%YA9Mcp%hmmWE&#ofUQyWIsQ?us_(@n2^D?>SH^7Y{rZC)}K$`>`cd8Z9(kn zc{(zm8_uT%ljTM#{IBay>|Se+wt8_XE8JtYrUd#XWy6x`%DgXY$nn_K_LGMHXu5JR z#AD7_p*Ocr>|VcUs=@%Kdh8lULk05dMa9vbesgt(e0qH0V5qy<7@;?J&h86ZGD8U~ z#PMBzWS;I2x|)V~n5tp;6eg~BFp&TG;u41UEH%N`wI@j8n)#UG&n_JfaW@|;_NK0g zPVC{|o|I+co;3uz$Sqv)e1jpMAD5CEm|gN20f0suXu`G?J&|#_?UN?XZYGUvZge2*0~qv?tJ! zuIZN#M|jL0E8dG+55z=any(%Sl|(0rysAC1%WvKUxxR*r>Dd`19}{*08V{3ZsgcrP zTg8#cl~$Uf=*r}vrBg=?D7?6m9qD5+Milpvv=Q?I7l~TmVnt1dOx(YuyvuC~I1gt!l4urbTQWtuQg=dW&GM<$kBq6S* zqeb4<72;V$2j&Yr}=iZO${42V1K0cKKM7@#60vl%!!TC0O=P#QEFlNTGA- z%1M{3{8$oz$I$mCbfLSchDgtyqNKnDcpx-i$qK_D7JFMqiuT~aLRXvIXy17ghJJl^ z>0p?bm8M9~U93as2f})J4MW#{g8cW!ONS%8W@BpIJDa-M$w-;iSzEMsy#yv1^Aerq zkD>bI*`?@}r1!xYOrL4ErfOWJ4Sk}W&%`~e33T4T0I?^A!@fVidN|C>S{of+jOH*= z=6QK7cc-82c!>{Kzyvof#fM^1@w!5KklwVIE{IimvA%P4r9M8ouzwpJT-~=%?+si` zrYK_S;5l!FdHbjYbtfwfv|K$D>S{cSuM-a#S+Ch+#d`0ZN?PwY3B%_~c7&&emV`$g zSulA-|MSa-w!4{*#!$D3*|Yo1Lco{VxvcgesrYd2u;}N@fnlcN|?4-a&(?>ELwS~LaE-)nWKU=Df zM0i`^7V3-lZCQ%B-gP5C9uFG1AI~vf30(&8@K4?BY@){BQF|h8jpO9uKbtGEFxtjT ze5@xW>3E8(@O56tl{rk1`}VZ_Q1}Y-v7&EopHAMmaOw!skA)ClJh1XSEX7zd!iC{c zwhl7_>q1_vKf$8>{dxJJP&(;*(fRlSg>MD5g$Y>u6@NBeC5OZCO%Chm*%b7$3U5~p zPPJenmZ>W_F@84MGOUmF-iMV`d0*Z*K_|n|uZ>r-!#(GW5ymQ=6i7R)?Rw}1^05J5 zrSJe2eusg&Vd2z~yja#_{qg1K=kqJsVO~C?1&UKPFT=N$PUbG@t}RT$I3YZ3So<;Q zhJJZ^`A8J*L*nD(vIE=Q2v)JT_llD@Ibo5&x2)LJw&)G4-}u_8CHe6|F~P!ZqAv9M z&Y9#*%Vuc^bYt?aUpN(wcO^Rt6JDBNanIc0ftmB>_NkQ3OQw#*_t5-sp?H~niig#Y z>lBkImuJ=3++X<2wZo@p{kLsA(PDdsW{{k*g%i%tsF;1rjy!T|_U!im7}Oss5G$23 z{GjTw@nAFMdK=q+x894tzK^eK5rhNmyvokj4?eN#;n3#4!@Q7U5#Ib!(ptM z*RgrG4x99m*qGO1^I_xRFy;V)YnIro=|gHn7C+1MMkqhes`r7|%4I5JTREo=+tr^o zh4Ql$4k4U*-8z)NWnvhU6&k~1dSk9zOp9z-R!oaX81pqWrqzf)Ta+c2nJwBX98)RE znpvF{(o6mCSN)t&AhCVW)kr` z9lx*en_R))E-l@vz<)KYT{yX&k;a}$ZczwhhEyfD2!*u@@iSg@FU8N4R`4?(xS3s& zxRHrvxYlKD-eICF;e?Pd9^I0uu3@~3p1XCri}LWsh$E}W;DTEW&*P;nZZW*S!UW@x z$k#rL#JvTLE^aZR!K^6JMD*d2F(>t1!$jxRFL~kd%KziWpCSB6j>;Q%dSaPLwdEa$ zCrI{f8H^B47?L(3OQXOwjOWJO2d-hV?*qSY$GP^RDUWL6bVg{+`6xwm;$l)14yhr5 z21R1!yLDfYkWC*M62^1YycThn-v`7=!ww+6XnYN^*PAsVVJw+c+~j3bL>;TpZF|s= zGY*G&dhyYlkYY#l4%$&mWH&bFrlD$+JEENuh)x+Ia&E;&C>}|jVoxug2aBHJ`0v{> zYLQhq!Lys0zv4YFuJhN5_dK{xBygSgu)}o*BQJgAk;wNQGJe(XnKd0M@2gxpnRVGt z%%p55*79})A_(-(g*mYnHzDHNiL6ry8l1zNcraj5a9jr=CJe%DJP0vW5ZXP$Ca53P zRkNK~R(G-avK3iM+1XA!H*FudJF$8KO|Xeks%&CzW;Zdt*-b3@{Rpa%5fJ9YNVl-UaL7xa9%K%sRbQbb8HqCNz1xP- zi!U-jmB&k)u?-W9b$7xjIfHmX<8qvW)x_wvNi{L2QGXfz09^#nvzzFAXrY7Em1lDK z%utM9M%2BD4@0SRTDU9oqRN%ob;Pxu)s6z;Bd#pnk|VAy!eK{TTh;g({np|9?LzIX z41ZNS!`m*{&U)-r5yJ?y3dS%`5ky||YL$+8DecuF9mW)44qyhfF`|U79xPry0YPCA zF>y&LnIS{vh7DI7F_Nf^Qd1v2R+EeyucNC!!EoZ_DbuDK8PA+$W%V%<+Vz1OH*MY;ynRP#SVUxW%&u4xpOCmGDS2ONddB_( zhqAJBj^-UZUU0JT)akRu=SnYJEGL()T&=9CzJ8*C51TegPq2Q85WgX&Kp}^1~HIC=sGON>yEB%vh~)+B$mr z28NR+Pn|Zy*kqQe`D_bIYa3fKZ@#_bf<=p+mM&ZF>gM6;wbIAeZ*{=hb%7g#Hf`Cu zZ954G4UdS5-nlC-e)pce$tkI68JPzT9?m+Flbe@+{KUy3a_Y?4lG5`R%Pw8MT2XcF zdd|p1)|3Yi)no+135}?YsBAA3ybd`S$&1|G*%Nr&ZF9XN;y6 z-OYD!Y!$cT3EI4crVeKx!H&5}diB5@~$y`~|veZf&C# z_yvX9M6(3&vE#LM^$_ymqZclsdHC?)Z976}h!Y&mJ(f?4Z{DiAbC>4fM4$VOSB7qtgz!T zm^cYRs2z`!^D<6Ocw`hiU{16P(}D-}k2nQCe+_V|s;H`Qa;$9Ta)R|6Hgby6Gxu|H zDz8;@a$dcD!^x2zBHO`h+RAIkGj;k58nNtnT-`mmc{^j{xOqjV&vfv!OP@483e+r$0XK2-WPMXdx7ScSJ zN^QbfjOnoi#*U^jOVH^|%E|=h5G^P}!3|n~!H$BR&5S%vVS%94oPC-ZFIp`uznR%h z3oy|+)n3pPCNQn0>eKAqF?eWDGkfsx;Lz_3Ps>3-K`n&JfglQx~ zBT*WO(MX&|5;T%TG&p>4s1Bp}$6pYc4}T_o|45Qu$Gbc_e51}9u1Nem|5=~-`siH6 zLt_^0m6^ZxrALp_Y*O(-Ay%&B1IgmitG0V*;@305eK1Da(zVBahx9qS{db5*MfV!j zXH%B-d&dpQ9=A2*wVuUw^AAh>Ivm!Eq-v#PkY96`KVHl{z*BqsiS9Nu6*q72h*%F6?4=r_6##j*S-;aj3}0VaOz9Z zu`gc|*0@sgCjZ(#?ab-ziI=q=h`N0a7Mo7eX9Qlc>P_y@Z;8RS?E>2chVD}PXBuFKt@((zLJ%0K$u{IqRo!KxQ{+u^UQkAx3DCS$8xV`YsyG{KGUF26#Rq4bM?dW~-$%X0}h9~PRPh3_#tn6-A zraC!OF7_w+VxF1Z?|e;p=z=qQuB#q?`%G(B=v~uNIU)O+r=#OVqDWl5q58&wGzH`1 zX5KfKeTq1(e5Ku}a6{l9}TxU#aKa72WdPcgJDDp$7Tl!LhgJ zd8JB3^AWz$DT$}G?<|Wb9Amb!-R*Io!rp5=+jwJ2n(QO9wJ$Fsq3MD~-VIBie&XF; z_A-D;d%+jo_;b~x<>>`O9h{$=Jtd>3R4Qm5olqqy;E}z%c6?6H_hsiRHL|BAB=&pw zUROyX&st4lw%(mlCh)^De9aZTTmk;2=gL&~j|}rU-d)W%x0Hx>Dy?&j=r&H-UdY2U zJ41Ng%8Z|b7R3ff57mqw7jSC=*?Z`f`K-+^%?pd)I61^TGrmyve#N?6-X*1nu1brl zMt&oa!3Anl*LG}@pOq*&bLX&P`^|ZB&d0`<*zS4k8j`1xPbT_Z@~#$5)BS7`{A039 zm(SP9>qXrU>)%{g*SqoVr{JOFn!t68~I7*84V@k&^z^$u@7~?(Rm_kR7+C z?Qbp{u+Vh9C_ZOa@PpgDi^)Z?UV~jxj}}~4k+wN;?1jl~gV8Q8zu7->t-C%fD)X@e zY4lusag1q_@J>1Pd8e;@2oOrSz@J(?uv4*y@sgdtn~);cGObpbms)8aU+YYIQ|^DmurYmyaJES(E z;MF8a(*v@hVU;TUlXf=h$y@IU%j%n7xFh&}DQPj!`PD7r;c-SZu*g#=EzynVtZ!9&=IRwZfdlD@mW4L`HV``+poiS<)0mJtf+Z0b1t#T8JM?t z*~RK={{6H+!-9P`<>_3Br!5Qpl98Wv6J(=#Nx!#>OOfKE>aBtsif?e z9QAr~Ms1}$G186u?6Jl6KxJl^pEo>VxPS8eX{8AzF%an?f!48N(y;x zta7E+=I&{?nw-$L_QoZJ^)3a&!|$AVNyZ2mhP8`5m9kP@DjIbp|u?24?#H}k3;~BHAPFl?9?D@XMRfY<-2X+>b@V9l($;{~<*QVqpoR^i> z-F8cHvQSpL(L?f@nd3ZpJ<;{T{@4XH{DeW`K)7FJ9^xZ&pK{u}sS@T9)EvV#_(xD;N(@6NWa=GBABl>hUg}<13_WeUuzd4~Di}T~k1mEjz zy){;eSVjdL`L--S?o3-z&9|u=EjK;Me=;dwh;Qtc_2)!4wY3q=Q`be;cRM?7t2^5; zZr>nFXV1p8?}5EPr~yA?^4sN5@6g-BK|> zLiUqe^Y>hvac;)yf@$m0CF6xhsV7(7wSDBgH%GwI`|*Ou!^wnGeL?}x7wyhgUF=*t z@yhq%IbFYYs+3EZJeacd>Eh;5M1Ec23AKaT+Y=c#uje+mghVzxYo3{};pcx{oZq2n zQWQyiIaxjY?ZP7o_s8jHN`-cO^m=?l@i-4F2H0xyEdM=!Xs8gk7KaY8EI) zk%TfCS)B~lIv>BAcb=;4?5L}J^Pp06L0sUT&7{UyyQL`D51vJXI3${}4_Z zKX2{r-!64rRxZ5b$A+Ot$)xj|rd4)4p(WGHZjV2qNp|e)Szv!bEl+Cb_E+!h8ccI< z8J=lf`Z`%+Y=qG02$6$CLc;6G&lyE?UcH@Cc3)}#*i%(9$)|=Mn*COGyJ|qgs-NW0 zJ)?S_rC-9emX>+#?bKJ_ZD*(4Ha2l7t?QfrfH-((NE(@E^j`4c#5S=&Db<;$mKa8B*AS;-Z{ z$j~?bEZ4=y1f_#slNAqMPAkX}Nf@3~A`_p@THj-$7x=hQd3(ovxAXc2Q6ePl*UyQ^ z&x?e9+mhWExU<^&p5ww4$svwcCgo&ao;1a~fh@Z7c*v?XCF%t#`b)1p_%Od}vib76 zjYH!_;}4d~sTt=Ig`#Ie_TFa#2R0=XY58Z4%+&MAk}zBQX$xzTUA2_tE;0~ST&L%? zzHjX?zk`#~?z{BHHx5L-9(H6(ozCoXS%r8alKTAa#OQteX%mlJoe-TVcR({@_uE-E zm#mv@mfTbt?nCa+ExI)&F;j5Qh{${~@3jx7oD-8>a_-Lb`vDyi@fx-_iH}^yQ9l3Z zGOcW(A0`*d<@Rf36@2uGcwllt()?1ixbMubnA1^p@vasmX=`&}GOU{wf^A|3bm0!AijSKY7QynWa6zW2k&PoMj~2z~wb{m0M#Uju`G z{hy#<5dSG5{VlctzgxaAg9itd^IPm#$W&pq%wr*~vKVRn2ZdcYN{~}H%7s%n>KrGn zD#1ysuHY5H&uiPiQx1O+5dV-^$6~Jjbwz`KBA)z_{D(vSQ`WjcaW zFHR1E48hw;(Fn3|%JBZ?tB~_-AOhV#o4R$mkurZL)CMYHetlX_vLaVNu%XJ;Cdm1N zkPILd>Pmwl$P9+0&PTeaw$YaQ{1{r&66hM8*N?^e+Mr6qh5cKX znGiL>F34<9IO!c_1}`&FgY26d1As#6Lw#||CIU@~A{EGi4ET`hYBNSMtrb**8IK+~ zN7A=Zf&zMGyg!6AU>ND(WynA)Ri5W+OMD=YE@W<5Zmdj!li1aEP$HjR#4$$7yq!1l zcKKOr4@Zlg&)n`ZO9R3t6g7x^tk&v03fZ`QAi=KClp&l!H=~42RW2wR021IdAUHuX zCv}B;H#kll{sU@Gl$V8;SkHrV>6+#7I@3tM1gEjn1<)K)9Su z4F+W*1eG|ME%A}|nu0{&2qS*AR2S?HfZEm%Itj8HU>->JiGeZ;ri_G0cVZ93BxSzN zn-J0$yJrxpiA_=H$MlKvg`9{+$cX_!0@>EN?bzH=9@*tLf6|D7*6YXP)-Hg6-Cmu$E5KnQG_A|o(NJGySzn)o%pthdt4Cve zfa!dDaqZZy0DA*)FxQVItaF^A#M61Bkn9a~!o31*N#5*YHdeIvQE6tVm-Tq*FHbII z$N1YB5`|xFH7EB5F2SsSbFXCI78esWw8I&wkH%^u@9&oo$o*!T(8Di49kUn*Vxa_W z1j-9Nb0FNuT8GGfX}ofj@(2pQ+HVvl1_Dvwe{;VW^KzyJYMmpQ+udesQZ5RTsM%Pt z9!!gn71r8Pn2=e~{__n8#KUX(32PlDEA+Qs$2?vh9OxqF7B1ur)p zBl+o3*`Y9R$gJPctI>V{AV9Dji(e0DOo@e4Cv9>vR^@+v7aia*yFNT0d-a$g&-LiV!*64qRivn#;SkPIJauFM0rVSo`^ada2NXK9d6Sx|Gw zNql;8`7jCdvec65Yb-w$xzbv9D3nkTEVdJd{b;JliT1aj4CJEiT3+0`MN>uqS;>!I z$L1HRa9fU3M*`0(+(XtcnU1kiSF{JKF5&C0JBd*{O_~2yEd)>}V|5_{buuZ4N}f=S zAydv&6?k1+xHrg&G9LiFHj+h9B>~0l4uE=%rpn*7+QEPZ7#nsI(DSUS+!acK-=CHp z0v4hbnom3}b)+eG5x#P+j?7mKzGy5mvV9HZQ1>myOY{w#ISNh{5Kn+$gN^vKRvUNeIRj>YUxTdD%<= zUBE>)ES?M=rz$r#z|LUU4?48i@1eRy2P*8UNk&)DHk%rzzP zL<0_Ep)LCrcMyS5f`MIrAk5oZ8zYa$}CNL0H$6 z`N1^e%CR22s>3aSwBYHu4)q>Gib~of+{+SR03M{<+<;^C+&>NGf_5>ODZm#h0;CHA zA!zZmkpMJ~#bF)80HoBLxrWf2dsI3nZ0#HiEt~VWk`?KN1rh`mo|1FM$$WlXMgS;S zjFZ45Pv1s6wf6yNH+M7k6ADD;3>Cr$j3asD;u*>yM)1g6I2pJjmLHHuvfrAnW<@Ej zv>Y$P{w-4Ol<%?Eqf^tZ`dZ5+ED!$Ob+N!Z2YiARY=B zhRC~`s`G(Giu1+e{R5UMfOjKVFK-lhr;)FXY*i%ZUQ!MoF<$vSm4NiH_?aU+Ba3aVZ8VZshH4 zOm#{dw1@)p@dYIV$|rrxu{{Jsege?fD*!Io)Cq8irPGx8@I?f06k2pdTUFl9Rr9a} zJ-d7e4Cz>r*S7&j0@DEGk-ajMe5}WmayBNsz(FW~IvfN{R-`ut+UQ9alWF*}RZ{>^ zj((}Y)7fbTo;aA9-d1BVv(M}y>lR>zY`TgLGaD_^^8lb6zN_Hl@CD6AN2U+6B7Mu! z8KVR`Yx03!>J9l+Uz&z9jsnYcBpeTYQS#>Y$#_bE^fdr)#kDYP*YJ$Sz0~buev$iTPW~KEiUUFa?p2mV$4e<;Hp4MD}W!w@Ea{!dv@N^L& zJ(T=|Qa$D*#VO2dA>mV;;sYdnzMY^c$N{MM6sNdGReXw5tbvZt#wnqHYCO(VfP4$egvw=5Zp!XRwS}VBVmhR!w+m!aznyc7Pk?7 z-V8bbAPUkoHb}4kh7HL$DW2-h&4(&-h5!9aj_*d>|FMp!5V0ek_Z#aq+>0f7Wqiz`TgwLpUM z4@iK1z_CkPRv-qZfLQzNWSptd1tCBSgeUb802u5N|%kVJ95dni=w0El_KPy}aK!{Fp7Jn-%1{;wWCN?XE zb!HMa%iFOL*$tEhBP2A2X=)P@WsCu;yvY`A6HQHud6mY@PyFUp8n-HzGklem%qjdjXIHikDQCpODtR#8s>~VBBj&7Fsc>^1 z46$%?TztF84VL-M@Eb2htQpH|)~vqG5!O5-veYB2Uy9su4QrJv4+-lKozK))&+m|_ zXGI8Alvmd?&yUu#cw?|BGQehOOJqIm5^T{WE9!Z{j=V(2QThcb01MNj7O`Glg!l7cPK5X4Ruu7D6B5Swv}qW-hOyqReJQeo zd3bFHYX>h%itxL%(zu$3(diI`h=`!@C=ctA(@~y|agl8kDyi$VE#4&uACA(IFHGcmi3c46$);jg~+|x+m~beCxUpxTPA2 zE}1=yUYFn=;1zAs33(D-EQATn$-`kxJ+eKLStivO9N1qmLmBhEX3vpi#-d#+xr3=^ z)?qjd%@`RW&s3}m;HUV|hm9B%$-@tf3JF6Foi=OwJmm=o9MXmQJ_Ty{-(UyIIxJkY zgg}mWfjYk8-(iOxAz={cV|J3*xP(7p2Z{?6oG65RUQAgB@^{$b&fWWv@f#qhH$mCv zunvR`J5ZqD2b6v0zj7o*X{0iweho)fhgp@QVJ*kFW5fheEfa!?Lun*OH}W(&j7Gz0 zq(CD@8jYZl5{*XEh|oy6Wh&EtFz#=E+vH>YA8?K2a|7G=yz=OD_dHJIrkotLD?D#d zV$Nx;^o-J>3e!te$+4I1gUgod_P-Gsvh^KtkJwPz z_}%ZB)l%D2?*~>ta>}aG-7xb+kr)`~6-0ecHnfhf&j~pHK z#wYPmzs#77VKJ$h{KKZ^%SRt88KORXJ-KH%*Zy4n^I5sFdWr#=`+mAM^mfnL9Q1Kn zlhp9E_kzLX)5a^+6XK659x^;wX1HUoeBP9x9i5s}vXu^f71(h1={#~{O6G~d`IaYi zDwG7BD(aMyWNjx$)<4rdAn9te`@;iC;@@mA)-KL%?@NpH4Y_r{)-!d+D=etIImC+&lSwU&%$ux&jvDH3)cbi(L9~2y;z4Q2C9>3;q zd;y2^2;Y{$F{9!x)ms-U8td=ARi_#)D9gV-b=M>9jQf`rET)ou{L%Lwnj9%mh!=BQ zd_!+m-HdWR!L^qv)zd^BZ418l5!XiN`z=oAuNs`1x+}SDy5Y{Rk==cc_n%EZuf1h! z-55n;eL#A{;zT}itD@1VF*l7JbHrm<{4>u~NNnO?zrIvMm3Y1i5|CX|`+DLjjiaag z0(M=qygtd$@$@6H2$9q?4=kO?ribobLUZr`8oi&KY?q8J>-B!KJ~Cg`dTGKw|J6y`9)-{1#UMXvS%bA2TiF#%C=Y7#XF#4|No*$VH zOq+J9%-Joay18f7dlBd7q(dvuxRLRbb1Yl(Bq-yxZ#GW|f8Lu}jiUnvi@eHJ>xs(N zNxzD^r?hB$^zJj*P&F^+{tAIZPsd#wwSxcH{G*=_5%I+zw~knnGIm3zdVxtyw#tSC zgpfjmjQTKCAbb#nDvAMs`~GrA7Bwhlk7x}s8*Yt*q2~Kk+cpWl?k8HlGbMX)9qpC3?8QoX1n_`7l>MAk}1hOv7;|FjxBmUw%Ucy`oNSEGWWI_ z?0FpVa@?EJ?Vqg4;L2Qk=I}SeI%W6o2V9ybbh_kNeM)1^x}&q~XZ(yZA#%?#ZE|zL z#3<8hi336n&8&m2cmj5YU)xIrcQqEoC!#lkxZO(n^6Q-e3`TnfQ4M7Fd-V|EI z4?EYe>}!@u1QANPzxZd`D66a1t9!zSCLJ4nM>0X{rfZVynOE-Ol}omhS8=6sMYheq zoZkq0e%dZP#_u_={q044^4p1h-t?~I8$>$0d&cc88m?DY-qvjknG*bBUFr9@NezlV z{m!KUYIo(x2C3y|Jf+L|9N$h^{V8a;>pq2bdFF4Au$G9%+;;nR_%oUG${|(j;^NXz z@vqg3?LS(D$OIml@glTC^`xf%kn*+9h;**fj4J_hn+{LC+O)Vc^!u0`kx@rAisY?o zr#ZiiQQAogG}6u~CK(l!Pq@;yinFxmcwX@iApt&ZOAz7XM&WB+eM6Yc)r(6Hc z<9jYyk}O#8&a!>S+YJAKCv%xkAvJCvCj@K{cOK zcB~`qidQ84Bu_6t-nZwePIDr0JoY+v(D6fv^0F9l$EaZi(_@YqZp&0WIB`?XgoHCz zZ6r&0T;(W-rnTbs0Y8?;NS2SH8_peYjD{`=|mTsW{=Z zpW-oi;C)NzlTMNvpGEYl#?HB{W^r}yO9zkVW=rombh@nT-JYkIGO6-~{k`rPq+;pQ z9|8R1cPXB?^ndQZ@sZ;#RT&VD8kd%L@-KSu$$>N{&Q1|K{l;s=sbv}bg^ha0$6k4= zlvVaA;A2=^G0|T`+WmiuPs%I1p^~C9XYJQf_H|2tsoLBWF@F>^+Plg;{t}r~VHJI0 zN@9)a%e9$Dg(g^(k1M>}<#yth=?(XiuFb_y$cit~<(HHl?QUB=)t-29xbfBLrElKZ zC+z;=_hGVeQhO^g%h3(Zl3%;(*7%%v&*r2=H%>a$);xK&UDSRxZ`U8=|G0B{+31}y&9f#3Uz1;HwYB;-5nt0e&m!(iuhQn%mS&qjbg85aOH6+< z&v*Z4&#R|9j24iDUq1J0-!F1aVOGB%Fig&@mQUg7A0HK$-Rt_SLu6e%xjwgX)uBt1 zY}ed#dh}6wfBCbSr$=e(#^x2vZ2mYz-2W&!tDdSfFR*8WqtZmaY0Q z-t_ju_p^NaEs1@DeY3{Y!U2_hy*ndxQmZRT%;(W^!52>qZ2LLg>XIZ8+5B@;WtD=B zNy57oC#xoB937H4B5c>7gGKr;zv7H%nq+j)!}+%!&A4=HLa|Zm*5aSf`7Mw9{7eEp z(?6Kz#9x;o-GVkJg}*|J$&}bwf9uCm&q9(ZKXzT?XPr{5gSl0*L}~GLwdmj!KB2J@ zQVD5=eBxtvzL`DSwzU4!+;j4Lj2SUCihDZMOm|y&aP6qAtG8S%xhS@*^kVHu8=oGR zdjexK$c?7Ok6jL2Fjyb;VQrtmya(ePp1b{=x1Qf^Q|Ii{?N6qXxD@d{qgRD~vv9no zThQETu*1D%{7IJ7uC90PFPE1;oJc|wm{^4a6=pTg@KTN=0N_!K!pF|K>qqK!|_2N;noxh z|3Z;)Y{cnCf^H%`uLPuPLmyp}4@LKA8w&o3e z-3$8FTQCQkAq=wc2YkF+ZXiovvkZeoLh$bB`82%e4`~E{u*cu@mEprtup8}x{oiw9l%7DuN|)} zL!gi(5LWQM{d5RbRk_h#7Mj9uAl9y1Fi9R-K~|`T8R!M5n@~w~q(47Ck5{s%;iXKx zor~A)A*Ge1Z6Wlkx~}KP`O#Nf@vd#76E1oDI3sOP!Dnen-Elfd@&Pd4{Abh@15Se zanYpV(BrehQ5Py+(H;f7laBY>*{`}%-s99B;2k3dnyWy^xHgfqNgJ0;Q=&==yd=hp z<27fzLky0wUWdpfGq7+zhV>-zkJx2C{(-Q9v8|`sCuFaBp;_L=RQgVE%v>DF7HT zQ641C5xmkm7TzYs`+~^Q=}N4Q8+iF0)D3+PfAf+liUX~cSrI@mMBd##LGXe)lqz5x z!Ap&l`8uxUMy;^Wgsw-sYqH|6CUP~4npKG4-TfFJ@F=|xN~m%*5;D+{C>Ruop|9~u zwzCmowO647tu@7ZZWks(7aIEI5!?^p+M&z?-8fTuDm%+nl38uv~Sn7u#+d|sBhZP^UAy0nwNdHo4*7;jiG z39cvn5R_p+(#lFFFDmFD*Ajbs_Y^EFrYHc1fMz>;G#m?#11gz7WIjJChUhg-k$ML} zIMWb*4fB;X^WoO;pm^UVCjxn?2}H_DI*0C_*@sux*^)Y3Mlgq9C?Sl`N88;mN!b{3 zjAVylzA~jsl$yFyfBhCPz@IY2QNx% z5fOAR7=TIg-=3Aj7-SB-DQ+I8#vp7PknL_lvjCvnfPI5wb|vwiqa_YJ0u2HC7`*bH z#P)XSs6Cmmp89pPk}3>2oEL^2`*$p#NsSt)cLC=^cn|SfuMQL z0R@JYYRwP;F7O>Wv>g@{LS1+P9_BDZBNh=ON)r1&+-Cm6vs;KR%K^zaNm53UyY9hXDXwYA(8_VKCOhX#-Xn zU!TDWhMHN>akko)ip=McLCnWON-o~F5zGr-O+N-@6DtyY1|W}*huO?oSj)gwg0JgF z0d>rg$Lwd*Zdk0S4aH=Ip9Ho=&^p0mQKthpGj*XicugLF2z(Z@CE!^>6=(WtJ@nj5S)s&(zTXdfjx~_kG10Qa;kyG7885oCrT8209iT!#Y;hp| z!du{7T@k<&kXh7^!_`=YMc_QK(+BevvU^e>Afqo&;D)ixm<+aF%ZtXaAfi3@i@^#` zQ~1?#?I^&F@jw$`&$0|A2)N3fegw1y6yA*Br85W*)@rOWS`zQ?oPsV6`v>qCWXm#O zBW>4mVZt&_;@#cTiR&CE!7Ha6Y>?R)XzI)f4@Cmw0qUItrtlVhJKs?L$FuUo;og9a zppv7#2z)!9UpW#Ds0UscRGx>R%(gR`2MDgAER(<>2F@vWil7&xd9d6^QbUOM_W-as zz@wmv01a?KH^Qs}4=ye7cd#;AP3;68oll3e#wcC_eHv(tCM0rb=?)WzeSKP%5e(D? zG!@LC?DPqYXz+l<0B6BvB+3UX;yXYNa0Zcul?OC#f`?r*s538c8({e2R>RP!7dX@w z`Z$48L=?ftQ^H{{9za7u_ff<{T>v8jE4)51j`RG~c|@TN*sq)iDz{)uqM6iRde^y%JZ!ss=!wLvALq@Rk^pU?_-~sDCOG=FgEZa_R zIMcwVB>}Dwu44#X8}Nicr@=Lgx==)TVl4!Sum@xUR@SRxV6CVtR2^7JTW!}~vc-8i zCg6=@ajR`%HuUV`0lMM=+bc|j5e*EE$kl9sBTQ-$#) zz+O)V6b=|Tm|L+CL`TD6{moa<9_IKOafe_*TLdTr-$vr#KqC+SF*6tzTi{BL?S#jQ ztYRM;5hUCw-Y(eVz-L4L2Q^#rG{dTYJtU3)J(i-4i#)<5@nD%jFmQ6Byx~aFdBbxF zk-^hAbrTH4zGKD1u%%xEj>q}g>PmvW%h4P#N})5CM{UYn+b2PwWz!3ziw&2B(6WN07#cH<5>z>G2#kjZ^} zxdsVTJk}&sE3blp3`>R;uLHnTK$Vu>rwFD3!t|wC*NHXtH2{$Srh=-|P*$lo1F(eI z6zzE7LAdq|6i%RM$G;33I6CW=LE(RSH0ZYQhQWwJ5KbAu2f9~dlcEq3#wwqQXyrSQ z2)kv|u?02)Eu2Clu3Nl8h5Z*)R?5al!CwShn2X?A z;irHLH-fK-8$a)BxQZYT)+TQJ{oB%_UuqGb3nYcIcz{(`73HS;;89r}@8@p_3$dK*CEWr^W{0PP& zaCm}X5P?Pkf(MmO%yuM>YO*UMmH#^!gG7Cspc6O@C&mF+(8f5ylL3kOxFnz^IL!K9 z+i-feVK@AK5z*N2XT|tR$)yj z;aI)Uke8ag;0VwS?oJp1_-Ja2(!@x&={513nOGLq#4OKl;)xVaKtU1k0F{)n)L!U~kZ7gH^88liQdkX4?^0Ca03RX?zMgIvFi`9pSsR9g>q7 zUu=*OSLxI$*uaVwJvy1uKRVgXk(UlB&yv<54S7ketcGK9*t{AhmzTsO)+900vG?c_ zOkyTt6D{>prlfU>BjeX9=*Sp*jANWTMdF#u;p14Y9oOTTJs#sILDMN1&&1}&w<{F+ z_=2EnXVJaI?RF9Dd8v7ik1r2~_)gz;o}zqT6zBUgR{6dFrVuD~Z}6>XV02eRcZ>Q) zMl*Gtk|Km_vGAL3V5|RJ;|aFq0{I)?z;>RX#uHo*1~%S6DBtim=Lxnc!{6|RZ{$12 zd4i9heO+DaE@n6vqwy6i#tODqW)L-Zb*VKdRg%#XoZy2G@62^2d&UWW4NHu9Sl!s1yCt81t$|qQLv3m zC`G~bDWM_PmV_3w=@FX8aUGdQL!5}3jKB~<04JlaA~ct4B0>*hdxp?FuKfxPadPUm zLJ#6%F*KKLrxKq4tOA}IFje4y7qCj<1n@=S6u}0ClLOBacED`qq+w^m3E*9V0G|S@ z5>5_0U)TXNi<5?%3n&WKj!+6-z`lixRKTx=MsVPu?kwzx5$1vya9-h-!DoeAAH$oQ z2OAY`1k)65DNIthdHC}pHxFzJHxFhq97_y1$8ZP@fGwOH*urpffVFU$3wXY8%3$!q zDTAL2C+B3*DR#g-=GN^W803_wj~>IR3qu=r4qxELpv_y+@q7SVvUA{dw8Mkcdp5!e%A47BKoV`dZ!yJZFnFsSxm=@8=r%x->$+j3d z6l_8NG6!I=k%vBKs*WY(CbJr!hks-`U-2O3Mc)dAWic{v-XQb{pe^(WPg)VRBD#5+ zaYWV;#3wm%ZCyC+V5|;w{DN(v^|kU*4Rx$JbyluI9LSCZ(8rj~$}LM7MuoB&qbQ}J zN+UHIsnbYBZm{k^Ip!j*OZd_C4(LXY;}*#OQ*_(E`TFK2LT3fQ`7Q zS(k-7VoSb^_#rIH!V#GT>QU5)=U$3fber9NCxb2pf;rM-5 zNV%}<<*s&z-Mc$Y_MMLF962yMh2LQ0*5VSeRWAg;O!FcxC4!F8K^2{s_#BSjKl9zh zFuL7xN6) z*EN|)ZL8aOJ?`fwyWClc<0O2Ve!6_LdHq^B^~z7@idkZ2`Q%E2MmqnnR!l@5zh!ph zo!L?wvL%oDq${ot?cQ*=q;DJXxh4`dexlypXI#$Brshr+HC?7}wg;q%u5_)`O}hTl zm;`3aM^9e5|!I~Q-;`cQb>rXuBCZm*`zx~ftzNhYRG!0V+|O2T-u zeSg)4YWZd9qH-~lQ}`tgf1i9{*z@uG<=5}bx}ttAQA?OPDUWTYlT@>4F90-VHlBg@R8KP>@<$KGY))Qa_9hg`?GOw zL<4^tq|5fwk_t( z%pC^X<2>V9^rM5wBeC&@w`T_*ey%yq?XhN%!i8-{6`v zU@$``?MAO@iIVz`cdx&h-x2*IYVCaNllik}q)}&$X;R->wIzoF{U6H3T)xIJR?@v{ z{_HtE2M(0YJ`zGAy7{@C%hWCHp7wlqdT$jG_nm)?W0kI@S?Z&rX(9d6WV-9|!!24# zdHJFd40NeTG4P#Z$s7 zi?}u$U6(rZmQ*XAPn&XP&c&o()>|^=QiLV!9zB)_=H2a@SXaqoSt?5SSH_Dx|h!;k_#^-rEpv3W;|NFF{obW*1ZBAZhptR;tTaNN~`8Z3=)air?TH}xT&DO zv9R@z+xxBAB?>!Zl+)C_5A|rRYDkg#x!ahEgikj-^_(aR@u|`T?+q~oFhCR9M?TY89L<#tk=fxs!t`DY1_k7jK zFA$2HnRt8Bu^46`r?=m0ZNoUp9HQe??Go~7M~~~l?8)=b&bz&K{TV(1lOp2}^<(yU z_x-2wzKG%7Ka>^+75BJT8wVtCD$1W3cOd45#779isU^#pQu*-sx8=t89dUc_Gui|! zABjmgWrkPHj(mP+yv^!}4zeQ9@>E;#56$S0*Gh??ah$N5?b+6EOOr%*d0qbbT`rh3 z%;BGRGI{d|E0`)wglI?asGfMfQGk zay!(_%`nRq;FtD`xigO>2+q`w&3&@!_M$a551YRjpC2PMXb7Jy?Igd> zzH8VVd9uT%UTju${WqIeYcpOOC*?29TDZfzefE+JGWFcYPMiFfi?2y-G`>E>xg~>R zsq)FTuXa$xQhjoqzwv}cy!{8QFWpOQ zSrQWETh2+Em!^)NJ#MY5(Yg0B+LHV3TpQF%c#%7-FwP+1mx^>m9ch%_|50K@`$I1AHWH8s=OYe2}IoD~JmHA`};S=5%^C9P+IKPlp z{#0?L@Z$+TbWZ#DmXww880F<<43VF|jWWfj&OLJB##HzB-}x=Q+{>hcW0E+g@vplf zsHA?1#09(}`!A-CQCD$)zRtm{;K%RH+1)>$j#J@V7x!f%#~O0j{f>~CGSzb>h+Ird8fKI$IxO03I&F}OZ*qw6`hBOm3h zrfHC!OICX4G^L)q8+5#cf4Piqu$fC{pQ`A>6MK&4joG@|nq2ZfQp2-s!qiPOH2g9; zON%+0TZD|l%uJ5EYzoNitZpKTaxF)+L?z;iP zAg+ge?sIQ2Pzt<|^2<2)VV;!H)*{7oFPk=c-k0;l$d-~)hJO6_cf$KD!kU(x^t~xM zG{xcNQbmiJM){)x7p{>n>$V0o=szfK(q8RTtrm0Xg}(1S?Wg7kZpy52gC$A@XJG)>6iWJ>}&Der;oguSTEsO*pl^mVxNpdZVk!$Dl24` zHPzbW#OpFX$5)eo&3Dvv%9XUU%H|u?KEr8BR_%RLE@)dUDPUii&u#T!2A{%S&&y*D zR+SEJGTPs0S4m!T8?E*z=Gvg?Qhc*^LE_Yji;}N2tS;5m@vbQ8G%wR3n+`pD@oDh` zbv>8-m%Yo=1ji~KIhifsSpMvZl#*VW;7$T6Bro>I#v8?DlB$Y_TjuI42nybLyK9ND zdU#yOYt?I{qiwOT|2MO8rqD*yQDVa8KgJp4@6H*c#Kb5tfw>%`&KaY`#3(R9{+%2d z1xLu=oij$skx_7j{M|WYlpGlaN66nDL`KPxQE-I(-9coO92o^i_(mN>M!^aI9uw>1 zDP9huLyR`!w2`3AWHf&U{!IQ2yd0~Nw2`6>sqg>)3Fhzs#6kTw%<%Ys2}5C7i$leR z$;L1=aR}-PfD%AwSkiEm@+%~A$694fH-=onk~YdQB^M&zFjN3c7FH1aLNM>w8`LTnhG(}2apLw=(NMsm7esz%8iEKxikkXEZ`pj zegS42m?kVQ0JP(b&sGAD_U4jQc=9WYf_RBJWQR%K9k5N`W2Q-iC6WnCriI-&RZHY( zkOWLg7J&Ka5RT1b`o|FHjyM^$3{)6P-2gDa9)7|8-jVxA_Fxb zMx0j53lU5*zTjttsnczk1&+C|u#6~4g9|zi2r_37JfJscTu=3_XgYZtGsWS`vJOcn zbp;dxfz8LuToMhj9|;rG3w{Im5a`r^43Iuj!Lnt?BFNqY8NifE9o}u!LEl3GZl#31r2ua26r^;WiITj11&*Xi#mFDo*o+p|?V1 zTLlz_fDFLMnZbjp$;Ul6!J42JOpY_s7N~5y$5fq2AWz^@pxr7t=$T+HFmPBtBy`Sq z|J(eFe#DL?d;@zjf#~~zYVB}I!4(3n9aDvCawVeo0jl7@By#E{GQRuyjnjxJfdu<7 z&8Cp_Q!yG|G&~(oFwxsoL;M%u56nM?=X?d&noR=g5<|5Zl~ft)dT#AU=oEXJsW1<& z0l61^AtN&>fj}b_0I{6iM{s4qwdHEK2Q?abf0w2Gk3qb{iCrc@5 zM*Is-UwL{2jz64k5WnD{H=Cb)eh$T&UikIEgxrmgMpJY5QjjA654`0016zsq3<%Yj zy}Si9)2HX~=di$~(gEiH3{`!V82{j2+^P*c4<^VD2}vBdCDwr~^A301Tdx@FABH zge>S%FPIxqp14eh=mq9Vfuy&0q3#1xAwXcj&#>);LLBwxLUX2GFt`aqCJu4dCv(Bg z+<=9O#+0AXsR4Ij8h#l}iC|pQQw2{9!qSX!PN7N)d_|;!r`ZBA@Cz8EkfobTV3*=< zh_D9CoZpYc3~Imuom7S9Z(M-u4G15EA%VXF$5oXJw}!=2fv(XR>KO7bvT(%bivd1B z8U$|4oUORmZUd#z4TlDLC;D9nKFwwYa!G&^!Fq!R!xSdc``84;%RoC{CPSs?h%q~9 z@(r}|Acx{Hu-iFcg~Q)y9c?{__Z)IOq#2D5?d;%|0$>dS^DIzla0xJn|59UVa)^r_ z$f`d|cm@H}`1p2}n0e6az1&+IV=qgQ+X;sG#A%=*H(gGl1xY%C$5F!bk+L+}DMG7#wj zA^?jU@qssa(HMl1P}U1BB5Y|U%nC5f_2m(kgnSB<1rxu&!JTNUmK4N0IQKZ}0UvyX zyVcrxRA4{lZN@?dKuav1N`^rl`O}j_C3sj8!4m--nHh)|j8zEkgmQ0Gl3s{-kb=ZO z3Pu420MH zYz)p(1afbL`@k`t2Kg{`_yfJUv8fXf9W3euH!j>Qc-qVH?v0gg%?a{201g(!ffxgd z0YGc}IwhfA@RNJZHQ)hths40p3dar@q6;v#b_|4FxIp@lD+TU>4A%ih2(r@#ckvLH zExeyP@g1-e2lpF@2i&@*{3M{x0&q|%DsUK%L}Ncc&pwHa4iUJN0NGe7NogCPCm_~3 zpCO-V11>-0llW1)s88i-`1nK_`BO9!X6ZDJW{?<8G`tAcIlk+qaaJ#fPQVRK@C$q! zXjBeR6PUo*SQ4O!8y8MOoD!aE1q`8zDcC13@DFiOp$FtG5JcQmIh&C^1O5ef@N9awkoUU$Q1c8!MI@sEf3hH;KpP7|ff~*kOMx2%KusI~g6M0B*cKRwgb)`>c2TwoP8V<) zxfEcFoDbZXX-E%^K_W2+kgrCQWMF(kL5~OV0glvJ12!RhXaE#xg0++jh!T3`dt>PQ zp@#%`e6mF$V!bQtz81E_&3&EGL?N zUBaX73LG|II!0&)6%IHs*MvYAh~VcQ;5%yW@v-2Nzs+(Pg7KSr)NKv@*@n9;Tv(Wt zgrf-EXD~({>&B^00;Ups0EtD^)hy)_VexA8S6L1Pho{r{7{t9$zYu({fW<_L+sj<~ z+eQY7Z2vL7*fqdTGlA~~VaJ)ke}f%o(&J6zOaN2FPG_f?kP^L-IFlXyBXK4$F+i#c z#VTm13Ss$guv1mwzd`8(wy@3$Rl$s=3G7sr$s?&M%OC1L2kr13v_k?Gqxv}kj5R0* zDGTug#a>A0muAETFbp09H1N^<1cr12FE^vFked+~&&?>q<8CYjwGJM?;ZXvQrErqy z+KDDw5)Jjw;DBoGAI37!-~c{>vcm&U4t64dp^d`j3z~`Nu~vxJb;n~A9+y!vqkuMA z^t~-cS37-gcTqUubwu!bny6b*pQLZh0R^VKG4ipY8IQ;Dcndq&;n`{631b0P8nMC` z85h8~iK5m9&0j$$cv}|rc(ebp-mnfpfQ1!$0MeiaMuQp*4Qk|@pawmIcEg*k=s~s# z>RDHnP=nk-ja(DdfOb#=*f|uy+&hF4s18bl7bwB#pp;6)nlLXS&Ay>tMhw_y%9qX$P?JQhufoq&BCh@;RdLDAXF>uCFdY5KmIiATn4fDi#6K;eMt zNSzB@!vu?Oqu>XB6ONN&F*YU~z?u9_fO4P%AQL(OX849wYV``VRGWkon7)i;YEqq)_{w>VVAb*Ak z`=Paosld3(ah_Vz@R5DKMk}U6hBlH#7Yiv0?4o zb`lyq@)x6U?JvKG5uXI)jy%TyK3Mh^|1)^xKZpYPHS}M>BVZI*?iMp??g&wsHyS)L z$|xB9CwGL5FbaR+ACw93LGZ{S|3G%`2!SiYNknb{|M*An$baw;a=!rl$A1Nn{DpsX zeJ1}59{C&o@mHn`RCa{AjKqep@DEBEjAptJV>bW6b`G(3_*yAm+MX$?;TDAqi9 zQtCK@l8a?9Li3l zrJa!pL;r~7ibDI?E+}`|Km;sLP(V@V<>P1fD5% z6^v=@br2%#0(=MxJTK@Fb`RJPHUYtC8zCz|hKw)~07Ga6CIei^NFT6}k^M79_D6!w z$Tkeyk^N<6&Kl`6G+H}SVGNFJ#h7~-#Z1qj5hfU8Y$yWp9wWd6Qi0gO1cnQHo2|VA zy9Yyo-2)(HWN#2D>}{YH*xNv)uzNtHunP=*)+R16DJiKGivW}Iypj?VU{ct`1eg@5 zX>^nJ%2m30l$ZdO;_g9vD6J4pcLtEc-kI_!ZFCbKqJf0y&h&y@KznHHj};1rKWkSG zpeQ)KjB*N3a8fH9kQLn^D~7NS2d)viQ9Cu#F^Xxr34nwx3&8*igZe0|rg+gOo{x+Y z`UhZ9=pXR0(F*2ld7MkYD70@A?PGfE#2@;8VtiyArTc?M!9iz~ASOkrUW36p9HJ?^$v|2zbby_W?l?JUeX|;$}i)polR!eE6MXP1?2mY6|Md01%>+UVu>DxJT zcH}3^q;nkW*5@gmfBkI!(a0`~57Q*b(Wei7ZNA6((;(r}FUzVKvraJtJ=!)Tr4Je} zJ2hyTnLz3^by8-e%gH%hOXxLuxOh=mcipUT9`B$J=DXh5cROt-B?h*cb1uj%+TYMH z|01WiXA0j~qr1y)sMpAuc*&0%nIYO0<`mch}& zb=9^f$Vcy@vF9}3%rtFN+r>CLdr#V&ebFKp_!O7jXczVSeK?;yUU}@QY}y1(d*nr& z7KrYdmMpxa(5!!*@1Uv6(8)PRNXFvpWee|`#3#=5IUyFK5O{2itLHnd>zu#VM@N6# z``eX-)y`dfXV&%cVxb+MHPd8|M}0EXxh9_^Bx3QXfM;)~9?=eE49+{uwcX|d->=2- zg8Q7W@$@Pm?%(Q?I&sRfvpoCA-fSMmRR8bWwuQYZXLvW8t=U#k@zuI~#Ui`P9;t-c zH^{R#v&d4V(|t_w9d7O=Q$o`oOp>0y`-!T#U;FQ$7G;WLg+ap8H;NHGe7rldDovj2 zd7ltiz4?{NCwaYRV@R}!KPfJf*=qGv{dWHk>r0WJjVqN@vZqY-|GG7|Kw`6D3a1x& zQKfk@O7Mhw!RO7T%?3qtwr{;5qHwU?`PPlG+dRuvzcYM$|ANfH&w*Cc|-l8KN?vHYZw{1=s z>sOZf%f=>;Ob>7UzB(?dWzD@Q94k%-7S6t`pkj0X9;e&aReFI^pJTVW8+cOV8ezz*~{_^Lp zpCqD0WJx?p;^6igo|P*msE^?}3 z@E`dgjtzMqMfxY+NYM&yfhaCIoYsP&3Vp_QLWWrcI%_PCoRj)Ln6L{P^wDm7{ zkS?V#ZbLQSnwuEeVw)jOYTO579Oy6k}Q?lf|^K+>=J*}%$KE3^0B*ELglSi9C8HweQWBfsO8V_j$~m&0;Se z`?M(Ldg7o6uUTZ%Z{|7@;*ra+kJ|hAN5|smKeKo(ZoY_hiN^VXUbGJU%-?8`)H5b5;+jtX8wH1hC~SgjdTegkJy2piKdr+HjKA3wl7r++Z{lj<`n$U z4%;94uIvHFmYkTAm5*LYyB6l(W^^}BG!FH4B8mI$Gy9fns-1}OyVt8K`$tQDjPkC$ ztTQ!lvWLxbiqDhx^~HR~M~>TEO7J-QM3CooZ|mvIcQ8Y>2y@R7atZdp4J0V{<5UIa zOjZ76L62)vYdIfY{Y|Ni3DDSb=>V|+LF>**f7yWX)v7DsCrnM2T#RUH7uhsIoF{Y-28Qz%p0EBd?`4EDwE{{zW6Pqt&Q5r_ZgE zXwI;@nc1y+tTeW047dIbkv&_(p4_gL5AXc7JnmGH*ltpOxk_%*`qUpQr^%Tr>Yf=e z(z3XEBB{eX=jzM(it4*=5zdeA7_Z+c*{#IXb{?E2E zC%>vGdX|bv_RP{0+>%KKXHDOC|2n7D)89>VoYw3wQ?gxm?0#~SY}CslAycEYKV;91 z(;tqcKn(EaV&e$ zpsKd`*jI-=Ns=c1{kuB{#vi|t$+UU%XZpzpuXRc0EF-i0w$8P8=dQPY%G)FtSjXty za`f2O_4#e@=Wbebn7mQjtQ-5PP-y!HaY5IsaX;){3XO5jEzrtR^xXY&@&rqAU+ai> zYJI_=R@mM6;-2Sx>u>cx{-rs7@u3d_om1CMH6W3L`U-Y&`{G{8?_3l3GP7=qS)$*` z2WOMs&-5~LNPbxk^*(rb9Zb??3G*Ndaa^e|Rv*;i~Re>P-)@CK1f7N4{{ z`dYqR;ER%{(-hPWJ&~7`4>*&?Z=LtN%h@-Aw6DEiSir+**No`>R;yyZvwCU5&7^NU zKbA*+;r^xLww`1Nc!kh_GZ36h82za_Jh6_L@Vsj%}B;r6f6&T6$oDI|Ly@ zO(~!dLZHHb=OKGR%cBwzR0mn88CN3+bY|oiz((GBr3`mF1UQ6gh zfr16q3DO=vR4K&8Qyyc)wu8=l4j?en$7^P>eLE1hei*tj(m>!%f_dCr?G@xI{V4Ed zxED*U*2i?h(*TksW&=aNfX_IW5Y8z04k)qsDA2j4{I;$j(Ac5AW5WGH3GfgXSaNb3 zG!aPJBKFx$=xTsi0K0HK}^i2 zXatgGAn_nV0~AU$I2WlQOsEzQb7XBWhv~^%tWZb~3D) z%o0NcAPNE{6a+E99X=)4m+DrKp;;dGkex<&?!Q=s}z`Di^ zN*JVHkTTTiftuOCHeiqvRW@(}p!o|_H}3}*K?1>_jDh-h+6!(7KXFds)sKnOFX8mD zSpzT;DM2;}cpJ=}hK2}7lJ_h}5-E(7ONZF0J&$0xPsPj1tW#bln0^0&&dbKQM6Lx~ zBUFjlAnrME3z&h!cp1S-a|JOkoBA+J=YUO1_znWO`_~5w$55rTl4hjf8Uw8WGGjjA zod+ZdvM#h?98ZiLD*GXu2gW}*YRGn20hR)nD5Ph+I|%GRJ?78|q%-j4AQ)$t!(7%7 zmJ2@^2aII+2hm&>DD2<~pgnWr%ta84Lb1Vj6t~g=(g5ZREY;K@I>udb-VxE17mJ(P z420Q0Nnfjk;Fgm6@L7HdY)VhcV9afMisfIy*Dio zC%>rpYzrl^?eS&E^mKYUju`bTfW{7)ejFgF@+;vm6B7Cca=`jA!OalH00fi*hRZ4_ z>}9FYiD&bFdIYr`5iI2M(~L;S!xQ_VFVl(agFpd5D-hg%;{2?&XW;WcOu-q2H_Wr^ z^gcAPujUpEUlvdOiBFFlDKd+zwG5dpklwqVQT=!U3``J7gET5;6Pz5Jx%B|SRb&LH zkT-c$cc*Yga)^@--V8)!>VyO*6UehLP+>Mv?;;~$4CnW4UQA6X;{2?ZQAIoz$xo1c z@fKhmfJ=tt;Y7mB;Um;qi0e?pk(6Kv2&gjiI3lP-2gutz+*sAcaj`>TU4l@j5*A^D z$@karC8I1#zSpo^0HzGrVdnl;Ef|f!WC@>`r?oT%m%J?D`&5>C7=A(2h$7P6NJ$vr zLewsry#zuAqY-vYtvH;}(qTk#12zGRVAN0;2M};JII*-NZjJ(kLV(MV&H%F)sQ8o) zfJ29haDUZ}s67@iRU)+*ZgAq@@1Sb-dS%gG+<9OEAT>-)0Bo1bOo9zi%3-*x01e7G z0XG0qSg6Dvu(bU`(g9Yn991shD?pNgdl$|Ez0LqPnt@IvAK^e?r;V?l3b-g3A{C2z zM1fgiG~&v(*O5cSNCn0sEQb0K`vDek>JT`q{YWaKH&K$mzJ1^0cs6k2x?;BI%KM--5W8fK$_$j4S0L zJyVpR46)ad_j6xq)Sx#Nq|OgCvGHAPTDsUzri`+Yn;8 zCz(=K4M|$i{sn;IQO_9hK?1r4gPz)X!Se@<0eDYDv8mx#MqacJTwe(M9{|F@qy!#3 z)c-+jkeYAU;@1Yt0b)IFF?~Q|_H0&{`1O`zCCjGMuZ^Sb)aeA)V6gWB5(DoTT)A^G z(sRyuZ^9)|2M;KFomu!M$PkDCUjs<<4*iHzos@_x=&fF4q3xv{Mgs;R#^(4QYBL6B z9j@k5k{ad$-VTF~{#KA2sw=|f1k9%EdDcmIXAuxdMfehc>CRW`TLjOF-?+^N;*0|p z!I#3u`9z!|rU8hW11w3eEESPn&|&x$fzwo+9BfBmj)G5dm<>E-o!q%z6=p1X=Mi~= z(^L?DNPyxqEe|h;IIYHYY~MoQIfL({y%r#ZK0LVSXT6j#8j4|Sh1)dn%cJfD7t)s( zaM@U^DAZk<5wU#@Og5fhJL(1~r1L4R3cKF~rY~!86MO}i7HWd^9={T6STQAZvj3O} zVRCjVo$vo2PU0V!1T|?O|AUkGFHC~Kr@^wx`7`xtppFFRs8a(gEd^c;)R$n28(aa{ zxCVRy>| zrY}eHLf}PFwTWd3f>)!NA#foWmm<%y1i{NuOA!18+YlsfCfg9C%y7gHWcQB|Kah#! zFGG+DmLbT99|&Ih?`|M?BE-CmT7lr@S?(S5KdP z1^ArEc!6UEl>7m#K*`4n&6vRo%tCMkfVZHAR|skZ%bA0S=THFDQ*)qlEPW zN|{vu8cv+BH#RlQ@4o29*|Mpjz9FcoZ%7R_i$G0nLuxo+8-g0-i+@cc2i_B~7p!9> zplx^WK}VFt$fm|A=8PpabT@{OO$`_PUG6OpFlRKO29R=06m@QqIn%Z+z?^w_JiH>* z?gW_It8miuX0O75a2}8jYhEU)Fb5B!nwFfT!gx}m!q|%!nS2R_0XlZ!QL33&h0#-@ z0xORsm1gEm{Kfqhcoll3?6y}y7zkGAMWSP`!mw|gY|aq1SD|mKjs0-PUZt7yCSDR( z8SDLWgpNHPbknAWQPIf6Q!->&&&>FaCzedWTUq%So!v<)I5N``=nfrr*PA(1;BCR+ zr_G1>8i!C0xYIacxlt&M5mG$t62bweAD0kZ2~CTJTZA_Fn>0>OV_Z*2V^k-k*}rJxkhd`* zqlOwE{*!Lf^?4MiAj5x{oLoH6{sl&C8pNqO{TEQ7FqbF-2T+4N|4*V~G~9$(*+4V@ z2T|d>|L@@@+ogyJ0|FbmxQ zFX9Jwg_d}s)U>PVbcYdd655Lwq$xJEcLeOAy?9|7Q$tI%lG%&C|sFtddJih8kN^sswQMx92%ZOn1| z&Rup7s0((1>nVh_GcF%?&t{7)D6lhV8g>t83s%8ZS@o*=4MjWXARoq9U^%YH(m|nT zU`W^LVD+X2by~SZcco4%rL>3otbC!n3JHtQb$YEXp#{A#9qFzBX^w=`9(vW?q`TI< zeTNgy7-0Evj28mOF_{g6sB55Jff|s=Rx8vl?Ea82)F)XrirehY*EOgc*p?f^4AlIn z@rN^Jp;lt|uUU(FGpk17$L@@|h&q*BmzJTfM2$b}{7k()fP08D7|SXBp-t(J6|`DO zt5vkpp_MMJR?|w4R{FFupp_x5*3im`R%>ZxOsoHuS%+3i3Xl8#=NmeAhE(Zq$z4>w z%HXoXY}dC2uNiu!uLbN$(^khEjnx+13=| z!Q_#sUa@J?0Hx4L3T@A9vHwKIWf+%`AQ zywF;7{MVN3lleq$C#lhhIhrHNG0UsLK*y`5`pfd{iDOld9=*Nb0$0Z$V~bPd`_`g@ zS}jAzw>GU@Q$o+>iUoftNbUbExZ}nAr7N!8zDvaR72U}dQBer4TCjB0@z}H9wv@f7 z)-c{yBCYIemp(6b3CU-axCH6_^`KA z-`67Hk4M_+YTnlqcDxoITz=+wvwY0^2fvrAle0e@ZYH*9DebG*4&VE7wvg!^^((i; zPu~>r7uXy6c9}j&mx&VC@*t847t?sR*s=|<~&pSZUq_kL+q zTsYI~$s&`@xpn02vKyK^_LSA-2|7OgQm$aA5njJyxApywy7?Exm(TXRLZaTz@j1Qk zLDS2LP6dtk_?9cW-xOap)il^Hws*_<$c|-1$~1TCh6R2vZ&!&qrk(m^xabtOU-KJ@ zh)dj|lcF#6?I3$A3?DAta+&+5W}Lvj;0hVBWwD}%_h(B@SaE?f;83wLQFnMW@5G_A z^|b=K-s)&t#T_~S)B1J>R8a2KXFoownn|P=U*NQI^(?u2YiP-lL!k{FJ$e@zL*Eu{ zu&R)YymEgIS-0hhW_*7BwAH31vyY`;71dRb`sQ}_@Qc3M8Qb%!pGlKdis7v*Hmtbt zJYU7XL3FBTyx+YEf$82ckFSi|-=@9dBzclEX!~4F@#NQmjaQ`|H^y{#FAi*w6*oDx zGwIvv5Qj75W9hKNUB`hPY-&Uwx&VI$d!SdG(E)o^m4nmv7>I&0NLR7Dct+o!+fk@AB+p z^OEF=(^bf!W7mcKx)?vT^i~8{D=pVJo)+1qcfIu1SmnjZe8~SJ+X~+9VxD~Wb^D{z z1=*99>)s#!v!liLaiwa&j;guQ6`5p6P2RR}o`)IKz!tUCq5hTOJJW~s16`7NPgA#eA;EtM!?sZBc%g^n-_S+)PpX742b8krQt73R~T;%d< zd@s8HP~pN7ZJmJkH9WyRo*pFPW8buhq3vF$26z>YkKN@J*7b{H>k}ippgwN??M33_ ziKNSR^XT?PT516yF2~cGpI&YK`dr$z@_hjZf1`AG3peS~*|{l0%+1ffsI64gx%_LF zp||_nB{^LmR4nuoKkIprjj>t559NZ35{8T%-x|FZpWywr!?wxo@mqz^fQF6l)rj(u z?mrdV;nUf-rl3;2+%)6Hp#JjE&kiq1gS7v?2dYVm3l4XGpM8XX*Ul`( z1vYt-!F~nOll0=5p5##JD*Ghi!$VB@@VFx7D{}INMb*RGyH+i6C@v^cy1-55*;W0V zcS7t+&G$_QydQBp`iHji2=Du17khKl^W083i8w?bfDjP&Qfo zH0PoFx271ZP2zGQp^mpd=CsZUPTqg3v7l|7fz4bSA6@qw1LJF%lHD`RGe~XH%Ym1} z@=k&4eXfQ+oaA!xVBEV)txGS|?&mie<_XUa z>NS;-wqLp;;t3IK`K2W}SwErs#oL*DlP$728@?@CxGJD*xH`W%=X?bxA>Gx&16{If z&xN`q9y|GN@^%;J#0aaPhr9zFvf_-DUgUOq^!v(dZIjJ(RvbCM?{fDN6Qk&yxaK)W z_gdb}J61KBtkDzNa>(`G1eas>$+rUT4`^>zvGSwojE-kG( z^COQRztKLKF=plJWxLb+TPvqYi#d=SX4n0OSGV7e+ppow3KWXbO z!#!u6W2&W=jaS+zYjN?Xbl-wKX6MKchqS{Pb@^XRZVy*-tz59TLiL2_rO(y9dY8M4 zd*=Kh#3YULtBj9Np=|iOyqZaaG6A2Ks&2|We)=)Lp^(@%6(!)zA1vhTh z&zv&JB!M}W*GPBq{%UoFl_|%9NJhK*tltYI$F4rn-c~2d*==~b>vQue3yYkI+k=j_ z2u&fo-mZ4^tmEM{xgxqi!r9NSYFxN|MQ? z;-2PVeR#8h_w`=|X%$%{u3a_4C$PG@h}S4SeBZ6Sn_X(B_L@XR_~m@loi)YMmDr?q zELEN1yu%{9f4$R&=zB5ki-MzEV&Zniy%3x-JXw%DW9Xd-*${p`iO;*?_d8ypkJ6S& zS^hU0Z*|M;J7aP3J~?pnyT(c`qRy#cap`${&Db{+O|w5{H;R2$3~rX=(b`Ye?z%Zf zk7KT-s#U76)pEzUy%9w}=l&E}tDvyqWJP3D1vwI$@@9Nh+q5AWr(3;uiih5OS(P~W zYS3(GM_)kRZs8OhxrQg_IMs{TA5bx-iU0mx*=q3 z=Uw&s(UctxUwgE5mmYtwW31vCus4UXa+Rh(xfoU>8ISZv8;HT%Sn_bI^QOn)q`{5d)Jo=aHyGzYWS3XDgG(nD`lJC^+=F78=n0*|KPUh z_BS#P`I3_?GA{Et74m$Nc6ZDCwfXF^M?`kg+LU4a$wp@*6T1!1>`U%zl5{B&=@+)t zv`i8U34Kmh>UqALAK`hd?$w}PD(BY;t~Q6c4|9tdJ*fWS&|+@mOqrx)sh= z+03=>TS@t^&+4(FtCWu3yd|}(r1qh}D$USW%XZjhlwa-BKIo}H^ljCwPrV!XAgU$3 z>12mQuf)o!hEcr|ja-`C3Ofq8-Vh$GNg6H}%g*Jzy^#BJ&HnZK3es!TPrtd|rtoXx zs(?oq$jx_2+3&d2IVHAgI%W(knl#T-d-0Z2<)_n{@8&#i8b~3bmz)=y`doKjvQc7i zidLYF@&5ITJ+5^Wr!^KP*G@5ANM!6CY~qJBr*p4NyE4jSjN%xi;K9G~7^64_`B>l3 z*woz8+SdN5W0c1j#WBdgb18qrQpmJXTa8gJWfV(+b=#<|#weFEilq=M>rs1=Q7&Z^ zOCf%TM(ssLxs*{Xh3`__U-lw@$49_|tf7X0ph6UAGnY2=Xro9QCE6&{Muj%2w3$yE zwR%0qzs9Wm+49G0_2?`7eII)!M)s-n($M7hyX^I!PAD&bBG1w z5r`3q8OIZPpz!ZNWP5*A4gnc~sm|~2#$aZzG!xU9G4~eSNLgB#3)Jz?&j^($)x@Z# z4V_ntPS*9uL{r}O@>DPo&|Jw&OiPE1|KlC?V8?9I#-c>1HL?(}XnKUQFzKseNibrA zm=o@^6+%%hoyV&MdFuWpf7|8Lprt~|a)4^nRFoL#0J)Z;8?bMOT75Ob!)Pv{lf^Ob zR9U$D8MSf8yx!;6kMA^|C-}J>lTGzyg~qf!grtlYZGVVJ6}?%!hj@wXm^SbLPPzaX zis{qM}Jh?am#iXG^N zrPz>n4)O7L#Jc4&WM&jVXxApwp!>xIIzU+NdU6dD&k@W~or@G14NSki17V*mhh|<3 zLli>=9DIBP6FAUgp7nvPRGfo%Il;VRU>uMmb)g``ngQkjfEn2U8*ac)1Wx;~ z#*gw`uS%87vwPq{kCSl@s^iq3Fo`GrR?DTSE)-(7iq3;i4MTFm7!WSU+>I2WFk_Pa zc3Qx`9{&D#ynY<7!S|{B>QQH1S+1tyBob&1WeHB|0ai;1bxIGi)s|t2L!3&F z0J8$Th+K|uMO>yX#u}H&^8m=criT<`LA$vW(il7Vy#NfayfD#rg zn}(&-37`bikXO!tUH%n7Gx8umvY?j2X5u~QD@!Pap6lmN9Dv}x2NM6UcYp#mX_BcdyG!R28lPfKY^5Hx3gTqSS_Q5cUg816=3L-GyE>~1g&fN;(s zdI=T>1%Oj9(wa(>f)MH;&)@Nc29nH&u$X%q>MpndIGNaJPlv1?N2tt;Yz)l&UX4>g zWoTn1gojX_eZFvibq;|qipHdYYWM}2E&#oig~$q3p`J=yk{jm3>IFj%lH`P*mq<*& zU-VXC2NN|gXgP>wSvYB+26r9rO&VeYZ*tG<*|cyHumRXts0sH#d8C83^T`!*c$YEUI7a@iq zPcj;x93~xi&*31#DdHZzvZaPTj1zdvg$IIyNFoyNufB=5xL_jKu@iB; z1pYP{rPaAn;BUksHbdLhoD4?`+Ar4kDu8NC zBG~gBLmdO;b4A)=XK)zp50gS1U|G`+n-K&q5ZqTWDs|}nHTAFyuD4H*U{pYdSXpwg z{qpJKIGan5w1I?}W(;^cYe8#X!qrlm7-YXpn%PtgAOkKV4UpDa}2q|7077V4hVJ?^Ez*B%dX)L;gKbj1rE!x`@*Wk+=Cp?VjjYC9L zxT_(7|Iw3cM_lyf@a2&jV!sL+HO8miN?gsbP62>2i@Wtv8WK@tx#_nH;FB>3c7Owh z0wm_^pg{<%2`X=#M6?L(QF5Y?Qv#6j))^?`!r!WLPVHDnl*R*eJHCDGJdA|Q<2d$s z$&5%>19`p zj}RwiFb5n=CZHXp(Y(kyMu1yD=%$1arCo4{tZ__QakyReX9LMeC*klyV1Y3h_y|VH zJLhY$SPC`@)LBCYnM*L;aacKvmm(al`iR9r#EmD2x?g|+DV4+BD#%K8nKKTTERKMZ z@V6u`^8MA#)3{D1^@HjHOE{q$!aFFhv7L`n!vH{yk!l0>WxGO#s~JcE?!a8lg>imc z5Qz5S&Uqi0OgTmo5FNih+>JS~1wIV*_;R(RLjV1Xen1z7>+wi%n`1N;BBTn$Qwu;J zaG0DJx51QvqK^~tw#Rfl!rd1z5qjXS%W_2t^eEz;HZ62|z~N`B?NAA<1(X=*D~f91CV2Y-3vrFmly48 z4lbp!Fg_6c$QaUz53vnU+E?6rD6d3e8>Bf+8OBNT2d9hBuZn9lQ4E*H9sC4j!8R!{ z%whS$0Jb4&_`1%8s|mX@-j9yQ@H*;%b6}N?{@_kYGdv4%K@T@~dhK z;)9)O6xQ=>avHv5FnK9Na+3kBD*%pu*7$Pme8I|rk@!Vw3uvCl|IAT=MF-7MX?N=( z-mrmyE(?ziR*s4m)vDiYZ3M}{VkaU9EEpieEBzIHQp;jbKsC_t6IPrH+oR*jx)G0# zy(8?&r5UM+LAkdaaV{h5$*#ZH6RCf)C#3B^>q;7ZffgAhXc16ysFEph5zVqvG)`S;B1O<3PNR}xgCz{h_4@IZ z2ui7B=wsO#R|%gF>{752O{*)qPGZT!Qjbl%;V5okc^6mdpU5Oh{)zS4m5lkQt1ZwB z1;i&Z(y+Y1GSI-2h+W$rR2C-ID^&(~G2OosK?~9aE%1RrU1r+6KwS>t1L(j&K}Vt-n)0=1 zdc4ty$D+|HLF3q&s9VpdMB;`n5;xF<3gE-4;vkuSJXFbnWEh-+)VWz`L6aP+WcXrp zLR=-s<25hQ*X=oo79l`r!P}q(ZDU=@2)CEu0P15ef#ceso@n360b&R(-hEIfB2dtT zjSK38{Hmy1_`00e|~&h`0t58!|Bs(>o&J8iykV5FhNII}I`LJE=SxsYSb zMGw%}wuKzyfUk|?F%Aq>v=ov$Mss}70@Ff^5sGKU__W6F4$7Sr>KsCaBXl&OgprNq zEfxlzpQ1M3xG5H8R*M665C<$dt}>tmlud6vsbnlhhaHw9ShBFZ!NG=2OC@vGRWxf} zqVXI&RLKFi9P7#kiA08zf1b70ZfN!DB7r`5abF&Vl7FH5?$eTxz)J zjpsvRIfJW7u$=KHvAmJ%2Vrnf?ty>oI7sj!qLW6rhf(Z-{4@3BFYbYjJ#2wWPi!HZ zQzwXja1ZR%lcUrbf=Xk_X>w?Qb2{Nu{jaWtGLv1F-f0=YtzI-)eUr|q823SEK zKuSn&|97AULo7dwe?dxQ$cVwke<3A$`i3mS3rsKC1ex6O??}mi0%ahZ2N5b|kD7E` z7_r2-3?-fIe?oF$oNzCnI*PD7GX4uG5o&Gk7%|NlK}x_IfG45kh01(2`T$CVYUD65 zp=-cDsC15SkJr_-8zD5b8-^ovZMD7uUE8_alRf}(p`GAa=o;7+`j;$Fh2j->dK&dY z@rn@;h4zm4q|jbAX>tR-cu&aJpqocBZ|G*cB=tB!?-;!78Y60ILU+N7BizG>?!snl z5@|0Rs(DO%@kXfQ3wrUqpg`C>2HafO*afUxSUp@Urxx}`1oNPvWCeU!*j*q|*!v@5 zXJnh%W^?v7Kq%~OKu}mcTu-R+40|I0l#%_Vrb&+w8Ng3Qm>-N48=_j`U&tg-|FXxXY2nX24tp z>wGK+7uE(23>$V2#t6H>#m3$QQx$d(E+ke@=n_ZPv)~$GZvcA2+Q0#%iroY7gpLGI zCv;o@IiYLdO|VA)n)&v9;5TMV8%_&w6S@ts3EdOsEp!c+D}BI~Njm|V&^26gSPP?s zhWKQ#6EoPf#?obh-{AMLf#vVvU+EHKWxgT5YD4Ijt;cwS`t&X=O<(D_U98%7$Vg z|0|4R>!xdbYnLbm40#=#|;qQPRVF@>{`Xhn5vNWD;2}F_(Xd!-5?teO!0H4BYQsus`{530EDL=79@t z5u`YwZmv(x!L#qv>xlD7rz6krbSz6~ENPqa;ivYocWKYaqz)dv3-tw01z!JJlk~N` zbJo~-E?Hmh)QAnsINlfO8B2`i7kXrUy^-^!u%J(N+X5wdk zgi&?xyW;MRI?l`Z<+Pn=e3BCWy*j-5PI7Hem&(o?k**}OEa9!Fq3U3$!{^k-T?wx$x09X0N;=lwA^(rKH;=37>)-!R zY1BLqVpYggXrN?BCCSi0#%La-BvL}rtjJU$Lud|Vs8A#+G>IY&hEy6w(u~sYTIck> zyWjWy{(T;g@Avb^_m92KI%}WP*=O&y_dZlTX6fy|_VCcv8{U^* za!>A>Z!yg4H>V~!68I|4+E}TQ6s(LNYo?9-}H(vfZyDI(GtM4-8@zqC%d>)LfQyjnW(~Uci ztFCwjXvr+xlj!Yw%F~H`b?tLk$ZneDmwo>Z=Yss zH{EKtj$?RkesFqWQ0mvYWYO=LdXl?Z2eUT4gpJvG6a7O8z9Xgj3LHlA&J*St7sakX6Vlk)=c@;$Z5Z)o1pe zc@Y))QGU(Dd52c44*x*rNk9-XIGQii*=wMw8_}^`bJa<&-6{1V)ukhq>CID#i?MEq ztJ#4^eJ}2Je!BCD(`cf=f?51(J0ILhZ0&g~u!8vbS_IimG4gzP)9+E*fomPJ#2ch0 zHp_0ga>eDwy{HOKGE2Jpds{-uAKjHxEu8gsMisukTwHPNX0^EN2VuqP4nCr#J1}Fl z@RHrdKR@v-_&I6A$&_6Ycm2;-icTtM6L|HNkWKsMZ}QRJaJ;Pj?1`*BhjV^T=^C2c z)-X-5hhtj#yXc(-zieY9{>}oIjpZ+4_owsh83E*e?r&`B%?W-$x3CzkL+> z+NNZAJ@Qb_jpWbbChD^f*jPt3&m$5W%+_Be117o)g2OzoRVhpsH8I|JyU5m-CyG#T{hTGd@dYcvcY_u z=uBPh&;4w20Z^_|GsI7!T0(8D+1I%I{-DZ9PL^XuYoXE}K! zSO2{Ay!pxna;vQIeEdDvE!+3)7w_l1bRg(znvt%x$grTc`Jc}Ml?9|uShM#FSH(LK z)8Ng!u7`O>=l^+L*!}wXj~SkG#TRpR6Pd*a%$7T-49(t?5@2`2%RQreo=`98dq1LN zrz*Hoi<``|yXe1Vzr$?fBeyT@4A?cz=s@g2y^YJpX;?-18Tjl`A)DM|{Fhr8b`Jbv zd*^>{m+brvM-MJGHRGDMAu>eU!a9}H{SRKaaz>HCn{q0#^u=NGExjN5<(Kz;8Au^l3fjkC^6-)R`gwr; z%nonfvZv2Kobw1;5Yzb5;}J!(sF zIk1Fq$?3bR{^2e&9OX?o%wWX36_?PN44DMTvSl^nK7E}YxNKXa2ApPG7~oUxCe!TJ?%JGoTmej92f zQHjsnKOT=byq#HX=-mq{vQ`vg<#*3rL&w7Pl)C`l-KJCNFo7Qd;oTO%TL`h^3 z2ZzGC-NHiZEycaEQy-l^Pt?Q}W-Y9UN!FJZQ_yaE^7iQd(-Z*XtW^xFJ+QfQR$r;rcw*smwUw2e~Z8M`0)9> zpWKfNnl7X?&EBRok8EG=aYxQY&+?Sf=Hq4Kn;3dLQ#Z%d#3t5+9=rDHcSbhpee#Nb zZM^Tv+JYKstCS#w-E`}m{r>jb!ts4G>`reqB7Tk={c_prKPk^t_sAUR{JnI^ii>T_ z6vbD~7GGiyTU`$UM}2Z7_nz2CvNNLUrtWlF z9T2=Kq;!JxrwP-)OfWeWX5_wmwu$>OS5cxM{j9Kh_@#@}x=s!$o!Mn|?}9@UUKLn6 z?3SOd<76jJCd?b*oUz%etLEvt4URs$h505Fmh`J__%n{Y{XS9GZ9XyaHnIr1+nkuz zaV7R)bx-o?wDn8uFT6Q@D%bDu&E{F1=8J#~fqOwn1t+sA48nXmU$_^Gto+srg@ z`^J+cyp`n6hq&;pPWeCmD$?V1_GKlWueo{hZu9icyuZWRLq zVU=}}C{7N#;1pHzt1lt%{$}U%Ma6r9LJT$?xOIJrn#!9ewZHjEe%UJ@*Rzibt?k0~ zS9xbQp1D}C@A%DDv8QGIx1O}lT0#21iNAWsy~I;%p_iH2$y#MT^?+4|JI+2l;Bmy* zz^6cheB&_EUKa6Arln(=f|6tU*IQ3HhIlMeC55I2_@Aqse1Lcy>*)J!dQE_{M^s|G zYl-|UDZ9P9a+D(C;yFcsE;`*#x{Jq88ku+aP1*Gy164CUUwtSMo+NH}O-OohfyqRR z>w|>r%N$dUh;LiGH%2}@^J!6fW2K}P|N2-F72%b8O=7-a!nmG)c;)lFi*L*L7fjt0 zRVPt4peAk-`H}5a+eq#wxlQEAioFldCrSb2-)zA%fpA7r(?hVRW2m6QE1TLndje3~6{ z)j6&xqM$>^TzUQRoj2HseR^z*nv~TOwf#FD2#nKDA-gt2)|K%Xoq79mqPOLBF0!-q zF<)?afuLtWKEM06bHDa}>DSJ9HTkAiR>sGTZW+3yVwK_Ex_8|(?K0Pyk8u%WSOjt2 z@lRaD7#2aoj{XxDF@{Buf96BR@DNg5GUnGY#)pjIA>^O=kTE=j@Q(kVQ&-50ng7Ix zjNu`?Ml1i~L&l&8WUkDskEa%dATu;+qeYtqw9%%`LfR~%&0^Xtp^Z*`598lpiht|= zKO{J6L2l6Gl_z-o-&lcMfn7V&KxmOKtT6hk@1KLNEyrkr)do}p!7joS2h~vZ%d9(} z1YtfF>I%&gND6U6QVQ}yfK^!PK>&mZwr2w}Ku{GM=(tju4^^=!=_iZfg^^EVRc1nR zym`uFy$0+V9wH|N3IVbjBFJ85Ji=qBSCBEkynq^Mu1T#n;-IJ_E8_)|0Z|k0e+LoO z668W7tsT`vk!^AE5y&;;zmk`!$K6(|2zI@`4Sjqrs!<{Ju7_4s3u876VPzo*iJdyPi}t>O++?OE2C4llpaE&}3vT7m z*e3wixO5P@(;vVaz7}}=^a!NFQbpv;KyQN9&ciG+H=4;$OR-@TAkq!rad>eM%DbF_ zOf2(@Y8_i?!U{6!Q9jJ~r8Et0D`YN^3)D2PhZ_e>P*9N%&EqjD!ObUM_Ja<})mnHRIV+}6 zp(--Yppsr@uo}iHTcJjM08@dZfqGv;>-RO_2mrqpd@dWS&KEulzyx3fAUQ~&8u?lR zo@rqEwxGTjs=u4*9Fg8?O6V+*B|}V67l{rn(D8P_nErq;T)7RS|fw zBUWY!tOQWe?YtVB_~Hhb0#)Je*LMgF6oDx_oKqYOz#q{9byx|&836|H8c2K~Sui_6 zQG5f?7lb2FSzLa_3d5yy^vgla(C30^LCV6KkiB(_w`lYrN!%V$ap}cgf26H14IGxiVz<|-0^_} zP=+bj1VrMpFJ3XO@hRm z7n3=t0*4+3!+VJ7*dsXGz6rlToXCdx;6rjQquLs$n@t5#1E#=qXHXca&u1Fy@U)je z5M48en*5ycAnT~$4H^W#5B->_Fl(W{4Y4rvLGl80YhrJR!(N&e4i6fLaQ6@}L$g2V z3Zj|iu5Gc?16s&Ec@%hx8CLw^HhCDC>Izp`p zm`)*U=ZSY$BFkwrbqc|ym{{s6FdCk~zeImBuJBjs)tViI2R50KU znW+f@;5q3IEC6moDxf6dXpaq$`PBLAAi`2=*Zzxb;ZYGA@yCkh-m|9AN6DoY`wG z2k5Dio?O@iphGbI!5?K^@W#{x>EkCv=)D1RVCZ8W{j=B$&nSe%Bt%Z`GMytR*;SE# zn#rJ)-3)hLIfJW>2HLDvw$2mBJ`w`72SSaBpn$=eC*EhjnJk2*1gxiU{^EL`jG!8@ z3$Jbi)}(h#U~0si&M5e=Y=U1_O*Ud;5c-A3eVa6KzC4WfW^4w5gB+p-IGI@{8zc0F zWTQio03bRP)p<_h1MT(cO&PEh+(5op+&a07o?qpF2=Lfh&W=ku&ICYWn8_Vw*Ky?q zYVqO*9+8hzk^-v`9I$-$*h5x+R>aqGk?e1nQsELpH!7METG(^gm1;C1=QKgg4ZKXi z;jB^Nqa2Rw8WqapQ0@>PmL5s=tkV#~rS2jHv8dU}AuAn#SdXvzT2i7i_X_ddwgg8D z6a>6_FmM(3B<%Ocaeme`{^fbfI9Lo#1JUEUhKWy{a$g5qteS_|5%5yNmF6(QU~q1x z(10EM3V8{45ay4MyHQ^2LAO@JRR^vuI9HHWg5NES&jkp8(x1ZF%0j8A!xRNBfq0Nx zxFEug2It0ZAd5fB0Y%{VOy^6urNJeqqlCbRjQ+HMOAw$ez*vdF4%pc(g}4ALn~HoM zg48Db=D>CC^d6wK1GV@eM^6>}@bTFKny-#LyojGG;BateApVEKLE#kr!9D66O>P@u ze0<7JKz<-@jh{(n(1;moBeDtJHQ-fXT{eSPfRawT*Ugti^w0%gEBpLOFhepy0#Icp zqTzr!Qi~}kq(ybWOAVJ*DTjG*uEH;!fSFRJb@6Y|1^H*kkAFcI(&R74kEf%KA03pspvEJk z&;?nL%c3rwveD@)VH%J8rxVD= zQ6~^saS)~z2y8N7#R2$(X~hBfgE|}_Q)9xFDTHMPg5Vhhnlw4XkArCjGMcS%e_@^k zi)#5RUxO7qldKFK9!QII1s?@k=y#$#3kG2Yf);xf3cBP)G>h}ERjb;wi z_RmMn@B! z21J=CF*e01H7J@hDr}V+*v;7!rl%pm1hy(@yS;wxM`2q0{=8VVawAdX)=m>+W zLD!to7kIEi#QgJugZMk~2N_A9xeqe-qjMEYA(l=o67dJw7Ci|($Y$3Tc#wvXz(C^8 z{0C?O8DS)d_c;2%i_pH__#Q3LqJ(nB%qH$~#xOclnuN;X?jcl;=$)W)wj~8Y zV2XihVP8(8R_x2!-dSPi__BxC9b`+Gf$wCBWnaD$+vH@7JX?ta0Wt=g6CHn${qeFQ z47RvfS8#xck-TVkkdf^W$I0@3!3Km2pTSUuLmU^KY4FUkF^AC#hSD73s4ay<+&JuN zKRm%94US_odKrJPkw=M96dZ@?;KQ&3_Y<3_Vo9A?RvU49kK zvT=+>*dic27~wpc7{_*JUcM5eAx;V18*}26*f+elwl8?hk`T!qz0+@c*8ax>69-m!UgE5erXnV zdlKKw@p(_80K-`6N&oXa#O8ygpfLjIA&EYQs zg8a)>1c#8&FeY9C z4f|ho3CpNrlrABVwJBc0q)SSf*udZE68v8g)3Kx%9Kk=*CBiZjn1MB8bP4qIMN4$3 zSPo+nDxCuvq0ch!-KP&9@gGqb1W`ku!88QVIGCsm9Y%r&9R>nJpCP@2J_Fi9AK;8a z2lbX2P_AOs^@P$E_yB5iLPzl-6hWa~d=Papp)VM z_68&f9i`DB08i*BWoy8K(C(-`3w;4L#1Je9i@g8`!U8VfwZa+!1H$Tn0AZ~HjKUfL zh%yRg*x1>#)*T5u%36nL9@a=zO)YC=gpHlm0e`|;1^Q$Zxd3{?0xdXVXc*Bbbb<7t z(e)rtM#m(iq({dv=|_xuoeZ zPA@#r&t}{00l>nS1$LY}U(n4+V)gU#G5!Mmq$sxb4)pt2<3b`}FD6U`djaAkB#KQ- z8|#(<&|qxDGaEZR+sWcMj)b8f$>KY1r=y?48n3Eh@*N#azGH+9EBLSiA2WR>`Wmbk z8JnWN<=Q8P4Gmr1vUb#zvPD9?m{1M;2~)JR}t@eDZOvN!SJH;|iNL z-fwAExhiM8FvolvMbTY`r=DTMuXs=IzEWp+ETWNa6k7fEixzVdX@6J$Zu2sOmH*{Dw$x& zuk5UCf0Z;%?3dc0u{!W2chkU>o>oPttGkb8d+j=3!C75ARP;iEn4RA3l^z&$b3kwE zVn5TZQT3Yq0{U9Ur{2vJ61Vf%lR*ZS%JMr{y5>&y-xuD}$+a;{N?hE=c56(Nf!Xrt zn;G(Ce^u23Il&%v@3)n=IvdiOIy+(^!!jaqBY1)Ob|f7Zt{GcNue*}K8`RB-s4*@v9no|aUQ zS%JL+oh!C%$slKLWeO>)UFzAqMsx2rHQQt^_IIK(-^ud0e%{|}tR3?tb_7|+qy!Z7 z8Ca-$1bZY8Z4a@&_8^Qj&W|g-`A3b*IO3;h_hL8Wurg^K1)G$!wK}nNcYi1&$)aax zR$SX$!L=zvbEHhqyTyN8a@03_lA_m#l}V?IrwSAEhswu;isdI*-4qG(eb8Upy&(3_ zZ)Lw7egz}iyi>f_6US6O=QSQhnH54?l6?YSCfym--M`WCc5a=bZOZwS?^UEk!XZ2D z-ni~oCD-^cx7+9aAN|&uA#OI8L(wR7=W36wM8z_?IPG-CW!H=EWW|0i)SYUZG5F`h zL=BN`X#?zEwH6VXO-a91rutmF+Py60@znt$WqprVQ!BcvWMK@as(?`-u}&Iq8xuXS zP+>#-_|?lJ8!9I_=5c*d@^DPVuQ>m^Z6vE!!Sin9)4|FvMdypQ`yU)nY}7fpXx=&z zDc$3bJiTIx?}a)UQ+^>mam8&JKOR?bKhNl$=B96V>%udR1qW6xk07C^8`MuZTiwbV zG``gJ=KW;#)mMJ%&zbD(>ic^3l?zet$(wSreoFhXj&&s!Y($#UwO%i+Aj&4_E3MEBJKx`s%vxH{Ab#PhnVxxL920UbjKhKP@7E zc&(jI(9NSy#oYp3GTJNnx7=^JS4%pRKF#pIbNJEwoEl-vOI~pw3%cicUb5bIFW_3Y z?tZ-$WQ+7%i^Phk3?u{DrguN7lI)x5nlI5Kw`cQ-riDS&+M8zWFH5~i25YL7_q=_4hw=8=IGc>jXW|k<27w**1#F%5^4rdN zSd)vF{ckl)Vib55+1~JoU8IIF&vmD| zbCA{1e2E$CO7jI*#*a++L1q}r8XbPqoi`%eJ=>>)urCTS+jG5xqohf+aYV!Qm?1IV zK5_AZQqga`b2$`t6#dBSo++f`T`urd)Y|-9gGPV_@yrOj(=z*@?Ws@s4O3n2oz52H znd(wFgHOtOndR-SnVqC}k;aM(ya%_t-8~;&Ic|Pm(^OGGlS41AMSraOEVVuFA$c)@ zNSTM#y?iY{ad?K^oy$?L>)KU(U%n_&T_wNo{s3Z!JE}4|rtWmJxODf@8}VHhO4c5m zZu~OYs<_#1mQCD1KPesH&TkRa)94o!d;67l#g<76RELyTAN1FCJ?#)tzQB=~1Sa}j zDdZB$Evk#jZ<@T6O=jU&F|&wl-;k7b_9rU1Nb$D`HC^*g7KfjBdg@Z(_dN|nbWca+5uF)hT;K7^Jq92Qm z*%fCtb_s3sUUMkfH8l0(kK1L$ux?`~M{c@==ixpM>p|s>f;7w~m$lQfj}Db9%Fjcccls z^tZ&~-(<;x3ERvy4nH0K_VjD*-A+ke*{b2Xh@9@l1~XlEuL!(HzB6jY)OpRL8oP^{ z`f`4)ihpvZLi)Rk>z$gDso9>%RYX>kUwfU9K~T}SvUg2kCvG?PC6qpO+I!}0e_~ei z^^8^`tyNb)bo)-DnD);hRd#vj?B>e}Z=-@!YuU?+2JRHF6Ky*=fdxY1>YKy$m)Xu< zIMoxO6YcEA2(ki+!P1A}T~@n{st?d1CH|!51F>UXvF( zobnZ$B5)`BlLTkl<0rfKlgp*00l^1nE_1q+u+`H;UfU@t&E@=E?~654b$B&wHEc=Z z(5&Gp<|?}0FFz({J$JVazW2g6JVs~nrkKLRUgy@YAQnN%Hr76>^R1(+&K=FLv-K_% zc+)yxdgj)cx|ADVQqxK2EcbOL0lHJnj@Ord)}OyHxLTckKxh8k9q}zsZufouNah@_ zYp9fAYlV$Qctl-o`DE*uAiD_X#g6{Z(oYvZI89zk*w}B2i*z{mX69VkE$e5j^UKLT zm6EzQwa3=W$j4_5*`M3{J-4yu=6gZiCEa4*PF^eMeCvHa*2LpfV$7N?+xp3G!8r;K z?iUwfW@npjS4|UFanF+D?$Dl8X03oh{xVBGmSB5WQ-Xhkx^r2 z8e`DN7&AgLGRI6s#-NcgW`w+{{3mE+j2YqW>HW)8Wb7XW*GI{9A=1bMPACioxtKor)GgK&zxfN9)>so<_rfl~ps3Wvu({zw17)XHo=Tc0OW-JPf9X(A#Mrf@X@ zO~4~3B;FtbriAYc+$LOLJks?FLMO})0Aj%OKwTX6|B-|ap!OL#0P{cw+>Zus0G*kN zd^*&SBo2NAsHH~jIP_*ny)R)%vQ`61D6P-dp785k4xyeLR7}6hlAfO@LSj>f76l#w z#o(Rg)VthF4KiyQ3ijvnf2GpbD&_HCO5xQGjk=?Zz(r*BY<|20IK(Vym5D(PhBF}j zC&B}PJ`%7tD`$ZLz&lsZh8&v;ReO#AVgN$%hVhVp;Fp5WY%59*rp6UO0ssxn;AtsD zxWAhl04;N%npx-!gA9@ly=?J> zA!=pvmvspo^1*%pQ=!-fq3jXV-QLQKC^`@ODl=iCpan(Ys?hUbCt--` z_#7z6su{GxJIsZwp1})&97hR4=u3nkteuOLjoYwtP#5c^LK;~&SD0z_vJMFq6|}Y( z0x6XA{aduC2z}9)z|4U0iT>?niX8ZiKnEy?@W%+EMj!CU2qP}2qo(l_&alEqei5~m zq4P7?$q+6#pif}v4`ZAch}kfs#yI$JYy`EL*#qku8u>v$tVq&`oC90n4Se>mbCz=;Ts1OhEZuxM>N+L<+eQFf*ZvD{z;gP68jOBDheF=_FvaR8$d;0r3H_LSP3NKp)37*)NisOh_eQvo}DYrlzbKf&dyj0QY`Btw7#6nM}OuyNVAKz1m| zoS<4Co+&oCG__C>6i`q{34BdxO?_!9Yy$|>Hvmo(vk`?sVFAh!W#ftob+aBH?MRGN zgu1|Qd2ZB}MM4oM6Wz&dP0tblCsAjKTQogG6Ia>$(Fe9-R?~bJT!(o&a6BL^!?_2? z!Pgp5O-+bQ!5#uQaF6C*fh>5Md~`d)Zos*LGlAa-@*r4P4)U)KTj}Bz1&QE26xm=h z15Xyz@HYXE0W|=fKRp5_C}6K>2+TrCXTf>EPG1qu6G&Bpl>pK|5R-%JZWXLpHqIx~ zzdpjA$P#f(AdkX!tW*)A+U~yfz;qC+;%`N$^8@UDaDn3ghT?sufdWn*H{1qrjzqc> zSc!DM!hkWt9N;zL0wMc5&T$F_z%n7+SrR%hwX~t;5??_Jz)=9ViNug*&VGXM66|1{ zbElC;B-V!te-F%&K^!k?VDYn>+@muQhEde~VZnr<_c#K$M^FQt{qUgpRYP#w+n^~+ zS5W=?Czvx#D(TrY_ULgW%#wJyqa5gQS=lNGk!R zLMFhW{J89*r<@2{nJ11QRN5wMYtlnf|J458P9mgfof zLZsz6LW8jB&Cg&=p+gw;c`?3QwXx|rFzUhXSuMfGG ze5i5OM?7v_fQ$M=U~Q<8>8s8{;L$vMqX!tEKOv~$50IYsj{warBzVVTSaxx@0|kdP|$iMekhn zg>cNktqxI2Wt5qef$78ROx$|ZF~uo>h~FTTncSu=>sQ1%Pol5tUZg`Y!Oa@C7RkYP zITsFYTSx&bn!2Pwr+Bav2tf&I_2W#jHfs4pU2jUTSz+0PaaM*7^g32 zLdQ;D9`p=;%22~G{G_p?Z+M;@y!&QuL2}}lHBB&qu!c?UDar3`UKjn@n9)Nx) z-Hh!egz91aKvaRkGs;qIJ1s6Mh{! zEvH`{QBK$e^oYUwEH;}Sw4xOJBBP*Oyr0!lO5I%X-L_cv*ZW8Clv+$c+dR5LDbl~Z zM-ZWf-y=*Ti$I=m5yE0Bpj-dl$O0AvOr#=>sNH8W6-l`)zQ4&f@a7cz(fj`S6KS% zg(?_b=txn611oewu%uzB#PS=9V*Rd)2JU#qVt5tUV>yN;yXgnHe_VM&4D5*GVE|836g;)MLPQMAwf$Oc^Rfbf($!s z7chc|6SVLi36fzeSb^rtE;J%%(P%xwYk`|!Jq{4sG`0I^act1y$e@LB3SRhfU62go zW|-6rwD$i@>!DU9NBH?pOJ1OHJxoIg>@fRw&>`cF2}jA`iRQzlXaUPuS1^L@J7C_x zj)WXMNrEW_kQn<8E;@+-f;hNQ5X8X^=`namCz5F>bTSF;q9+Duna~MM+pwSjghgx#18re?_Q9rAMD1~yaT)l|7rBpvjR(C;ep1V3u7rN@g;2LwOE0$AUC$S zX=sX#6Wth|ST12H0D4eRlC+fV2p^uQCBnpm9cCof6)mDmakRA`;~2JJ5x}B>#R5wJ zmTUBYvyMD>1>;M?rDRb?W~-7YsFj9^@eFGm<|9~cVtGq_C|;q{k7Xj3WmsJBH(&Xst7|ek`foP^)N3)t*t#}N=w}Lcsc`{tliH!4Pd!U2i2FCz^4lv9>v*tCLy+6@h zlt+_q7;ngM!*V9xkS#|SBXFxQWFLQ03{ZpWJ#8G`O&@LSWq|#}8?qy&2;Z*C!mr}9 zlp*6h-j;`@6&odZwPL$EJI>4P>TG=n>K9bNo#b|mMD z;^cScY_jcOS%TP|Z5DkLR*ij(rpptJ)TL|H%`$W>gfFbRU7C~e(z%+jJTBZvJrVw* z5dH-roF#t+k;MOvLZA@BW60&to|B@{^o+kz2yLSt3V;yE-zkLAAd=B=jmdxzP@1Bw z!t}VHb`Spmc#zGb{ty2Mc!V4YBS%?;$A1AH2qK|5B-vz?@E8L;-a@N?54n64@F4&0 zae<5%_WuMth}=XXuQ-|MDDjVghwvJv!Ni6!zynkTVkoFm4U~fl*q}D(1N=Yepn#At zeTMi6JmX-Rc+g=ucFbP-#febBVH6CZ z*aJR>av*dRA0;X#AxTF^lW8!D%>$4@SC5*6(AA?kGZ^K;N7u4~W$+Sg06UNj`jXM$ z82UzRh#5E#I*N^<$uOgg1egpK_5dP-1ww$wV2vOyhcyBgWAxQ7JKb38K!mW?fd?5~ z2ONa84xS^db+83tjcnRt!5RSwGD=5)0~uuF;V;szsp3(K7Kt|t#sXsb~ zsXqE1%KknCJsLCKwBbz-(fz0t~`h zg_*({0Sm$$`O6vu6bNetAQ09|!GJtxG8;fZSgSyQ&`ALNgH8fWZah1(n9)K33Q!+( z5Xc9833w0s473M*0P8^qL3+?<;5_gw^aNuneIFPPItao8hm~=h8FP}51bE>#iW$kAdp}2n;n1tXslbw`og3H^Yh5_YVw2iVpAx3kG8k1tB~r z60w)|JZaC1_V&@9H|_1Gy#us&kTyQ_sW0svqP@ek=SO?~^zZ$TI0bl&vHy^~&LFEU zHx*{Jzj*dbGdz~*9Nw&R;C4@5Qd4d=VJr=CTIPEwxKhsJko%2uNA7ej zo-Dd*>q6H%JYvJs#YV^?=ZxzoYra&?2y5>u<(B-p`smkRwG;JK4t-j_M%^dj9O+!1 zkaMA(t(zh2wCWMeMqiPR$YKB-jPv!IQ-(84W1$HvQy?a_K7Xu zHdEJP`eKiV?P;+Yj>I*oQ|x7l3!bFuZ!6qKJX+Oy@hl-xY|84Juhg?3iu2oJ~mCZZZG78Ki5XSzNS@ zx23p|U-pym!kXv`5j$Jut=`6m)7pm$hw=Mnjze6**_T5v1Xr9)@QTe1tCTRYn{0Dx zSNyw4`s1frlIa^mi@rT*5i1(fK6rlP&I`MuUMsAVnz2>QJp6g}vB=ltW?pTd+}om8 z2lfZV7TK?J<{cDJw-*zd+f+4f;jZa(TnHII*D2a+p~GypVUbylS=EO&d1tq!p1bK` zbF%nr>gfk0{B+@XEk4Eqi5>;BOJ3{4tu-t|@4HW&E%0toR7asv7*+5C6HOG~wmfh- zn$?hXB>k#;?2FzPC+?^2PyH-9kXlS0?~g4P;(FHG`~16t>DKAms$JqM_joPzIAeFF zRD!e-vUJ>Z=esX26*fO^;98*jgM0F)J~i*F?ol`Wk~$XJOj9Oizjgzcj>U&kycCWOJtds75vpcusih$d0wjoOlc0L$*n3{jUHMB&y=B4mAq@;Nn zt|H-v>f54UC&d(`-DsY&W%=63L&5oiYmE#KSX+f;f2r>#Myr=P97;Rp9OAI@(A{yZ zMS~3wzia#LH4XJLusQMIqCE*cpzUzH{PpGM5B-wMz7zyq4%D#^%gOOhh_!GJynbjt zG1ThhP+X@`-}2JQBQh=7GWhtC;O9zuqGo=}T+dea+$YW4pZJZ=W%^&Qih9&D*Km-* zS5Wq2o)O}W`Z-pf&e~6+O3vPE5LNtCFZ!u^Ky}v=)fH^bf0VSV-ZOG%jZ9&yo8cdP&HYX_1~Sj6-iZeDscd~NVs=@F9uz4uo6 zPpyeBTB~DnzbcBg$xi+7Q*D3H+VCr0F=c`hW))p*4{Ie%tKD=taat%9KUE4zxZN)CHth$AxiWm9FlnD)V(_n*RJ9)4;~ zefI3ov6~%4;LWgj$)KQt3D@lPlIo5(N~0ftz59k|{f=4c(_X)BpG4-3h)k`l`7vvi z#Kfhozf^4l7in&vK4YcFIi2}y8NcK=lK@SH8CMk{rS4g znAh?5`t?Z6exKspCla=aC%uz>58nH!-0_yD{b{$79kfJ;4JXTqK+FP^-1u1}tz*v~n3>bq}q&E{IUf8&KO8;jVgxNgZRk%38M^C`>9brJu`kMwv9aDau7$rM z6Fogw1sva3GAsP%*x2z?nUkv5N7bc%9;a?!wGe@9{TXl=dpUJ$fTr(F*G>z{mAT3=Y ztx45c#|!w3wSLGA-+55S{qfkH>w7QMY8%Qd)*K)cH=Mt`C+4!_xo0AigmpK~GY=?u zf2)SGOxWO9g7xw1zT{yYQTag@ba#s{S^kraBRw`X?}3B6(?~z}^eOjlRURb)Clb@w zuiGZfDXyYimR@9I3IlDMbTL(yy>ONPU+1L@5K`v^`r6>ndt&+c#52 zpS}L`klf4IySvC{_n5{jXC8(5X0=QiY?>x7z9#ZR!JW?LcSDmm&5>F%gYc?5r1!&pb19RoG`w>z!^AvRB{5 zQjn;bXn$^RCebgO8DDQ?#cVkc_o{T?x#%^Uc3()^92jY8x941>mX;p*A)~w3Q-Mr= ze(y!?OE(uM330W}cHCUTZ`(xm4C-IElM4wAv(}B{R4RY}XWqsUp?RFclWihbt_aXm zHuFoiHQh?OU$#!ruDw|r;Ov`PaeVI$k3BKf<6qx;bMD~HFH_mRE5NUYZH|ko^ftYj zYrIo;TgL4(alBTapV;;`qgmF%MAeFH)wa1K8zQ`~IA?A5UE*}yF1mKw ziV4QvT2^P@*4_Cm`d(=Lg}XcU5f5Pj0gVM!@7qG$4@QNl$V_-qDX{tc_JEvY2_Ka# zrfL!|1Jgr~2OoTt?B~=AeSY*v)^?jSuX#%fzh@^E_RCdelZK=dX^rAVam7(#&wdD$ zKN)KMJ^Rqh(AAbx*(a&#bv+~Pcl=Id4t{vqpMUhm+ds`EjE}e8^iTTsy!FYEn8(de zqshyAyF#v2WbeHitZuyX${~#{ONJ+}@H7|DBDwEG43~8f9-g;+nup!yY}%o2y4iQ% zjD_lrY}4%>1r;Z>^Gq{X!9$c6cgr~>XFBZ(T(c{oO``FqN}tSz%O6snH%Fd*`dj!Z zDQrqee#SGg>V5a!2VH{F=_fB5E!}kd<>A2O9d?n=dhfk7e)P zdBMf<#LJ#Dfj4(--W`&;pP27f1GsVfLYCjeZ>mJ^@z-G0gnNR-tH9H+ujSfG#LH0%ZEZBUqzAgPXAE$k$fOMNM z?^`+h^t`atxLs%N*H@9qyll@)6%yh_jh$DMpZEQF^uEgPRC0OozL0~G{0fUyN!hc~ zolb|-3${CZ3d`y5^josVYtG`WA=mewetz`q!=br^chc6WtIl<*GbEBz#_)tOI)OaO z{U&84S#_)tOI)VH%rZGlokcpH2=g1SXc*#Fu8tcsevi2a`EXTY(#xRXB zN`v?w`X@|djMCtZx%?NVG4_uFIAyG?H=&`5KqOYvW({qOX=6egQ`(r(W-V>j(Z-xM z>+1~}WB<1J_ul_QyA0V;s)GH0NmW2PMvdw5ohA^e-XJz$1L{0!$^mqs5tQx+667|} za_n$7V}zB%7u#zCtpG&|0L0MYPq{SAg1+<#Q)=a8*-$W(9%zOIoCB_rqtJ5%f0W;% z85}fBg{fb`ec~eAC*UNILm=5KX1bmGSwZe(x|{=gXr-V9c#8HyM8H$Ka%w{X7J#?? zdGZlTM?hi=w$+==*<8R5q12C?1lmw76=kd_w`4RRZ-ctCv+UZDk@DaIIY_lBs9UHT zg_47Bxp6+~pkc^hu8tyR>R@2344MI^`c!dz8hdCP5-|{0;JF^v&Zty(C2MB!wm}Mj z-iSi+IDac08n$r-^_|EL0byZ57NOuX=dv$c3m`I4`}Rr0kkbRK^%U7)+keE705oVT zPKE3WQh-KPz?=Y<3^ZUyhW;rZLTh&+D?klIpu+L}q(QpxbBxVJ?44{vshBJYO zm-tgCgztfROeNW&PX+OmF+u4x1ZP)c72)ox`)5Hw$P9gYay0-2!(8}Bz;&i1Jj&TX zR!2+aeMFj%fjyhj4?IfN~8;;yDVz;jPe$u!ilBI@?Q9k0Pjq2zJm8+zOEA0z(0H$zCu! z@Jf-TGY;kSZ)GY zF^ri7fYbwz^;%MJz`?#>B=`Fxl?rtT8LrC(LZXitiKlVkUXUIEX9FjrnK0-_$@OYw zX((wo;8mi^tk&MiEJ|mBKLRM`M{Wr$X+Rd?e4&3Iegd$jfuDe6e+>YcjRaE-#LV6e zTF_Ey8VQ}mbOY#=1Yoq03uSrx{6}G~M01`NiS)_jvh~x*iEdr#4Y#{5UfV7YRc_qhTozDc?m!LUVAJ4NbQ!4eS*aT5r~~36WK}UIO<(#Xq%#0QELk z7$G2(N0RvsE&C9$!nG3qLjdHM2t_CoP^iJp33t#A-EVH6#5ED7DAfDF7=`_B(oa*y z1s6@~Lj+ws`#iywYLpd#;Ql^4dOuP=5Euh~Za&4PB8Z0>)q8IpcUv=yAEeh&AVj>B z^rAX*TX8a&w`EX_pW;fUBL{<^E8u@*uoExr+o&ndOd1i`gvb$)Lbw*{tQ9ZXD&M zthq(5h3zn$#?$l^?ofyX0V#9Tns7Y_-h^$#=RmpsoR17GNY zh!cGQZdlam0WZKr)}!HD$g;w0q{akx1gZeIxrPT>Wv0{`20Uok$PjW(|~4qz67F%$OXSj+15Z>M*g%oZfynUugZuGT_m`>->q%f%dBAc->taysZgD!jX{p2gFK z3mdd?T$nHWu(E&7?uB8;ml6UWkL5}n$nOcTK|~O)1D@uHVR-@8Y9->W@@bkHv~*;F zd2iN|K<*J>fVr^106Ylmi}sS_BRn7|z!t;>*x9_~pcg)cL}XFI;^HFgrf_g`KxZtJ z!%YrmI$AOyj(`TtlaTmLhNm(li0&>bQr-e0q+f%qVQ|k zjk(%m5!hFeBheESS?f+Iu4cQEEWE(8t;=*siF-zk_6) zayNw;$SV*P^js?jC$LO`tsy@S=P&hAkwHg~jDWj5%yi=bFkrC==Ly_boXQywoXQFA z?w4@Rh$9Fo3h5)bL0muTXfTC=h^2#w1?nU)O4_k}$~bB#W3_0)5Y9cXjj%L&m3qu& z71DtU2r`J4;%LEP0v0?!fYzSsBxgHxatmlR9vhL73j zz1HC?RNOk@DrqzWL0b4Zh3W9=5kMn#G1%Ii*lAr(Fvaw-Px5iET?7l5Y(y2!hv8QG z>78qod9kUSN1Y6DjKU5RIspz@Xv@H1?2M<`T;Z>!H;%#VgO}#u*z3xV5E}Nj6^=!3 z@yo&-zc9-1y;i~*D(^B_3b+yRWa1~{TwrR+)>-7!W7x(loG=L91%tw!FK*yoK8+h^ zU7(Gw0$T@h?_=3joRec_gh1YAW`rQBgqaa?gdnSAOn5If{V%r)@*j2;|K(OeSP2aX z(f}-g%J;vyRiOIag4ENjk}4V4hu62!molIK`jv8k@tZ%R_O+eCPo1erdJ3yl9kYMOCt*w0(2ukvAjZt1GtWj z@*m8E7VsanmMs2b)GK6C{IpU2!+4bc@EqkoME~*%8BJ($#J9GIr?NI$8krGKaS61* zC9qk*CC~zwKnq*~EpQ3z3YaE9Nq6rP;&Fkp`5AT>CM zLFXx!j;j@HqUZ3Qe}GLu4G70G%s~nq#1e<4*gu}lr3+1j6q@WMXzCr%aED`M29|fR z@oa1%7@3}i#-tJrTrc85B%pa}gr>vYKfYdpM!yXCqnVuIAKxhLS^uD-VRJmg51asO zAkf0s!_Kftwgb(C4gevPG66afB!e+VPeKP!0_s&E!+6)E+rcP8M#=YPp+}5%bcC^J zHfuft#RAj=l0Vw%X=-SZmSNxVd4(YoOD1DkiDfsIXe^HtI-2DlH3@Yv;_&ujEL~Wn zkam*2B>0hI9Xn15v^XKq;&gDVW9#&=k!J^GgcgXAjXWna0?5nZk?m7<Ie!C}Ji{ec zo)OV7Q9e+t!Ad@PgmJ7u?8r!iusp-qX@ngo4%!H*OHLy#3Km#99g7JTuU3H(h66g` zSTc@`#OX2yo#m;E3R;j*&hm{sy4U0xHCRT%x{KwTgfzArw~F|L8n@4pXZT|{CEk|t zD%6;5cYlB`qI?2$9oCagA8lC%63oDl(fEnK8%PvG@unUG|G^hfyr8?Mk1$OM{);b= zhA2LXsZJA`Sn)T$KzG!$U=%O-n}Ng*DChr)Zm<%yHWI?=td*t z_BH~|o918q%|L<&`ukt#29f={frRR4Qpdm04T3^`6VtVbaezj@&C=S&?mxUa#9+=r z{Tsk;jG-F<5?E0W>_sJ|RF3|Ej0d0*% z0fx0Y`ocxJn!+ytE$HgeJQs}e;Dh;@pap#iK7Jlh3;L4LfEGGRBUu1j&{1p(O<)PG3t5bS>bH(J{asqhpxsqeuksj?uN4$fIj9 zaYxr;nzF{2z(iaE1tu^FSv&)#920eb34|DB5iprpD=>4IE7<8oVX_rC8(18B7CFaS0p^hFbDsh7O$_n4WlG28={s0Cl1e5$j}GC8+1}#jidQ7jJ!4mr6a0q@drM$h=}14Ci)6BMwYr* zClJJfby`@b$6Dv$gmt^Ij&USdAKeQqNFwtd6O!<+*JdyRDBciAL5CpP3#Ppg+B-sf zp|lr9dq-*S8104A-f`MHL7S8G=_%SfUGMXM2uaNA?N_#sa}9i&aPPZ@*ZQ5u4Oi_h zl4xVBUwtr-*Jg$$ad+^3F(+j6#)R|die-13Si~JY^?HKMOtzUqzx9H0j_xBddms-8 z|NgaPs@UqoX@1+I0xXX_#Xpfg=AL=ltttJ3$O{iGyYTgGdjHSQa(1^Q>d*X6azZ(Kh~cZH~`O;UzOT;jUjao>jWN%Q-|$5KE1 zzW=!=>2}r7VDimJzP>k`s=U3tCQn=Lad;L{Tg4`^bf=f{EX}r-pHnnm=iIm1d8vFL z^I=uc{@X2GF(m5R`Z@DW?S)13_(a!)UfaCv;G($;SML)4Hkf(h_Is{dBqGs9WwzGl zpKn@DT3K7~C8Kk1YB6_SL5#%_Rb_+qsl_ zQ*ZCqusxj@NlaZ<@J(NNc++$_>&mW_H{x=nRhD!u+Le(V zUf6iEip$h`#yY#XyGx0|u&No^mf6Ea${GZ}&DwnKw#Bx+bLJVkx$(1)WaWGom9H8Q zEq^@Eymmm6C{{`vL+KxFrxgn3+9QkhJ-Qo}8NKi3kU24*ZRuhfQ8Q0xcF4`p zSEBZ&3af2Y_b2`qYbEOAmPhswNj9w|a*oetD0i!GQ}KExuB+%6d+3c~XlCT|{tCvr zC-~Q593CNcdf zonk%dopVo|a!+|0RV+w08B1uY4NP4V9K8O}Da+W-r7PuDZJy?s@b&xS_MW@-$GQJEyEb7jEKS0!$4N0#PlV0k2YKEeP{d-bYAq(Zjl zMO((b_bP|xDQ})CX%d)s<-%iMN57n}xtH%9a`GW}dU9^OOTU<2BUErUCt~O6eEu&L z(S{y!)GjZNtT;&aaBH!UjIY55o)Z|9{M#d00(r|Nqx+jwUK3 zxuZ-eDKllNq)4R@N*X0m6qzc`5s8FIX;K=HsFX?rkq`=Lo+pV6m6YH6-gO@5Jm);m z_4{7mKYqV+UHjhmTK8)2wbnhXeY{_v_vh68el5M(JB_C;B(^EH9AcyQT& zlB#4!OV}7LbGp_3CcXLP-5wR6GyYjoM?x~&pWkM>iV17hCWY2-F9I^E1iqDw5^WqQ zzsG!vfuW?~iC6D)`^6gwr^=~Y7du4yx7-=CPaLM>)=;sd>#k+<@7EPl+q9(--MSO zHL(mkVD53ByX@^4t{=+#?nh_vaSh094fEZ5&6^xc?3gt2+hm~+vfHCiJLhJ^bxbu| zEu|^Tr?4%|)im-d*^}5V`>Xt0XKo|ks;P~9zn*hz2Rf}kx=UH#EhEoA?Fl&+`*NTw z?A}fHJ6*D(Kj+vcBpM}Yj#u*20dENM4%u@4y;B)qt+o{JRma=%}@X4&bQk_)HO&->Y+Ftj& zSQV4_Zlv7nN6q0EuDsz)NiDqKmHu-s-%nklVc=Ihkd^u_I_7zgB4^*^!5+~C=F$R6 z17+W(R&c&!k*1W2zVTlkwPc-&%f7pFl5#r|khI@F8f2@iXX0lhE>AdLd>^YW_`E#h z$knH>yxu3rzyeM=FdCVGB)+6xn z-KTNFi8&-wZ;9I46)#HicRP6}hNv%`S@T^|Q|C)(r@zaIh**7dvP(pKs;>Tr_c?24 zPFt>q@HMIK>UY`8$4-2k{pyQYWewp-zf;<$SYO9_nAyrmK8$;8W$u2j`D%30h42!- zY4xv3TjGUlxnFPjhFGt4OnB$EX`hmyyoQ^)GwTjRrw#0?xyScwLC5N+8Wd9L>7^{&#(NW?>xIQdxhBf^JhXe zOG(2U*Ao#BZ*6t5oTRwfYp00R&yzl$At&amtG;+r`|IOb5}jsxH0EjceplQ60j_Cc z1-W-t%#>TCQ1$Wi|iJzGWAgzJEJBpDZlB0 z-O**^q{!rgBWDaIDd{-OCk$_1aBM;Og$<^niQ!3^jx%OAoe95m*;IN_Uu4LooAzYR znrSaf3f_fpF;%XpYVLbr?;w+QEiA@jkf-tRNzdatKHcJrVga zDkuAKS?cufP2+q<)_&*71nDiiBw^5l@|QiYJ^5~o(ql$N+?sGNBGauUfmTCk}%^Lk{u z)wIc(TbC%PR7OOv`q^GvVXGwf#^>ZEl4dG8>7kqN<+$yleKBj)SFPzh6QI&k{_N>~ zAy)iaB~ikctx9VAPo9sn``H<;L?mW%CS6?nv6pXD`Qd$2ZYAF#NykQZr7csGRru+5 zP_yRi=e~zJ`U;PV+l0Ra1zLXo(28My=B%HXAn%AyAJ?rvfAYzWO-s+PG`92dm@;){ zYG^Qt2%I6*?`CJ=a^UCt7u)C0T%!H0?UUCwywq`%G)zH~w+4Jhn zu7%R$MZ%r8R-AOSb7Z}~znaxa>fhVUmP|8Vx^&~OwDher7A{y;5gt09X=-RXp{>>& zkwnrT9$K+$)`y=x-}Kl2rVf5X2V{rMKT!w2p#$RU_uCxeH+AqEIw1c{U;KtINa5q( z<`BQ>i{J1CX=(i@`rzCK-Q$BsSE>(1eEI9yZa! zh!&e^v4s{}X<B1~Q<b4Fs@Gg_;`UVZK}nIu^NtfN{tgRH>Q;%ZB*l z)~lvKXG|6$R-2tGcF%B zSuD{933N@EIFLqU{>rN%Vw#z*X=3=pYl80)a#!e~u|`WGU5 zIo*NCPjiUI$6k~1y`^`~BDso4*E0kXL$PfwpqB*cI}2jTk_pgf(k~t~oGbRN46)j} ziXw3TKDC?1@)ln|zH=2cYQ*q*Tdjnc`H)8Mg4sa+6DmC*dKZ^EzMoXyhvpAs`PV53 zZ>Po*3BJ})KoDK(PLnp&AZ?)wu9GqsN46{(2TtNL#9N4ll)+dc(ff{MT!wxAn6Ix> zAgRn6*;*LuYYAlya^=AdN~1bKr5xX>F^N@$AabL*qdq;SO2l-|`W$@BB8Y`I{B6nV zY20-W!VYg+fL1;_PDzz!1GdJeM4xEZTVptb-9*5f3eLLb`&_k?;7E87;2>p}8) z`*kq(F96Q4T(a-oowH;w^e{v~`&h4<%Bsl{hFEl)Pux)>BN4B_xsn+66`4Crbg|PIn1>Qq`$J{GdLvyC^WuQp{jjAha2>_ z^x*v)<`J>aFKhkj7)X#YiK&E!i)=MLr736kz?g+}f-tax)YhC2B8K*N))fdw zj)|CKc&`gXW5V$DDK0L!>&DxM1x9DG=jDnpIEo5O&KJhhd*TM})==?_Mj1 zYl}qyJNXHgDD=?A`C^|-ZUydvGT97~7t$UVqISJ3mQQBroI3`=d*FTMkNHY{ zVAjEI1SAo?$lCj%N6A*q+1IeI*HawX{y5sxVx=@hWGI(&M}tg22s)8rRb^h<4?VUU zt|bTMY8v8S`byCN!Rlm z@T-^OZ+?Ukg@}BrKASF=rUVQ{Q#c8HL)@S+4pwAP34d%4hSu)20ILwe54oDR6H!AJN76XTC&&hP5-e8Xkm#{~8B*8+|*Q2m?*? zw#157lY@17@%Y}dyXTM`MfhXUbw7+zZqk?=?n*=d-{EfPBRx+EOfxWBTOLKaZ&#K4 zQ9&|6ebCvR;A5#SJy@L;>TEby1dbdhK@xD{WXQ3ti^hF@l??D;247EjaV0+o3YBTek{^Bew1GysAK!SoE2+WmCh0hngF95hfS z)(?2*JdG9dGGB&;A`K_5z}NEV8j|6ZVK}Uj<3qj_kL~EQ*U6X&$hnh;uUk64_swkr z9}2~h9Yxo?;ac#k8tW_~;W1MEu*ASyCBlV3!Ns4Q#=PTc#CmKrU+l}vq!Sp}Oigyk z0mIn@10xRL0G=|b=lAK(;%|N!(H*f43tk4k7zua$xl4}h`o;d$dY?zB#9P0%ysh{sN$a%;0%A=u6qgj>COAUE`; z@SjmcsxAh!c|X-(s-%LzNWao%XmLT?Mz@jWlW!fDBZqQmoG;~b%mC+MxE6R;4R z1p2~Rof+b&H%p+sAOc?j)2%njr|ecuW~#F;IIUOUZ+RGn8%wYKxaA5W-4C+M39Cm_ zZn(?V`J+ESqiD}$+%Y^Bl?2;JAx>n8#8>=4!urRm&Is90KlUn}ewWM1nCWOz*GNN-X5CxzbnQmuSJK zVKu}u2ZtYpku49Su_=Y8Sa5#kcuRDCb;ia0`ig-3z^dREM0FM>FRZMrya}DUR}Nwz ze0+ZM^e(L#NCXEEV!1TB_|jo4>sU(h)C0AJPV%!+pUhE%pE=v`BZG2o8|IAa2B${d z#PGWyfi5%vTi84U9r(NP5Ndiz*vlaR#tTlE+ufMkFiqmd!{(r&@~Ez7*Zl}iX|VF% zIY&Kt7@)*?TdpMFVng;DDuLpN^F~@4sXh#9Ol)5IW5EQ|hVo20__2@&#B7=558?8= zcm&VI?E}23IfYegbCHbuif09;9!@-tk|3Noar`J6*GtE!jshGbfHk<$djGC<({4nV=fTpBy8G zvx{7i9L6=+P8g(Od*g6+kzp&08^cZ*Wk{8PkY1o4lO6^45BOMk|0$sgnvgrh zOq%7&X}*F37mE_mhxrN~dY#CkVrI{Q-ZQgjm27agNA?gD6Qi*CKm)ZFJM%ye^p<%b z>()GvKo;y30+~Tnu1@g?1U^t=9#}WgJ~EJbj@P$(!9b>|UMi5892p3d!<{ya#%mEa zw>NnNGQN?4bv*XW!8&exW<`WO%jScbJu@Q0o>9Wf2roB$=cH@y_HgvDXYAeW0g#M0 zv*$!IibpsRuqft%%m~k94p1l-$sF{~2Qoi!z&H#~Gijb-_{5SCfZ+xmnHWg3QWn;6 z*fWlnOg&!>W8uZr3)e*0=hZ~RP28R-jb@nsXa?U_fL8~;`4ryo;50T?pm5>9?SVB1 zN~E(fuVL-(Hm|YNWgCa+a=|#nq~4>3@rtZr67YKELEA7{P-3c}#6+>wt!LGE>DG(X zFh!{291sju&(yFydEM>n#cRy68~Jt3vg;LVn9@3Zdk(mM)N$Hd<#3=Ut#W`Zc;~Qk z)V*_eBX?Mxcy=?l5c8l}P{^{AwfdR4 zAP45DxnRRYmqK!GnMsU&#>{CEe71cZli7r%ChHh4yhRnWHdQo;7TZi{X8IWg?A3nM&wRtX=%fB7 zZhtRHmUN7lB%|5LEm_Z#P#{^)oe-;?mk{&5m3LRYcmflE9bUHe3JHbUeiI3kUi86L*0r@GPD&pIc8B6AsSYGU3qiXMUl!SgR){WeCR zw8URv2{P=H@Ml_L7?zmA_DvwO6#qs`Xb;;cY@)CP`FC1k$XDSvEJ02Oo<#zNiy@b< z00Q_25QXIA=79?Mk044Hp(M&bfhgi22PlZbCQ<$kL|MA*Paq0`0{$v`l9UZJ1VFTGAzjJTyO%IAxCv~pd@rRQo7LHp<&?> zk#sk_Jm~I^pFYzqWMQFOs>_zsgDkAzYeILQ3^+}9-%U%WyDO@yYwIY8fv@1?;^9RV zUkmRMRQUcNRyNZEhV#b^9S{>6M-TXyc?dlKUrWIey8mAZVCa6F0EI_T#U}$Dp$Fh3 zD64YeB0T`7Lh+HI0~(uK*kA@18y#uj>;@me0di!R( zC*?+l{#s_r)S;bPYcQ^cckXlAKm0csHABt0G=a=;GmJup=?%DqJX8ao!L?`!=n;06 z!`#wpxE1|5)S8@v?i*@_MaXa~_!0Il?+-EbBRJp;^5^a0-CPuqdg)D%0P*nyzylW@ zbkekcDC(qzJ!sfR8z{tdkv33bDHnU-bJ0#4D2yaR8z_0SXsE%QHc(W=k2X*^D|x8l zJ>EEgir|(r5-p4>GXp5boOyW>%t~y^c-e?V&=S{Z(CHAWPl z#zslF=tMHY`FUMt+|l4q8)zOKE=p#V3^nxOjf?u_uyg6GP*X=cj^9gn)3x9NwmI%) z-XGfCh8qi3V;sYrrPM|cq`q zf)@WHgN(=xn@RcW@)o~Ei@Gic1YcRpDOwkvS^Vybmht@7fzb$0y?Ac@w+~GnvFe%v zu~`MhA+jPh$GwBk?;1V2@qkar4RU0{sJ5MktF1N49$%e4MohLh@Qk;jirU7RCGYcW z?M`Kp+`R`6jvqIEnqY|EzHc>yd@Tno)|7^Z#GFzXH>oJI<=JY&v%P#Sz!wjhkH~Na*}Ya?ca4#U?KsJ1ODhF_(~O-#d=!>l<2+c>Q4a z;#Hf;AsN28l@XWEUSB_RIbSQsWR<~c&PSIc-gIBuxPRGX^#^U8eGc*o~ntnKL>Qup+7Mx<%rt#@fQj%x(Q%c+fwy?I24pIhYdI<2Yc z_nwf(2fL1}`^G0HS3o2^3q?-l2+BuVkxg{5C>+}#h3 z8?U@hZ}7*ru&e1U6^HHYWTqRDgFXwCRlj^2DX-c;a&+eHjIy#_TWw^=&C)h#PL0=6 zTtHM-Rpn={mYFtp*1gjg^_DK%WH$JwGbQduPO<&!?NUPXiMh)HX~nv{T47DW0htf2 zlXX2dD5&*3uN7UvQC$_FwT!43d``Hwv^qQQj?Q3DuoBOgTBpGe$ArFr{NT!J^N|rC zpNh78es^M)tmwGM>J!uE3ULgC5sp|nzRDbjzQ#*ZedI;>!b_(8uJ)T$8w|y7i~nqH z3>2;pnKFB}I>~=^Z|yuXbwbnb6=MS}w{IVFcE5I6e!

-A=p6sG0hS~zL|EYSWf zlS5rLyv->p%Cpj0-B^@)FHpFrb@$SFixl&MPE2@9^0PvPi>^{JCx({ubtXcn@De(puSB3w4^qudSDzzCiK8M%9AdWQ)a4 z-na4n#{m{uht1YWoz~?gR+9(6q)czVA&_;| zcVnK%maJpivqg??P<`NPx_0ViJsA>;XiEX#Rjb~Hp1+%}#Z~V(XQKS_On3W{xmPcq z6Uewr3`ccVyx7Fkwr89u-++(uq?FA{lKlQNSiwt1^mO>l5GL6+CY!f0UREQoIIfVt zZ!rJuUONkWu~h%*$x%u7%s;*$2Sr5qd7@Tm@m=ycVm~g@XJJ;-nS-+74ic9=+z-!t zaEUCsdFi<0C|AvHof!l5q2gT0b7gq>aQ%q{Z*m<97 z$%yNx0xm_ZnkMrw{&r^G3f?d7n>OeheacER);gB(a-RX&KBlX>q9^R|SyN48x9e7# zTO|5ND(UqWj!e)0K7SF9U+R90Q(EGJbFaPeZaYD0zRgwg!0m}O*Y z>SEzfA3eW4-qv05;MUe}FP!`8UOhS{$XRBwZly$=1o<94;!&VwzwMdAV+2h0~$ z>&T52Eweu&`>afv_D>yUhn4NNljrC6juxNzlK2L$9Vz&=;lR&U&ykE#K7<(y8_x^(!T-|}kT9tXZ2wfJ;p*LvNY zRa}7*jW>>xmOU%)%=>cpwD58B?tO-ef?k|?^P~2zm5H9vY5OWZJdCU;j@4?;yXHA5 z?1FlByz}NSTa{mEh?a&cp3-Za^Yh+O!nIBQ)xv?oOViJqF{ZOVJuu2Vy7c;ywQ~6a zFT6TakbZ{SN;FBJr!4mf^Te0yVUoYr-J|NMK6d)kk^+pI^6o|wx550&jhC%WmfbnI z`QEmL5)GOox_p+&2z8iC)hN8X;Z7{yML2(XaLRsd#=i9_`qRZeZjl<{w@7Tv_~BhZv`}@CDHy#-M#ZR;*i$uvMId)sl=xeYn&sbLw>}=k)eOaA>WNWTwf)n2% zT|&Me=P29rHhk|U!6Qnq*36UR43{V6>tsgs?r#-@mDb@P=Bq^xddrjbkC*<0J!p-8lKE&--JT{QXcivQi z%cAG8(sNk0=jw?cVeXTC${~cucWiZ0{J6*ipAIe+?soo?zaY|VMz7`bNSQ!mBF%Mn z!WYk`So5iFO*fQpA6`58(vPPOT^VPN&b}Tv=k_V$u&3W{S%dYaRkN#%Gz7x~&m=o? z^k%9qS*{~|cHi34JQ8_AyDY)FEXvzPEMSksAsw+UuMfFLo3jFrXxzDCTXK_J@m5ds z(1pLf)1uVV4t05V;{8S2Uh2#KXbc(g*taWl^9MGh;%#J z|MNFY@tdU}qelO>viK(_3! z7B;llNekQB%m4owWGD?|AQE_&VesGYEB%Xw2Peb&Q%gLFX?bJdbW&Txnvz17`k$s6eK71{`ecGPDm*K`M?Qe%?$o#T&4w*%a=p>F@&a891oyE3%Sgpxgr}q@>T#8P!fW{IAs@*#32mE z79a%>%}GVp5AZ&>gveTtU~feB_aO9lk2aBG$Dks&14n%hye1alZ16F69IG%X-{w(G+1CL3L3JlDl>C6%o=_p2k_!>z3Pmw)9d*;aY?YsnX zHed!Dde5j526zG%Am~wa0d?u0H%=TmU;qZe6CO?mN6=^e_?p987mhQ#MK;Y911WSG zfyyI03S;0#0hH#!RXDgqOr{3+Wbs(AI)SvuI)TC<#(YE@WJ0)B@U|dYe5V${O@w-n zv;shgKnfw^w;m`4M1Fh=6gVX!(uux65IZmO;keWAVnlU2QlI-K@$%NGJ?m&@ z01O5#KqMHt7AxVnPH`I=noRCNJBNb!9C|Jd8!w0k>M#M=!Vqp#oIof{0+0fu0S^A} zFrvX2vGbzP&wqX+fB-fc*#VhvCqlU)_Z_!IoH~X&Y%*jqGb22Rr3QR6awA|^A;VGs zAk587Z4&qhg!ium_mds!Lf`>U5gY0Q0h0t8kS&f#>eKf6%A-DF3hyGwlfzDG!w!rO zhoi~_1jYx$=|uE1(1D$p1tZ&mfKjjli9kFlS5=oo!6B&udP@!F5K-VM0lRS}7=T!c zsHjW{G}v`Ore8gUi(qYl`boWCpw~m-{zKP9N(#_cgH;5W3k?WIei{Vo565Xw<86So z2=wFL(qw`-Yz%=%(VpfjC-%b^0Cdl&uIKR-`e9XPh0tj-2I(XM>>zcwK8&VD8R+nf zPEc4sU~-u)CG>1DT@)x4h4A{x9CZ(XS-=&f3_2zV1cGEF$E`JFzz~HWMesYk4FY#- zO-Jus1&{(xg4_Xw0?Og>HQyZ|wQ8WoZ-t4-o7;45@q;EH9$+JWRzPGnm^11_(RC`z zGnE-3PB8NUnRC*HteV2r0APTP<|O&s4igMO04SMbxl)S!fSSB_ojh*?P!CWBSjGHy ztRw?qGWP1q@igWT5P*=mpHWa`GT^cEj_@Vvczn&>1TG(C$)|0}Dj8O7)2Dw z0m;-{fcz)R3G)A(-*1^L1S|vG8K?)~4P(B(Fn>sRnjVC^z|i6w#sHQ&pifcMT!DH; z9NdKG31-PjfD@cp0zt^(F$G2gd_DvfVzXPLk7UZ1#q9h69@}HOCiDXsWt@;IPCOQFc_e@TO|8nG~#kJ~`fZ^*xj#}Y*JG-@UwjASjC30U#dFOYrU%sv+3BbQI4u!fVK z0yOs++zdLeg7@kXdH4s#fmfOcJ06UOMbxJ!7y|(hw*-ISjQpHf09?01lYeEsj)2Ob zOrsR!d^W!I4HhaO67Xb;#!Z_%IJPPinR@1f%3#w06u@#ZhFR1b3qc|bE|JY1QS=Dx zQ19Cm@RM|61E>HwAqlYT&?eV;wMLGg8_dZu6O|pM+>W?ypPrj>a$uL|4e~BE$O((+$LEQd>~u*4X|RB%BRd1u z2d)@WcsOXta^TtJ2~-TD6=$~)fIU|8J6~ zn3V(Ap!m%k;Sa^bFl06uqXlCDL;7P(j%*=d(ahCkxaxBwkI}^l@D6A!v9Iv0fdvKl zSPt01Se_&JQHGRf&*FV|z@!6U8|DmSBU1^^Rh3%EBM;QwQ7_*Rg&w_WLW0d>Bo2(#}|gNtz$! zf#Ek$nT|)1@+i{%3O+y-YkG;vb2NVc>#v?xQ@z2%scVk!Q&}=3!pkQY+ zfdvISo5>KHGK8j(|IDTgp(%uIxiQS93}tD#vuHR=3mZ)#NaFFYG%bJ4(sBUi7AJ(s zISH6Sz)FGU++edQY!46IDI`dtXbR748{Yl#ej6l$VL3LA zU?URm>UiH(Cuq-XsS~$n_G0TIMJmit@vD=!X97`4!>bxEZu~Kz6MG7s*aJE-vxm0^ z$O@RDpxR^$v;p0W66r@kQosYnf^r;&DC&4&+yH*#9$vM8K0J6m0-5XBD8Z|zo;#3v zfJzHqLTLRLAcFiGfHi<#mv>l&Pdt<1*@4Zm(#$N>OE#eBIqI3rOhn~@28tFt6hc8j zLqHZ;B-c%o#&)#@{)UmR7g~`kUC*-udv44@QMSb*8T<@tVKVrPhp6oMfFfWtw(g>` zsnTi^tIySY60iiTNgUvVu-&!?Rj@&*-d=#JVfi+c7^2t_In8PkH-Mm5n<^=BG8TUc z&y7j-V*(q*k{QmZWR~1b99FE4zW{uLYVdfgNmdRVB^%ybIn)Vf*YnhDU&n#`IWZ2* zptl2a74L;j+zySr3{wSAh0BXE7i?lVuOqijrAoF{_Esflj)kIGr1lRkhnW;%52VDW7OlvC<5P>V#j=EXbM$n}usX(``FyRG88=j|yH@MP z-uJR~re4#;oK_ya<|(yi+05%^zS^i+%rl@Y@Q2ApG9AxZu!mWJkGAQw(PKu$>9Lej z+28S4kD@}OtcB_f?XL;3#$0OSeh{l@6V8Q2M?Tq4k1_D2TLGdMCq!UN4X>u_4;TTQ z)*Qeu`ems6wCv;@A1)K;t7zE?dguc-k;CPppI(H$Whb-0kqKjBBJ88X7<<4otcCaS zCk^iQw!&oMP@<#XNkoRSZ~V*TgG~7oTd|NWwEu~%fFewW*$Oi#?|)}2fL0(x=)`|w zD{fJ3p8S=q_&c^j{>rWV9a|w(aGoeVNk(=!-^agWD@5xr+{)jv6+-iY9HWB#pG`if zf66enLjG=`@>gtS?4J^}bim)@U)TyL2`(X#QKDj0`ChPSF-1JKn_FOui&7^i>F%4! zx9K*RlJ^yKn^Gk>Y=|l0V#6MEH>FCjdx$CFVj~`OH>FCj8`dL00{HM1Dr~R=8$9?T zN|&GtW&mIZ-G?u`1F&Og-wI5QMkmDazR|wDd!AM6O9>YETE0G^onV+ zB7QUg3Q?vP_6Jk~w-9%_4TqALzd$8u_!CsZsmF?ltOei^MR|{CT8p8zYqS$+UL6cI^KU7}>j{{nlBDM=7BW&ph-V>{}_(p+E3` zZQYggJ3Ft3j?KSLd>pzi+Vx)AZ*16l*}lWeXq9rFm3DR5MSo|$q!`WqK{8VC#?B*a zM{o@G-?VX1_{fOMzPp^{tZsYonC5rpzMTU(>ZU8aXl!n24`=$;?MrG~`zNyUpPiHD z?iP5qVYO&d3Mr_$vE%%qag!BS%Dir>4hRXob8eY}K-j(VzDLFz<62T(elJ+N zbgs_ixU(+I(;Jb;_$s5Nzg1VKt(elj%ZwOmC}-Wiq`F9V{~o^nnw_gOH%`B_Yh7t% zTt>2njKcduQuOTZTuH@y!RJ%5b;b%Fn*FQ0WzSl*k~6jjJl=HGvdPb z&0CJo;rZpadeOYuH+C3*3f{eGGf!czr4Z@;`XkCC_i&t7SL+DB z5)rBXy*p+#S-aVcGv>J5tE~HJYd`hfFy3Q#STa7|_+xcWvcb3JkZEMfgsHU$j=?Q| z(%78MmNRp2o5zAObxxqlp4r8u!s z|6{y#Mea?{k9Q+vdp^B;pgwV8I=OPf>)6QF`h!LWdivcj-UoV}P0G*^6-Y4G*VTDw zZ~c=zDSnctHG0y+z<^UhQ{TQiVPs+Ft1B_7@WQpi%Cm-B2iUC4 zwb2G^Z2XpSNPkODEhrDQbXY(>RkaKjd4;*JHM{iu!e+bK4PSYu^A{F}n;yRU{??u| zo@A-%;Yhz#JG6RdtaIKjxl(=dQX?-b0kNJ-iKVPcH!pi4IeVp^%>9Si+Z@j%o?gC2 zt6zMoJafd?OzT@JXX2lHxNB^y!8>NsvR|o%B=cPM7nu>&T#fM$3#$^h*s6c# zm*1k&K3IP#yR5tNL>O^aTxQ^I+V`zC;Oym4mx=O1Ne`a1zc>~WqH3_u(|mwMI@|&x zvJ)38jEoAuS8~VLY;#|C*N>+=*C-}kK6A$T(-V?wwpoAclI+u_H91*n=Ome4A9D@W z1@BU$?)TY?8;c>a!)zqrsSd$5u!!=4lsG+odc>NLf_j7sb98ExG6O>PD6)J_^~f=Ui^E z@eak${4#1{FGyF?(SV>E_R{m1#77^-wgtsHO3z)YGrqVo&-=`|E0({=_nT{$uC-Y3 z;^vVtGD>s5dAM)m5tCgpF7}%L;tjhlxXKe%rLwAzBX}~3?rhk+>#*_Qmx5(VOIOcG z2-)477Gj8W^8+>EZ zc|UMl`vHxP0C#)qPMbAthj*A5Pavg*?&E~}U%83#zu0YOcXZDC`ndV>6O_7-A5|$T zE=u1W)>N7~K(@(z+%|8_z-w*Mk5*bLvqzlRy766z{Whhw2y&CCTFHnV!C za%8_AkpFx!$WTBQgSIdJ{v{(*xA-Gd=8EPuim6Do3Vx1BOD%{nJ8)2f$dE-m;qiwg z<`^Bb9OV8M?(Y|OaInAnh_9EQNzbQ3LqjvNNArDYth|DT;p}*C>!!z9Pa~y&K2++f z?o3nsd4HY=H{pE0df>@Y?vBQsS>4Z##`M(Plx|I#_TsXuTGH0#$%l86P4jO!>r~&k z7UJD|XlqdJ@ zM3V9(`R5miv+ef)lQPG3lApb0gf1-<8?3b-tS}eosd;HRa^Uo#U*7Uk)P za|N3b>z(^}3h(Pm36AFX*HFn1Jb1w2>&+Xcax!z~mR!0ptCdteDc?3}LY~c;P1*K%2Zqt5D=Hr7(*W&brzM63_f9J=_u$6N~y8|Y2 z-Bl+_RO_-c=MY2+6LzxhrExC>+bW}w9#1e(Up@UUZp)P-Dj}AgJfRycl(lXia{8@X8h(g zC09VEL9T`-Jh;1R?xdJg?k=0(CxuG# z^Nt@IoTVG)al4 zt?uggmv*-WVzqBfj8XeiSzmo<&Fc1qs0(gle@-GMucxoj4PYQ|=|2$|zX1$VQTf}}<2Qlv8^9p{ zOrrb-QHbP(ejt>s#MZ~*x&WsYs;k*TH6chI ze;n2aQ2ZcLYQZVt;Wg^g&t0DvBE7M43$WYT@GyqX@;h4`aNYe2gxH(jMgE~*u zGqxQF*cW3&^rKhF9xYg5nD5Fiq+z_J~Q3FrV;6l^b|i3ns^)g&7Z4KU)z0N#as z7tp=jO(@`SCulD`D)86D%Ul&Y7}dFOe_lep!#Tl(23H1N0RRVKJ7pxmFl7qF>WE_? zK@il<_LGN!#>w5q5+`8~(&v1L5uWDRcxnAn_zrV4CHCGDo;{AWJ}*;Liaw0$h6v3?F8J zr@@`mkOd?H{%X-U%yC52Q@<5_s^~qGIvHT(7E`YgupRe94q69!*E;U}YAJ6Cek( zL)o!}(0(Pzy-?0J6&ejV2e)uKqiyi zJGglW*V+rz?jvMdyR~wd>^N?f3`1(E{Ha1Q96H;P=>-^$?raQJwuH=CN8s)Q;}5vg zoI#X4#P+D$3oV_2lhl! zob?otjP)eoHDFkE&R$0zXbG-=z8JdaxD7}{z%>r*W)RRdxU>auL5hLzgcLktV4E_9 ztC~9NKmjizvEG2RFtE-zAjd^h!PTRiDT0&)W(ZFd7#VoHCjqv&y;T{2q7;SNksrrg zRiX#Vy4@OB!{lI^5o%EphdEAQnQ?lTHjFg@8^Pn_%L{OWODFsw@9qZf!ODTD?P{_Z z&x4dRyVuYMX5=v#R)CKJ$g*YzFCYk)EptUb;|g|YfR&(jPqPKu3Xw;Hs7ii^RSBjV zUt{joBj_tODuIUwpOZ+zex83EO^zkyVnq)F?sNRf_uwraC$llBk6gV zVbZ{|3C0>DNjsdv%$Bh|Mu2Srg~5-445z0bfX);|l;RmK27Ru3d*4b*y;Wq(nWtMW1f%o^U6p(Wh7EBapf)&tK zu#p(Y2VhwQ1Dlh!8h8$m&%JV#K2vco0PoUlEilHw@3EXK2RE5-CMV&`fu}OoG(2^N z2q|G0a2%)b4g7t8uu{qg&Knde&7+PZ5dVk zu0D=HVcH6!JVu)@pYQ`eo;Z`ncE<{?nzmy#iah9UQmK~FZJF=Wxe<|#J3Cm=LFI`*)0qKIFD z3X4P`Dno!qwMC2F=L3WS6+*BdpghIDQ5aKP7t+0DwSI7BUA60?ZuBwy@np z{$?JcMgHm@GDK+1zRLzQAnk8&B13sp*l-LCHNZ`<%|mGR7-}B!)19#0Lns_W^QZuh z0VM$SnQhHM^=GNEY?fv5Fv~KOJ%(+w0=EvBte8o&-fVT3W(|0tkiCv#O^LfSvkw)q z5LA{#NHgYm4OxzGOEZV+#HE=t*pps|Eq7GnaI7|bLzum&h+afxG4`6_1wcfa2|(p8 zUX^Hd?-;XOWlP1CA!znm}(`SP-!)aiKNF164hD(W>x_}yir3)~U<3jHC z06^UBnYYl;2PuQXh009qeYV~skooFvZ(hTpcxRD$4Hx*L#+~MYsG&QOl);CTZl;?Y zuy8T2;iQm^2R1n=9upbJ+@Tv>T~2*295^(320fG;cY1fXGZ+#WJOr{bmjfOd!jF(% zg&$vuwan=g>IcOPAxFNgV(LT~@?Y?0u|*yelhuGuN>fJ%tj0lcg@k3I2S^0~F>h zFdt!RqIK)%)iB)k+%@oOsbRk1$}dR9IIvb0)^padd`HGOpo!(&5B_7aw?kwNYe$E- z1Ar8GcCg<$G@t6#Pp)C6;xK?2Xz|X$$HI=pVivQmkv9yK3_eq{*t~{m^9rMk3~IN% z!XmPxX60r#iwgm5X}ABaisel29YZJFh zy^t|8AMa7cOk|{SgHUn3xN(z^3bUksg5X0HM$)>!iBAQ-Guez%9d~w_Cu8j zynxFfnas)DY%j?=COca4$w{C7;R@Yo7VO_y!KA~#WM>5jJc4#sH1VMzn9>nb|C&K% zHQT#E9|j8W1R;OTpt6@Ow*Q%}Akc-`J^)Bh@{gV%MP`ihJ^aN>Z|3Ll>SNs#V zLWZ)_{AX^3tka{a^QO&Pj7?1ciCZCV#{jPU7jA{H!Il5Qt&rOKzxl2Fg~o}gIWt6a z#vfd4$b?dDdM!>;?t3x zd;z=lm;Su&r&|B$UbJ%Z**U4B5<3-w)ncd~(St{ROu9XobtaCh_{Eu_9<7QW;DwDF@v@A1z=9=+3 z)%o>F_m8aEYL(bSK z(Q9%>Ywh~=?ZFrHglFsTk!|Wt(A!{hO6^UVmo#Tz>nS2YGVLrkYkoWHyRfwMb-}J* z9aoplUZ^`dCF;Q7=l2bvbEQayk=}+a5}}?e^Hc98`w4!1wBFRjWn<6#=vh-`lmyf7 zkbs#Ai?=G>zj2lb3rO_(yKb+%9T|FPu>OYDTm^OUtDbxAiAZUric~yxYO}oeTTeiPUkC4nI@!H?sq+XqtcSpVN*G&XE@9#Uf|M0Yo8y4Sd9u@e=kWo3t z>$Eg^FP+kO_PV7zb){^Lx>V1{lm*kjxolspTD^rRGp=?UwFh?_j`KL+U?6hV-Ln5n zV{7_i$sc>PRCQ-(lj}jkpE^Fh-K{tOy0eM8Oqk1|(Sz-s?{_UyNI&i3vUiX)m+xP# zWxZFlrPf|&iSpFUbG{-qWlh!F{tt6+9#2*G_y3=B$dC*bDq@jRnxiyFk*N$Bic%pW z4HT6~WUNS$24zSHNl`MCF)|cI14(6`ii!q9)9mJ>nW1-V5fod%-bh~TRV>)^o~t4Tx;`JH~f1 z!Z+IQvgk=j)cZZi93UrWip3jgX{tOsZ1eMKW^S=PA5Xge>UndY9WY_V2ijT@fut)o zg8X9A<$HF{d{llfch|SC=@v%Q7BJ2q+xTPP8xN^;bT{a3Y5H(;HhFKqPG!M+SKFyK zBLkxJ3L?xaF1uI}$;+-QZ^cDjauk{(emyC%^pYs6?_9#|irRpS7xXszC6Z`)sZn?C z+;4tlG-X^$fSsGWB+uyBz_8?ND`iF}p9zm87bkNKI<8dPu<_gLPIt$Eo2lx8JeRE3 z8|@lVTJGj>{4&Yjx^Q)8PEFg#OM4DX_|VQh?$(Le%`;XSZ4s$^7i?qYOis*uQ-5;h z^rahxU-adlh|6s|rm~hhCnLYYYqIRy;{j15PVIfo@kwIi1XJ}im{-GMZtM}8UVp^> z_$l21zM3P4J;^bRhGM6Ye7}X$W{oYkG_`fx^y5pVTR`Tk7&WaKm9-tDuh7P7>BG{( z{G*~HY7bazt8fMEU00Wv_2{DHAPkcm$@ZmLk*Th0)3m5O}*rl>!;iUT!r$!aVMqZZt(!6JuC}FnkpY_{S{72I( zODTa+v$a~9C8rO4zZMpCVgBbYL0Z#@7?01Kv6u8USFCHebaFy@+0!ql7B2aE`$0|P zvE`bK{9IC1=R8&7zQeA~n?>#;Aklm>1%y`rwrAy%Sc8dv-73+dEg_Yr(XEV#P1yeuQD3@A_L7k}aW~ zXV%8*&16=pHzri?lx~Uq5NcIoPp0(W{^+#OU;JtG-fWFe2F-CE>tZ!Uj?8Q?zASKK z-^)Y9X7z|&-=C<~#k-o8nR4&>l6(HZhf_zGS56<@^D+F$q#6(sVY2aiyLU`{pEEBj zWc(>RtqBKvlS!nj$-L|(qcf)x=F98SVH=t?xGEE+9cMpK=6{)M@-j&;D|pk~eDUW- zF~pX%IKGKko*uMCyYHBTkdL+gs$M(I{zJyo#9Oiow&f!cC9BZ)n+BHSX4|bRxi_kclPAmhZTiSoFs&kEDX#{d9PnV_RC1&loj&Nh`|yW zsdSwM-_8V_4qN#&cV}1ST_{y5j*IknwuVmle4Q+u^!?4wnj88hVY{}CKjW?WtSBjD z)y;s_8Ig{Le23IoWaoTozUFr)x`xlN=^poN zh3;LCPBaz~%h|Ni%B0i7miOM3@F0?zPE0!+pOm>P%DcjoLrmtMF!E#y&94rfFD9-8GYb@fk zMOTNh-+(W8kENkp{I%nwz7Gu4+NzNm#f3MnIkjhaeM}DYFnW=FdC4RxDQ@@G(-VAL zUEC#VNyem4ZJqU7q_{3QZr)x~hV|};H;fmtQajps3IAkNxq36lrPX))`$GGoO4qEngx4N>Tz`4W5Pa;o6?Ei?m&D6f0u8c|5G2@@aT-^UI z{3tWo!(jT!*-h%z{%e^Aq$*tWd3L&2i?@Nk!M`~`h|TtYLobHO3*zfHECCrtFNVnr z^6v=BFo8lI6c0;4h7puu0)@1;{TqTZOrVevJi{`SVFYEEKp|7)|8uYm|7wjt!7_g% zMfNwuGZ+Ub{Be*jTNq4#e*8MPPQfG;Hf(hk%*%R9r_^%+Q2%6%_Mdu}hJNXu(Ww4O)ss@r@Qn zP*g_UqMD$Lh2k4##iOuCRsR7y)F*Q_<)d`3h%kn0egs8$$kT&&YRjY2&kk^*6MP{> z;i}I?UX3y)J)>k|ry+|OzUL1ZD?*n}^$8PE*`a|dJdGqT5+VvzNRtC-v6U(XunGx7 zpgl5anhL_np$$rSuj_u$QD7GF1+YGJuCDi1)DUU>gAPFjJvZX8Ic7wo&~C3anNgpO z;05I|P{toal?Y)2+0}@q;t#^cvlkZZ2>p{M9K!mjq6iIB)Pl_%diX3O}t^ zqE3j)D@vmZe3Upi0@C2y3*Zj0NHpJ%JiHO*Bv||HG$De?4IhUY*I1{dh&)aqb~*+- z^uhBbaW`Ki{`DzH6Vmlo@KE3e~=#SS1`hC)&}4B%h*ggQ#%;0KY!Bcp9S>oAj_*4Zfl1fB;aT!OCz1wdRq zl%h}^0~>;$wVLd27%x!JMD>fnwSdBJB?`Z4G$#PjITTm`C_q_@oNyOnGG7F-FvqP` zr26T5Aam#nIUCIr1lDna*lJ8hwo0TMxDLuV?9iCZLNOA~7g~`%u|tF4%*7!#n#UBWS_Z09gLcwU1rb7IJvEhFgB=G|?@U-lP&6-vsDh@C zP>}$_ouEBIjup-ay!R$LL;>T`fpG|UmN{zt1dbARmG{9F09JooJ)@zSz)*$n_bwld z8W>hkW4DPQG+Tw%##1l=PotqbU`Hlmyk`4M-jyYe0!hS%5n)ys-EHMMehM8yan{m7 zJ8-A=lo8FyEdg85XZ21R87D<_7(l{J28IDeSY{GnlNri{T7YmHTa3Vsh>F2=2kQhx z1`2#|0RcA~O6MT>^g~7)oXGGXK)k{6Ab_3lvr?78y#WKAiP9L{kpgiIjX=T)&KF>S zU>6Kw0wIX0veg9SmU9VLAPAgf7>A~DvrROV`$-c6_%jQT8;nJu)F{M+w2baY+nd;k z<{>uxh4h*=^xJ@60;z6qsOtnlSOk=hlQDcN)N!Iqbx9CfVIZM!(xxR7zEXV+MLsbQ zouGpm;$hf^2a2+R420^3Py&Gu@*I$JPJH~|z6_FfBUXaP>;<%po; zuxuU0F-I*h;MJs^8nqkm+6-?ok zMEy1R!mzJw_^7)aLpu$c0;@=ITDH$@CK>gc?&(JdKngN zJ3aLnf}VOP_G4{bHCiq|(UOS5B6}rPo9)o@9ciIQAQQn}(D)X_qp)QnN4VHIHy}D_ z&J8Ilfce{Nt{iI4vIw75)KnFWG9j4*h%t-@?hE#oI{YDb6kj%oRcz? zoKrKDobwAWIGR8vGGr$y!7IKRwv(Jjat_!R^3UWP`ht{wVJGLP6lslsD*|>B3q5|I zFPylOIapa+wVuA%2d92~TCs~LyaRbb6N+j*7Wf%7<7eV|aFNg-fA5G84t{VhL$N#P zuCu@v_+N$+cd!Ct<~b*C2P+^ohJ+?qf%s)eXM!J$#=+o+$pqOU)FOiwC}h~&jZ!97 zs9gk+JvgjbVT&Bt_81GjQE z4A~|kh=>#+L53&tQW)AuPkHZ%4>AUgw8d!Dp#fw@u$fWL(2veV2+X*0MiOl_j>B#? zi)3|1Gyhr;oz}_A7^D1kz<9jy*MZ!{cNrtnUx!Qef%aqTZfxNX@?FN9 zdk2dRb=%w_cd=Q5UA)}T7Jxp#_~7Ii0@wh4V_Hdr6$ZcSfe?_N|;?go2 z6;bsJh4fm4an%0eX*b@K#@ock zak@(%KH_vEYle2?t;N|M5Kg!1DmA)wNJz4C7cdO3Xg=%+CvRqm%E-KXkJI9Yn54O7 zsLjid$eE#M%vDqx+6pttCi9`K6dU2dA_>=$INN+KHM7|G2+paYKAA~!|LAkryYGQo zBft!t3uuf0IAFtG2Pnenz%l(>2Y?~=`ViXj=lM9D|LbNJ2MWOp>#s8$>R@!AD<<(Ccqa9lrKiN$Y&J*S;;t48v!dmv$t@H`|fnRul z<^a@@Kq-tOc2^C>I=a!-$LW%xUCY>A`W(!|f!#ronBbZl~`;6y-`6sx;}jD`Aj<~A~liIv6^;RZ@1k6AD96z zy@Zicbicuz!6>9?MiGTH9?(`XZI#egDQ%U})r0P@vcZ<2rqtHWVr?bAY~ z;&7>OM_t(yBWevFM>wnHEdNdRcISm`d969&{%V1eD2ad-sUnXrI57?=Tpw2z=h(GD zffP)^pZK)WyyQ<=FJxW(lx2F)b>+;r>t?-KCs>1 zL98zM?1pDayI)^F=dE+yicEB$`o8$Y%OL%mhU326k}N#_BmKf5<6?iCnm|+4QC_M< z%u8(|Plvf2&w(WqMFav3w2FOQT@R0V`aplgtNZB+?d0VKp@yomMKyO9w${}?H5U4W zjEXU%qPA@jD9uPuTPH$(CT>{0QnTkqoYh2O1~YH>`uCn&>>MVRdrgzHY%qd+pAxD(@_H z6eg6Z@FfXW#&}B}BbwiJxw74Pm-n7}?y4ejSN`YYt$Iu5_8-~0`0jbP-7`XnF8`60 ze9fo6<#>I|3D`c-NxDM({#hUWyY^F$&H7dq#!Cv$@;c!$ zwWKW@|Lb$%3b88Vsa!R7m0{ano!ep}LHsm+J1!9Ua^+{y(YAPN)n6vR%2tdV_>w>S zQ{L)PziZ=(Kv@9md3yNn3a{tQk-mFxPdbD~ElyMK!DK4M0yPkM~Le)+_%Z}DM@Pm&{|6r0PpjN$p*>7hK~ zq0_c4JILtLV&`d-7q9wo?)akj-+ug#U287!r1le6f!&s=6%{29$PWH*v6?HCWS-fs z=Rak?X4YE(zDK=5RIXuW&fa^0`W1UG}7=T%`Vjsbut#6$;@xbNVyJBpht=(wo*gFW=?O7KJZQRX*e? zJc|h-UO#dZCKsRDrTN_1=tJ1i9r_h}bnAQ#rhU^dIN0EzKb?$9oZ90~qy>8S{3x|* z&4>vm=QljnmHkwsk$!$^!m-^%C2x^T)}$XbY4a{vbM0Lr@XY2#?EExu)2(72o4K4- z2gpN{(%4N!VLNr-+o*myu+Q37KJ}7qSIMnVrE|L`2CiF77P10ur^LFgpZ&ve#gDCX zM|Pd5GlB_z~sN{4MK8yj7}>^)SphXx^Ua zMQj#j?OAza-3*aF2YLRpC|)isb~1i^HXbhj#dvHy&=ax0AJ1$2pE51&S zughO1nyBz8HE6uM`nxSNS@n)B`T8ZX$81NqtrtGFhnOwv+-o^GJu@-EzPH40{HWie zpY~f$Jn3ZVtaLBcuA_q7D^FZFiQ((&bz%=+SDBvV=NE~Se%BaC4Zgdj#8bavDVaJ; z?6i~px2KQW?yE|&4sI|rUf)xkzM4o$NnDRkH<&>rlvnll@Lf9;g_`4I=X=yBexqk7F z%X{pdl*%$K+elqbnsIsNrhCUWtN+-e{!U|3d(N&8IrhytaToO;=bw-wWZDwpsG!{+ z%Wem)%s8r>xqa?n%$JiIm$%Fk$(z?0@`RsyM!&WtzdyZsY})yBui|9cG3h(BKc6~kWzg*BDEF}P z#iPBGB=d=x5)nvH6V00^%JbP*q(50lSw=M5Q17R^$>P-m$DI{O%5i(+uTBQP-8ZgX z{>x|Iio5Z?0UCJ;mQ~lzh3G#?uqDkop)pq9+HXzf9wGWtZ}w|d!pHsCL~UyJ6@O>7 zTYDCeL>IHU{Ts*DXiSlqIxhLNr&w=mO|FrGLP0|6`CYZ4F63iU&`Ce3-bN=Kef6o) z>t|oxZm?x*^@;sIvTsJ76mNP=%!EaLcJEv^C3CN?_WZOX&cD(kPq_&`uX9$OGEwHv z4qGCUot~Ge(^Rmy$Myj2M zHjO$N=<7A(eyl-Nc3#2$v0UW-dL30&M#!;M73F0$F>~c!?caIm;#PhsW^7#g^C(p< zvbd|~=lCCizB@<#<{o@tvS4hapMUg)d6WD7c5kwnb0d_vN{$*eWo(qUennh(#Hs1y zghITW-0ivgyAJOQyd5jsNGf*eXu*V&bK79Gwwb-Cko5iZ#xYad>RK+JITd|#l`xUG zxM$byb*~d6G{%Zfn3B4CH&5l0#{~wgk7qX;ZrbpCKiSxMRBxV4go=3NbpH0DMK7++ zxqmUxO*Ah-{asaFz9S&d1Vec~#^ojQ&z#n8u;9Dmr}RAI>`D2|3nqQP2Kdw0kTu*f z(K(fu%$#+ayWVqEx*lAdbNfo1?U#o06GTVxJ$EL~CZArU-gNxgcXx)wx2`MvZ{z18 z?chL7s!fiYihSiVGP+uwzoF`iM1SFu(cfMtNW2Z@s=aq@-|Nc<2Or;w_ZmUUZ_OBd z{Uk$m+)umtvXi(RN0%+BNeZMOjW}M%%hb{0YgzOYWx4&ITn`Gy7hzslP5C=e|Mt`Z9q_x@ya2Jtbb-zh3a! zYcG;{*L8u8iS6i|;^TS-rd!A5Bw3A-nz!^Di3nL!|KM8Gk~pvVk20?$uCFgS((}A5 z*OAd2H(gdnrj}S4h=)gbyK24buiEXf_vqFSkHcjpettgnyFGKdob>dGB=2T0UrTn_ z*1R*DYEpvz%qpWVC@9EJ5D_oYqr$aZTDu1+f^61Rnw#J$jZgwKK(#s2o?(a|0rrT^B<+9>dH$1O;8@NcZD`O$F_ zb$hHWEy?(-n7uO;6}6|{e-yEHt+A!dokZu~pK7Yl%8$Ii!_M54NT=R${soTl?5y!M z=0+Mzzw9v`;AK4MlpaHFrVPtV zhRKm(aD+Um{5NuB7#!jM`00bcU4;mRG%3v^<|3?#u&UD^Z)OS$j_)(V~foYfcGyWYKqcWP#dEc`JmH;>i z{I+BMC(V>+m#)|~2bdDDSwoJ%L4cALTeNT*vrI>!C`XHELsNdoeMJEW#e2vGpfbQ@ z^`XQ_CHNQ#ZsOdKnKb?gkAoI@EkShONY!BkL#_x8DNJRG+>GYz&}0_RBlkwM6m|R z0w{n~--15`!6C4XZ(f23mvj>1xNbJ27uPX&o0d-_-AosWK|pb8Cpdz7I<1z{6_xPh zV=6YyB|*gsiVj#uFjE_~ojv+w7G@8lw4sKn-vB3QX`||9Ae|A9U4~phmM|bQ*$;n0 zFZ>A*7VvD8kc96jFLnj6^~DMH-#FI}+psU=&*140AT5=?F=LGAsZqF1i>i zVp94wnwrCfqjK1MG2&^U+#skZcB2#o*oIMm4^=pth;SXsjVX+`IkYY{kzJSqO~87K z$-CGWIQFR-f~OT#tc@1_1cwBrw8G1W%@^Tw-GWI3@j)f=K9;DezRnImL~yUASj=uX zD=;hD3-jMG@i){7rL#^Y6moK>4$upB zy>;AIcDf?^h;KwL~w zX{(@LmmFmLv~q^ML_&r-PU^Qg$Si`sp3+BuiolQ=JVptXfTmQb(nl2+SCWmY^e?bXE(CRWDm0*n8dZLrA>fXv z6oktD6a1{z39W%%LXB+PXNXWJEC33HW>UcVZ~;)$Sn?T47^-xk&;jPkb=;XK*D0fI=|LCR}s08V{c66^%!k$=iBA z0=@%y9TI(r6)Z(?!N55&qVe8^{rXVo6h^p#!0LXKfb)1ffh6G+D#Qy^%tcsDe!k8+3yzPA)WhzLLmt^QANSyE6i0x{ zBGdssE6@tDUYnPW$AEBZH^xQ)ZXTN#QRQKG+%n$#e9c?&Rw0tO@Gz|9rvd~k){FuveZQ6-u2`7<* z&3FuO7!QA(rJ`3iV}6SjhvqwzC%IX1NM!HFQy#{Z8m<==EETsV|+M{`*ZbRJvgGPS!*r zWd{}lr!8}t$%<$_H^YL06wHW8XccLrwf#L>C&yrswiv7H>(Fv%;Wc9Mg3R4Ljoi6R z<-1tljE>(vSjb<)^GbEm`sjpKusB+o>>WbmD9_PczQ2-;uwm~v&;esF08UtvhlOPu zR=JL$HT@#DK(*##|$D73OyqSb5%q+ui)*XLpJ%oJ;bXoSmPQK-4iooVw9tw0g9 zX5U83q;{J-qc6stDSc&|d!tltvz+_3axRHn_EP1;fO8*H}lHN+*7ZGA<0+`G-q48 zk0cBHkhLTu#Yd8dO%AyiIK#x;5;b!*fSo1I!R)LTIexSn|PBf^qQDS zjI~W8l49){nUqR7ibb2C0wc{&!8(hJ+F59$NqBI(OABw7MMN9Zg;D3{(lA!6k#&#t29j8k@oEsW=k4L;8q11%$- z`<>|!GGsjI5H+-m?qG5jjL$v@*pXu#J{H|7D3=i3FiEdfbl+vY4xUd9BO(}!cBn8- zUilU@@I)|Im-+5Hu2SO3NTEy5u1+D99YLK;6;HiZrGn!@?L1G&zq1ut?6?nV_aOgG z@6pV*Gj#p2dys+ev~Eo2_4A?n|BqN2RFcW`8M9`C23VlHXz@}iQJ~owS~|mM1yrwF z{#EY*yN3_8dl0Hx2tE_~KVmB+J0~yyK2;M?Y~{&+$5sd}RQG=Q+SmU-U@HW%G?ZKU zS8PQS<`kj_K!B}I`8&4a_{W?g^50@yrnC6a|RF-9$wB<5H>@%Vi)v* zn3$}`3J=>$!p2O1qX04C_&EeLY{_552<1vfAU}p1Scwk>Dqtb;xfDnF5Ef1XHqXJ$MwQsjKMV*C zC#MTkiSz!ybrlpAvDg1Wjo`%nm(AR%pr$f`JAmtGVX|{R+5lMJfNGP5a-N6WAAxj9)D&Qa>jT|;)Bpz7E z5JJadPKOz`&^PspW(3op0yTurRLYc;aCZ9>=V1cf`$bEZa`xVivytY=uu^W_=4@=> zY@``7tZCC{a5kEn&~7(^dm*HPS^>gByb(IsB*I--yWW`TOe5zpWC<@%OwPto175V+<4x z-NP3Revh_wJ$GB>$M3k^_MRse5#dRVkjfGv}-zzkG!W%690ZfYcV7CV%&}0ggKBrZ>qS==ZhzlO7Es5 zn$(uM47~5|f4=9iQP0P|kuQnas`jnQA36kDmMD`hK6L9`sBmwc$^hpPALu9KI4+MSkRg|6C24Yt}4~f)fG{!>?GyE-|ur| zD)SYp1J=v?&m0%5GVy0Eu~wR2bm~N4=!BQgwsF5s44P2>K%J{N@kr0510!!<3JWGv zn%`Y8-mrCJ=efheDe1T3=Ttm#74La^Yg$^!)MTHn2IOt1@4|*x`N@m(V^+1c_59A* zvUSe$N4a-{)@br2UXQ**9JyFk76xlne}n|Bc~MeX8Z5$kV5V=fUZE_{UxIt^7b#z> zHqvFY-i9fup>}Wc)1&uv-`f51`e}co7m0^^9^TI(``dE^KW3dgp!+H_OkP@KpwdXZ z-)yGTgszR_x_2y?JB{#qXb^wRDZ))#UM@)X5rPBAN>i+b%rMmC(FCJ(+;UN_6U`iIJE*f=q^*kAYpcP7YtW1ry9y)IM zbSB`~{)y=qr=*A26Qg>2gLjAbY+By1U9Z7PRc=C_{+dyFH*V)`>;IIp#>j|h_a1g! z^f=>ojt6gN%tFF9^36dLK~FQCMW5}LFiyHz5QF|5s=s!tj{ljhB=&ZP$(kiXo~9Eo zS}dK}zkSoZ(uz*P%edruL~P=Ch0&2N7L1=ucwk0$%Hu%pMzWq?Ej8vzcydbgY4x zkmS6M2W?4VH%Vbrh>^+9{AVAS1()(%)U2JoCO@k>qP*I4r|6@H2gH7mxAjil*~TB= zR6pPSu4;En$(@)*X}+`4!`y5JY}fOJ9wa7eedj#p-wL)id}plk_3-|!YVR%O$~ESR zbqBmp-Bu7tOeQ~=CsedxeE-`se61PtLqv)Rn@& znzM9g3H#X@8_rEXqWd`ZY>-3a<&!e~q`Ud$X61S5XTy>sWd-`ZmWuax?VTfaYp2QD zc^Qs+F9XSDomKToaWgt=pBJeNG}}*?o341r!Q}nra~Hyu8p?LgBva=py*%MDqous; z#dZq@#29HKl_c$K#MUKy)1No zdKtgcBl7)i$A8qH{aKZtxsUfls^TOu*>V-pa^)XoQOoZfogGBhuTuJX)J*)8?fNxi zqeHel3p=<&DPh0v^V^YOmX+zQFUf`7mTGrGZS&l;G(V1?wUbTGfubp zs?w8r_~+tAdyr?9OWdbrk+BbtEPo>P=15hb{=}Sdl`i+)lmwD~#?0CyRB=xCwz(|v z(-Z!FXY7lsV)uM^DxO;MPW@fNUd5Qr3$JXDe1AbrDkgcf2va$O$F@`%E}Jx}a#~0F#QNKT z_8-q5`FbZla7X!JqH|;2)NwzYWqUUXbhp3UJVEq=uGC=5s)od0q1&|-!X^@?6L($a zsnJ=1zfNe0R!IjN-&1%UY|*wuqr{Z2C|uNw%np8i-cm7H`n|<#6u4^4Wa0&@uDbM^ z%M>i=(Cw|vsRRK4N8oRD1;fSc? zW26M!&_m0 zlf#rZ(~~VOkIr>d37y)hS9#Jz*q9XhHm&cEUG3a(?vu4-X<)k*&-&YDV|r~G!nh9` z&i;CU@U(6Jnsv;sX06b1;kx34X;JQdma^Yo=w+QAXFZYiT!oz0sL&o$pQU=kPa(nI zV)6Z{{Z}Xbcon}m?c|1g{#L7A6OHeu9_*UO9o2n#=GMt zHo17x<~o}mjo?LB>h@2mA_^A0)-hTG2{Kj#j>(HZ7p^+5S}IiIr}uJJUqDN+)<|+| z+%u1NSGTYDv{|u1zU|5(+1)~^Lb*p2i@BpzLo~nNChN{Ef4BPQy$h-*l|CB_76mUk zJ-=}^5#OTgNz4Pf-_M* z^gZRP$5OuMlP;=Xm{5M&Fn4~}nwOUksHM#PYTcb5L^ftjZ?$TRv7O@2Rj8ciZnYrl z*PU6Rn`eI8nBvf7D)@+8ndq(cbd|{KNU^AWg2x;!mX*)=7A*AnN&337i_44R50jk} zqCFOs-HHfXd)-Oun9($$Xr33&bw!tbrui>p=2zGOMy+p?hV4;^aG?Ako` z@UouywIK#9OXiJ_p0cFWB5GO7q6qYo81vqIJZIku;=d8}FZIW4bLQn+t9FS|Gx zCmgIbcg-V-i2BV&ugs!d-%XKsET=?!n zSHgbP?)BqS+9QdXSejt^_VSQ@%Fh=R+otW9+Y!(izB%1&3Qx(L50TBs$l`CIT?gd$ za$i5Hd2_>*gs;eX_q9r4)9f-wW>0eJpSp1J-P&#$)#P70x3nl{M>DA{d*Q%8GHbEe_n6p!^K_7`yZ?q;4AT~* z_SLYcV;Hv>rY*?8zhWoD)Crj&F)ZpB#!iN*6S8>8zhNiC)Cn=$_@AR<$RXE%!%l{& z6aKJ^e}pB&|0zIF%!vjg1|xtHAAxjnk}iVi;uKw+ri);@2%(EJbP-AyXB*VOVGO_0 za8S(u&?;rXTsYi^|Es+G+v;%F|Jo|~O};Z33>I_r_)otX4Ehg$#%F^eGyjiZ$YL?f z^T%KKCs7-KlOemV0h0r*aDv~MBSg9%qH=-aNDY!I)=tG-)60i9F2v0CK_`mc&8@GxAdc?X{+&u5xZ4Et+DU?|RH| zuSoEL~zCqQIey>qGTp7Y*FN&wySbbn5gTPCe={Jn3Jb-$oSAbOaFKYonEExCb0ing$_( zuO0Izcv}ZUY$$*`WI+DS&p0ikM(C=ZAk25M31 zT?-98rFvAL`M7(Y?Oj1_-v`J7d(!_pYRbp-d@8Ac<^!oV?M37YjsC!)1JN*7@O>#w z76DkphEha7RVHH}5DZh#rcwZGvcgcO9W6afxFO)vyxOlsgRHtG>YGlxDuO$Br? z`8NeeD5`);^Q#-ZjexKi~M{^)>h!=`|EWZXaLh5@pp@l~H@{t9@QCFZEJmCu> zVIT%zy@BLooFQt`rLjk;xTXr?695XYvoAOs&9xj4K`4!d2?dJ52RVQj4PIea=@AoB zfGXEwLNgvvHpjWbMr1@_`tZc8fB{52z{Wsp`D{Sw8?|(3)8rl;e$+V;LxD?)7QF~n z!O&^g+gHnh3j$j(PZ)(;$`PlR{=O#jjD1M-f1qsFpe^+ z>e9bzEv-k;^T*SC+Zk{|IOW)7;ORWgKvDpkz=Ogbo>1-IhXfpmP^e8nf`%2a6L6p~ zumn|t%nc|)1i&gG6lvK+iaWqjG3p%+yIKhnE;}4<0$g~6qFacMDZ1>r1#{Es2xT}M zpbbzJ$T<+%K=6?u$Vd*P2AxLCli!51fT{}&=V4!^wI4S5g*j4-xRElYw6Xxne#5BYnKm>$c8;cw+6insu z0+==rf(S;O-MEq(vM-Hwr6p{t_|cYUHRb}rSt$C^;`T&2I=>pKp;56t3kFX1}77Dm+ z##w@t4@xfp?YoTiiT%|y<^f0tYy=yrjUa^%{#;E6WT z;9Ezwz*bXB5Z6MHJ?hI*rz0#ot%XvQ7^Qie3oetxI8qo>f_CD3ZAHup@E#o3Q$S{r z;1he)g0PWIShT1I1iu)lQp*%zAdnsu7u17Z@H0v$OkgbAly^5|h8* z5V$xf!a#$K5Zgje6#%Qa{d0oyim&o{ZAFq>UFP}ymeIJav)Q{6oQ9xUX%LqwcuHzD z(ZgOoN+iBEtDq6e26w513>BKnhJcwe{C1!&M}ww3$(9vTgRkzv?*-x1%dCs8W=kad zYw?Jn93y=2Dx_8lalK_4Q$78Vg83D9QfF&?4avD+eobwW54KdFp=FFo~$>+ipF6QzG~ z6GPYpCuimlH^Gr+9Q*<22%rh1)==Zc5dPBjcl?F?37KKLGn@yG`~!b^M25U5RGf2d^Z?=%%rQ4AL}Wu!$$> z3SBTKUiLEIrp=9`vf~4OOyG(+L($8e@f?bh1K+WTm}F&c1d%OuvEE za)T!BB*xh$$s|T82n?%1uozZ@08p$3S@?R<%wB0V$OTlX%L^T>sn_RXo8B`lKJCY+ z8|O91%vym)0~%hu_?VLu(ON^llkR<9gDlZ7Dg69WxNEGE7;X45?&EkF08Lmzm2!o? z+d0Xh_iZ9ctzsLl(8UA3rWxioR~Z^jyykIyjC=T68k?4w`|>q0%n>j{w=MDIW4yLX zY7#MLem;0bkP!(ni7Fm#@yOpKD#*ZbZej>BZ909jpf{O)D>lo9kGZeUh7WrsjVG?g z6Wgx{7NM8^Ymv%gn!Ra}w1?-!o{<)BQzBkm!cd6Ly;{QPZQ+q*suuaVMCUU2x0g`t z<>~ek4x8e#y@VU*yT&8XG=NVm9pFp>W#Z=o*yn;&tRzD{rhIz|gR5D(ycpXUBip3# zBW+XhTE#AmGi@VW80K^_#m}XUp}<}+mbHyg=n#5Q>i6IkQ>~<9#6m`J!a_zSxSki( zb;2{dRVaYb29HSqEJSwFWU51;3=5?;{>iYA z|0SZvgZwWMH7VmMMKJ7M`NObqoFXhZMNlN?mX-kmg2w+PbcUM^e9#x6u`{4ZI35u` zwzh(5kvFGC^8g8LnCRZQ)CDW z8M2IMXlW1qEKaF^^xf>ldhBYHN?rZ>rn~f^5n}2AM8!4&m^?x8Ef6``#c$7cS zhE`uh;B+|9jsSK?B&Q>n)6q^ls85823y8B@|HUT4 z){an@$WWvVPI8V-gl!k0E)i}{qzpPZHW7A5DD6O`OeWQ=aKC^ z*^d^Ag0#|B8*R1IRtIf$($+iLdQV$jwAD>pA86|%ZGEDP9=h7w5b;lf!OiKGe;I{OzM2-&~n$a_f>g{eS4Y5$HGg*P5$_T)|CSFw@u5= zY`*WRFaJh||IWywbMEqvqw?l_Zy>2|oiS#Y&E4DDY z)z8n7?K2LFy|Wg17@!?U?hD-W(v{vW^jfn%-hQG*$EBVCLo(*6fWurLz7$7=ov#C% z)%)W0PJg}7>p1@1?WuQmKhfYKZ!+bh9BS5%d7UEep%}}bcT_iHn5h?X9kMZKeAPxApFHiL+PgdnuYU{?aW%kh?Bi>W1L6#jqtG0F?VC+J6;#( z%xlhB-kf{YhjlMP>LJO@%T~A@DgUG<{CnTPbjyzzntlE4@j~{W~vbfdObmU1VGi}y6|AisuYi8b; zc$d0(_W0lFGTov54x1poEw-77{E%;xXyjvPpLe0?+cjP>L5X2s;>HlvYl zsyyySOEqR9q5I*f{kAL1GHx#&J(k&FF4b>I2(#zKuKAOa_ifRcnsU&h$YMJ0D?_f{ zU9z8Rik8NcvZ;ogU)}Pb6VN4A%kpBAmOzU~`f}Il^LfTx%ADZ>4*gkPiomiOK z;2q|rbtHL%+~5Pzn@5UG<=#ej9a$MDR^&X_^GDXW7zbr8o9;VAJkd${*S7B5IR%Gw zr2HrM9hT|7=T)!s&EVeNWn9*6*T|gg5G&m$rt?e9XY$tQR7B6t3Ol-~#a^{x;{y4y zH|L3vv^CR3ndbz*oEs=TZP#NlCrh;ZQHthmpQ#sYR?T=ct(*AO#vifzWxJ$z^L*|m z&(1XGx;sH3o9lB=^3+%4do?E#vx0zibG{gi%93n~IXLIInTXep^_!d z|0}sClILqCxl`oX^isd|bIuyix@fq3)>v=C@`Q=>z3FfAO!Zr7>Q#Y~3{6IN{nO3k z1S2*oFOZ8jRn663K1(b{pD5qkp)*4yV!dw9txG4|iG;FHrcf;GO}njNI~ z#br6G%pk$`>+-HUhem8C(<^5FEX&wgdU0L6-Hg8Iu1kvpRmXImZ-}*dbk2O*2LsZ7 zSE4@Utj&u!x3<&jylEo$&W?VXbj9~qUc#8l_``F8$kIh>AjkW+ai4q){qE$t**&F@!{DR47A( z66yb1=Wx6Ce(t@G$N%^Bc(hx4?bA8??6ddU>HS>K7ZJEV=Td~da`xEIA;Si~wT4KI z$e$|zeIRLESAx3q&!!Ee`JP+j_57sCSz85@1I?_3BJHH199|(76JgC{_V?T%CMF|K zC5MEYwU+MaC_i`7sj23;lC;v~{s`MKse3%!wMb!%OLx=FqRkJFnw2DZY%;!jJdo$z zt*UH&6}emar_!T{7#~-N;ByKOz&HX^4;tcL5zxH!VfN7I!ajS?!~J# z`pPEUK1uFmgl%t&T6M>6h7{MXv3*-e_v@QvcHKGkig^2(2Si?)c46Ymk06s;|E2Oj zc5y#(?5H?>m?)l4(7bUWWsgKg^yJFi_$0%-C-t9QIuED(|Phe zsp9Vs<1a&}mfs4Wkr(N(pjMleA^EN9G_fu66yLN;|R{n*MIfg`2$>a*Hk;-yt}0*%sObhP>T%r*BEg!V4aig z%R^f}6$Wni`H;Lv;oap(=h`Uu>hR#r#HIOIFzZZ&r~WA&!7$kmPj1es$&t?Ynjx1s ziM3m#B!l=hcpBYVr6$pOEYcs7=((WykSB4_J|AH9?99PE8+%{e zx6)LdB^PBq|MB_4OLv_Y&U|?B8hKQ1*wbvGsU$PFMN{I4vBJ-n^Xo56Y`=f6P_g2i z8p`#y(~eE&3mqr%{o@wd4*~Kr+^rkBi;U_o=fujM-NAS3Dk(ayeeax~lISp=qIHJf z(%~l>o{_$q$@)3^c~tUd<#}Yar+!D%k$IZp5@$4cE-jiiS?j)9?^PXn;Wv?k?=sZo z$!LkXt)52pE%o1u=co+&t(-YWG%?(w?rPz~n+wMAL^u=IU26yUxsM-7zjA0ycf(FQ zYj>L;jn5tQm$=(8+G+#W6aSdQT134x>2P}X4BoE+io##Y=PE0n+iY*Q(nxw}?OPmc;ymR&5W6SPlo440e z;xz7FT=o2Xa)#ck*T-fN!uu`7WRXz*xeFCL#f6HjO|>*Wr^dT{XMAhlFF&lpm`oHI zac|9H{&-*4HA-0|HEOrc-SYJ53Ic<-O;rZ{E#}Tw z%8rlVZ>oNDLWTLkiRj8PYu3npv={5H%^TN}(HdrJGCnVObMMV0f3vE6Zm&qf_D%LL z(vMF1-0=2;m%h$vKUeD&Pj>~16dnlnX^P$2OOk@z*3}1j4!e0iH)Z^d-MmSW2f`+_ zHu!6i5#wL&OEMy#US7|hbRlxsVb3)SKE>@BbLP;l?Gr06d;a*wD_ifsb2h1|e$-fK zVKb`iW_kOy1xhu}mQLQ|%Pt?%o*=K$zTI7%#B6jh5svhpQ~j{+!!7f*CVgLeg}Z!~ z&nh{0xBl6l=rA&VoS~<`mY!Z)eXsPm&r;Kx-VKZym3H9pI`5?NN8YYsL|H?B-SV&X zy~p<*NI5>CyFX_2N;~tPcdJ}A6-KMxDJURzGgQ?VbY>qj6c^}j-#K??{l!bAH_U%B zPOf)w_fzb8OZFM-=*?{i3*_GCx7A0cE$!41;tA|17ov1Mu?IpZnpOB)EF@~(=P0Fcbb~#OO_Vx3kh<*pRk?v z`tie)5_LDsCMzim$5*UO9Y(i2TdVZt9iA*UsC1B0X6K3$!O(G+QaZ)MSXs7(z0LgX542Z2XDN%;llp!>QC@TFEn=*u^294L-=%Eg+#mNZj|9)MB8Na{}=;T?W348={fSokD9z`@1kx+<+Jz_p4 zE2CD5x$&Kkpj%(RM2+ohhbH_7O~XL#9dRN^cu>T2-b6yzJ1W^(@dK|eVY%&vjgUPP21)!R`9mxR@eMGwI zE1*0JL&X%z-LQ=nn5>)N3ylNbBC1!)Eac@tr!n$tHJAldnuOW96~^5lRvP&+iGDg^_GJFA^1Fs`D?SE$APL9~f#XA% zR?Zyfj~@O5REU>}8kiEWIMY!-z6#O+ZV1c`$Bf<+Fb4dd0nCM%8(=cSKa%@2dj{qH zi}4^W_}`YM2O~EFEXBT!M&o%KP%6ivralrBrco*fvauDi_A=;!xDBAcvsGL{sd`qqF-kCt2_V>VfP+{7vLoph!-@Uu?vS zqmT=f356?EC|A(fhde++V;OZ<2%Pj&QMrZZA9b%5)a6n4qCyiS69{3Re=xz1p#+7A z-D;T1jvS+D5?%LmBOPF(ue)?;i-{V+yn41+fX=JOp~7*7qCl00nm{a}A$d&lW2s01 z?tK6<$W2o(1LzRH5Dc?-?MZ3J(tpI zG&tf}QgHjnxEm2=@F1`eU}`?f_ckbaLrCFbfZ5sSQSpNagA`N8gyiS@dDtsQ9p8EF%E57O4N8D;SVr}$^|Tt9ilrZ zQnCp2%4NhIVNN-zNCzG>>g(e(bb30SVb3;UfE=-$P7NX9#F4GSh~2Q5(nm*qk@f(6 z1wJ_%;DX954qgl7FP7Ti6DSyiD-Qc%2Gr-yiC|1B?|m$EW)CiB%wj)++OMq=alv&_ zfBlHB87}F2NFmWhlm|QpOOXsyk{*nhkCENrMgWh|$?LE$hKw=@EqFb&SsY3b$T+Jb5Lm{DsS_Z^WZ;U{YPOq&wQDo9cn-!A; z+seSC=*Va z#7RYUG^kj^&QW^_{{>T{*BE1$qYgQ}&kghm>@YsdCkg<{0DA;C2hRBg3hZbc_%(1c zQ;!Lz@8^UevudEWFm|I6j0d-nUNM=bCeVY6y}1zQxm1-0W##~iR^dvwn=J#jZ&2M1I)qArpn5w+XVsfp~H{+8ub}Yq%sJL7_u84WlA2E+-(< z29@o!Ac%}QALJpA2WKee@0(8<#vZTmu>M*YT}(9u-Cz0cN9j4UA@{>VRWFX3dfYT7ZJJ0VP}#d{=3R;NAk3Z4`_uF;I+Pluwj`HUpRv z+&}t?7zPrzBI608Kvd`jLgR>}duz_cQM$1G4t{bjrQlG6hHDAu9K+FGfh3@OF4%^1 z8AqQEX&yLskdXvHT0zY)t^iXQuW?JF$z8CLP<93o3>+5x%~X{$U6R035(zSWpbi$S zrEIJR;Yl!g&{ItB zK=Oi9jNXnK5X1x$k>C=9kOL$Q@WvIOT<8TeA30O>?P|i%Ae;OGD-L6)h}s{(2w%Isj=8B6c2K4y?p08#*#I2uXCaw)Sq9Du(qr+7y_YkIxE4`^aKE01@L{1u8prkDh8C0P4?2%s)w1%ObK@M!f2@>`w+z25Hg#(oaL6CU~g6D#*2B~pU-RYK$m+6+cO<@28u6YJm2gioB zN=p=@QMeGyf7Zb55K5%9&v+NdAYIKyD3T z8$*5}6LHeNj3HD(0;UECh9{xM5HJ|j7;Co2g+d zNWKUDikUe<*bb6XqX)la5o`qsbP;R?N#V?*`Gg}4VQ06TT=~2~>N>+a=sIW&xLtH;1A!etCcw0ysau97J{)@ygm&1Au<*g4 z`Pe*I1gRZpCCOv^oZ^Mp_peb?yol`Gk z9nu%94+LXf=_Fd^#%OhH#+Dd~1gs-AMuchc3Z1*S(5aB6YA?cAg#B`Q4=7;-GFlif z8s$Wo2c+@1sh0sMSmLqt`4~!Q(5GW`1k(T-l~Y*USq4hviw~F)8K^WsM>NnFn;g3E z#)7GHvr)*(K9>te=6*13;QWqfH)xU%8!0(LfP(1U3Zxznw0NRGm`qH|Wvs;a9$+Di z>vR#`s0v!6ndxrd&u9S#19HRkX>dQ}9^gN8L47p3?7qwpz*la@Wya%X;mc|LrI#5z zSeb~<2cR(eSxDe%&M3yhDXWQ* zz<7vnQV9(1CgB98XO~k}Ndj}mHTE}VXbX21^NtCA^m7YOR(e9&A72cK&@9G<%q+&M z&@87Orc82Z4|85AS`E&jJq&)VimuuzmEcH|x{ z;8#C=_Pq8bEp#`E!XonSFQGOKjQ>%Ju%Hy7aK}FhMNlzk!ydGB{uiYP*8h@GgP(^^ zgg%Uob)Z2LY5-`!Kfw`+@UzV&_8gfA?=P7M=K=qt5@7=&lrLfb{Qqb~*qgWgJ*x&V z2zH@@trFqzB7e`T`2~jjuS^6&5U?fxY7=4eAl!e-s`-mggtPT8LXltO2#g}Xc@gjw z$aUcYuHnR1Ss48?iu{(3fJg%6fmE5_K9S!K$p_O;eZ;J>A9cjQXUyRR`C}A+UN;(;$ZM^@W6Jhyn z^T);rY-B$Vm5F5PGai8vVSWD6g-r~04?S9c5sI*IvCtFw?4N}qESQF9=U;>(EZ=S0 zX(vZ0f;az=zCj3oIB$?NuuNH&9+fEU&C#Q~B)t(Oe-g4)8(XyEWkshm;N=G|Q&5p~wdw%X%E)u$S;i@T@l0y1w zZr9$o*BKSJT<&YhY|$UyMl)0g9C$wvtKskSH$9K_>&QM3u)ZxVlLD3wd#3Kw(&KcKQ#QH{iiSo zE5k~MB|Z3`rOJhAQhE5}O!bDISHyRqp}0WzLfq<(Pd(ywo*NbO4+lr-JuKfpR_bR* zmfAGZ6}8#QcJzsiV2OdBeAz18PwZB&SUu&<^NRJpQOC9l^OA4-0{0#apP;4nsOWx0 z@mh2JtJw$lZ||-tR^#Fy{d0>N={5WDyfj0p=FsQ;%cn_hQ|AxXmHhU6;io(L?FC1J z+fzt@NY&ZA%n8*6vs&|aIKMp_C2>73Y5&-}SC#|2jZG}RWW`K-{jQr? z91*|bTU~WajE49n7aKdHx&Y$X<1$-3BHjUnd&oS$6teHHbUY~J)OhxLB6={aUwyAVh?EOgg zIaeKW(3RRI)v@l!)gya;798Y0>FZ#4-pTm3m+ttV8wk(4^;@A=JAk<+Lm>&+UsuAQlTb>-YnKtiVmsdtg%DmWUWjbFrIcmk7TV<8o$@l7`qeQ;7rHvAJV`;E>{M|XD z-mFw&az9(E(!ODy?pzUaJaQ{<&repvI#n)DgNagg^QAi1jOuxm_&vw{#eog8TF8tq z=cVq3nN18<`!er!!G61^KE}x^AIkT?^;3gH4FJ$-F_cj8}h4JdwQpT4g2d--m_7go2v2Ee)waO_SpPqS3T;>bF<0(tU#HV4f+aK6gu{`rui=Evk<$; zb!(%1PFLRI#Cac15%U5UF?Yq*%QiQBM%xKIE!$PIdr6*!Tu%=Ft?`moQ&g%w%xB6Y=i(aiG^Ukk$B$%5naxowBP%n$t-ICa~w@`Lslw7*(B z+NRkt^+wdk00SZNYF1&`=N)qeJ61Ma)~?vSKxVJtg%O*ySRIZXH)b7OCPv;kc3)RN zyn0lvPPWZ`)w-k3?dv4tdoKDJUlf0_^%FJcDqjeb-s5F$RHgDM;9j!VjAHWh%$UCF zi(X%=_IDJ85liNB=_0`!>nD{+-ZZ_seZJC5gVCSur%H9+8vm($CU*mQ7|(wztoFz@ z+c7~CS{HXePG5J~Q|FA&f>|;V>I^H9XCybEHqzqSl9An&)9%LhrfrhXwyD6`gaAc(G(0)`C{9CW|vNi`2B_DF_v1XqwXvy2_y!>o^LKrEeu>X zHnj7C{60N_XNxbp-c)>*y|G!Jwf*H`Vlwi`u$LaM)3=z`Em5jaXFSYO+T$v*V?Oix z+!U|D^~$8>jH<6!biKa7N7Ig9sfp8=%Vn8{C)b@5^W!)lbsI3Hck4pO78p9 zh}uZAhe!SGrXIEs+oUYedv9jjc}%}g-K-p@(5ly2dNT4`ZhY6}l$}e?84TY%?Ab~G z#?6aQ8I#dJUM*q156}|qcw@_IaZw!h?Wule$(+jlE;_lIeJ)?ggVgA+ao(Rj6zdMR zFX`L$&ttZ&v%hRJ`W2eVVSIqwv$Lt&cWNJLx)8p6REh zcz_tn^gK{3itaybH}1Rbx2v)#c9VFVK9-DmS#l|x73K1r_?v1=N6GT+ko)>LXL#J| zE9z}$y`L}S^A)(0?#BwZ?jeC@%1r;d#F58b$F!{~i5_*xVY67YsEC46KVKx6GAH^0Hj27;mPT6S!gJxDaDaa(1JE z(#v@brQ`0NPx83!EaNhGGhyq8eO~V(T#P5gV#0Ln6jn>w{5KhV$2KjM^_Ti!*;kc+ zgqgJC#|Aa7*UQ>+h;(|ix8cQUU7jr$b}za(s@044)fumLgF&y(@~oYFJI%9tg@3! zisR=bds-}JY&0XPr+kdZz1IC%M5+^gG>*6_9}RLe5ewB9-nD$nn6AyUiDHyS@0;@@ zZXJ?+Utd?D`6`#cAbQi%3(Leqr}k7FUJ!YlqLXCZ zqumy1+@eLEZ!Gbd$(8snUc*=Je#AKEo~UOL^JVYI*YB&3(q%+kBTE?iuM*v5w@v=K zPQLx}rV=|6HS>FIY)g#!ov;n_d+Q%MLi$l7MR zoJj)RG|ze&&tm#m%f&j)ktosl8Q+%Yx43H+xvN$kZrM*PCw+6AB`NY${bR1cS&zxj zr?+Ma-3arL4>#sF>AiGdDao~&5+L$8X~SJV-9(j#!P6qfeO&zI;?DVLONBNHUO%*s zoHpX|EF+BRoI?c%hx4>h`S!-hxuNF6>J>Bf81Tf|H4-4&v; zb>e}BJ-S?bU&ar2pVW27=JIwSyD_b0Yp)zIyO9+WO0@Qwiux^|AbM>g-#PxfDWR*& z4m-Tb3v``)&|>s{+u73O+L*e--I;ESWe>Ye$*>;BS3a>iwl&LDyLIM^_>Qm{-Q;Xv zyn92O)xt@6F4mR)bEP{?+jE5C&F75T!dohp*DU!--ehri{U zo!YWu!8eCVZ5CrblbV2+q3YoV65V;deM88_5OG07hW!(AF+^Mt^+`iIkRjw^h`1pC zjGhdUC&XjpKcOc>#V z6b8wv`sBY;BC5YA5iv@MF!AqCJ9mN$|9u*It{g_s(8`Hr-1O0Z14N({fUO_$gM$5l zA0V7(t3crJ4@ON56VC}snyP##5+cE#O6#f1|2xben^8wN29HY4UCdLRHwr~uOu#0vEI?zAQd4vBv27O9*cN+R>M%GT=Y>3(w*-k27EtY1 zp%SA{6Alidz=#UR(QT-IwU(w~&hJdA&U?`FLwQc?!UhT`cWW-3Bn>1ca z@G(_`&HbpK8ET4U=@9KxsY8ereC_y2;t26Mq72*?!G1tX%Bno|*Au(}yP#yU!(uw> zd?>KlL4;pZfFhCnz>7-9`2yz#Q5KO@bpwJ6FLi?Sp zAZr~$<8}6O3hjx$P``Y>kK(i*p_Rie%hd$hNO?Mvf~b&VzxzU^!8%%5o&@O!!V&<} zzyOc14Ox_Xqg)Kf{&;?#(k!%O4Z;A0?bMxXriqg;4|BpCG4;F#{taqyM?u?^T2L}* ztqL_$66FemLfs{ZH#CrjkQRs}hn{N84K`BY!sqF|f=q#_APcezs6Ec^b2n6E)?bVF z#*u499DpUtjD(gy^$9A7u^S9g+2u)IWNGT14ftqu8C#bw# zIlRRLU{5hEdy5djG2jkldT21n0;NH=w|=4^jwGsH;+^-h*;0gFcEG_nl#Owm)lhXy z@T<%CWjKU18v;bdBk&1^1mFqGEh{Hu#&mihN{_fS*xn1o zr)*w^w{nx9bPF%fJ#apH^k_hXqQX;ujWP_3Ly=kxS8*5@9Y<{IrIjd>9I^GfJKqyDVuGK>-1P%yQc)E4f6cHrSAYVh5R=wlAkPZSoXeUi0 z07l?usDKX-S_4f<9NUbLUl0)_7{UmLg7XD_4Wu6kAn_%T&RwSoN~nRdQ2~r<;!a0Ry<4}>nzWE}RP#n?MpsE*T@K!KZ}(2rE5wKJ#`C<+(+cVIby#E_Da21|;}S3*a@ zMYviKaJ71x*hk<&0B4wR0&S+^kjg9)LRC981%O8o_d>&WrqWV7G*TENq1Zu67AznX z%3x=h&;joaC^zt7^s`3V)i+X;k6aX0Zj5OlT!y_X&)7xL5uiq>xHBN)5!s3z!kYu= zG7`51+q0wv9uef^e4-IN^W>;b#A6X-%!iUN*={jitev`b04F^>73qjtH2gN3 z5cEOG9cmithG}R$bx}|UjiyLDqG%BCg^U&q9pky*5R~mLv=Da!ask8yGD|isr%4-B z;RN4O!9KD9mW8HUFksEZ;0&J$><6@p51gpu-ic6$dH5e{9c2n2YPgSrwxPn$R~$!0 z$6bI37=S1RgVY#6ggKG89UuXOhOI&GfIAvSq_-u6!x+&aaPb5vV}LG!7u zYeVcPL5X^PO%9PEHhXH{Mp zKHLEpq}K7bKr8``$S>$+@bxuhU`-N&#%k9(9qDfV$N4ePLcn}szmQP;k#x z@?6G2kImB1n_eq?Ic!%An5I=zf*Qy|C9VeKwo!Rk^%)35)CDkl1Nfr9G9ZRYgCL=W zdTQ7ydidQ10}?Jsycz^PlotEK0@!NTz<-53#^W~@rx^s;k=w#?U}55cAqvtglyx(Z zUPVb00{ASBcN6t=-OjXiAvNd0!b}vxn1E@pDUM8~J0ik9H9l{L_ zmvJhLS)%VNGJ@8z!7FeQ0Mlr|ixEyHx(n(8c-IsJ{d^~zj@W%q_%X`hdPVS)iyx!h z?ve96VuDqV-T2{@Ki!TA^ni?(t+2b8B&jtA_Nr| z?hFDRRK;~I5lL!Js|m7mK7yH}YzVcT;P)$vCDI`TFdUBPNWLcAJ`v3YxfoC}G6*RZ zo1!c|?lO4{rAnw4?lNGL34k-%zZ)U34!`o@_p=;ZIr1E235;=#*KyTqA(V_wr-0C* z3NjX0E&{j_WLwIPwHV?a_hyln`6}@_8#dq-~Q4B8vVq^N8=^ugoKyu?Q%_ zYtwupoXr-m&@&Wvgq{-MFf33;Xzm$-CIBNe&0e4hxCqTL>G+YGhS&!-sDz%#VW54~ z&Q84?x*3h`Z3Dry!Cf>T(MV3TD@-Ux!f7*e$_4fVXc!XKjw*z14!y-_$h-x(;}#vPH zjZOqI6w{5ut11GS`^3VhFbcz`AUw$d{mdj1KBaYRAQ3w}MRV#jZPbS6%+=M?H-Nyw zc*&pASjdniWaB?sLiQqH_(0sDf478OCpZ5ExcHrPL;kOp5L2>z<*L=@Yu5g2(hUg? zp;0&glypNgVb120f3bu-tEqiaN4*fFspW0kyAMN2H{bszU=fp$l$IGKi=-RMeyD&a zn55Cb%TbDe^ziTm0)mP;cYFeJWzb+DQ--c1y5{`{+F<9&&<)x%XVG;;*VsGI#$UuD zSZ8O*&<*VT82S^CiqLg-atxd1;PwF*@NYU1N`!EK1Rd~iG7*}CBoVMhf0KdG?kKi~snIf&7+<{H=RPCc@@Tem{ChCi0hVs6>7tBy5=oeRN1C z>>hZ#f6tgbDbMLPME5(XH;jX! z9J+>4^s7VocgHl^!F&U-V;6(LO%V?s%6{I{a z5fPVSjG(Jxbc1-k_Ww^%g!w3*=d#bzujV7}jeVA8E$$=xVrqTV;sI-cmu6D^_1DR~ zkC(&?_t{7Ws(o7U_PCE$Ab+*$t$>AN!=$=AB;P!)BnEv?mhkkxsWa(%Y}HwM#P+)W z@Nn||Wr|p=RrLm!`7$KlQ0}UQ8rN;(=hs%>&)K%-wt-kUPtA#)U)@NCLc_Tr^3zTB zKwF0Al>FYqpES$e$Av%G-ZAk__~Iggle0pnemzg7`sh9#-H^0X#$iPI;9W1}8^a#& zdY$6BaB!n$lm3r_XrdFM-e4&3v?!!DUU$#~!ClE z_n!O>$9xN!r?DNe7ME6v+}fOP(lzVq8Y1;TC4bRgsf#<5?W#OZNIp8WDtCd<64c?P zUB6`Y`b;JLRSKCdHw=5P>?)h{)Bb710`c`Nm$WWLnvxJ!!N_QBBHV2Mt=j6zF*p4y z)A}MM8n1_a_tw5ROQ7SfR{VB9mw z2sLx44RlcPp%2=z-RsXH}%c!{zN8aDn zm=&^7BUt`?`=LeB7x*i8RgrD;g)2yj{Tb(pUHQy3r`zj>Rc^0#5cbvj`DkzRA&(jo zuvn&a%vsNxz_|h?a+kM1bXR?wC!Aq%dH#>8kP^K&lgJ7C32*0|Gdm)9Zj*7=%;6DI zZ;E2x+De7+Jjz;Itl4Np%7RuY9^x(4h}La8##_+ioZ8D`gZYD(y&yKz zS!KF8fg=JWb=lJ z-G!35wl&+dxNeV4TTf~o#y*LAw|+v@z?IDgCnqs?49gDiQtK$7vk zK!y3jhzJX}`{|9@p)*eI{h}YYXZJtIj%bM^CQ15S-Bsf%4z`4>*5b0^DiTk&Q0}+r zxha2XkMry>F;c8}ezVPjoTZw1<}+kIdC7d*DaZfu-t3xOjk{4cOUliO!oE?TuJ0F! zvuX5Idv{Io+&;mhZW`hzMtAysyI&9`8cE!F_L@%~o+A0er8aquLd=)b+L(2_@a=~A z)n>}Q%|3PH#H>)=pOr2TPFTIVlDR`E#ardzj-|Svjm3(TdfpV<+#&G`_gkrcKO_4g zgXiu6XYma>-HSh+4K^$Y)(+9;`JCNHF79Z+UsYKyBWh=Vf0`fFlW2d%PE+`a!sT6E zM;+xqFDC7$Sb6^Dl9u&}E$))dsob$vS4@TKbNY7ceC0-E5p%wnklbZg)$4LLKUwe-<`7M;4MlFR3`8I75w+&sQ5&tv zA4Tqael#EPEJgm{+IKeUT(3M|rW#+`?`+b(R<*`{=_qC#d2>iH)Iy|T|GSf`vp4AR zB{XMS6z{d2@l0zVm+x_m>u9ob8Lz`6R$KPZf=$gZ7OTY?DI~1XQF}Fy@p|?6ukX$f znMZ}<=?-m>)t&Wz%1Mw6hN4HyZaKhxGjAW^3r2&JFgMUObYcKdFs&2vP-8@*EZdc8~yd^Wn$KHGn84n+qPrLn9Jk(?&n+H zKA?Mcr;YqIxhlVV8NoJ0V%zc`4(iOBh>mmakK?zP@wtqvp71Jt$LtJC#fT*%dP$x@ zpJE|6wbMkS-=xgU@|i7jQo1Mf z{pE0rS8AX4v+ku4>-S5>Ka_7M3>FKRRW9;i&(eoNmsVt1N!EwAX0ASDBu4JIUUe9? zYpJ5dbM3A(QcusOt}b-ZjMVI`^ttB0__XsF@-gSrzDeH0OOJXz44x6EEA{#6lpk%j z@&lh=T94}R9Nj}o^EF#;>b<%QcSLfdD#da+@tff zs`Qe}&yK`NvgF72A`@N4E}vyHzwUOMUU5G6m}~pXbTyIBFZT*J9v$|E+`H)X zPqjztUDuOmo%9g!oz#BUyF75LAJO4$JUDpTB-#A?MeAc4O_Sdovid&z-sbZrquzx* z37!!^Mwf&eM$6~C*f8pe=V_w>gEt9lg)P3H@7yt^{rFQiHGlH+wB5j*ioiQMgT+zp zTgS!8x82E;%k$$q8@zUIv4>eL**0&)m$l3W>yOuyU2o~XT~V`d?UGx1tT2Iw(j1{( zi*68o8OAH~`zacSO_hZLyU(k~Efag^T)BhKRry_>+5yuiWaRA)ih*yU#BC(oiVb6y zzSi!(6~b#VEUq!#XMXwcr&~#Ntey7rN$-On?KP6j>dMs1a+v%z?1r0wIkR-H`g(~| zgpsvE_M+hR4cF~b(}u{4A@G7+yfh^J_$TKFsd@fSkx=;9Pz zoTiI2ba9q0^68?0F3!(bV8xEAArW+v?JLT*(DzG=9CVH?YBrdsUIDKInJt5O8H5R-C{=uHI|?R{ z4n(;uP#i=q01b&ibWI+L^qBFESQc7xsm$8#sE7I{%Fm{lB3w#fvX~_W&;V86@sOi{ zdBGg}`v|9j-{Cb1+QbwA4dqlzfv^_5l(7nA@u)TGpg4#^KYaCg>9j!9`+6SVyh)P$ z*WjR2djxd}AjF1U4@^PTR2o&oa7V&1Q^1$O|Mu2Ve_Ac2*uq>q_yVjE<5&b~0LX|K z_P!G4fjM#r1vsz?v*l6cN2M7B=yU>n1!)&lTS59E)8G_qAfmv0_uHAf?GX9Wk8lr^ z?P;wSB*<{g;SYDv8;wHie#Bic>j-L8Yym??2#WcX?Ac^G3C99lz$|IZ4+q->KpsIgQ1fz2!!7fwaH;!Ldr$^;P( zvSv^+nq>#P8={G{^lD;F@qlINtq!wWEQw4@IQ-CGQ0sT%M@W8GOCI|(Kd!o z9Y?h-(HAgBTQLgB+9*5Y8Rv|`%=R$)_NveBAx>~sJTKU{0cM)lv>dE~r^pIKk+=&s z1)v?|NA1PKTTLgTV2AoB5?bh$NOd5W5XnMC4I~B50I)nz7*OcyGKH>&q0Wy|8Ljii zZzU@x{r1lwTY#qK2)EzMi*(e7-sdtxrX~~W2f>F1K!$<{wBE+H0u8_lgp3H$Pvrhd z_+gM^g7gK<@Wy0zOy5UQ8I^cTWJWwaPY$9KC<0Juw=E(zun(A6k5n64$5&w1-#qG1 zP_afJ3qE9ZA!?+spAXFG8ek}ZOpkC%7!gDX-2#>|Q>Gh1N;GzfT7hWf3d*J%K*f-z zn^@ODW(zKWB{b^?FFqS(O>jrFG+#a(?uH{y8 z0Gk2H!BvFR9=50g@f`@_VAs7dn;+0eeG$D*zyh2I1{%`)lmLN)M+6W+pyf;{03;{? zEBwx80-6@cZv&UX)3Z26nPFTsgUi;23}AfTz@hypSn$4*5@ewJpbDbenG0hMfuy1C zoSkc?Q+EwUZ^TNV2EG=98D$*ONg?2tPS^32x!mffEqw1MDL3CVFsix~2u%IPQ=GVg^@1AxJkB z#FtSk!*F(J6uZ3b^p-#|v&ocGX{U;rdpVH~6lB4`kFgt|097MF8j%x$d=y}AaPv$P zZNHrbzDMCF#7$dPk*N}$4^HoKTSOV6G#WAl+~OckH~RVD^Oq-sh}r_$BG&mhAHy^< zT;N0i2=Vplc{+CRG?UVd5F409YN-7K7z&OxFccP!5gQ0A&&WJRkcou5LPdIzEd*S( z^fI4JM`9cZ^7F-|!<&hz#&CMJI?f*pEaK>P_+$Xq;08or4r++00tkJ|3wJ;~+zUqm z97#?%<*&@6}Gk~)kF%O{JnLtzY+SdF*9zJZj(dv-X3lpdg?KuXTRVFLkG zS;lUpxj=W4h&u`ndLG%c9vO7?G>!&0Wk^Ke$N5P`V$=jeISOJ(@3)A?!EW5ogX(J> z4iEa3PGfW+s~7Q9pk`8cuwA63?tjU-_j5{1{P{q zE0w`uxOjjFDGUg9yIE62KR67s#{8&pm@6OvXmOY2Oc>Q7p2AuOtrnYc!>?a(n&4`} zu!;c-vM=e*M`y@Bj5Pm51+YCKsJN4i3G8A$#}ncRR|UIV|H~5sO^6sfTIKJM0CGA| zc&@XKFNwUW60z!qJP2sI~rG;urX(7eS4EsLGEWI3SIlp)x;Kki!x!%Fbc2H4#On z{a1yb%?ZRvpj`hi6@CGjM3zI8@sBlrW^Vv~66nmIYy9i+`n1N+LXF?ngs~#fM1U6g z*$R>rym*lwUf%2lGA}yV@Qt9Y37-lD3}7DtjIrU%RuBe}!+yI=0!{e;fOv2qkK?G$ zufR(*yJ1ZTYc%qOf7uf@Uhu^Soig~5EAu!8lm@Ja02uin_la#Xw-BX%>P1Z$$$&Z3 zO!$8LPXJVW2XHck{h-6yww*tOpJP$U0e{2i&332kmt=D*EVxoAzwyD7!}nmXGztOU zJX(!~cOUj=2nb0DJe7r zt96(9%@tAJ4O>cK+na7b%2&veGljOmQb-P0KhcTaCZAOQpD z!|0L(#_bR)tw>-T!1t*k2^3}sfgyvLqJ)leG+f&q5?F#U;vq)N^RwtCR{t(8zz3P> zMvQwQMl1+$?E9IAM%ni>w9#El;fAy(VLT8fkU$eG7|mE^EOVe8%qw##%h1S67ZUx& zxeSFy@mz)me)3U>5tJwnMgTStM)TUf)!qtTlM}!nj2sde&W&ohfpDheIvBBYZ&MoDB3O%;#2(-2IGHkP!HB%l;En${SBidCS|_ zIJzI+j)62Ri~AWJ*v`1nDAHeUkknuiTsTs zRxNz|jl3cZ%@#R+#)lRjenw%72tOkOtwu?a7A^iXk?hnm{_Ir7luRs{{W~E@k*lg2E!hMSt~bO`HBJss%_5r|%Dq2hO@*+nzkD`P(*}KePwD2TqsY+nm_04x9;P z>;^t|H2zR}tBKXIJq>`qqno_)Y0lGsQ^NGGF|Bj{+x|HK(x zRM5f2&5P~goNldYY#swAV3|JC${LSZ-^SR-@(X3q}}v{x1ik^IXK-p zwEH#MZ{K56Le7t?>}4-CGx^nXD&xH|pcLpuV(a z;$_UebA!51ZXD~)e^>nhiL_JPq0p@JJTG!x;o9+g<=@{pFp#>XHO_hIW0T1Qo6_D2B|T>M!ZHhF3j~}Iw&=tpIPA5Z}9z`(>wh>WJlls&qPTQJoT*qJePHb_@F?cqG)Fo|~TCZ3ob=7@Fi}r_nkyOiZw-s_$ zo?0&bGU3}9mm6feF4vj9kjbIypUR_t#;-iJlCSpQ6HDGDwMWdydJ8|CP9C1zWB+J} z-OE5HQ>C!+U%f^&+&Mmw=vW`U*~<9FvPl_aQft`}(Twc^2W+QFACUhUBKqu1Gt5I$cpUG`Ik z3hPmcXXncVMs<3~*qzJy+~)AKr!uc@BUOH*Sx37s*;gLiyk_k2od$Q(;$zL9rCDCx zqNmVgIpHg@nJg~S>@aiKR;9M`$WKRCHR?>4`* zihM2NjAVdkD@Hy~`{bxbe7+S%t-7{SHA(f|#e?r{M(z{_dfByJDRFFF z?#}l2YM#?JbXBkntvB)&;7k&geAqmg;g z*O}XQ&1Y9l{#RRH?j0Rwrt~xJ=XqjqI&kUO8!8>%*VghrUUt;>hcQp}@j3gJ3iudn zj&8P{O1OMf2OE<<6*yntZYXoUbC-g>Xpzsbl|9Ewm;i6MfkRK7V&N0IjisD@MPzEZmLbE2KJa{Pi{REP`pak_iOQv z8IfN|*kk3=_2ZrLX9PcVmwP-eY=YnI&12up%ih>(Kel>*(=_tI;cmdp@7DQFweO)_li8 zB;rh`$Xzp^_1u1i;mmsCpxfYJY-;k+#jw_F!oVx9fjYkrr7@0NL1qGx8%(CYA*;0q zc6qKg7L4)H%1`jxyyWqfknXmJl?P?N-SAFQ$7a^Z6?XzfHs_-&z9(B95$xyV; zu;co;hdA0@3Dz}X8&;|uRCsU0^YRfnFPpjLOR}e_R_-*W=l9}$YoEH#xi-1;Oz6k$ z%PJP|ye8*l^L8_KEt6?AdT?+=)GX$+wbz39HqH84VOo(gDI;__nS5)`=n7+Xo(9jF z^chFImXboLXWQ#f2K&!@obOtbvyB+mOn-ARbS?;ZHOdwGH3|JU4k2U5NNfBZ;_LPcotPLh$(5;wGz5z?S+ zktCxbO}EU5hLnhFlx`VCM#Ic5GE3qrD)yYAfB5*E&pAHl9G{PI z&g1!dJUf$}iZ-%reClmB&+1D&pYIOoNsg?=3qy(F>~{0E+uWD834K}<5y%RC7JVumMrawwh{{CE#mtz|H#VsNM>Kk;ne+W7? zBjw6owbb>4R-`_`Q6p{bw0NbNU5^dlJT_{GyX>>1wAkWvOpy_rqNsq@u6rl}35l&&@5lMdZ}C9ndH%y1jYpcGKU=?wp$RF)ww0-J_F@ zIgwtP_q_~B5elMo@kvt+v{$qHd#y(rFtH_el(hUQ{Y9^ zo=?W|Ir0Nhx+&}_%HejZ0=}Q`k@dG7`XYvNWK$shV_BxxChrlj`v15e~%4+P+J*}-Q=A=B_ z$JzCz`A~0#-x}F@GoNlIOPh`ybT}j)cWKSjC+TV1%VSMDYo0X*&G{HOtEc5_(kim3 z%iYFs(*&=5%ISelhgM}>+fn|fi=9~1L4`yQBNf3Y;-*t{{eaF?+qth4dMY!{3}l?^ z3N>HYuqeeoYwLu9lhx#ke)(bPt|x+}=Q{%JxA6Qf^mcuF_6?tbSmzJ>K~6qD8O4)P$}?S3QP$dthUvw>3MlUD9tRKG0phj_29xzPxL?5BJHQ)e`7R z5Pq93(_LG3lZ?-UYD9Zxvle@UX7{~AawoWoWNJc=%AH+0&RU@DMGBFwO^fJ7a4T% zsQx2kYwcCI>cX$9c0pT*80h5}63H8Wgu6M{oY)6bB_Zm89Fy@S*cbEhU{yYUa z`KSBVQsWx+ULRu#3T607iOb^P#+x`Ra*d# z1VzY_X_WCXMH?vu)9X?o(vj}yT>w|`v(*rzr5VSO(*TEeHz)8!l-_{>0*nftdjwSI z#Q(5a01#p#X<(WtxZ42ikZNf!1>6St1s<`c8u+wef^R)AeS`}nDCoeTL)+BR?f~Hi zk|}_EWDY89V0IS=6br@_EKgfOBsltF@4i1e^rM-VRw zK~Myw`Yr*A5&2P@Mp+(i(+6ihKk&S5wK;xZ)EOaX4AJiGgOJPa$MtN?E4hnd`x z1E30u#8eIpmqsv)dt#t)L9GcoBXp$cqbI15nL$eytU!z_z`|AMVG16!jM_x#X+)R> zAG-lF@qMBM{v*OMri!S%K?4qL8X^=NDN&gKop(mB3bG}u1$$rKyUf%$WM3oBklc3y z?b@g#HbVIz+$Uwxuo}!o0}bpZJYsN?KoJ30UvP^#09|?4+@AnvIp|Or7=bZsJkKav zl+X=n3i3Rl*g*tkGd%6EIqXqmV%?+g6nrkBl{F%Rl-KJJNMj&3*s%g7Gf|%A%0S`? zu=USFvIN%xjuK38gjAlrf1#>~!=7|)%`vwNYP z!BIdQ0S`ljg<#Yl$qM>22F4Ce!mZO582GP16ky1LKZPp&F%#~Ad3M-C&h6cKl?2c^ z?G{X!V?Z0CkFzvT(tzX@rpLkVy(|gUP^1Q74hfnG^N;qqpuBF0s!jN#3mx#a1sRxsEmLe00EAoD1vqy zk#P+fLC5r#OvU`QC&Ucjt8svF+&>i15+e}Nzml&Wh4=@;48ZL9IEzDZx`Irib4W{^ zpmZl3A`{4kk}d&dPi}!l00-huG;9+zTe}G2Oma@LMHPI2KC@6^Klw!WsxN`YPjT28R{J zBS&>1VJV7ow_sT{+x$2|Qx8sQXk2KN1sa$6v^(P{+HG1P05V@ai$Ll_r35rQ#H{mS zCM8HejZxqszRl#s?;3nRilG8QYRasbT0;<-LSBFwCsSso3hWT+0e;0V81{GLvO}HB z17P#Bpa<#UIvsGs&`vA}ZJ@27 zJzQQus1_Au3sV>3Om!g@C_)+PSHYP;Pf~g(7{pJ3z6W(#uxZc=mzw*R_G{1#Rj8A} za_pDHK^rvp$E!4Y{&1rg$8+Z@n%THCB0J$mt4cdsN8mLWK|+Nqy!z=wv>GuJxSoW7&MQD z1_lIpC=wEb=#4N!U3(eUE&6#QXjK-LkvGjX!C$^ zheiY}Rv}o16d^n(_yxhth*8hODuM(KnyfU?et|L^dWsfC!niZ57hx5CA0jP}} z!MohhWB5~qKLe2GAb=Ey;FSms`5h$aGO16d!lUsG)O)muz41}7;}#jtX2jaI!aqb% zLq#KwMUfC_@pnO7Kz$cbC7`(j&m`mofzgC!@yrwv>7yYI7%mc!;G)48TF68H{&z9R zk0E**K7Y8tVc1?U#7}`S$-mhPsJuWJ@`9O^F)}M58g_pehLp@kh-ew0RLyeGTHr;j z@&#e>KoQim79Y+4ne$*TU;yQz!JAhbBoxFG|8MbzFmkYB=^O&9fM`8uE8_xI7Q;C3 zdd*e_)d`zOvp~``Sv3_cJ~Ed0_-P$l`C4dKQR71c@%>V%8!2Ea&biyBZtdxyK-7ehg1RtzLF2Y@x;?jX` ztOR0(W(&r>+KETbK(>JV)Ctfg69fZ>5(-m)3I#Zs35DvRCX*8Y-htF9@N3Qh`-PW) zN*6kDAjdJ>IY6wrM{EMa;sJOBHdn$U&Y}z^~00EV%qYnwKR5 z2pi&KAVU3y2P40U&4Y2lEil*Pjih?62XJ5&VmCbaoJ2SLPIvKU zC56?D&4APRl!og}@c0-Wz|vy4P=E_IUvRmI1v%=;_E;5f1 z&?sdlEryK@7kF`8m~AkPJd{YISp$ck5ru=Z5g%>g+KlP=d>@c6><1IOYz0mmym zBwQPHk6n?3aRs9LfAZ5|d`f5P$yhB0zm^z~|K+DVplzf0l!%F=AwpVq@zQ0Om>%J$ zzu;3shw6?0tsnwGmHgLGJw?+bIRy<7zZOJ1t9UUoR8P?~`TJ1)4{GGcxb$RB?kUrl zGxg*jL-qfIriq587TENdXqr;og-2bU!pg0Uu_=-w&1ylHz zyD29-<8zi?WsopR$`|NmCd#ENI$O5t>d`AOgHJCr0qzi+{g1+hp&Ky! z7KY$0oxca&#oc0b8~k?Y1`kt!Z-;I`=kIX?+&u=kfp3Sl$}U-ot(e)?H#DMG<3XIn z^u4>s(AAOAF~f+qe57$()G#R;u32aBWEspkBI2Zj3V{JwzV64puP5iof+=Jv4%5Uo%M>-gQJIBc^ zUP3n_y?{dkQ0zrFB9=fmq6cJXBZF?l+Y}9xbnr9yH3|Szk-?ZxQKl$`nhWTf7+n*m zYZ7!#lCCYJYf^M=5nYp}Yclo1w73;}nLYAuM$GVrp9pkhgUvr~LADp{QQ&^Ny(xKR zT~12EZ*@89bs0B2dc1dbT(B|PRC|#eYzsMTtogyiy2HglRW?SV`#4)k$nK`yN*JA- z+IL=HPD|Uf!0+k4=J~}= zGRe&@92;804cR_h9MX{(VvuO?c<3TMxI749_e ztX{{uxr~-;Q%b$w-wQj)N1RmHtkz2l)|sq$C-wY_qma2k;`o$Px-)HOJr}L}!-|h= z|KO5sGpEY))6F9lKHFAw7znaD%1iOKuB zlA&7DYPDVjE&Stvl7MG#@%AU7W{L$nu;fX%q zgB$pq8$u>uJ!Y^nLsvG>QcG%P^ahDkH7PFA<3FX!exR-N;l|=H<-75BqHVsuK2;az zp!sT>SdqeovM-3U2b|hw;aI@0L%uTzx~ZE^<%KI<9@q(ZoW=vnyfd1^FvzNH9zdom0CDUgU_kjvO9w#}{Q=}MWR;?@aHdWSpZ5&@!q;5KQrD1{zf34Da z$IZx8;Ru5e7tp^UvYf+cIsB_>ZKiS;w9nAWOc6_21I*oEm#rX5YlSoc9l`X;)>*Wh<7(T>N4~wzlB&wz(o>jll{YzJ zP2Z~rp~@}w`vlm(Hl0z^YCB8pbXJtv=(oAr8EBR7)NDDqLt@fZ?$6#6-{d7)ergDl zAws;93d~I;jlbx|5d98~AWjuro=D zkBy~3e;K=rsl42`-;LE^D+~xUuL`uRzH`a{P;IU+M?*z!Ao<#8ypXTIV)fudVe&E~ z$njm&;nJh3lV9sj|6(aTYr9Gev>eZqz4^W3Y6|N;%bO=>I!vebdVn` zQYk$5-csc4%?a+>_lR8o{omhPiTQDsoL4W@;o4sws6E@M?ba;k^?dJ4p9M}PdsebN z+noN#;wy%Wr(bA^Tzb`5y!#wp()2&MVANtHlR?BgpFYxmaYsMXSAS`VVB@*ow7_HH z9vTCCew)~Ix-m?H#M-PDd?L~iEz%h8ryi-xZ#%O#(Y`K7`{J^;*j*_m(}<8L-n{v5uMcKku$A#4%57%yyaNv>CG5=e-?n^$ z5qpME!Rc+ii*oI=Oy|~L`$~dzZfOg&-sp8-d_`60W9+y;?VmX)@IPBx=KlJ){xY7! zgrk()*v?-*)pyMe`LE@X+VyJ_n>azipnF!g#VF*1NQ@ydo2Dvzr8vs=2u};E!iAy`dp}7{ZFf<-Jay* zE$O{%Ii4IQ0(aQ5kFS0z(RI1(r1*0+XWkQ0Dg(JD+_w$bO@*6ot?^z`G%fr5@Js)% z-Tys6OZfQzdw`ZKmm5VXqaEP{_4;_S0n#P u{epk~ZMA@9uzQei!|+$!W}#GNkVlVEHL{Q4f6qoP{@Z?j+5VVL!IKhebqYQ6d>jk}*T3lp&NMMJN?gghB}!N`^8;NP|L|N`nkZ zDHNwUq)`<49SePj>;7K%@3~+1^VjoSdbN(V_Pp0{tbOkH=h)GkH*R8K6ksIqA3Z%m zO|UIwe<$-xe~etDg+;&1zt=l0Wfs>GMAT9_pS+kM5wrwe$G5bcZRz-;RErBnmX=#t z=<5@(Z+iG=WoTs#9hl&R;e)&O@AFz2TQ6+)uiI}h z*0r=;+8%f9-`g8lm|5c+{d0SKbb4Z&zJ;ZsndyHy{wf8frQ@qDSQ3mhyuG=dm9Cz# zzU9tMW)>#8Ry+UQ{>log{@4#+L+{ds{2r$|bPKDAily;NMd*<5COou@V>)Q@^otw) zHz`yL_!j&pN(3#Qa;dzq@E?=E?W4T7$^UKq|7{r^+UKxal7Nc z-#(EU`WgNs5iHR5^u#7ZedCQgm3Erynqc>};9qcG`@P@q@%_*Ht@`)-EsgPi-cR}8 z@3%D0|9L-^f4|=!WBs4*Z}q?5Z)v>$^ZC~N`~8;2JTvjz@3KJK{aK%z42_L(eg1XK zp9@L!%c%hAH-|0P+;xwuGUX+3tbfBoxP>bLw$>#ex|x)$b3i^cMJ zkwyFKU(Tbre{p%~()xUvzwiGrez82dwBBN=|NY)7@Y-U|a%ugn_U|=|cIUIdug~4` z_x0Du7RxkC_hoMV_w_W4fA6_Jb}p{}W1{){=Km@Erw0C01OKUk|J1;LYT*A9H9#h@ z^WooJ_&;3(-|2MlGe%k$IO(8s#{H=(jIc6x&ZeBVS=(1%EqW}E% z$*qj&1C9nNi1BmJ02+k>GmZj zMI_4n_3e`z8PNgGTULuQe0lricIK&rF2?FB*k<~hE3#ryu)Fy>Nw%LOFKUaD!@aj{ zP!gg4{O-w(toS1y7TPl0Wc0D;(e;dIza2(u%bBKnpWMzl6YOfHzMOS-w7t48>F6G0 zttZD#ns`}PoOZ(7c7q%*)yJ;-lC_M4RWsXx4|MMWv$d#to1*=L8J-@OtaX%mn|t=Co2j}O)A#}1ruOD1HAMRtVE6-0o@fy_^r5yLQ-=HW+{jvM;jSDCJ?Dds|=stEmEJ;6kU?)_( zD8n~OOXZb>5DyD2S)Td#9o6~gLiSi_u7n$IufB2y9dMYtD%~>|wS}lJ)0M z`@KB47jsQ%?zs{4qB=kE*xqe=3cTdW?nh-8qV_u&tQKSZMtKUgebjv`(pSBx#89-*vi97ONxt_czOS7;?X@; zIxE@HEUxePgHFb(A`D-9A79TnxzAyfGThUfhLZFsKL=!>EJXjc_vwwy82_DHR1y7` zw~ghOP6aq_RuQE8^6trXu8UFo?Dba(QBS_9D^7{nXS-2}kNTriKElTlrv227kK@1H zKv|IXQ_rK)bbS0(!ZaVdSss*Li1LSm02CgTIh9i@BZjYi$I6h2x)^S-ySe9%xLasR zbIgrCuP!`)+-sX2?nK=WP)T|O^deMZNNdK+x>8+f&gS)nBt>owU zHQZKRka*O?a{UT6=#W(fi6Ndg8x?q|>S7r6WO&FQUe^|{=K{1%Pm!Pc<7+tK z=>TVwwab_N7;df1J9}iewJwaPv6ra60*s{~-=JSt6#@Y$v-$2&jT zaSz6~&o&)79z=}2YA8N`+{b2vq7W^1G?z{vay3~e!7>8_BtPjGx0j8+vJfqd&Rf~> zhjy83z(tO~tZ}M98x{FzKE8f%{ZjOP$IUPvzw|u3e&NKv?VDE%GkooNatr0e2kkOb zhwb0D!zc*Fo{5io;?2YIOVI&NhO5QUvLF30YR`svT5Cyj|AKqEmVC^|T3Z&jdi}5@ z?Ib#|(?CUp{!4FDMdqm>C-5EYFl?*xlEU}dZ&DGa|3*z|xpVo<3R;@4|WFaMw^rt!3)#JG!zv-#FYg}R z%sz9(-AYG>j~0e4Tg8<#M?G!z;XGganya9%xK(p7ex)?u$xS@&YX_A`|F!Sgt!!6i zR#`66#H)JfvIm?^*GjO>Ig6sOy^cnz%V83D*-(}a9czo4BnPnUOy~N zi#*_LtSZiez7MqBFMz&jvvCyy$JShtdoIk&T2BEE@b1aY>{t}EYpb>_H+kY^!*!VT zT+P-=alz<)Se|hTSBy0Dm5DcxZs7i5t|`L1KljNp?{Bc zJ}A$O4uttYl9L#HSyz-2>F;c;E`>PeC%PV&XT}D(S?VhCL$7MO1GnL2tEV8y@V&3; zF1ma+#M>TU;M72S?bY)q!1<`aWHSIwdM?b3V5S@b(YOv*HfATWUgMf9`&K zGcy()-m^_tL4f-6yJvUd@Ox}Fsz47JXsIeVAK~k`WzBNLHb3zSS~DuZb?Z7wP8hQd z*Dpi`>@-r7LC(kE@E9DNJ zvaB>$auZ{46&cY%&>x(bu>*@f=whNF$^L8n&4aQ_F##^PLdbBbH?m`cb|Kt;q;a@{ z3(+tR*GY5Xx`*Zqaxv4A;~{-Oub~UVurg6w&h~4(vkqLnzmutk1jjtISZVr+eQ5h8 z6;YP&z0Y8xKfK#YOO|(j>{UIuCtsV5N+OJ3V9?yij0<+h)9EaX-Xicw_6BS4jM7q> zpB(PB4Pj@C-4h&byWtwd^c`;QO2QGC9HAX2JL^#i%r%S@!|mukJS=#C zUk4ZT0v>$Aec<-^X}{o^_;i4iu{w(cjJGEXk1VF^#FxSBk{sp*o)^3B#v7Tjfi7lh z66|yD;b9EsDw~aRyoh=N=DG_fd>u9_!ra~6cs)JR&&fn}IqOV+E8YruL*Eg?4};|} zak;?IXNCu=%FA)V*uQhmjZnY1SCD+%qaVS;Mf2^f*dS-q^)g()#$MJG<2l|?MHu%r za5*U0!%9z%hw1|!a;E}ZOxMCl7;d|lf2MTJ9j*G!&ydBd?S1ZJ`@SCfb(}tyi0H0GI?&(?L6eUZ1TWN2vrnI0`CQ}D>5akYgDLu-QN3x5zJgs2xeQC(;%3$ zJn5ofnzp`l=p|Ihr`eNxNh&1cHk_Vh#%Y~FFIj~YP6v5%wO%9MYWgS{_PM4~p;@Cs zJ-YYH!MRKoYKa1cay76!2ljmMuTdeR%hIq>hyA-wSEJ;L^7S>PRmrG zs@?R|9G1==qdf&?ZI$jrFn-^UkiZlx-(C-9#OHGm81a1h>tJ^D@16jYDw4Aj4)en9 zt?Njp3KeT2e+Dew(qi=*Oax;*7p$z&e`59mR{jj5iNV)gsq+Avslx;|t8QuFd;1iK z?@F#r6)*D`-;b9`6+wZz$7R_26YS1+ad{pb)Uwl&0tb!bOcBn`RH1%s+HTteYpJ7` zorAU74TjBNg6B^#fVsKu{zfn#1Ac^pku66_kkBe)c#bp`RQ_JnJ`!BWC(Zaio&fIDPL6DS1VqVm}c_D;r>P^}_mk_06*zI~7pNoHan2YgCn15J(NC%)&4oisR;$+QC+f)l(?_v^u7M47 z4KO5$f_~vCVl=02mrOr-ir5JWDGl|Lrbr$3kdmQu^b=Dgqt*do8G>zqEJGMULW*P> zAjyzwH$h5>#Ow7wz**Unl zdHDncg^}oT@fDIQrDf$56jv#u)oa$Ot<%ud($U?ZziG3fk+G@SRtqa@8(Vt^#~n`2 zu4tFL#~x4by}tYX4g>@p3_g4`B<$Gn6OkvQV@}7$pGinWN#|42(l2IYX60PY%_}G@ zDlRF#UVigd#huFPdo{K74d`Lx<0nneT3VmCcf9O;-PQf}U0?se(D3N{@rlWgpTB%V zQ`0j)XMfF;$ka4+^o-0btZW>d+&p~zfFl!0&11Kxm(SjP`~43D1|14May0Z<_=$*0%FcqubG=SpsV;nm`6rDf$eZrw(A?p9Ubuc@nl@bJ;&r%lbRZS5~! zzIy$pyXRdmrGIc}gl%+e{KMp@&tJcNpZPI6H&2lJmYWkB`((_Cb2y>kWED=raMIGp zZca@1v6>Si6xJ;A#!Uub2p^n3mz0e2RX9%>7{qxdoL{A~8t3hCJ}mq=&KKZ(OWSiW zeH`Y5SU=0>J}z@&-;%w*WS1>j$0b{|WPdK%ErTo@@P4UFc4Wz}U9yo&wqwak3^65= zHg3YZ_~Y!kB%H0oS;_#;vg7P36`Xa#*|2b&Ey3BAHk|#1vm&B6Yck9Q;*$X&Z zbq{C9aF&S$XV>AZ{dSy<#@T|aINOP{(?4)lYLr1We4zpY|HwU9$dSh;K0xM}Il;A9 zUbkLzvFy57jy`pIv3z~8-1(+!u}oSlOG(QVo}AB^m&u;LG|xRvkb5tINTfFd6cIQ_ zgLAYvM~8FtILClsqCf1>(<-yL#S~F6NdzI6iIj0R@bHU0YbscJy0NRQL9ZK%+#Y@Cx1_iy53L;>Ol>V;`+5*L&~lVV6ELvpeIO)ZPso+ub`KO&wsX)<4b_dtE9^Hu)<1!LUy4JUX6`p($i@TmD4g zOKH(P9XnpV+0^)Qb*56mgB2HQR$X41e)apF3*MZ2M9brRmKVmbPQfjygjQVMJ z4F1@3f9F?;gUm{(NU7N;d(12Rq24>MqmQl6j~t{;tof1rjO~hI=|hI|?Pyhl+gC1| z7wn$Y>H4Qyb{BU$b~q)}8^<-;^zDhQRPaE-pPin+9kbed@Recl^EXC`>AxJF{p5

lJFHf^iRx!@+Tb%2carq4HeKcg>G`RDX$Ez=nPf>?T9A{wk2Sdy2N>B7%DkOByQHKl+Yu;;8 zj;HlK+G38pUu|hp-xNE?<}={@VroNqIa8F#y$P3Js{%)lOmXb}fPQUzy_Z;iTG}*= z?KFvJ-$$v#{P`;l;*oL5s>jZO4SL8YjUj3lh3RAHS1h7Fs~ zRc%OVbs3Hx=189x&gL&>QY=9{;%VHel-WIPGyCSU8AVb#<+4Q|s%>jG4izjhY@>=o zB!#xPjeQCgI&R-HjJl|fF^FWZtTWs7*uZ5VR3z)3GOF$~=sYZFbl>d2Yd@acdW|+Z zf9eA518G$J`PRO8JT$|mP`8IS>Kskoy6o=Hl zv1!dR*)!)~+Z-(6PvO)^TA_7x(2GtM1y6IEHkrR1WcNLIZqoE-&B*SoFaBSAQU^RT zo@}T!^FsMr5tSNM=IQSuxfNV4NJb96SzS#8Qc(x zZrWu$wJCs~GP~+2zR!My@DeV{WuZ&gLS-(fLjrEMISI>zR58iL-WAU6%Uy01F zs=HJ=anyXarAQ~h@HbX}cqh_JpuAz(j zWn+Zem7bn9{-JQ$AepZ{&fubOE8A)9kLco<8;jYKQyQadvex_ch49_|V%Z}UEY*JW zf#{8ITb}Pm%tkrtPU#GnR9r8x+g4lCR8hN8TNiD5?Ug+p^MXFZ7|mH;l=r#0l_>jR zI_6kzHdDg7n?G^ztlFD3!Gclmj`E-fQv10h>Ky4B)eiC<%r>X5F-X|Zz+LGonlX7< zEoReqRKU1(HtLZt8gRS1eSB@ZKkxacds%Jfu6YhBzVa=$p+TjFvC7(S=*nl4)0u0IBCoHZM}&UE*P8ln5tzs5^$@+xu8xXhCFd2p3l2V0y9 znkoP8!Rt19X$QYM=?=q1H~C4OYL6_|G=mQsm5$ehZy@bg%)6$hy zc6iHxWQ@x5h*qU8v7~kAZRAsq%sz?AVAbU5D)IfC<@S}l_jr5+8{BTQRf?XnL{lZq zo5$>LY$KOR9G1`dSl};`^e&dgTY2cVW}^KEjUkjDL~Ak~_374WyAj!EwgrJ}zWCR8 z^h&)+u^rzqeY))w(wR@9TvR{6)08M~`z_+}{#B&h@mMie`l^F0+o*0*7a+GaFT{$s z-L@px?ydJ13*diy&HI+qul4N_IwJxJGW&j^D|YPW?_h8bW|{`}N7Ng9zPTq?yq!Oi z_lEr`p(gfYNW9D{mFB`D-JV3_Q!}?2!VRfPzS#YePElxd32iytvI_OZD8v%C=seh- zhP+rmxS_yw>WSoW>G+v!JJw_4H^f8H^5ukPl zweWk_GUO>e>RN1E!SUdX(Z#W3D(#+#bp>61-9pc!?4EH{uM0uauP;lxOH_P0v%E#u zWx(;g-gB>e@4P#wqZBAkm!z*YBK_N1DW!^6>kJ1@b7?(T>pT=Ck$GJ#`=RakZ0YUo zwh4%wr?F_A~rz6RCtx(5LV!q_1>*Wd0?hMz)cHHn!|z*ivhMhCV^@-m7)B zom!1s>qf1IIQ{3+)_FA<9YB`}zoGYHu@@Qz-Cy)C3yiuin=h*swamQCnVdHum(hS2 z;$&;63|?^AzTHDI2}j=fS}iVDDXx|C=d}h_dQb(SSBLl?X{TuBf4{tWMCTZ{?^(7p z;w~ZM%QsZY=L|1P`iwZ^`3gT$hqMjYJa@dD!?-tB`KH#j#|BsKsh7)zo+i7ZBOeb6 zM3ijqn2l9@wcf4I$Y9*tJ`^m#AA@l_}J8E*IOBCe`Afi)zuN{<9E4y%Lio zn#&f%>eGUH5ir}TM~NC2$Uo3`y|e=D%#*saDe#eD^2|A%A&Gr-&Xty5WAA)EbZ;ub zh~6??8=dL!mZzwD5PAWe)y~Dk2im!AII}yX%Eoks%XVvoilCUAnymq~R0*B^Br+8> z4J{o#10xeN%Q99rb`DN1ZXU$T$1fl#BrJkN#g>aptdNviDJ>%_C$FHWv`SfJ^%_;Q zR!x1K#(GUHZ5>^`4IA}08EiJ(Vq|P$YG%IG!qUnbeiz!>**k1^+_BTi*~N92o4d#E zJ)U0PK6`!l?f3IP5D+;EH7tREH8IjDpxF) z#aS22;vQs1M#lGMweFWwY;J)fAIrbPH{$1n5&wcO5*!<!zaub;*NOP=qU;SpLtf16CVt`6~DI! z9uDvA19k#FUp71X;vT?pfI_MW?x>}zFe%jA2I<1ped&38BO@lr72Zv=!h3NbaAANY zflh&v0hI&A*=4FBh1mfHTnC&?7GB~%zh9IZvDd)>0T=z=|Lo2cOnEWb9cZb{J9pR} z6WU+!qB|d*Jp!-HS8@@f!1m6EK`jD^`uMsYzt4Ao_x3bs@=fE7ETA+dm;l07z;V6p zfWpyy1U3jb4>@fCp7&$0t?J6zqn=h;(wKKWxRx5R4={)rXbR9XK<^=YEOlf6m%V8y zPCf2zvq6cUJkeQGloH` zhxq8e^|e$NrJmU52yFpm%}D?S02N-zIX?~zDf>*YJMcT`m_3hgUrqq1t|QA&OZV;F z6X@tJKM<_wZ2_so@xAt&Radaj0X4dwiAR8jBo_%}8we;C;JbAzIDd`4dH{uku0S_< zsV2G_fhY!p+Cp2Fhw2lkX0l=rx?AbW^Md4|@fL_x0Bg$e15jZCkQE!e+e%xWk38wh ziw?V4>cBAm)B}o%(?Osz5oP&->oqCF%NDRWE&AN`=w?p*A$I`0+yI_1)Py4gg(AjZ zH^Mk!Jm_M&UX~AFRYR%sW3(0kWItxhK)f|^&xC%G0bG_!@7dh2pB+XL6Y-huo<)jVV<@d6$I#jzu(RR?Zejfz=cOTYOkdpLq4|P zx?r5ca5^32YN{&6{H?F~?v>a>?xyN1*k=cs+p1w`?XlLB;r{jhrE3%d#xF=a`Q{-E zGC$DS2!f`du^cov;HL1t&k!&!P{(LUu>z5-0am;KP-#E+K8A(}hLMcKK}YeTDi72m zz{|LPVf_(sKG0Oa5Pc1p7lnC(@`8_Q5|kGgqk-TpNLsMg1?coz0J#XzUUociOORyz z9BHe}J97v$M^Z50n(+|bZH=%~nE;=g90u|TMShw|AkbJIVGPwc3tAJDpAh0{yFp%n z=0n%x+u5f;5upLXjnR%;xD)_xN=OJCX47q`6K4~3Nsgbx&%t$tdVzomdM`lTH0nrS zdjpkSf(R-e6G41apejfJ%BmyBja%{Bg=qgBTU5Bg;}5pn&5Z}grwytL7@YZ_blEk| zFa~`q;i%`f4YC8Iaik*)U>RsQfO{ts@XB~#<-@SB0BsTJ{fin@n24tgc@Q&!`r$&P zpW^~prL;hwIJ(DDQyKyBgX=#Ry2nCGhL`mI<$X{i`Pk^l@d9Ok2nZPg@>_%DC0MEx z1{yKYUI5oF2SDizS}xXEG}2ZLGtRypMr(lofr_LgCBokY$SiQ@j(Py32ySTY3XZw= zuWCV4fN`QE2LZjk>{4{#E=xUm0Z_8NeR>C|IY>d2L|DELK-->=^mjA_Ao~-0Hk1Ys zcL7%~K<;BcAUF|Wnx-^^a12v-WhBb zFI7p4LEI2D74$qA>kefVl<95xy+o$N}OH zwpQkY0LI><5y4}^l{1HTTWZM(z=Q>t1`gN(a5eLf5zzFcM=d+xVh-Mq8Xf>Daubet zx;>zo833xC>NbI7xT!z)ft~~u3-+7WfQuVwyAP5-znzAvE4auLZ&2g)OR*sR&{5#0 z{Wj26Rd_xeDiS}$47Jylg3m>}%rvCo;i3ohTM1z>3f90Q#QVzAGa7pkOvlI6{&;x-W0|C?Cyjm10=n0VT;9X|xC0TKwDoTq0<?cHvq4l0{r5d6T{!}<%D0zgQZ6(0i6N}%O|25P@|HTA^) z9U!ORB#lF-!_Re4kHSn-s2?sg`Gg-RH6%dM(e?0p=IKCJP|EPoeCl}uT>*vq*y*b* z2T@ih$S~qV_E>FD5@MVgesLfCtiQ9lCRz!29()z_j@?#zN}|l)2cK78O#?xwsm2OU z^2Z+Z=oV-^c7y1SpY{{TNnn)tfP`T=xZw_S`@d;D(A^)QORydg@0z<9@msv& zT0s2Y{(|_GZmQqI#2VN>M%AnD01G6(_EM=3H+orBh!ULhg=M5dMVxw0_L4ma8NBp; zuaF9H1?SvwvI16+oCfh~QM{!?FHQwBAtkzSmM0ybVCzeqU(f_l8Mkfgs!<`IWIl^w zd7VKMzL0Rb)424fE&%UUp^5i8IEVA)YB>Gik2jXSrUDyN?E)+eDEtx#1^|V>!FmBW zZW{cAfFprk02@HUc)wO_e55nnkP_xNIfXCY2q#Q9dD^>Hg*e?y+d5|!SzGhN4u6t?<21zzjS!lN312-`)Is~u0AR+Qo3j#$*Y%Eg~;q9 zUm|rmLE1~BLiEC6d)ZZbWz*qseN2_ihg-k~}MoS@UkaQp7loUxJ zX^_^};%l76*Sxk0-!W(z@ZErx0hd6^fJ-1{zy_oY*npG)uLC6mE&*5uLuK`PM?Y8+ zS1Mj-_QDRYi(yT~=E0U0ya@q12dG62&^h3xAalS*wNeM0{ZofwN7AZW_)-=`4kWoU zyu@Q1A21s<4kQ&SEODq&A%nsJuX3c|G({M10O|&Om}TeqaH-j{6PFm`II-FI*c5L_ z9S!M{@_`J>2fPZD4|sd%%(w*o7#k2iz(V)tOqEFZ?+!u2@AA4C_fUHxs0LdrdvRkk;12-YZ+TLp_#H~J}oeC~Ju#a>JZi_;rK^%rQ zAZ}4OdED zBqoYH9Wm8U((a@4>J>@v?6G+K4F{}er8;F($R)kl?gB;`! z$POgfaEq~tnfW4QM~jqw5wiRE?(_2x2t0V`FOVHAP8U{V`$<7rtE)*>`W}n*w{I_cz6M_qeaTT1la*YtFBcCkoqTNM~jr* z#?F4b<4z|RS2y>6f$S(0fOSM<6yVnX3fT*;7F|OnW!G=qy!|hb9mw{Jj#t2Bd)}c% z%Kj&0pZ@W4?iWF#qF&N3@ckiR5D^srqdViI0baTmlFc*)ydthIn8bPOP@fF*rA=EQbj>)2jh zvOkur;gU_k_PROoVgZulN)Z1e=l4ghb|FU$k20xJc(Mr9_Y5bGf2I&w^GD_x^9Xhf z!Z|P!=fpWKoa4qh9-QOFIX;}@$2kF<6T~?ooD;@55u8IfC)&H7=+B7z%WEfU(*K30 zn|HY-7E1V}iRW%QmBM%6)U5w6ecR{D6|yDkc%;ttPoV(5auug8eb?~>tEb;DC~j@> zmAx^0ipOjyN+#*Xn*0`IIh-KsFcET1`(ug4MV)sXnZ|rYovsX<8_egzD&wl5>(*I+eV#T0AFB1ylZ4JnrIaMkDIEd5io@3IdV78Ph z=5tSFT0+Ej+%`Ik=C0cFc(xww()?2BUB_QEB3r24$>%|BRc7{X|6RU%HsmVyI%C$3 z^-VX zXH@bbg4L+chw;=shZ(72>zP9rr7O`ZZ}vNC{^LHeZ?;eD*w<}ha#N;soq9a;$`s)| zK^d>N=;P+<^;LZ)E#pe1I`vN!Yd&x5@*4QDvHGoQ^@gT*Ovg|uSMj!a?aLcaem^Pt zfNEX&Te%7wTFa`fVFSSoxjkxkQ2&Z@%TMA5XiScL2uDUSH0r0&6YndNk*%WD4uoN% zI0`Js#5mXN!R=$>HCJKQ`iruuqATui(^Z;t5a`YlsAn` zw$3W9@blWXeK%z51E}Om3=aK@<|>{DLu_V^t_&BdSKa;OOZOtR(_vo! z;cl+#Z^6P&L+3V6tT>658QeDi8dtZvU#r%Wltpp)sdm+L?#}03+&eph#K%;MkmW`C zrlbu?TvZ&waub;oyCjZ&x)G?A#@C~rr1>FZL>u)f`jc}kvPrjA=bJNRezTb{%C?-X z#kP0kAHuG(!C2j`vn`!jewc~U-PSc+VHkIwTtuc$l8 zu}7wy`EG>EYoTZR>klYT9j)2-%b4NeDfV56JNrxd5rbY``byEk!sz8kLnX&Q6&y38G3*PyX-(=p`WRV$(Rg0e+>pTtJlW zmW&!HlTXuqpSf%YdGCu0W^|FL#-@h7G0CoL-N&6J4%GJ*Jbt?0@e+CKS{2yGQoNn& zIO@Bo5vtmg#JP1Mph)$mWoezkwZXkSPjvT__h+cT4SSEs7sS@T3YEP&aYoXpBUtIi zV1jPkn&B(PQM{L%UC3pI2rxT+j+@L|zE~d9r)KjBch}x+SaDgaanx@3r%PYpJX)0_ zWl^{B(MG*fv|>jYVt>)P4u3RmcKJZ(!en0MNED!cD?-0g?~!9BTfJbcLf6@m?YxJc z`wQO}3}5N}XgBX|^l4oyx7%ctqDzta`JR2$7jATJOQi(z*3PPwd{=EbAc!2!34}HU zD!pADMCWx)ucuffNYXD_=!Sc%P_t=**llxkk5ovf`(WFwL%c%ri#_Dbv7d*#znzwN zy8e*(g{Cd_+9)=junMG$lL`!@jZUQvCOd?F^*-=3gv$3Yb7U&pB~?`TO#jwH)qI7N zV!pT>+HeL>&nFwbt2Jx%chqXw-r4#EIUITKcaGt_e0hyxQT_7Do!#HvKhq!NyzhQN z{Lx3ti3mim6iO?XAaKjrxpbX%j`WT7CNVTJCpj;yPzd~(B z=8QY{{p7G~W!n7MzUQY@aurXWG`jUk^VTRj$6L}tAMt?ky!0a~y^43ladJ#i!|G#K zl&g`&`L;b3efHf!<&TXoJ-2x6UH>uY-uJU=LmT&#?ZdhA(bnpj(0=wL@kc6t^t+Qc zOc!$NzHmLSJrezDI&>5Pt16bZeQgpX*kE_N&HUlW?)ju;>q^CRDppm?>tEwkxMz+g z{K|wAuZraBR`prrw`}SRndXjTQ#{X8zGm}Paf3=D^wKfBZ|9k1xvsxt(ggL(_1_#W zWlpJ)$y`xzpL{ZT)CfIy@V+UfcZMrfUL%ww9xjw8r{L5yqjgudvPY?`N1*|2A7yj@ zqMN1m_Gk$?uUn*8Z%}mSD{;@!J#)zT6^r=*@*`^^XSsG`HQtbI4rC2G~?)$ZR=xKdJ!-vr9l z>E0f%R?^|aP|Tq9a@+n7N7=$kw{^{)K&nG0+T&IoRD2mJ8_02YAap%jEo<&-&-Y=n z4{735P6?vA0~~vpN@kPR|F|}rXOb!TR1t~bNC z!D(d}+r!hE0aMvu53ZWbrI<0AJwm&9A2A={vMRHqy+It3Joz%Ur_;!p(O5dJ}5Zyyu zv8J{Ek@Y!Vdnl+-`Yt>k@ONM6F?-E_*>gqJNQl(osr()M<}Xh+yQvEd^PgLH1WhM9 zuvxYptTp&h=vKbGmG-#wyE1a9qoT-vqQJd+c|D4j>r3p=m|UM@{Jlyv zLdN&`UcT4s(=2L`{M%yhUfY!=vg22LtI0*|Hgr`%LmT@{uRHKR65lzs6(y{02%^Y7 z*NED}{no6+`GeKUv9i^%u0I{o(`4Bbn}(3dvey+F=ZwCa%|A|A?ksVOz9zzQ#FGE< zdb*C=jwPPr=%g9%Wa?Pr>N95CU141TYz|Xp$0S@BugzaMY$NE)UWFJc1I%VkhR=Vy z6117hgXu1N@ot$X%(>QC+RR7Pz&Wa|sRE8?pcB zd}GU*MlYW0-|YAfHE*K?KcYK}dY4}}qcu<4SoS`4)wshohbR0Ep4`C?wm)=NACxZ2fV_7>_eK&o2xY26jvQaD_YLIU}yIZ@+aL#VNHrDQ%)=%3H zH;-?)EHk-vBJY4+)+#i;tv_RrUYY8ISx=U)a;7qE;J_uP9HnqwffxI_FUiHN>(3G0PMa}R>M3@88F~>pe#K?Q zon?2u{tBr7|yX1oeOZWGI{m&8`vF>`r0LE+i~CS%wo3F|g-i32;*029 zGI$Z4OFqYC<9R9Re-~5!kJS4S@&6ho|r``o%u-DOe?FueLe1JFT zxrq?QwpmSz;}=Apm1o0Sczb2DS_;Ap(<84Qlx3p$!(R4=YsJ}# z@h*7Xp9t@tjn+x?QGcO4tAaPO2V9YbrmO%1uvUQn(Gc&at0c@kJJJ2WBFpB-UPGAZ*<(OX z)Zx|gCy)#vcdI%$5oZ$Ry@U4W=m zz&*lDKZc&y6sJT5L7X;{gY!^YtMZbM?{(OswgO^90e|4o=&kGF_5PQ)s0kY4xStbL z3n%$gZ}XkJq%hwdrkXPRKqj68DM6UcLqP6Fn##lU5D_(dItMXshA_%H}SL|)Pfpi1y={nt2-w2lsNwE*G*f```o z#i@b|ugs-@r@eUqQP{ya(w>X-p&P$HKj>VB0Js3O#*wNz09?M|P-om6n^ud$MZu>G z5GVn5n5>5{JBv>5h)L7-oEJ*|Wr$JZvuhiD-#{C)}OEP!4>1p+m@SCoDVI0Z;B z7^a7xBamkRh=Y$I@KJy2Z3THnbb!lN9gtxF>8dS-Fw#R1k`BBLKz)5l2GASpjY>jr zPC(|DVh-)G-Gr3k#>U}+^lo~HO!88KSj55laB3khD#C#?ZGP`YG*>d>^7@Qb5noqZMk2137tOd zX|rjK1jny2Aa*$k$M!lvtTiVP_@{ROxcNCFllAff(C<5HOD>%bhO1DOfRNv}&#DVk zq5@njbQBSU@AkLezXntt;;vVTfv%+c@$EcV>uRa1Aj0|!jdkL25C)J4kRLG#Vwe2n zIp5h0tS9xTW#^h*bpE4E$gIs=)MEED!X{< zuoowAbtx{YuY(=6Wm$0{dv`)lhH$cS&H0K+-uuL6>*SO;Jyi<(g6b0vCr#mt}aN4 zgh9GqhMVehUn|tp$w0Sl`m01)5gh4J1svDg!AJwbZ*lN51R8rm@H2Gs;f@BD(u>d$ ztT(`?2WCcI)ww)CRv>d?oT5NSg;?WV(9R-E--p|4u3Z3E0|Ed6Y6hT!^-gP%%|?j6 zogD*_#pQ%h-<@XQMCrc{wAYtjhzW!UY8eEl18xdUz7NQr6tLH~&+g`e`*1c{4t_> zp2rpW=fn3p8m@(!`v`TOcm5>YrLLkd%N+2!J18$H;(*&$Z5XUG!1l`FLm)nmCeWp* zKK8cO6sMiyIOt{#H_i&~@5$Z5ln4m))^#32Zyw&f0&WM$pS%btv|s|bk_gTarc55% zse!f{j%zTr?6%fZ7F+h~1JLRGq+|PCOF_lc*IIK8V!~Z4bd_;!b~fGy5AWw}s-=K{ zcca%2Z{{XP?04R(DKE@2JBn{DDKgN-Tt`lj>F3z1halfb2=|Aucm)BtV0;w`Vf&oS zG+=7{+}BoDl7Zq5Lnp#>)?`mpHE{YsR|`F5py%VzF$&U72B2LQ+E^Gg_@b^P^Gw*@ z?I8K#qX(4PP?ixN3gQB!A@gZI?9N{AZbW{E9_2t>{C}6im9lYXK@EfIBP_^J0BzdSmBB+tP z~Xf-rryH(bw%J-pl2fMM+lcKGf=Qx#BqDkQq> z$LOnu8#(8W`E1{!D#-(%gn*AgfFIsv4&EML5PlwthrwY24;-}L@H0eqLdf17W?Hg> zOw+@i=uyR$#0WoEt4*pBoZ!>i>dLdu9E0_`t3+6Sjde94XtAh+ZdUrLQsB7zT5GT8 zKu32n*Hw{VCxPJVJ}QI34f7IoJEocUFB@)LPCN#u(~uQn`7zqrc=HNMf(UJb6D*(E&j)t<#_^`e9hH4U=i2S*?sj>h@Hnf;5KMmAZZ810jH|tGnpmHa= z(bLMpJ$WH+;X@NaC=~G|JJydcj{;1Cma8Uw`Q-d#ROE1NTdT$4( z!Amzi(B4p%MU!y+fU}u)I0ZcbEeA9VxN#n8@HREztpnYx;9&`RF!M8;#qnVJ&9yJZX?ISP0**QK5zKeF*O_ zfE*6*_x#`w+oy{{{J%;?jS3m!|D8O-RLF&jH^MxeRH)z+0Y{y1X7wGdI=C=ckH05C zhwlM@N&w#zd_w?#1%Mg^2_Oc5i-fNYBnvuu&@6sy0BUUUD+4tiv#?%Ibul60a9#qE z;}ZRxr-|a6uQ?LOzvi3<&hPrQ{xm^y#f92UcnjNwB&P z$T^Ub44RIEp@PN|a6L^S;6nh!$?Ph~l0JfJ!bzpBilo;_;rK{cP^dprXsgKZJqHT4 z6;VRrv?7COghI9=Q%AF4W7up#k+&jK+{dz`oX3f)b&xK6d4NI|B}3IvNTL)?t08J` z=c!dhhwFBist^Gu+CZn?gWR7X@OA8rTE>ALJ+|eLX}miyENq zA%X{}dnnvdge8S43Ri#D0A~TIYz7JWR9B))Up*uibKrDlV zY}vUzQ{~t=g-djZ<_UZ$Vm?fzPLy~|p%IPzH9)Yur?w%92pFQ8tCME@gf{tuv!o-EK`h(uosn_nXO0Q47V@C^F#XW0CoM1KJgLy$iJ zeT2j2|0Mbg#2AA7m%`=&=%YV~{sKgXApfPX`QJbvosL_e$`IuLN7y_j`inpxI5S#^ z@?Q#@|C8u1g6ClX`e-R^{!gO6z@j0@|MwRO{v`SfJRE}jm%d1_B$i+YwS=WLAo7JE ze^)G)=#wxfu3@Y{hZAF*q~qj0PSkM{wZ!pXEm_GW8?a;>u$|v!PH+Oar*LEuE=#s- zkaYtt6HC?%+nrf`Kh24ZA=boxj?csdSd7KjgTVQ z_8?ds#NG&&!j(nvV5^=5P}`0m#4z4ojzPCL&Pm|h3Y?R~IVqf5iF498CxdgcI46g5 z@;Ik}bBZ{p)Vt$<7;|3b&HvgqkiGO8=fK>cZq> zYnBBf>dtD3?mI~7?fvs!o#7sH87G`K)$BBTci7cvUAYc_!47+)HK~IA$hE)pwA;6E z?`2nG_FFgYkbmU3UXUtIh3$&`Oid(pLE!jo9rdusdf(+CELeawVqv zwak>e+d3h`QBGjy}XfMPkBw72-U+p6zqh>gjef-VZOY$P)Pvpi~H#?eJnR3@c^o7&ed-n}IDLgBlg4|~ID$x%*R-9dVY7^Vwf%Xiw zqv9>;>iH1e`KvumE(%#N#@el2{#2f^O;3{4n?_sb_x4_1M&L5qHY)|h{?Zo7eDl;6 zr>&PBD--RxdTMKPjB|5@rQDE0NBmfdHQ)bX?#<(=`uhLxV;&kLl_VC?L>W40KpCQB zh)_yo9#W#BlsO_qDvHdSlxEG8p%P`PghZLgl1Nfnn=955=FiNpkK%0l*K+3w_ZMfZD+*Lgc99dTp7UsL?vfQdYr1GI&%Q-75;m;T zTAsVhqVABl z^&fm@In-KKWXsd-G2zFXJ7(W&BgDRT)Dze572Cg+W&{XjB`v#~8s_|Czd@s&k-FsF zr6M<^cs_KHSdoz%dJFl5p6SlGBF@gqc5m6tR~8xy3QcPlb(zV0DJPzvIx_fs8?SA7 z^WXs6`+`*ChY@QE&V__o_0+wvF}1ZJvNz-Q4|TBf{*3i?`|uzuc57eRd4(@c-#-T1 ztgXCOR6!nXTqu3_*dEJSQ7eTG>MWkg9icVvg1wduSFG&lZbcD3(!FoXR^EH3j{5)1 zeYi%Dk89wFvmIAqTwt(Zea&`Z-X>D4+I?TK^y1mGvd^>EaIt;iOn0&vy_xg0)L(VU z$F#UhBu%rmI&kVxbG1d&W9PP)x3cu#y@=M))-mP3aAmKYjsfvpGim(S)Qb<^W?B20 zPnjyUgf}V41#31{QL@@gIzIm-LT$le_9Ly&9t3~sd~9sEc%@j*`CU@Xm6itm?Ct&` z;Uv*}>J+X6zI*+x7(aV`wt64kGikzv%c-{>q%Yz4ut!IoXe*W7&RM(6aGN{#$hXtS zl5U(`GJSSoeAJQf@o!!`ERiQ7qle8bnjbuE8Hlqn=`AjKS!1s_>wf5dSL2qP5}(D= zL_xXz#!XkI@?zOXF(LB@*?9O*Z&?4Ws;-Co+J-GZpOlh{Di?8?=EUR2PBLGWgqyj? z=Q_{i&D&{dX*a3jWwL=G(O>)HY_#I__{c-*@84h8>JxC%u=bJ1&;IrgDatd-4J63d zH$gw&g^wF4KC?8*Wn|S@Wrj+kQPXO!Ds4=205OsmoZe>^{XNH@W7^6sTmxEr^uQaK+LeB>Z!?d=7l7V~<`G$xy$lIdR25Noep5MWjBPt2JDp-h2*xdSB^rrn7VzI)hSECsR zBr-YaSfVt~BvGCO50i@9B{jvGQ^%hm?%Q@4R-Mb3Gl5TV+~M_xA8+TptPS0wR&w=f zMP0yVr#@2pq`Y#AqD1OeJ0s!HMU%I4ynS(r|BUyK>xsdx&5^sf$o*@1Pff>h+}ydt zCsKZtaS1_lE?kf9ZeknkYY9|PXe0;rxNn_!C3Z<;eS4$-9J%+QZl-#D=TBSnOc*z- z!snnC>HpZ)oTz6!;Z$%yjQLl#m%DvVCT|)Vt=a8vZ8|Z|SAkqfIB~?Xt1flMhuWvX zLUY;9oH&_m|DigJ<3r68zrhzL$xOD^is-@IFd-qHDSdvn8qaQ=zj|6`>R3|rsmo_n zBzZ_gP{h$-o{lx3ElJVd^I<>XKI z4Vd`8_Sr?bhC(C0?~EyTQWJ?>e{0LThkZqlZ{kYo-l?urrVkxEm6~_jVD+3=J#6#GU$~#3JZrYZ&-BYA zVad{^8Ui;??`G%YW`AY3QK=-i<#WBihkp6RqnXa{(}`2>?eya|^=CY~F8Z2tyDs6~ zwpe&VtA%j)Mg=JehR*`RzGvw)j&_aubApAT_OpCu)T*`SB>DE9KRWkQ&v%X(Eh2l$ zY#z_BpO(tg{Z=bPlhsADE#``UaT6Z)nb}@nqESuKl^!MR-}20Cd6~Uf&po-q6p5>G z!4YB)&e)C{_}CaOMMP>tqfVc*8fClZ>}b7h*7anwAALh(H?>qsHtMc0=8Yl&i|asg zT)uy}X<_N$soh(DY9UWURg4JNLtE2TN}t`x=2`Ja4yW2w#H}gKJ|1S&Qk`owpK~b3 zP-FJ(8#k}`PawnZkAy~@3YVHN>GaX$^9h=&%bw+xHk7$I?3^RFE;iGUXzPC;;u6>W z($JTlcKhSdvA`HLP212w&bl`{w(LKh=StR^^uOqoTs4VTtmVa!q6zzmQ0e8|LHW+t z9kH%%2TrMxv2o{|tv7DrEP5QcN@KH&a93NF|DLeG`EAW1TFRQHd?Yh=odEA-smh30 zjh^P_!4!SNA8F?<=J|_GxS}R0B$!VOwLkgVtyOs&>ZMiPSo^@fzDN&yl`XAFJ{zk4$7QH&K}};jQ_??(KT&QZLCGdCuKti|2p$T0Jd# z&;G=~nLPcw%{0`fqy+C6YOj6&oEXiU`7p1v_SNon7Ls%4tC$@yDS>!YOOl z8fZTEb83$Fw9qJUF?fE^b!RkL-uH5wgve}?wcLFDU+DaoIg@|7!~a6(zs#BZ(;fa7 zI{#(PFzwrGpdnf;N$^V7#f7v_vr%V1XeE-Yd`Tn}( z|BaDPeUJb5OnVO~E=)Jm=|+TZW?(b+b!^5DD0J~pF}e|_8}j}O<3FPBvCU&%tN*_E zKmW$z|B9nEzXiH#p=t1dzmEAe$*ud56b^v8N| z?KmJH!=>{@_^_B+csb=TwW-1WHHiVJbuTOKz%GClIRKb0tXQ8u7T~^R?TQ5i=%TBx z1T2olj}`(AzXz{Ab#OO0kE|&F7t#!~S7HR1uC}~5paOu&>0_X4Yt`|$Lmf3mEAx1Wm%SKW2*-Ll?S)t%8T7(*F1ij5co5)jo&TPc-aQ)!24;*E?}?+}Tp%MM zpqeY>$@uTRbtU)6MPMiw^OeeTgt6XRm47vZK2e|cCYU^Q3gk#2-ge2HX@CrsAou{N z=7{A5V5DR!#%~*e;6NHS&^PGJSmX|`!g@B=@Som3e0($@)kSTI946ECe#141Bb(a+7yUlXd zVoEVvUs6)+L%GH16`q{N_Zna9EHy1_p_5Ju+UYK zp27)EV6%a^n5|qy1Q@VJ!Xp^A7tS+C0ls&?DW=cFVmnzn2f4iztQ`kF*H=X%_h56y zgUg5ub_T5`0_11+8_N2EJ**4>#JIn+fy}ehb8ck$W`_Y!nvAc^DxrFT_9y zqV~Js;uMFF1U!Z!WyoCSPG$ex{IUSC(d+4@2nzlT2qSbCPzr3P6n}x>1%X_uk%eFj zowazIxDZd9wQ37yPWTDH2htL{+twHgfEnZQ@hD*jc{5Oz73Lmn1=++|-)dSEdd5b1a?u*nWI5Fx2)AroHc0-i1Gos zoD#9u1^p;R!CHAPIQKpmXlkUVat}7Y$iJMz9TTv9lm61V_PeO7@Yn%p8Xzwafcyd7 zdJMu7KpPS6p|_)l*^b?r$o{$`bKmfZ!bi(tc z^;OZ;6#CKt`?&ZTp5M<-;1Amadb9|Tucy}5oIu~9EDgX}k1`p@f}o^<1spsJa4MkZ8+-bWOk?b%HKf~ul%!ES-8Ng(el@RWQQ94f;st{;$fSsMqF$wd6 zs}+(ov#bPL-!G6D#(iPd_|@kOFBn8PV}Sx@$`u zxyTlM82^Evs|#HI2kneKz3bxc{`xUkW&m<4mPk+G7$7a>xff4h@;B4KOXDu`{BBkP)pV>>lz^h> zT_tD1Wz4PU?Wx=YAj4GY1%-zO<}EmdpWTOs%*WXr<9;fEhy~X{dW@gDrQTAR8N5T7 ztbp?&6TnScY~l~n4TmrU2vkn9aOMQaj4(zMA|T9Jy?FLCo^Krj)lY7L_e1%H+xBGk zftFB5Hn&YSFG)Xc6_=7D_PJTEfslb6nTq3M18m}W7PNSCpx{A8f%EX!i*7MQ=KdJH>~ZP963nf;kFN8xVV7oX&x~>Rl5nWQLzdl&uMfs4hGpr!zXY?vR;P>#L|sVJjJ zcA(e!HY5wpnKpqNL?6r@x6t)EN)oOk&_l&jE{^#a+QnL2bTRw4Qo$kSJ@jjK0tusc zOnEUn&(MnnlL@*&h)!;I&OvuzOOPV~AxP(Mxc!?=|AyNXPZOGnO|#EwI68%BLEJD7 z|AN^p3Jr9|36?hQ2*tWA{)|VsD5p-91~{gWy4CeV8k2`IYM{Q`V8|fUlt2SD(~$Q( zEi@>hW)GuJ1)&CjrY*R^0if|q0BQheycz&C<6RVe(%Ai2g;9n{1eTf0OO;kKeiWV84M(UK*RuLccgl3WzEDMe`5&MRO#8g?^LM zn7qhlr|Ip^y?7!kzn!WE(EgWX00;C(E`US-r4*o_hNUccMOzRR0H5YFL0FsV78&uZ zUB7o4eN3fUUV>3sXl5tDpfI#qM}oarztYYuSb}YQYzE!E-jrdM-ph~8FL+o)J!=teqLVQ_qexx`+Qi5X_YwX#sT4_DC-ch!UU{ ze@1_T%`(CZCI0+0bD1$>3d4iV7#j=2?abIxq%yFh#`9q89W?E*ZROVlCq*3lX)iko zcAV!BuMkakoMi{ECbnMq+FLm%ust)jVY0ao*xvc|;F~SL%A7c{r{_0u^g4KDNO0nW z-dNJa*~{j~jxA$V6IU-B_GqoAEeW(*r8FOTrT0Ga3hHfTc%j#hV#i5OP7Up1n9EPj zOlS3KyBmP`G4%3PT-rI~okk18Iot<;nbB`} zvV&$bS2$h_2wW?;U6y7@3i_zW6<;BQpmG)|8nz85Wlr(dgQD44Y zI9}7NohP0#ubnHN@wr(@g2C3x;l&Pt2i@VVJK1k@&}+-3=U}|c0mdR51lVh$UpjdX zFvjBpGuuV&7+c#p?HE_`nFsAUxeqY3&c+|KV}v_o;V*G~Y@-KSdAypqds~IP!h0A` zPisEy3DN9i>)~RFBt|A`IN z2TjwEbXKDKztD6k`GcluNIDYT|0kLrA*_&eR-*g=il%A)Jo%4kn&!_V(VcqD$se=% zA2dz#=Y9SDdd>if)dsMqf1-sw{gYU_=S>GiM(t`v%99sSvdp9{CE8M^Efv~YOj}E6 zYbk9lqb*h1Qll+(+FDLqD`-pOec=Bgm7Z~Rwe-Z#L1cDKpx;ja`DI1^6DJByziqW* zG(Fa4MTad}*0@DoobQUNS{Nvg8X)4~1 zi%mE-x%Q3Cym=~1@`ByEZl-2sFC?F8Hwun$@I|dqEkCr|&0DmgA~AH4ck*W|f@S9-eU7cctsnVSX`HPIB{E+6L?Jrzvh>R^u0# zA9N8@7HQ#2V z7L&5lO9n>kY!+RO@f@nn&++B_TI1sxelB&n?97`R$d`)1G^VwU3_`6U+7rC+^z3yQWW$3Espc;ezaaYU^T(ux;`L$sws{lj zylWnlc{=)%Waoe0X-If(uU2T@utY*A4+$wVCk}lir$xVAmzz*}Z%6;r{omT2lx8oT z_}YHGg_TV1&CDh8D(lG7g1a#jx*FbIlo%}5nmE*uLD(8MF(>!jP!Vii!`0GEo|>!8 z%iOwp#aCm&Vb5jE6%to=TC`j_9`5VZU!0Hz}2#T@)+-E@Cx~Ke7Ba*i}3h# zCOk4^+RIlSn#Q&yP-ftZpXy>|?H7KYKeDboE;ku$jn>q2Jt+UCG0JMA191|0R=7u1 zReAPP=k>frkLy1s8d>r`d{o_dM1muG#XMm$<-Yd(ce}P2ZDv2VUEp|xyIzOWntppt zRi#Gz_2fcY1lhmv{w2@BisA>sQzkXK=*^aH-)1VEm6~yV4}15cwK_^fy3sOx4yr9{z}9=-gjHpE+cY6;hUCS_A*&9_q^BoMMuNX z#LQ)g8@-M}%x zJ*^-nZL_km=Rpnbp)?y4+dT`qKVR9og;<$#n=DX=8@i9b9=WStDgG%Mpnerd(F%DHJhv)c5m*jziqkMDa4cG(=$seyZxIw zOCN2wBIag_x8nCQUcZ28PG{MJaC`d=Z|JQbr~SJ(U|e5C%En8-NZM~157 zE|c@g$1PfGPEBJQ<9NJ$&evTowyW8^HMn#MdWMz0{>zwruO(o=lys;_IxxOTyM8j%pWXQ!ZiMrV{vg?f3}txtBB7U^7VB3_P%!PQ)pl~mUN^P#Lh4@;yyu2aYN$|;o z?9;lVY?;Q|S~{KkeV4RVRF*q)jgjlCnUlWyOc_g%lN92;BrkAIY#`rXAZp`Bn|Xqa za|^~u=Bz1WpEG1RuP)^3DfOzkXjb#&@>%nWOCJ1P75N^mmxyz1(g8b>K;sX(<4dpF z6$NPBJbGaNs)|hSzUP-Rw)K^e1kS=!KC`_PYndM&-w7M{ENbZP!QJ||!t7ekCLBH> zG)x8qZA{jhlpT+i9%J;kc+8uA7IhXEWZN6IojZ2iZ{P|!#&avobKR5u7PSFZYZWi9 zQ@Q2h;k|!aeZC2M%i~LO_er`$QM{-24U=Ur_U>`s@*&!H+PO&I2<5K>S6%HlTk~X) zM6KSoit<3oxdT_PTz?Y2N}KzB{-es1rUAC%3i|6M8c64r#gbe+_cRxOjn6ut>o2A# z^7K9TJf4DAm)Gg(tetX}L~iZx`!-tRW3Te^;q}Y=#$IL#eteRDays{$t=21*IpWBH zy==Xm-)ddgC>P(p`>e=bcmBnw-P>1`#s{$178a&UlJ-gmJdszKGNHGP~=CZ#8}N8vU4J%)XG3(`Ou*w9&DV0qXi}=Su?BnYmM>8}1O!Z_=@7KH$V6~nop3oOfwAY!@YbE|# z>BE!Dd*8-xdK?$vtd?!LEXQ3-inM8W7O8Qxzq+IN^_>NGbAIsm>qq&nhHTTnY$Ox2 zbYdg9I_q8W;olw--|Dxv<~TOo z4JSJo;X6d*_L!-^-K@_UeI=lgI3bzy zc^Lo4yoF+uB);u4*Q!2yoJ2ZwbY(#>RW=Xo4MK@A(BTYB6 z>1Gby%%z)obTglBs95%&sP}LC|3fT&Rs0v0K8gMR8!QboZW2HQO`eIVIA`jLv6w-u~bK)56O?S_Mc2VFz zlYgX#)R)|X-O1Yt{xE4FHmrIVUWI2H1O$AW7fgzv^5zkLHczxJ-)%5q;Uo^WzIX`ILVUJvS_NA# zViFM)n+)eJh2wAoHp2rBd+k=TNnc$SQiOKcu+ANaIm|?hDJd}a0W|OeT%-;r1YHlR zQx5~?2}mGJ)aAsm=3NWx8bF7o{?ge5{_=N}mV!vpY*~B^SoGizg#QDuCL=3fynH?KnS1tMqE9slo*j8yU7lO7wilYm() zoWW0J1kg%ASb=4EmNoGC-3y6f-p&>T<6Ve$b3R@UJaE+#Tv>1{!h;wSxZTP~gTUhb z6I_|17`z)ie85ZKDJ&Ff27j?Agc)=UgV@2lDl5uA(oSLLVH}IzQY4aBfuJAS>x%4S zX~D6+ca;w=CIJNF%9%?Q9<`<9?zxi(cRNzcCH-7gg*1wG+h+Qykwsfua-YDfz1L~O zswMNL0;mCLpT;Q!#e>*jr0aF@oeLx}9R0F-8Ti{sH^}q__(PrHHUvul+5yUbj-0?n z091_;1e8~J9emZphPn-5`NTDI;S@o~f}F_!XTdcI*+Dd}5}are1RdbgKNAnpf<3i| zPX=SDp!x=yd_XT}zgL&gMp5{wjTukJ%W z{pF+xTs$CzQ}KKF84(Mx(|(GXw&E`lSMgg8Dm z!Cnqq;dbk_8VX{Nz);>#;N7+})mDb7v;SRX!3~HCcGBxX8eiYgojIqet=Ps`bI~kl zbsEdzS&i}EvDt9BJY2kRf#zLKfsGy$&N5jFY@kFq0}yKq&W0-nZ0qKkIAn0s*avX| zBxRJtN*;wU0t3|rGrCV8ehy8a@pClZ*NX59B2`^+TBZyoBYG->?eiOU8$Wa?A>m z&x30h71Wq;3#wvNYR+d zKiu^O_H!8I;S8Ou1Ydh^L)im(s`t5UTmzFauO0pejo1t;h?2x0B7&yk%<(`f!N7Be zpzOE?P>Cyxx?6vHtmEJrhM9H-KZY|DF|k3Og#P(-5aQQV5)-t`0b>vMtUlZUFF|Ip z&6+^LAqMX>y!k8_syVnWnDE;&R zT-$X6NG~kQZLf-Q&f;QpFx6ZnO{Q@TAiw)+IyfX0RCH$Vq+VP&oPUvCNNH-G}`-r%%8zG z)JkvRh+d#T6LNzFq+P^^5)^i)*B#V2&`ROOoHne)g#i0{IVd&60R#spfh2I;InKw2 zA@xCVzW9rnR-Dasi1Hi|3mk3dPKPaw)bQUe49JvPO}3XM9YF@gq2gcc?JIZt^-> zP6Qd`xCzEk!mT`Ka`>R=31}8*1`PdR^qVB)jZmiGt007ZuGc}j5qFO7c7<0^p~H3K zY85HLu^yBip_)HSJt*v^v43tV!*ogS!a7Rm<-T{2*irQ&;wHol6E* z=Iv>}-P_0i&AeS_4TwyA+ti)S{5szkJv12LqWrzns^rp5alJ^*Ty0E{(~>vR~_bm<7sVmdAt!dUxRDsGr4H(^}EaQ3@(EahQ-<$Bt9c#CC@v zZyUleD7eG;cEGdXPNt^~lQD`v!_Kg2QV65`KwWwW+~D?gO^gs^rMrD<;s{|}YvKxF z+t0w3QA(S~McTNIw(xo~ZaY3{VN*x3?-q7Xt|$7aMQ`0C8PdY7-lQB7dK51adbEYX z=XkV*4VyML8En{P3htzbG%@ZR;KL>;1jl3>lEy3uVF(8@i})B#flNHFOnSEG)pY9~HK6sdoqqGr~e;9ELckG$Tx(F;EZ^ zrXMEEj)6({9CTQ+UY!yAXvki@mF>?4l>nNA?l3-eun9X9GM3vg3+}Lm@Z$H3hfTBZ zFy^H9W~vwTo-Qot4JmBp8p2p$^t)7I=P<-D&1W(gO+39_LQ6ue(|fzvx8ax@F>ty# zwzcrowQ*V7oWi-YIn}~eN6VlGaL}t2UVHmChBbP!gF~ND*UB-0k%&G8h<9BAyScM4 z*uCo#h8nsc>;{IL>#H`#QP)@a731}3{6he`==x&POLSApM`rdjCI|HAU9s_&;l=pZ|AeO_4Qu1ZG|OUzjzmnNGfZ{m;x= z1k9QuYr+ah|0lDiaqj=ho;Niof9%x1YNr1?v!=+Jg#D?R{;%x$f64)JZcVy<_x@i! z0F?tYu^BVoQ>-mdH~w@}#sb_kDbaSLn|pM_N1fJS*JEQdj2RZ7)@_ru8RzI;R=c@4 zy=jXvW9T=-*1?|s_Bh>d(WWNsmhSOk0LxPukUIb|Yf;#&Oy}3aEHtG z;X8LVK33*u5$5ZHwIbt1xnox{Z@aEDG4DmP8V^T*all|z|{Ff{YTn^bK4`L^D?(sFY6pH zr$Z`gZ&_HFIjFUKtoCqnB-?eKKRdNpR!)^SYPWVx{e$Z}x~onJHr>7&%3hZ##nVD6 zBLzP{w_ZMti z5L0rIzWt=GmP`kSOi)B|I`xm@w5*Ak)OyXs5W_iGWUkvodqFO26coc(2=xnfq3+g9TjsR3MH zhakaH;g54Aj$U5u_4TGwQ=}v=hB)_?Jg%^Vsb> znieH^gBEd=V@z-BIle~650|U<4q6IYr;@s!Yp11Zt zRo-&^>K!Nd&TeV@Q%J_M`dCxxZ_LaXSXKfD_ zb{7Vm*f4W}n(@zzHxI6|@;!G*&uGDCVPz}kPchP;cq6~|wtvaoO49NYTg4j67#km!qj` zn7ZEw#8z$mQA6GZSlaAAI-iX@eXqNJv^+o8F_&Ed$CbbHJ_yUa-FVoZgzLyDZ1z(V zGt z7^G_J8?4_?xXSnLJDL~2SX=CMdlzqw^A4qVJlvvhJbkQ%h?3^?Tja`7H4Bf3J+kUt z=Pq1-epy*=cK-c~w}Sb;HU);BD7|LxsVU~PTCx?j%d#U?(@9XXTfixJQ}B8ck|xn^D@+B-n%S3f z-g%K?>*}#fx~}=kzTmhdVj(>7=~f#kkS?ZM4o?u9a(RX7(9zg4H@s#F)$R$1$|7!) zMfxHSAH90k%*|rbiq$S|B8uYgh6Pk-mAriJ=^c_yGS@pSQN>g%@ z{U(1Oue9RRgz0zhRJW7HT~>x~^NV{14j%}b($o2^JJim+@osX;NtrSJ+^cW<$%MP9 zH@xg)ZkG5s21x$onZ$G4b}M_u^~1Zyvf@SF)zp$&w?#4qN5c*u5N>Kc=4fW5*M7JB zlwU~dWxc7h-z6udkyLd~#+|+U{kD%QD|8hVn<-fnm%Up_WvNzALZs@4aneLvsH!)A zr%zahB|mRcTnIbY_fgFbTkMd!5S z6g4EX;x@f1c}G6KaQC$P_`0YlQH1@yrO9%Q2`7%Mt9)?h_Td?yt6gn35;ud^;tPs` zoLqIL;v*qJhmXa|&YE)V+{HVW3>Qdccy1(yGKW{q$=sm1V(v9}{rfH&^S^q@PYIA2 zYIv;l_71c5DoKc)-QFIgGhcMvq4`4&KkIW+r)3|Rd?j@2@^f;Z);FFYuFB`-zdN;E z^3h42a!;`=egps3-XwN!g`sQmCpW!uSWRa0L~xWDm8Aw+z0;nYI(ss3zt z&8L!Il0>^mZsOdGSj}6pf&L55`Av`TbJ6S26MAbpr~9G9%X1dDorp-^k-n#a!Ya?Z5YtDYX60v4)KluzHjV2cTTB<7@f1IxCOqY{hvuAn$3u>I ze}8;-S~Gc7k}mzdKg4qL2Hn;>w^i6jxrTR0GJ-A54OcvHH!IvljFqNG8yZwZ`$tDk zd5|#k)x9%&TMijNv{e!8w`XfK?;*waE-7_2I8PH5pZg~L+&VTsf!R-doX1@V-R{hO zK7QU^ay%$<(zQ#Q20lJ1*!2D5DOvt+AC3r*oYU9SThAUIviuEsax+sc|M;>iCk_W| zRg}c5FIl;2;)U#e@V&i1BgcJRiA;R|BxJN9YavfpO{5fm=fSY(BaXYfF9iR~!1?|b1pg8*W-MmkMM^Ew$6+Fx!a>u=FUC{< z5?}}`xD)}?-dHDJ$-<&FScH#-Ye^60Wv)Ri0#hTWtHnwtk%D;BdOZ zh26LZ>%~ZYXW6V!@)yJl7A$y7;aSI7Az0y0Mu!3F{td@QrB=BaykFa^@=$6xl&spFZ@nB&LD zRz}CK!mLL#kZ+{Hb8U*`?1`w-O^`_(g~Ml=>U;6JnX!SMu=OIb_)8nL^^yo57jP2E zNyt~FW^QOK00d`_qv5>N3A&SPFi^tjkNT%#@E5~dpB6LGe=BhIJSq}^g*z!cy^DY+LQFvw5Y%D{99;E~F2KWAjMxJ3 zEJDu=yuv2x3s`aYzb&7JYH%gP@tJ>>Kzjm;t%NXL`s5=pXtT;XMSe+d_<%&YcFE zFV&ia?E-p+z+ku)=IFF2bQUarl(f`BmViKY7ET)N1?Q&32nq&C+8N{t62-x(v{Bq0 zg%xNfbAUUTI0PSvuD^F7kwomlY(lAJJ9Id}R0t)ei3N}`pk_ME!$cS71g?H$m>=4M z;_nL(LX67Mh!%#+5n0Y`qz}pV8Hb^GSOxJ0j;EN`M#rdKB*8!2ii~7Pfnd5^N((li z`!iz^&7jMa9FGBBn4N%v??wa_!ciK&_$DjtUkd}676M=?Krp2s<$|=lcmRBaVzw(} zgi%o$FeyIR(-Otqg)j$Tbg&%>OdBR2K-N-lac$IP!VBEhaD~MC4 zq9jC4e}rNHJ<=Z#e*G&o!dQdiKqyeaFvIo`1mZ8I0v7}W zUt_uVftwHp(FV^s&5*VNYo5;%<*P)is%;S8mT9SMi_IGQ0@ ze>@~PPi~}6hItrq57Nj4e_L{sr9;uTzac;!n)*k)+^HM2=&61p34Dh}-H%$be4MglYJUso13=_dGcX7zhtJ3kDWm z$C4W2IhayVYt`VYi1Br1TdxJ}1qfo=5p)c!%qXEut4h$qAk^qP3ZX|%I%gD0HfuRr z5jfB3Ty3feG)hZTTmnY7GlGN*LHAE_*kr#GEnC5lya|-Xi14yshwEV+t`16g_qmvA zOq53;d3$yKdYhS215H5p;ZEMQs2P0AZ!7pdZqf>k|4=lQXN)t}ek!w`(2 zf)h0vyI_t-*He$!jZA{9g65r5*&E`sal3Y5Qb`7m4NA00v^ofl;r4_j8Q&RDg#_W) z2MCo?$aD-04-Ov4nW{p!>8D=w9Ap4{1 z83ZZXG_78k3yL)eseUCgKv+iu86XbxVaI(LCAJ$7V4f2BM-c^#3{4VhnnP#=+xeH~ z7o^~F3T8%tTqtKS^WeD>d@0*|NsrcL*I|qz)!D zXh9aElzwkPga~SlKt_hjGIZ__z@+$U?|1D|95wqgW{|9JiwAz9xv(3NE+70Xl+x<(|^TDRk4M5Bev^OYI zr-i~fC{w47W+kLxgBjMtolE`EHqLh89JIfYTg^c`oAq2ai8Ev+uMsV{oN0*%n?$qXLbuzW87X zflRw_TIw7Ra7Wr9e*4$^FcR$~g6V;PsN*rX!RbFRB!Blwh!_1WN|fX6VY|-FkYKoH z8-j8R2Qp3|>34 zw#kAvr*IffJvOKC(dHm-Jk;lm4*uK9&SZzs06R`9Gk6;6lM&9C0BdmrTg~QX&Rp19 zr{uPo2r~NHI0b`0Q5?&#`vkW+ewt_HI{LJ+3F6Pr_=M^(=C@7OIUo&jKyYrRbnqv} zog(Q_!Dg;c^r&fy01oF9!x&-bZ9+cCPi8Qk6YVVyNVDTwYvc4`^mTBSx5@k9fV+dk zi!AU0Rh=B{jHBTyj+Z)x*%@vvY|@TC&0M*RvGXc;cO2ZrHXff2?sE2Qg`1(5*en_x z1sNl4>^>ZbO?c#Z$@E7H)A_I*)DhM2FBA4-g1Uy2VXe>l;-$1W_+_Ez8^gy6iSy>RQ{>j{U_raMrsPBzYLN8 z2Bl}B#5na)6IAW~pHN!oPeF7V@lAeZeE)*dZrk1e6h!|MpB_$Nr2bW7{C7e0e}~ey z?~;4}4yFI{ep+H21<@z<{3}0wgym6(2^$}yoMmMXQwSlS?j2S0> zSNLxK9Tcwo+ln3Xd;jwHeiq%!{(6ols5UiP=VMQe)&kUvjlK2n{iWagAHVnd*t1f} z6MyH0cm3X*yg$WYtfRczl=5mb+A^oD^|ZBtwk&9CBW-P>Elb+kOj}m8WldXKXv>DS zY~PFiA3C$Qttw3o9QU=esR%Oc?)*E?3O6hhKYKVTB#OWLgS(zCSuKA%`GT?LDnrf6 z^Ov+|OD$7=8k@Fmjk!Yr`PjN!Q;C?GeJFadbBX#o>z>TyWnVx2U?gnRYq*e}nke5` zAH7nZ$SahEc+Gh6w6Mf!GUugr^98t4HM9rPQ;)_d_x8k0=O+WNw@qNr($G>`^xk`m z@R^VxAKqj8#j+#KW|!JpNkYtcE_+i)X`mE8+s_*sG8IOevjr>7mi_cGRbSX_v!v5x z37I#)b+hW%y({=1*}uDLnd`qvsmW^go0T(qDt1-h^8a$3_`66X+Ac`4)777q?xA$T zUQ>qQDKp@#DlPP3i~RI7AF|8QqAJsU;L)WskwZmim4AL5{1|Mp?rnTf=q{nodOIdr z&YYbanYmn;?Z+oqnFVj}KYQJIz)+_(_uWrYckPyqB$*<*AkWL2eCp`sEjgbbW#$rn z!AVZ8EBAR>L%6;PR{<$)Y)ReXIQ!MB)(@E*Hc7t7&wqVTei6rw!Y8$f>#S71kk4O) zT8^D}ogguD`ZH7Y!Jwev0I8%StFfYyVbWN#yP4dJ3s!r4buZ_K7lo%}WX4~Jk4Xy> z{9LXlB{t!$&4zhr$f3grty?QHCBMIV9Q(aEac2AdGw!XA9@^*{?Dm~MuGyKaS-sA% zw<^zQq4*-v!yC*RFQlejT>hob7B%NJYVSXGAgk2W6!VUTPpiBYzrQEizGAoY`ZW(i z{rL)#5AGXE51veluBC+Wo;@gBaWyq?LQ(R(J12LnxvwV>HM1@M~x-!a?vddXBi%`e(EP4Ee^Qsx_XTT62|{)Cs?*o1+au!^_Rj_di4>@HhJMLSM9B=9l z8#Aqv@I#AvdB=-i+o)2x-)XBsV~{2J5N@TweQY@?&=MVK-uu1GsqL)Kx+(*Sd+Qdm zcX>2DAXZ;nUf1~vHfJaaj^j8jHFjD-UQxMe=XRa4`8!}FgZD3 zeAzX*H^on$8uEPzHC=CII#@|69hmdxDL!#rRT1FpW;b{tO-DdTa$$jk>AOR|UV9{~ zNRhkHxCuO$?YB?6mGhu@m&okq)YJ8r*WeG`zEbkxN}|Y)uk(B7C5D>xG}c!g5E5$gaI-U!I3Mr&x#a^}g$*%c zR@GL$J_><(QrzKV*7ezLZ|nl_K zRG4#&r!YaF{^jE&A<|jAoPVIbSnpf)MiGv0jjM+nEd)B>+?DIC)8*@ad2b=9y(?4y zFy67{fJ4WrZA-_z#Mym$U!ITnaonQG)kJ+oKC#gG93OKqXvUROi7Y~05B0ag*MF-X` ztJW9E5^TGEeAPMouWpNbuNdAsC>3fTKlKh-$d;?lR(*5*wH>07<_kqDrOTWP4;U_a zsoZ~V^p>C25LwIhNbch~-zA6D8MfSayo5|YW((G5?2+6lf_rp`wFucY@!9nAR`cJ@ zuTB-O%#5@skI~E6prarbsU~u05y!hrWXA2#?)W{{%VJ57a^*>P-Q+n#JNXCNs*SI(K7sxqr!@4h{IXFfc;vH9V{dql6U$dP}FnB2RA zCpHiF_l;z$EbL7`e>=-$u;a`cSMNCDz$w}l85J3&UXmZLYP!))`Pqwei)CdDRa$?3 zPQFsuM>^tfoHjJH_qF;t@FvjB(n+nd;+}(pTZr=*TZfPPjsRje{HboIwY|I5@W?=b zt*iU`()6$?2zDL`cia4-`c>Kg)!mtgQ@Q^Cel4?-j7f%D2~i>v5=ly_3{iwKQz?>W z6rqSTii$E+k|v=P%9u)0NfRV~^_jD%QtSb`Vn-VbqiAP((GaZ}%y6sD`b zyFV!_&_W|=p=_Rox{~bGHR`u5WLik(l;RueMd$oBbKbdZ%EvOmp1W&x=ONEktNN2- zly2X+LgG~muN&qbbG84lMZ3Uamh`l$Z5Ax&qR%8+G?#@+O_)riD>s;PUODCCKJj|E z`lS%(dF2M2QC)XVhE3XK*<&+DjC@U!_?|kh{a(!6m`#E^bjE(YuJScwO8walLe`9U zwrfsAIE^D|!C`YXvDZqkkNvoN)LSLOR_@rc@t+D7u#_(y$4dHNT1=HZ>0)j$DZ+7n zPUv<^!~8&tY}eVmtybfjP5X;UQb=EnSB>A&iLd8X=gfSY9_G~;ZdGg}*;jAflc!LA zi=6P~&P-X3KcQRJigd02Qj&B`;YsS^nn$T;)Egf;aW;`haZ*{)8ntB)a+WqcbscDZ znqoBY;fNTgpvYBC-3BigqC4Z2xp?L@-cN;#QbS~;eOE5-@$of!U7U1C?QxFRyKEBR z%y-y{&1(+N_ftHdkA1oBzjDxFTFXN2=IW4+{6oFjWWSr(ZoRLza%=-RN!_J@m9Whs5Ac@)cj?TiB3U{}3^jKsYIBqTg+a$EPog$ozjaMnN}f9P_C@#xVh zKKm}b2wCGI@{nA)lx#Il=3Q)B-V+)MZ@s(aMtqJZdC21f zq>WpJaqDrS)#ekq`=)F5M@C0S+4p|RvLoyF9J1zNf3wNS%vvV@W%l}Q>)d8PDNodx zuC`p|YJ_bAd44nPsO;DphlMjW_|6~OIoMS2@VesHvZa#*g?cyW^M{c|%4%HxI$R-o zqk8i+xT|gDg$L`*<++a=&(V_Dw|MFmvfXKoaaqy{fudViA_QNTuM}f#c(#o3c3D&A zVYjAWs~6-j91V)MZXZ>YmT}u=;`qxu_5}KJ-MPC#W}2RHUE*m2!eC{vC9QJc$h}{j zZ~fy-jG^&joyOxw*Dtd1JEGI}DTO$?dGA(x)s*14ZO_rAogeS6+j02nMgfV|Ls5sL zSNAm?B4Sf#8w=hj$y{yY=DFy@`|EmY^0Jjqi`b6@`nYSqsmUbz%9>ge?xzHfVfKH0 zyi7ys#k2b**Jp^g*(pz$Iwr(Ujimc+_tv|A)S)8U|KPe;(LtkY?%chp#nZjVO3%Wu zG$W6!P@J<+tMEji(32~tcYccZeSg4av4H>79-F?xo~_cN3*8&%XD=sY*z|hjXRvUdf*GWX9L|xd!Uno;Xzq?_X@Ithq&cHb&7K zYEO8c!le3C@HS*-qpA-%+e2~_j@?_3Xo=$a*XFW(NC|yGrBG*weP}738F1BUB$~GZ z9-7pp)12DRQlnsMoeQuDTW;#h3CUn$BsJ{SRi4N<&|XEU4lTVSI(Z@=@Wzlgvez1f zpHf*e@B%fVh0tgsWi229V3`|H9)>uq9qyPHDO|xuQ`Ag=uA-4l4O9!kD42NGB`BQ1 z^I&Vu4b1frxyF&f6c$2z%Lc|nOZR@8?TkT;c?V(XnM1C{gag5v!>OH5@1SRs7R14f6=ZYTrwJKRg5jyxT_V;zjnsRkBU9U8>FUd|Q< zRJHV}9_%un9P`^`51o`0m7G3<|1t=`8Zax6P^yJXgyhu=s!~-l7M1G~(1P$0)hQx9 zsmeNXAE60v#M zcyM9?sizP-RH_hpQ{(leNNt6v_Z4je2t+6?nWsc0b*~Gd`SpY0EYzQP|5$y)j;h)U z1fkuK%)>7lB1Kb#Uh@uMNx}LSd85!O%kUE>pdWRa1vxR$fYtdIm|~}$J5D*7)--4u z#{yap8|l(M3P@`0rN;)r2OVCv$U?3xrhv_^5KcW{b zd~DWL*iUa{ajmm@A7HpfnAOXgrC`sRs{efKq4$Dcq=r%#B)O=4H+vog$J5g znEsxIS-92G*?wb5@$JNu!BCeEnJJ_90;nTw7kTk-4I1DhR9 zA&(V+xEx3N>|rt#!a7wP(E~WN7f*r;f{ud;!E!w>CGKbd*> z9^8Sp*w@1r?#bw`NACzu20|_GjiI82?E+N(*TC5kI;=xiKeiHEjR#<}AxR&{j*g;7 znIKs{8&(-YW;=?ifNxy{oPrrIMC`N=2%>i=j$srqUsZpMUX&9_(~q)nsUOysL+Rf3`l0P@4zNzkhv!jV_TpYQJlxCQtzuJR;7JXd)h!IOdDnnD#i zmf2B-D;|;?@PVXBlN+#@bM`M( z+>PjQ>bjvybCqjp&hp{iupQBwMkGcJyH+C}!*wMbB*w9I*F)PKdt|qp4bEs$GO7>$ z=&6XipicU@oKSxhh-u;44Tg)h27K#vi+zz8g*M}4Jk+!tWrFw~0zTbJSt$h^@LH(OSiuzkh>z(*6@9w7Q2 zO&gNXw*uupZ7>_%*Gk^vI{}O;TvjeZz&C4G-SYw{&XHAZG*@u~4TL6zApHKD@H74O zRy3d?+#bCONbW#a50*R!nmw=+OoGRAS3bOx4CcSyYEdIg5TOAl=rrT%Yj{zB)&n#) zEusB@y~rF$MW2L+knK`f72v}dnw@hra~$^Il03mjM9>SdcF53%wHuKTH<0@P`mL=X z;-W*)N3#c~G*T=mFQyA^*Lt)gq4@wRa~v!J!+g+W{42hnz@GybjCsm9)X01vcHQP( ztS&785i`E}An3%=++mQ=6^qU>^zss=z0rw17k2_>_2D zBy6zWA?Bv(F7(hjo8vo%iy6sDi9fy$lQFG?+=T?hjrc{ z&UUJzzd8bD4m+Ly>IO3A;Hemaj8JRh9(7}8?=KHXN_+7JCejn;== zm?;*EM>uNZcUS@$x9QvykE$Q#$s8Y(a6Z731xGUAJTqJ{H;{QxAL3#LZ@r2(LmiI{ z&}Qs&^n{|B`C?TqTOg(^+(SPzZq;%G#%0uw4P;2=Yv-EOGqmGO=!E_c88wS|oNT_f zeIP6CdVq|$eiU~DXC9-go}HVSIhSs{=iYMM#FrzymxY^^MzQ18-UP3;w;al2rWM@G z>x9c0+I1Y|%rO4I@H%E31_p*)6NFEn3y(8l&VMlU(@_z6)4*jF7+%XTX^^vO;uNgq zG+}VpG0T}b3-Aw&GcE>r0tODsIa%n`F=cOHwPHhNyE#J0U{-Yl}#ltaW1gvHFe_-5&F8v}SF5>~i zKLaNRj)(9EMqn$WJ>)i{EUDf9Llc*Qi#J|9R(0KN7W9Oy!+jKy7dl8mi{8NTg;9lp zZqsA<0$ea)EU4wmAR4phXwUs`7;U|JjhzD(NRz)Sq$!LJ+_yg@^sl^W@*9l4bot6( zfzu-}y67PphS6o^FJHf{sQND$-PcdPegDB=va)gf44kH}-lAd@Mo*e7BPXvw{s5yF z87^7&SKc(SSz~Me3r2f-ZQZtGr}tkl`amcdfzgpCPeuQSVS3ulTet6Ik?eapc?E?< z4<7w)m|j&)VRTbVYg;?{8Rk6#qlY*@5ARrhL7{OXqR8^*BT@+SrjF^;rc=O2nXq4_ zqd_(%$<8P_GflqRTxp83(7|1nP1%=E+m_wl!}d1ocDMvCYKN&8)NNK|G>E{-F5X|1JAci zkrLvs42!avD8kx*PFtmu9NB;Bx+CMu)g?NrqBrI#Ho_4!#+kDxVKPteK)R;lbFyyD z%GELjx%;LlX&H-^l*Suaty!mE`S`Sqth9W$^E?r9`P#M2T^xh$5edn6Z`eASRF*#P zZQiqUeQ!(amyYlw-h?_nJ)HBs!qsxMr&hd&)29j7bd(%VE_<3RT2gi@T>ASUAMe!ziFQ)bN~YhQ ze9BIK@NvqAM_nZE?7e!Phq3Xirp#XHAv-8mcRjC0;7!W2%fZL8JB!XeA=ms9%fB~e zb@V)`7o0b#uJ?It*8PT4#?It^;lsD*SFa-mZ0hbO15I|Vl{8p)z(sPt>L*@BY1h6igcB=XN1SyjXzym@ydv$&~v%MO>x`aXHtmR`G*6&Lz!laV7z#cu{=CS^Zs zk4n1V+Hfo;*>!hZS;dL)KoJ2U@p1Rgk&|kZm30+zl5g3r^t@4ZDl&inkvmVD0=EXw zk`j}V%)dZlHAN=RGw15$uX@@nq|3>n*kAWUaAxD3dpoS%PGx&&l0^e`tm-amB1+$@ zT7`7q9Ssq$Z0i1Y-pOmu*ojI@88^t4waS{-UNe3S-uK(H@9ZX>6;f~DmHIKWHo9}a1HBYr}Tj0v>#;$?u`o^*u4@%$Nm~YN|?m+0V^*luIOVG&+1!rbW;k;Si z&BqoU?yI1tW<0I%^;?gvTf#SSkiouV-aGcJ=lao|aJP!J=ftTbOT*<(#ufQV+Bzod zHV6=Y$)fDS^840ma`{&-oebcqYVcd@;<1kL`1LkRt9_A3F0$hPDlpgK`@0Vz8*OZZ zCe(Huaq-x4K&q`SV40z%Z3nr2dAT6ZXq9KjE;?!H%+Y(5aCh@;4b6ppIXNy91&Q`( z(lf5~Y-(=J#h_?wQ7yX=D`iua&zv&zKAlZ+o}|9aVK(Uz=(+v$J-EHmQIeBS!4WXIa+cDL;}V#pNtD}04dgfQ zFEJUTqR=r~Y&Pq~)B~yu%(l&I`q6g!T2Ui;cJRaz%YfT$@2^+Z>`lDe&6096cEJkY z>sPH^_o~fz2qxi{Uhz94OZYXI;}?ADWD^w4$;@%{-gonf&z3`4@^GJD@%;-|=Is}K zqwZd=h}z+II?ZmL1$RpauVQV3RAc>sI9WV)P_n0qWrqIO(vK-g4;#i7T`vx_bPkSN zGkH#Lc`cLZi1KUmv8is(jPlF6aOerIqennSN^-wx{LvFHo;+olPtKp*U)%ap*yvb@ zTT0TYvLA|UrEPWTjeIH-+Soe^dZoy6E!K&Br_bkqZcKamIOO~@mbP;@FL^{i8!OZz zG@UVZB{_P^GwJ2+QQCe7^p~3rOfvWJ;1OU=IDNCBGwNElM?frj*%lvnex+-`gsJtysM+#9FK3?a`GATRatvlY73FG81+f~Q?1CHjXDx~ zY!%IH(xpkIM~znPJZ3RTzAwL$w1|AX{5Eyh@x-S|yU!dl+7Na#%p|~7Mt|KguX+BP z=58j}d*0{v|ELyU9v)~Fo$RtVy5Q)tb@Q7#rEN@wXOg`8YAYV zs%cPi{N3E!o*^kUmBD_S_z9W6eV^7svmY#?s`5FxPkqBPn)>1{B`;pQCOFw`$C=f3 zWaE*ObLGvyvQ9B{7ayloTQ^!~pZQ`pA+D(gcAWj6PX&-;MXk|W!xqdi-x+B%L$AMn zKw6KjZ*=9;)*gXmCl}@uQc#$;Zt9ehsFb3L{q}xK_$4*XM&~@(s6V$ekB;_rtG78rpbA>>z5K@zIGn zkt9!uQ!-+p`8BXpjG@)9ea!O4}CqmNWYql-lCO=6b|uqvtlVY3h<03m+9=tRnH}M}IpDap>}-LD zud6F%O&7tG#RTkLTO6T1=zuM#2tpkL+{@|g=ltXGNV&vq}h-j0Kjj8&Y zGu3!wFK*`-kyGvu3*Pbd{nOIWQ9UooaygFH^y#eCZnhh@uVpk8=|~C-b-HTt9<)?f zU-z zd3&FoBf#?gW1#D%aqVqvyyw@s61M!L=+ld(#a^AcbotDzj^_^j)i+aTzkRA(axv7G zD{e30kM^}&+8(<@JT2i=ly3dIu<4Ql!iAn5lk47;RmQkDkf}{Q;!7oK*qZj8JXd_$ z$V!i0RA!MBej_&sku^Zs53y;0^I#yAPGbOvYddJwFa2D#WrICCzsg!SxyiB>HEsMHaj;4hmzX$5^G;;A@W*!z4_!>m zd!H3NE_K(LUY?y(@We)ysN^0#b?ctBoZ{nzwAb$s+PU!5w+wtcA0BU_s-SH=s)}^q zjDFI>n!G1aUv`$muJO-5U){2K-ImdXx10n=am!W3ksH>6Q&iL&qaqBttBdoUCkoxM zQsn7N(BQqka3+GI50XQ3N*`Z{Uh?#={l}7fX>RYI@8uh8eZG4V>rFLLUgm98!kso# zMpU8aOj4AVu|A(M`Xyqu%n&*=>iz*Uw$M4d&{pv1heqB` zoc^6Xbz5L;h6mBIV0~2cbwXWwk-x?Q*HA;Q$xTURgE~^Z0v*pgIV3B{;r({PQw+B* z8LPx``(-2RtKI&~*(T}jHsh8PdBK>pNPv{Ky*hmL@uy;+g9AT)0oq@DmIw;{3DExH zvv8mK6QKRYXVGQ(Cs6&1QxmT(e*)FNI5jzT{Fl!87pVTlsYz)xS73 z_ovT4LG`ac3N8(@&Ye3~mr~++bkL*2d^+gUVF4W$(!qcZi|DYJ4u&-`|NkOoNPVb` z7B-8J-~bcegR?HwRM4bBlY~Mp$v98NKc>3MaJz(}Ed3l}Ms1B|L;gz3z{6p?tA7fs zAu$6Qwq62LR!-C%bFV`UMmAU?LsoFG9jS}df*5g_a4H>uqzyi~yMbg0l!o{N33kaN z)Oq4qz}^y=e*$)ce?rg;Rcj%nb5yi5XW9g62MgPAK&LfJDNOl6VDp%hdj5F8Rwpy? z7!h_jVS>j*(1cn&030>~Q{jU2#1ny_#|t$SCcuUG4NwSBA&R$iP~{~9?G-?xF(Jbc zasn4*wKS_5h<3%0^D60SDl34_7C71zG?0G)F2uK!P8|S9n6EMkx@z+72}P#xCpVl0 zB0`J(uq*e6=oo5LaLNi{ifloQpzVZI8hZoL!vIEpEd*hosIQ0aggq?^wiKQ~E(sO6 zqd6RzsYJ4&{C-+IAQ))yFh6~qoeaqzTw9@%gqDlo&7C_MFk~S-aV+Cw<&%5Riy`sU zWFAVt;Yj_rdNwc%`eu`vwnWGL#-9H#GV?sO@Bh{T|xONa>G4)bYXwo(0rGMWpKA=Hf; zTMkh+l#}$mI$$9omii-sOFD$UJJ(XPV@lnI%#Nw(OoJu}Lz6~Tio4uwpwUD!^$;q6 zUK;BT`-rMuFSIp;Z|K8C?n)7jYyVmqWG&eK|H3Vnz=F=jqA#ZRBgyP7K#o1~`ELqd;lT z0B2Y)C6wtRubRd%123B(s}ljUL#gI4iUs$i-ob4&eLVtFYhVFMIMctvIRnueh0)@? z1g}jKXG3Y?F@)M&{W!${EcR`80$rX2gMAt*3%Q;junuIiG|~GBdI0F*qlb&1l>jNh zfF4HLj?0I5bPtw1a5uUFmZNiok3kTKOZzH(JytJ;S?-tt#D``jpFH4Afq??pEjOgM z88njF+1%kg&6)-FfDgCh@_8zg_*r|JhuF>m5N+UfF&^>*{UgBpSTIh@^>Jcw0+<1t zoC5DhKDmMzp=TM+jOc^7Fc|AjCw%C8K<7r>(Lfyj`N|WC02mxjrE@3XlfDxBRG723 z@nsPLxA#*lrYeo7T|l-6H?e@c*MXBOh=Gw-Ak~+WOB=ATF=9AKZ;NjOS$nUwSOisX zJzfABM!ZD`*tuYqeIFsQRK=-3O9o!rP4J#b!+8Rd3@!2)pk+j+p9ThA4c3IK3>=mb zc@G<7#6F|B>I{}Y>O5i^cic3>`}oq zH-51e+IxW0AK@w(?!U?2Y$2{i^cw#F=Sv9Lfs4}|1^B(Aj{wfR2Yqm@fJhySw6?4u zHU60J-p!Q0;&KH>1{WA4-Z~>*I53S`!l0?gkwyl?n;j0)P_H65eHnzuXb^h+fDC2v zf@vZGx^JlU1AQ+*S<~T~0El)gkwgIpt{CprsV=1wQglQ>CycGblEL02Fz(lzLEQyt zo;&aqnxLlBOyx={>IW~UakW68FoM5<4hfX+)SShkL1#B4RitE zd>M-iJhYi-Ad0m!nLC3>3jzM&0SUM+JDAR$F$rw4mP)+=1J{6xlJW3cegR`*@IZPQ zM|L@S0Kf|Up}58A$vghXNBCV|8uHrEpGlqHVfb-9Hq6)E(T{K;S^&!~VlNtc(C|ae zuZPkC=(#>ee!?h%mKwNUqfuh*swMOebPR#HQrmeN*^G0o+{{R}ieQ0jXu$LzhtDD;YTxEbi~_vJyT&^GTyK{NZ8okvQ^#}TIs@~1 zoYm-ZLrdI1`#ZGpoZPpK1+g8@2MLlHuAn!|9Zxmf(gMeS^w!Yic0AL^YJwvJzKkv& zD5ycl5txvS&Ij7(fm7i7`wAh7Si=F8(Vs$_x!|Rbt~q-Ru^DK`!>4s#S{%BRY)$p) z^L65q2F1Idof4lEAKn)ZYaDt+R#P7Cbe3cxK?VNfAjPidT1xE$J8@H4rU z6t#Z`9-xKpsUIJ)YSPdG9jrK$35b<4K6#vVp%X9 zG1~?#;}|j92EiR+u$T>dZJ)=?bcnseYMXP|YCBB>(_yde-Smlu%(n9vJw~wfu-!Iw z(za72ns?{_43PdAH~lk1dMI$3yc(8dQ^oa9^X(CHZOb7CZqcnj6Qw654kb^Im}~=b zBe(iDKXXJ?QyuoOz#8;=S_gGFf^2DjKwt*-nFg{=7{1vE zC|t{$!Af@%rM4y@a&aa=j|@A2JcfyXMlFj8gA)(-H^Go=5(ociIxsNcvjLBwcVM7* zPy^qw_H@qrW=)+dew7V~dB#oL&qhhQAMRn#f>U}aJh@F+a18B0LCb+c8RcNEY(obN zlUg3IT<-WdY-b;y3^#d0&ksTEM9UfH zb3ttxpKIAoz=i$kU~kgE@^ipT`C~&HSY+)~1FhKTj<>W^b;3V0663Jnuw9aICX5B= zte6|S_m&q}F|vZH8Mck=)ht+-jjYwIbWJw0RQsDS-cscJIjB0XoOyS?Grb8O<6Mo6 zfo*mpYcflm%%wV(a+W5y&PJi+pz8Q?u;s$)I1@nXMrJjRAx9unx3H0cyD<3E!Ja~V z865uxh7~q7QMrLNoR!9{`)4#VlU<{*3|yn|ZkW|h{u$?!nMu=gGuVgx<-Ho1;r4+T z9Llj!7!Mk`0GSJ{Vu5Rwv9AKSF(fJ{XtN+6eaK;)VdNhepxw+=j>{;lhF7y1HzUVC z120-D9GIY8UL?S?&jlNz7U3=Q}9USC`)A`zTrd{LO zRve8+;cAAyooWk9dOZg>qwWE{k1;#A)sfrD3R}N6o$+REYXUbb_3+0pVAXzW=5S&d z7K9fm7vPY-=bX*Rro5i9|A0Gww~nivT%s}a8{9oSH*cZY(B8iPL6OPtKHG^&lps04-}bv>FWnY9{fj&On{O9fg&3XMMD$I|CBU)dTsfgB9os! z+s98(iX8Lz*lkc`0*p*4a{fP2WYXI9;h!k70Hw$T82P^_vc_z!zW}}PlAj*tmR6L| z+Yeiq11euLVH~I*T-04V=xf4w(=;e_oJyOgnlPp`S2t3!yuCGf7S1UUU`qyLGiAYE zlnQU5v#oTtjn1~y*$z6}NoTw0%$v@9=**YScGKA&I`gA5{~Fu>fhYq>|B7|H#2~I* zEECkn9*g#oms6cR>G6|vi!CA9r`4yAy^&tpR(U3ylmr9^1=)XWcxbU?-VBZ-9*Zg; zAc8@lIUt}e!bm{EH4kT zF`RqL*K5@KPn|XEb!TSydinb?lOx3nuO%eS`a(Lq=gG=R0O2-7hK0mxv@@>k_Vn4W z{Q2_%9eGi)lvQL-fyX+p5cPrHNHfdjR=g!8$86l49htWtoiOt``Ybb?+`VzOUU{2~ z)+XE8ThCQq-g?MG&eHqbPHih)4w=YU5D0|zrFXZsGOP*wR*!)$&={J_I zcK*@SG1%tgO^Bn$l3gck$V3CB-ml!!EvFLv)wJ#1r@VL+XSa4ooR@}; zE|;{`_T_{}VZz(SevyG&kJ6k&Z+`lC_eNHnp8oYx_y!2~9H-)3Q5yXKV2F zoxayP6v?~Rsaz6P(b;~XXG-Tf6dm=tF*Ty;coAX zw_}V$*KCUL+mZS~W{`c!R4K!KndErr{n!Hu<)h!-f1ThT_muIvsPDzi$^qqpD$dt; z8f5JVY3;rrdNif-cIfpJ?!j3-m3dv?8QP)E4aMT)+ZA>`BsmcUv94#zINB~27o2_c zO-Yk)t{SU~&x4}F7q9NV{B{gsORs#oHzxOcLqz`VEyuDxwV%0qYTgR>$juXE#^tA{ zJ|-DPvnJfk$ZvTY5E?W?MRkdO$A>z2aeG;RD88#LB|L%fUk(o><7Tc{`1;->=Ow1A zk>_2tcgFeZ}R+HwI}YyZdSr%tb68?Q%Uw%z)#{JzV(oDk_DqnPN$loy zadD>J$tq3IP-i=Tx40t9!)M{!md^IaTedEK^7IYqD&J+Rlb3q!dVqLu%eJll5l)}q z7I|4OvC*z9Okcdzl^i;1w%Vxg8|RFd^+dvzo=dmld|uip3@*+}r~tWWZFJhWwzTTtTmrB13$0j+J8Vzb6KvJzF-DV0_6 z%Pkze4ErTIZkF^)us!=)d#Q|5N4lM#zkLSTa4+{p6MN0XCEM4l+Bv8=#B_d2uWh+d z{CVcGNlpPP4v<1l>DFBSBnksFnaHAX3#?6KyP8B_X= zS4TLv_}UtezywbzH3@RJqe?_N5+<#NtyY zXUC;l&Cy!Es4+K*D1NSfdr#qgiH(W~Klc&qB_Gn`u4G!tFJzRLcQF$8?;)~X@7ul{ zbM>6q%RO1EtE4v4%`fuSHs>9tGpr6(C&!eLlKad#4%5bIPv{Yw=e1CTHA=vuTu_AW)O zaT%o_xl8OFjr+x>>nT60e(18{$ekcSlu+`FaiU3()EBKYj)mCs!HyYb7QE}r|)wgs0fm3?h``IsS^O7F; z!4$q9MUrf08pBeOvSKqKkcZ@P-vDI$|T2^?zo{bzC7g{7r(cJU_G6b8_{w zT8`Jzmp03&FEp2Y`8t06)+5Q=`H4vRuE6l*%{;>IqYg*JIDcifoQ_LL->;<0n^9g- zeS0kl4Yu+>_x8!U?cSOzgRdXfR#mC%Vwt^EP}yL#l%~x(0U{-w`~3YF*8B%ALIN%{ ze7$t1JnZ`;&D=6RcrZbuJ-oGh&%mKPYRoNZw*R( z5JEzum*`sTPPDQLGPVl5*I#tMF*!Q_{rIf#b8co9YizqnTA~3Fo1x#4d2Nl#+>K#s zgY4O1VbRxC3ry=NeDh7Al_X?e*yexcp{Ih{*Szu`fwCi~?FINJ87e$)&fa?bYH1MB zFjlPlI5@5)J~PsIXUJKn(VVY0ZQgalkyWTAA~GTKG%>T)d(+zZqcZ4xl56Ohw1l~~ z%lkR`wPv?}Zi+f|ID7-)7awyaw}O-X()ly<^-O(t5^0%=y7nph-`QoVn#Qf!N@QlQ z6q&O*HpS<_-L1P48yS}_-E(j|aHn#wU!2Q^=w}R4@TB4V-H*~sKevdyE@Cd*Jeg0X z@f{mk-0-m6$Ngk+A9-2KT6pml%e0ImxufmxCqwZ#wv1 zycKM&WS!@|$U4%?eB)auaQxe>dbNtJnOyAvf{e{qKg{+%ac9C9rMszTyg9#l>>9Cv*W^^#8!-^V%|M!qG`>0`+ay$3`j!IdD(fjkSBaaXU zANGn0r5n#vo+!u$r5k*&PloMrUxTA1EzH&1LXb;}eGMHaIFJ)ZUIS?IFBS!c?^ zK!-rml!cUBUyn7*=0YpPgyU$zP1MDAtp~wSk{m+@JFA}+f_@%Atr;{GI_&O-mk%N4 z4E1(LIeI1sTvGc#)I9rrQR)5WcL~S7q4dMyY(tb=QI;h&&hLMfT&dLLXUySH{d7w| z`3I@daF}7ZKqP@CBw6`q)wRkVMYP|FuG3P~$dE7Q@1~Z&)m>>>k68XHy`rdkMmq7Y z>g$k*$dmW43hc0u2*p~+E?S1AL@3byRnEqIbFU9`NKJ%dEg~g{%Gj~0T%jX!qEtUs zvf`(nh-Lq9(fel|+w`SXHA;A6M~d0Q7M3Vz)e6$wG!YZV$67fPh6B~0%&O%uVFc0H zD$JM{6>Hf{Sn%4ou@^^SF;1M7*4TeP6eBi%|6TJMDS46Mn%A2@Dko^ki~M8FOK!?g z$qV5_YO^#nwdUy1Y`FynR5>wR^BO66k>8aQf2(rRkIk3 zy|iW(K&w}QbQbjc18At+-!8t4uK&7+*0TQg7&3LCAe)Tk9_?8MtPiVMv`1Bay%(Ls zGJkxx$iP!}-MtP(-^*5a-T5z{pZ2yFep_%f$n{3<P4hFctzEwHjB!C#2;9mv#ocj`CP1i z5t&sS^Hjn!p18*qM5J|%}JK{wxuxB}mxP*dk4)vP2VuR3^td=cY8 z{>yz)sc%{DUMb$aCH@slT4KTG#dbl5h?epamJU8`%l;S5N1SXOy@k8_j_N4Q(yob* z(d8GOIEU1xzS?VSY^>jv_a@xN%+7w){i@6B>~bY>y_JB44|L{Ry8HJDe8*d5O9c$?JF zkx|8=L8SX+MO%fn-Ob0%(&JsYGxI^%-TQk}->ay$ODXiKZGTS$$C&w=N7gEth#GB~ zQNSFGm7v2_*Pu3XPWJmb3O%+(^=h0-#!ZN zt8Z~FHJL6UOg*Z0pqef=cQp8tD;AnEG< z)gbt%ub+fp;Me!hKYjfqsD%A%qh!sX%HVIi1>gA2Q!hFZ{Un%&}sxHF3<^^F4lZ($vtHk&cg!1pm>{kWi4Y ztR#PJmVf@)$dRs?e&v7dcmA1J-Ah6e`!iomwwfR%p(4Ticz)VheqLXgeAO`a({8=h z$cO}v{B`|bpFek#e5YJZ(fl&}=kxzpC17f#Z;2n+{^wKwYEO@UwzFS_5$F=?EE5Nv{v!2^YA?of8NNieyT^kvYX`A&;CkIqDP7k;i6jY)1j+h zzk1MrvxQ;---7>yNkUh@@@Ia<_>Y@E&QV%Dgh=oRuU_P3wF}Ocs~`1t6#u=H+^_Z}^Y&XT=%0te*I5{QA2eulk99*Y8()E1dfG@&A3lS6ZvK@EECCv!DO-dCLF(yubSTKRw_2e}CT3{{GM3r}FR5``PCiNPhWUMyR{L%hPxh zfq={N_dbvFzseP-@!vn6ujuqYJ74L4c)s%g@ci}v!}C@CFV6o}-&8B*Z@$&S4v(uW z;Mi7un;tIazsmhr|HSW`q$G6z<$d$C;L73uWh2GDY9bX{{lrf8_rI#u+aTfkx!;=e z_q`+})#j^tp`ZJg(4YI;{8sa|Klc~Q{JDQJZZ%*1bN|+#?eEu7MVD7o%Rl!o=>FQX z`nlur>SycE{TDa?x!-YgH6QtNzopHe`z5GWTkh4L?*sErf9@|{^JmNcwg0by=+DFd zllxBz{HFx|Qv&}ff&Y}i|0ha-jFgoJ|L(&7@jC+ktrr5HV)*Wfzuxx$_}l?M?S9*H z1zt-2$Rd9~A5S+|7v~+04%S;OEw-2%8R}_kZO~9wSSu|dCMt~hdAQhEn3))9s3=Gl z7kA2nA+Ja!RQD0YEW4)rBl*AfQAzmI17AAUHM6ta1efsl<$p&(uU@ooC!*zmx+-WN~XA3eC+P+MMBQka)>9%ZB^#-G4f2n`DK^Y(OicC_2J zWs|X?o~D|zJX`_^^Kr2=&{C3<&d+@LFg`le|K{cM_LfIY4;pH!u9p-Sp?r9%Q!&RQ zj~xsP*|*1Mx2N-t?Y3L3pu{#BXltmeAvGv683}PQF(Feq=YzpYG`oKK0j|SS0}X7(ay@;%-BFrS4&k{ zQC3P)LQIIChnlQO8AALP7byX#KStKpB z1_|)6u`trpkdrRW&3^mxd17?%ZSSiWU9FGq*Vm$3RZv1jxmlTKQxoD&96Nd_JY=80 zuZOGiE=SvKR)o!Hlc|xe7PL-z8A%Z#0WNk{W(H~svW2m~j9xe_x zMjC3eMKu3?X6pU;(7QLUUv@odd3dM(c5UU2>!rmPiwbh!zD~nqo{Ts0eg14 zI&X)5W4_sFqpqg9>UsrPDKR0$&&$ouNKZ|=v@k#O`NPCuf8U!|&$`+kJ-F9cS5lUasUF{7jif&{`h?|3zfd-e& z?AK2dqr-!JJ+Gj~S{^}-l|y4fMY-qFlj2XtL`NJ93*6)5<-W__+I*AoM*R({%JNd; zq6~-+u0Tajx;*!F`XgNNRd-kG@bhwU+UaOZ0mWcw z;MPha@7Bu4&A~zkU%oi=_4CBo$Pn@Mi>@clP4^pbRopm6!gBV^>G;@~5r4(cJl9`yA8K1u3x=Wa3ME4 z;|!EyT+E4MM-GKTh4}9V@30-&*=(~g+hnMxqm3(gt+bScxQGBB7aJ=J6LgB@dGvku z8`SYI@on$x=N(TT-)pSDT?JRXkdt*TJvs4I42q75JaQm(Ke$IPw_T3--UypE>T7GL zsj4VJ+Y_b|+5+5&bF()y|wxAV`v49*gxL9dii2L+;L_qbm5cHQO6<<9S95Fx7UBq zZg-cR_O{kr!Nuuour{B_u$9z?JLMiOG&Olg8W>Z z?Ci|g?NN}zm&|;@cRu184x>X$(;e^;H?Ealh9Wtenw$i_54W2GVNhQ_TwWgTP{0n` zEVd9f8$so(;)_a26b2!BTo6lhGf-2L6Jvw#-oAeEw6nbh4-PfpC$6E=;)47Oxmg+M zDW^}JjEy>aI1D`4p51OPJD~op2qsL1dK=VLRp1`Qg@pw9xsR_yqzlj>!5a<_yzP1U z6o#(H_wP19Q9`G@eDOlgd9rh7Q=AJBI9TXb;9uS0)~vT$n43Yz(ojF81e82Ls#GHr|r#m>pl0Q!kqIN>8T0v zu~8A&%>?**xH)4tV`ikUqouC24#`Qb5fgy{i=B~{niAY7xU0$W5#k%TLThtV<85@S z{QA|B%Y}L1uFj@GuRVDjt`r`;FTfjK8=SY>@^0I**~DlgG&1FN@=(zDp`b0muukc6 z7k&HkX>xpQbdcEB)BU`wvlZ&DuC}K7#?>oD(ACbLMJY+AVxZw34h!1r>+R*?vU3L% zx0NNq#7G~?NkauG$V)>(A%3_d4JFy~kFQhjhX?w4Uv#uKffu+{!CD6GDla!XGZn6M z{1}X>fzBCpP7Zd~me8P}nR>R<;5QjTejaWPFFpY>(&hQtZ=YeT7#kYshwcwvt)sp9 z@uQ}PjrA^JWLGa=a?V9CDkjFoL?4el9DX1;aNj<-Id6AYXQy2bw(u5Xwh4i6(S^3P zUU@A%vj`Fr;N^my>U?yhYyDA_4jde0hi+Pnpt~;8jOl-gyBh9ndm9W+|DByT!#kUy?WN! z{1Cg$8`r>P=A2JUJ{^nSrow{IK3^|*END;`n@x=kb)XX0%E?HH@bj`k1yYeLaL;}H z^Z}aX0I~Pw^QWDykD$=&>uy)wjFCjS=QFYEJ$d}d!H^Xv?!41}o0T~M%3O23;#xT* zDT?^H*jbop$w+?8e1UOrXt4iH4<729AKq!WU4yD_UN5~=RFDs&>Y2oZQ_!~HEhH=? zI1s$9hntHt+5tYy!hB1y{7tnw{U)Gs7)YhWYSu7I}$x60=^ztBC3v%Yu__@LX;=4?Hb(uA#nB(=?VHI&U2_x`kL}B zFq3cYuzn5ak=@pNNYp2g)DiUvWO6rDiQ1XuJlUd`h*|`4ijz#KL^T49-5Zw?-_ODG zM~Q0*4C(wA#ILNY&}i8Bc*|3wG=b6b#rAjZV0`27v+PiV=FtK?PDGJ;5`3Q2qP} z<;0M#3JE1qH*-iyB~N*1oyrK~#L(JEIG(%_iegBn1Cm73%yBx(5#4MuD2x&H>_N@* z1NwX;O4-PYf{L1!j)9Sxg^it)i-(tAKuB0bY>kAZw2YkmIt3-9tfH!}u|Z2mSKq+U z$Yj%I!WIk5t=nvD?HwF<>~eB(MeZJ6yM2831ndpm9~=^P;NYPnM~_7wkB*6ri%&S6 zl$?sv(lav8XXWJP{6KYaW=_2ny?nVp+oSX>5bMM*_NN6*N_!phFU#m&nnAc%xT#l(?> zl(eke+I5P`>s8e>G_|yK^$a!|8Jli0BbZxQZMC*Rb`INj>~wN=b@TAt?d|L5AFwYl zC^$4M{NUlE5s^{RCs6Fk_*177lT*&5oy|CRK0D_^UP0l-;>#ta*UE0(tf;I;w`ykd*^+Fdp>aQ>C7HL6ALK|_%D6v&iN=6o2 zEv>LMB=kTywq|ALUclCeP1rj5{^KWXWo6^w#8%A>*y`-!=8ml=Vot_kYuR;debN2u zHMag(SX#!`HR9O1*=&nBw(i}xKL}eh&tYrhoqPAOb$DcK99x-~v30$QnmV>_cig!P zTceI+>*Xt@SFyFD^XW5e{XU1SLP$guTcP=xV(T7%Y)w6reimDy71d+wz#z8L(9$zt zE3^eAY_+q;*2704j$tcQ=_PD^(uS?mU%t(N)k_PC7&i2OZT>H_#R>himSZFdP~CV- zYx)0b7$@uNr?ecWSlOR9Wr1Z*ITHRq<_k!s{>=LRkwrPKlF8a^v(Fu*zd13z zDh+ErWcE04i~Ou%OVZdWZH8A!{?&Qj-p1_1!)b2MgRJFAU8p+szTdrehUw82zB`%G z7U;H%Tr_8AhE@3{j^lij53Xh((bONrJ<)v~z)(Ia|48q5kzo8?9e-MYkwd|Sh1zM;Jj z!>M=jzs;3)<4Pf>ShvJ92+p(3I~K~7!kN>b`LWd*`7oTT zvz*A`GiXqA{E%#fh_WRn@!VbKP3oOF-M;5pjjS&-Ji3r;H7rqL5HNpQ1-+Hb)o>g; zVmKw4r*eYirW@s^+qSuEb;+C0Qe?KNygrV2HYN#}-*gloGwe7&8^+g`K(rUhTr*a7 z;JH3c1o_(s=(2Kq4tKV{iF_(~5vxD@v(z`z9ZCs&9Vf=rMqTgven)3V*{?FVQI$Is zJhm))8!pf!=r}5-Sf=z$&u7s-Mz{%u4Wl|a-AAz>8MUl($ z+rK0t;}*I~vv9HI6Cyrb)il+SRwM+n3WkP=jgLqQ^%EptqpOaWjHYx3Zp53UYrj?) zEcWILU;Ekma}HtJaIA`_@-*7bZr&OD%uD>s4X#UD@(B#D(}(v9ADSyUVwBF(NEM@u zUguLM5VBc{Z9j1w;dQvbi=jpAhR<_ziu&XkAHjoDrRcCxrNn24XU8c^hGUd14#aF9jZDhBDmlnWbb0T?n9n-P zm-oICc`WF{_$*iGxM2Kr5@|0A!G8p8j)HzmM(7RKHYYdUUTw-%Yfo|VD)yU zCbJ~nHYM~lQt!S%r_1FXyBXgJAs0EKhhi;@Z= zuckr?^1W#f31pt5#jYF{wVv0x8bUSWc{)XM9T@Jix>A~;i~566HB6~53`iP6+ofB1 z0$A&Vq44N9JFECELG65`+M7z$RpWYIl6mArES!%)zsI4dRm$IDK4zlMMq7=SIVdC!nXGUy3Wg2_62H#U3t@3a7 z+FWNwcQ0`^bt|e*d2rOARC?0BKO=0} z(Ve<|+++?#j5Q<%F!=M8^&XIDGds>-HgnZa>5kA{n__$Zp3s&fNHSdVRgS#tr?9Jf zqt`5I_wo5~bYI<)Ng&($zCO$9rYZVl^yzMnXG?@`>bj}MfO2c9T{QIxstJgwJ$WxX zlI|hOU>khhbdjg)_6E`~6ob|bvpbhxKfXj;mdMalav}PA5jw9+*7x|9=F2M8mP{Lg zqaQA@rQ1l18`T~B5~4uy&Y2R829kGO*!#wGc6am1t=xwu&ynxuex19ZKd|n!`qFhy zFT{Dg_oA(2Dc{>&O%cW{Y4g{3{nreYt7bU%I>^%wh4-R((R6QP@jI%V?Z!0E>H{Ec+!!jaIM zO<&q_w&ZMB+P2hsRy$psD1Kun^Tn=h-%ZCaI*?nUrl4A_xt@f+qej;)gh-xmm~0TL zjC9vYl^s{QbHbJ{2$5GXWjl$y)oV!{a-z6@elc1zi)Zj!3Rzb8S1(2SAsuw<&?j$> zw?+JCcXk?*Jj>)ywrDn_?JPBIJ&#g0FF)2n*MeTI8_C%7Mt#iv!6A(gyRJCASU*ze zLsXd9*OjwZ_cEHlV_xPaFtNSRwqNmm$ss0hu?mL!?%D$iUrxWv@!B|y48EohpE2QW zM7sZn~mN8lOz2%F=dRHKN?lTpudtF_k@JKYQ8w(z<5BER!!usNa#xXROd?-6`>R zdXM($a~veOFJI;2jyLEg7qOmHxIfg=eMJgLUt3?EPrTT0s9ic@LV_`Q(4ydbv(DOyq2n z_4`vF7&0bFVohRU*dsAm{jMHF|KUc-6RD&h{CllH6Aj{ zsBk<%$$R=AV*UNxK*Njj^I`l0S5AHiP`;r~Ie@B^ z+VZ&LEl3}$U-W$DfTpgKc?*XPpRrdjMg4@z5JR-=-|W_5{Nu(^${6?W9)jy$g=k=?pXnYle*n$lc8COSNGQ=^{_ASe1y)u+0Q z`-$a?PocWgOQBnzIm%M^n3sBuxr=?QmQHngqR^k~SVLMS5XOrh#=I4%lXs(ga-PSJ zC-tcx_4#>)PLr5*AF8)rwHZ?ELy6nk87q{-1n(cE)*5op(0CCuF7Z$+SfJc}lsTJa z!*dj@cwsP(-S}&cbr)-%y3f>6+4>QGF7y858qX+GRQ7YA_On}ZRbPu;-^Fs}jpp+o zehU}Xy*|Z1(s-S%>Nk_~&IySQp6hmAR;_a2db#GZ{dLJF=@$8t&lPh$c^^+1j)|ut z-7lHFHp^BI!Z%A~iT4;)II;C=-8)5oZjX~<0_PixXDDm0&JD#c*0(%_KU!v+Tw1IR zQBQsy`eMU$mc?c2o1AuNI?wV3<6W&d&5^`Oi8kFNg^p-OH?8+hf^DHwdL+mCQLOqx zF70!thGQGKvZiac)gRinaoU`}lc3{Q&3b=Uaz8x)YLUJH)v_= z=<4YkY&0}7HZk3_*^IEo+``go>o#i}TV!YNu-$RT&RtH1NJ7k?_@bYfC+O6r-kbaXZ&^W6EY?3~;S zdHDr}MHep>U%paOdi7e_^&2)hxAz!tNIR4DvggpFCnIVClqAsgDMQc`fim|Nb z#ded?(a}v-JB`H=S(wI- z{|{*_VUy9ul{ssGWm2L@P=Jq<4H(es)a=y959mE0E@JQNm)*|*zCF0x*Z@4~MoIC- z!n||oDG4WmTO2e*Q6;ff^lGZ+jF z4ZMXZP~buj8URmTD?yhpvZ<2H-O?;$p&r ze4OmebhK2IB=fUhK2DB}^!L8*?rcY`j~_JD;Yr?0`RC83#>XB%b~t1|Oc=Yn>;iC0 z*n~`t4YV{=VYX6ALPQ8A!P!`u;2B94f6UEHeSAMMgka*dXJumcNy~#f^|vZvD*W=r z!dyTdXJM{C`WQNL@WB3nJznkrp6s?+nZXP+JfxZu%w9^02=Z~TARrNVR{hKL=g;rQ zVFH>62n7I2TiX)=jrSYt(Cyk9JpTy5x(JB%*_7nO({aEh!oxxV@A`VVB4?OZwcZL- z_QrTFT7A8;lHyt!DRB{Dz|DZAm=GfaP)stCg&*^9+n?T#jSNFs0#AAdlmeqJ)KFg! zp!Mdp(h?|%3)vZG)6b+N!~>{_j5vA#CVtT#Umu_qyBzFnZLBS}m>C;xG|f8Zzjhg>s!>|X>G%^EckcD~+wJPK1L(ub{JfT?x|#~$D;i00F;PIo94yYJXc_p* z^b}_Bz*k;81>^@4&oxy*e=Zf~=jCQ1pb>GgCn67p1@8sC;s!IkmRmM&G6q15v6`45 zBQF;#+!BO>FMS6(>}-NyhW=SQaGE>yb+r{RVT_SadP*YZT}KZF2kt?;JzSl(+iZo< zg^hZeK<995fgleT=7!{OkxvL3IkD$?S1SYr+^#HxD*zQqg@}S9;h{kR-X6}tX0}=Y zI@MNHR*;hv7UX88r>0n%{qh0I7)V3QLj?6$4wL6OXHydsVj~Y9*av{y+1}c6v++hf zZMF4^2uf27@p7_a=D9TYZ5kN)KwmciTl}3BWu*v!X;wy3d`!f_(EWRNyE)kdpfrW9 z4xtd@B0wfF?OK|L&;#g>FS?-B*OUX z5x^+K7`z`J8HAH)J6oFWH9)s3&Wm`3qE?WKr|V7tAp{dWEj0xoPH=~q%98&0I!*dv zU!a|~+bm2C;f!^FpkW4|jS2YF46xVH{*9KFcTmIg2D*i=i}k*U}LpqlYx%rdIdSDHBkC2^nhy?zJDeCFrG)lh50Jf-Nk&M zK7asE#h!?cg3@AYXI+TA4Kvt-`@fO9fy2?F*1F@RZ%pQD+N;7Tm6> zF28!^BE*7ZoWYR=;6Q>QOu@}*hXWgKf`$Mzq4BJQlL&HixN0EG-LX>}!r;84t@ZJ} zhTBkDR{`J@0CYWvG9b$3y1KKsk^4z!2lVii=18=*Zxw@j-%9~d&7F@_Wi>na^fI#2fZacTz*=!}4 z8tQHW2VP(9CN^9fE2#Nu@cQq5AGo-+nW$7aj_uh99$$0!V(}Vz~9#! z!dx($-Hc2PbRe)`ot(5762M)Q7M=vW_2k$tV zHZA2;+=+-oKy(pC@Zi@Swpqfks0R-OtQq2EfLU@vObRVEB3oXZ{R**2ql5ju;J={h zJZP+i@drpdrgkZmfGgoro=kimE_i^zaRx9#=xYJfT`MOm0U--q>|Ta6Fe=P_|ML0c zgeN7*E0=!s_+I_(>YLZET`exi%LXKyjIRR^2E(Ek)RY6V-3p-}dOC0&T*N>Wp^#V^ z!4bl+h+V$W=l3HxlIZ119L1gb+FKC8gCl|<4CFLmW)BJkAQAAcqk|0$B`{EHYbdV+ zw*ms|O%66@T59q|mwEJI960qG=vXcA7K5t`x8Iy|sYwYCV+9vs z2=oUY?C!E-yB#n^Pay;$Kk!NXp%5zN<<1LHW7}2&o7ADMB(b}Of}tiSng8Z8hlmh)2EkGH8UfPcfiEu$ zDrtqghlK>~_xFKO2I||<*2-d&p}vkbJd>OxhTVXDp^hk)=jpzGg<%={s^=Y}B)aG? z(hDUE;X=^-_WOBzfV;7SG1$aF2We>nuZ1=Yfe9S}Ab-$+we3n3|2 z3NK{2WspPY(!s~$$kE0MVq^?-Hvp#v(hGHh!?KnZ=DK@tKvZf!MhT~F+i)IhrT>ENPNrQ+YOwz>HeLDnsOLy z3-fc&r=_@mK!+jf4PGieTyXm`CzzS&gRfVDh&OQA}fIms4ak1lBYz?Oq##2DKVDNPZ~l0JJIdm5J4m%@L{m~ zZ#Z$ka2t`IKyzOBCoLY}<|7Id2Kc>*0)zonLF6P1N|3@e`q#A$tgYa{?DbDF3*dH; zla#ks_ra$2ZLf9?c)i~@bC4vOF7X$xC2|lr30=0m&ci;Rg658p$1ty{-a# zcv~!_`Mie0ovPJ?W&Ql(w>mW)INSKG%1cux6%bUQ(`W@x|D@CY7)oOB`5T=^D|i|(V&*xFLV>mZ3!O&w7+yYX z0{r?fbb1ie%*prY<0rt=f6!^*k4(&%p#rBB5M)`w)4%96CU(ax$pB4bs0$n#L)~P| zd8=>LViRV`V4}h%pzqiOs2!UQViN##Yyw)2O`ov|AUK%FG4aM=)58;kO-!;e?!@34 zTYGx36@WAawqmr8trnKpijh6GVnC0r4<2GGChypaIXkv$Xksho=hzy3BK9PV`i8ySUd7`x+K*HgTm z{f=#d5SD;*#wIxD2jK?zJ5y5A(y{e+9k%vE)Bv_pLyQ2nDkx&BEwF!VJp`y9TZ=AY zYbzjpY@GsNkF5aJnSKMnq=3`^$OB0KBM%7uk37KhpZVVyaB;!_ZQlQDo>YG$5apjt z7&!3nX`saa#R6g8;UpxinCG%#p39C?9C*Wtvs^gEjZ-{0#fwvXIK_`s0yrgzQ$jd} za7vh{O){99^xL!vIqClf2AeJTDi5oUTnZLGEcJxzeh7iyS7Olg!?i$er zX8`|@*2mk)>KE*&t(F?&%`a-c*Lst=Z zVf{$ltoB@7Zwk^Gv@Rf2jzytLR@EvQ!)7~*kQ|lzAKBI<30+61EM`&bY2-$7=H+3@ z;rI`!nm1e}sPY#p_PmT|UMJQLL8*a6l zxTW6TQkpN4AN(ac&|c%AiEND(Qeh=M$<)1T`r#Shsl6&UB_{4B(*~d!SGH;Ki2H%%+-z#id za>ATx_vwCi)n@29J$Xj25~UyY=RWBnnrtV<_&~HLdblRml`BAzf-9Q>Ps7BQIsaS=Xf&JDNAQiv;UZ>GF4Lh!iN#JB*YZbZNGi$w7j>X;u{q zk=#850}Xb)x(9}X7V`^kH2uC ztTx{DHWLx|NlvCe-_pZ&gz2h!_;lXnNmHJYtwSlV1EojL4*H6sBb4nYH|8jfZtOZ? zPHW%hQ6~KQvg-x5hQ&k5Q+H*KN}`CK!~D0H{dhzBqg9E*2SmdM&unKo{3dC6*L<4B z$-Y3;#COfKPjJ_SoYO?y+Q&E%J<*yVbU z=w18BsMh?#P1)W1NmAq@N(9l~6Evr!Q_40J+>mYDO{9;SC-MDop!wy_c7`;SQNNmP zXiC0iuhOIWuzAU9N4os+NN$g}ezeJ#=o0EozvjuCKSYEm>U_fE7g~>cRBMb|dX*|$ zq^=lrjOw*~v3V0lC7Otsa@y~V$0;R#S(8IvBW&CEa}R{=mp_;@;t6Jd4lT_c~Zt0 z)0o5p#)4<~QSdP7?$6P)?6E@IU)w!(Ir1*P#keC%!oFi?)Q4z+%p$~czCz%xzpQ76 zYcAi@(>5i_(-zP3g49x2?>m5AlSqSM%c1(Rg$vpqgPa>qn-zN{_Nsv1O>KJjKM_6Q=&L0N~PKu+7!G@e(r zy1efF$mg{gjZ#c#+}WqxMuV4*F_5^bif2$Oxna^5I&?V}Kl z!d+{#w}>)4m26KQOWG>*1{n<9V!Gz3z!;^@nrxx2DV}diw(HyFK($PnANIt8eX8i# z(jCRumk({LS~H?svsa5baH2{md8gzT|M4QaP=kewXw+iKr8(A++&Duy;dPW+pHzf! zXr;;LJeEC-!EO8bYY>+Ulgot-)459<`V>6pQa4|pJWN!2b6hMSRpwOXHqr|S`E!I9 zD7Jev3`Y)AB&>PDso80Kl&L9Nc>9o3!KP2SNWz}IhN{Y2^(A+s-Vv(mfF+Ht(CTdq z6;|gJACu=fGNPP!2ZRrU8Nu$aNp?M~&+P zmQs*-Lg~gj>Iw(if{_zc8^7L;k&kRC3J5%-|W?RIBFogsG|=XFQU>>$^DwkwOL zIbPA9yHD;>yw<3EUBp{&c{JN7Sikp?17mAYoz4fP3LnWAVx^8(Bszj*gFeSSGZ{qj zYaE*VI(IVNOC9r5h$4BO>qR`gvD}2|X~KK2HPP?$(GKZzl$C3V^gMyKHWUnZ2Cp>>XvW052aoSq*>CGfAwH>>CJGF zJ@_@B)B{al+EXo7KE0uQ)4X1Z!*!B#+m^Q!JKYhw=^=h}=p<1d-L?3tb5iTHR zY{c3E+Q*4*{Wes=&rd48F-_6ykVB(6w%r0xt?OfKgo|gY6(cwPxI?%WI<;k{$o2)g zf^5-DRoJx3xPPv4Pd1}2(dX`V#u_ParrdAU;ak4ja$fm@GPY5leQG(lzWbQoONp1M z3pMe^bC%O3Bk^{uO*EHw6(PNeb6b+t2IQV*g(@aWb@QfM@!Vr6JJfqv^UKABlTruK zQt>v5O}7a9UhP--C>YAQvu*dc#M{yhl);KGqi!p-GNJtfts#x-Ev(UM@8Vh|%jWDj z+vBF3<~QZ)f4)q&7lk2+SCKoz+2h@txYZ zsy5MGauY7;4Ef&t{@nHr(;#DjM*nL7SdBa+eP5 zW#95P(qK;WK~N2_cKzV*=STO_T~;=_WQv?Rf+Xt3{DluM=UYrko>V(RRpY~GT(Vy}rWxfjR$3`k+p~a)w-6RK@(6PWgAI^^+%KQI~La0Jmp@&qL8i zArk5D(}vbPIjT{}`$RoOZ6xL6`aWb{=F0p?A$ZOC>0CSdLobs_9q1n)o-&%*^Wf|* z#Vb?Eh~ApxRlfLc?&!AP>FVEb75y_^{Tr^Lf2ON{!&UUpl=*MS3_pthi8B8UnbAK} z=D#5``e(}gH)KZtOqu_N%(Q<~=D&Y3Tv>*IQ!^ZJI)ejFVZ(?wOnAc#o8|CjaZ6$l zDg17MOzyJ8npN~GaS)^5oMnk;_>-_KDf`cKcE@tC>EGY>`!VkSPXO3`nXdGouebeQ z0We;20|Vft{~-XzxR(m1BxgbX37S8c7=I3`iw7{FcMC*&m-10=Hn1x^uNe)>qEHM8 zutv##o2B^{GYmlyP&vS}Yo)~3h>8gCa zN~&@!1O?8tB1Sw0f;>D)?&$(^tRYgb|Jz9cJUA$DpT9TEpn^;gYedi{6Jx+_ zKu467m4HhF^Z^YMC@|q>u`+ZS0T=)Y*~I7oaN9Sp0Ni$fbP+g3LtV|SN?1#5ek=V!iwzzGy}eQ(gq z6`|GryLGp!t152*`zS2P!?++d>2$)Wn5aku;i2Q3PKN-R->nOnULAW_38 z7_AWoLeI;^3G?*ybX1@PoB0MejkRUHJ+Gd(x5BJHaIfl{Wu+I33PJD*Dwk7nu`6U{ zg{6YP1rUwp77#mu3|UcLQVgq*S(#|40LXrynSMWxM*8~zDL?CIgBe+@{Jets%o&(y z#{xCrU&z(b78tbw;8QseUxD6+0n4NS@4)=}TbK<-tq(zdS5Yh z4jgwI#$LKeOHC1K7zD6vz!O0&`UQibKA4#YW>Hgl?IJ43Sy4~Jq`W`Kg|JH0WTP$s zMR`1@&&SD(7yvH(nEM6@9E3`MVA@(9fY22HW(lD6bLolbRO~TOIsue&-|4VQ7d2I2 z0v)8qSinn9fk4*<`k)a&^w8QtBwAhuGw|7&$q6TcFNOsA!R-4kz-pENX+fR3P7XjH z7D!Pn&Q8Avxc~MgdJ4j3nD?%NKmm}0Wu*g}1I-&&CV4}Uzz$oq)g167NNd;1f`E^g zlbMzh7~0f_QR18K4*1SG(#jhyRwxq`*08R+5}esClpQTFh~$-;eZzZv9C!u$>AJ))wVt zq$L8@iHP`&oE&W|%#7e`*2_zX;xz#1DVG;!L3sy}46k262ZZngKq1$zTq?W(4hgVk zG&s%upx(#8+QQTb0mXoZ2uxA{Pzp8phc6$Yo_c^M0Y<5>yvbfxT8tYa$d!*DJ`l7A zc&3xRYbAoBQGo9Ri8fF%65!lZ;H`VRaYwxi0_ie-U~`~Jgwvvr90>OJh7bj47$zXb zRa2C8Wkp=fbkwAaScy0}J_sJ}1#op(^8h5huslF!S~A6HD3@>-9@?Gw5kd2=zTV{| z!mW@3aL?@2$8iurzIqNl4Mgsh*8zBCfld^iijF)4p3v75dW!`C#J^Aj*e@U+E;bwv zfpD9LaEN}u#vM?9cj_Tzq!=*iN<;-TC8i^xuqXjk;SS*W5ZvHAhOkgoh=&7*Hh>fy z3J9c=qwwwB0L4Ka-vAQP)$k6ugVf~sSU{~1+!Ejef_6s-JJ9U&feu@11Gr*2Nr=o4 zf}j&tSWp7|F{qqfNWjIx$^@^UceOo!^l(K#d%f%`D0}k&BLjdtehknP1R?DKap-mc zco5|Q;VTg3A-M*^S0Mg~mI{&m0Nnp+WuXOF_u=uwJGHm4${sHskp%=S2_?h=rauY} z6a1IUPV9lefoUi~zyJgbaj`HUT5_PQ-=X1v>i-oCjo|uftD)T#L#Rk5=Rs7Y#tL$Oa6s#5b^P1b!HZ@Pfz*X!a?I z@zIehvdsWkH)01UTsJ}ESH)UlVO|ax;b2JxsGgyIL1;!lR(^}KxEg<2loy$#V8B@lE4v0(8K z^b)?`-*>l%({}r<5ZqwMrVR^cVBY|AnVyP*Ye_>m@f$c2DCOr^)>>N?LxECH$H9mQo)q^_>`4J#V{(o8H(uER zfyx>pdgh?tK+wdyp6(TLeH#|RfY%j>S4cxi&`}{|CS)%>q8kiSAaOS~fXJS8umFY_ z#DgGcXXKH%s zt;=Hxy&s2Rt{38Oo;2abR&dlGz}X7`XHUVM9}7n~*aBKN2=uW$-%tnFE!I7Avoqpg zzc~cja99Qc8hFo(r|>$}0`WA^Pb#mMLR1XARi-0oUMtZoA)v*ERaT&;tu4)A*#iix z(%PV@sfO^o8vMyXH^!l9z|UD3AZP-XZ-G!ki26dXZVJ2rKuk+l2dsnuA#n9SwX;7( zt>_=f>R)X4DWGDZRkiHDMa35gWI%~Qd`phmE72sAQTf&iG5)FF1@$fqls~oz2 ztup9c8Gnj_e`z08B-y0jCJWrG(43er4 zB?+WSobKKO)aRqHx_5}D3Pixe3go29w}urg2V}**xfOl+A zByx~Xg(yfE6p94;J1E^jq#z86bqsNM4@n9TNeP2;9e3LXnInhzy+_G%2RS>4RD?0w z6NB^}MC!~@<*nn2!V#I{a(jl>2{hM^icmffAkvPE%4`K-KS6Fi%9@Mz{0&!ss%ifc z)2^^pv$SJU1C(dJ)l^$GezO*`-tt^!;AC8kAKO^b!fzrj_0Oay-kl@Z82v841b zZvD4Vd6iqERd5a5`gfu7Z`>LsVV3xpQ2FAY+!}<+@GJfS7ApS>xBgqGjHXt=^l zG`3=yG`42vU@MkCz?IWq#@ z?hC{=EVjnEJ9lvohXCO3#By|ORZ+!OtTV?}tSZOWD<#;9)#BKS={vR}VQj^sZ*0X9 zZ)`o2hOJn-jjdRzjjgnF*b3@)Wt_8jz}q87u?;KKajvZ$Z@+xSHY_v8IfIROyW1PK zE1F@_>RZ_Gw(l>4oIE7Su{s{UZS|PEd~Cu3_rFYB+}N~&?0+7$A{btQ#jv5z`#lS? z0AC#EnPgV@v_%};)A8=he5)u(icttVm6~n1DI3C5uyX zI3;*+!dO z1BzyoRN4D<=+Oz?m+F%_Q+eKs&$!EcYd20-*`=!y6}s{c$evK9az!j7jTzJrW8a>9_N1GbVG+jYy`RoG{3hOg11^OjVtnl%A;jmhsFePZ}t<)Q;m2hBcOo7=YJ zr3)ThR;b2-;^KRI62na8uG52>&-qWT?a!LAXK<;sCA%k|pjwO=nvN(Yf3H}3&Q@?C zhITBHV(fXHUfDs`;`n{Oyf?#^(2=bIbk(}dRs6%Xaf)RJ>B2WlQk^G#zQ6lQpkbHN z)M+$-KWQ&IZt~t$Uk*LpEZU(>-F$Ix&snPnhqlQK8ZTc)UoU&F-3Gh_JYOog@-~NR7d51)H7Zz1 z_q;F4bcFm6O66BeU31Uz@M!pD@pkXUHHk=6JCiw$5oYP>J?2jEYoy7!_Qr@beK2Sn zx0pV}rJs+qcej{*%e>EUzJn`Ew0@8|?Y=;z7sJjwPWN<2kMP?xAkT^)>FZyznsti> z%%76*y1M>oz13`b2T%Dh%khr^X@y8F^|5xTW=f4pM1ex1Vr8^=0%w|8)GuvRgRK*lsQFnT=pE*94`9h0QjY)mK!TmAE_d&B%KHr!F7n23; z&DcY@fTggCR~B)VDz}ujqrhoi z=|YjpZ-VD$g|blf2bSc)rO@Sscl4l`pGLpu42ohZ)u|Lvqd{QA^c6aaKlUUJ#U@DzDBQUK*R1YKHI%= z=;#(nEt)&LxF@`SZ#G4?X4CYpDWQVR3q@$qhkHlQZuTcE$JHXp@=PXqW4SFGx6kKw z>-CAJNpz*74*fThMLXqZEN+FjYtB_2eW*O7nq@#<&$@F$^|bQSNYu2ZN$li?uNhCd zQhOw8c2Vyt*MsPf5Vb<8_ARY(JET$aKs>t#d;2Nc5VZ!87pL}-2Fn$H&9P$NQ?YYE zawx+F-CN4$_vUzfPPN!~aT|Tp89q1G3va{u>va#Y7W5qze~0$e9$<2$Di7u|ZnX*~ zNt7>bvl*4S8?@#j-Dzr>6oFzSZu8PNSGw&8uU0O{`egQEp^bT(=2fy4QbySvGI8Y5 z=);s)MCM`)V`k^ttg__{*~)I2x;N@gp35@1iq>T&eP~ZYpJPmm@k6~Gr>P`e2aX64 zVi&lqUwe>i$4k3h(d$5=xo`Gdor;xfVX&LhJ1bqXG3=(Q&n3x+n~oK6*+g;WBdueP z97@JQxw_SY$$c{PKIG18Oj>73)_6W)tkpXvcn<~jiqyyiOSA=aeBXDsJAf>QR-w}) zm-iZ>aXzTJmM-4)MbaivvwC?R;n|9}Mig;$3Y-x07cienhqhh`JYvv#-)k2-#y%%N=WltUB zy;4q{P&#`M(Ug8O`XG^}`zonP?ABLr?gvNPjpy|8H^23}u$=Njp#^y&zgu<}J{+XT z7+QOePQAvNL@!!;f2r|Xzw7Vp())c7_Z=4PIvR_~Osg8oGvC%^s`hURXL3rG=m>ko zQ_5>If&w_5q8MDGg-Z3~PIJaKc~E6e3*Y43`sKJ}215wF#}4&_QVlK@|xYnG-ba{H=t=mOSc7nC@4f`yuZo5HUhJrhWf}+|xkb;G6c{!Y^Ws z?=Gj&Dt87d-+U81xgNa?m&ot&AC`O_XWS>rSJ<`2vkWR&=(K-%_^bBEgugT zN>_JlKXCrecS_jp<1yas4yR?Ur#@oMU%~~=(LIadi0dYU97p-?Ih_?~VK{FvQ}M;0 z+jk&{rfk8B&I~odIuZ}p_i!bueJ$y>}VoHsa}s=W(n_ne=Cy zM@hE4rxq_u6`IlC;y9wysMMS2(4^RBjV@SHH2g2>-aHviAv zKg-(tJkRaid#|-N$NTtv4o)Yk@Xrri$X(0rTbN%)9DB)9rx>a@S-Lw~t{Bb6?wT+N z5ln2>ePLSM<3z4hi>FP2(WKY=*ZV6}LcS>dUcai0glshj+%rPB4sI;KtE zFFP+qkceiBAiJ;E_qKfxqS$(s`H}v)i9=4)kpiV3y{NUGp5$w#h*m?vj4!UJT_7zb z3=*HX`bx2K_AE#1=^TpdkGD5^%J@zPpa+bFhrS`_W!Ye|Xi2ljrZ>J_lkcW;r&fIXKf$qOtpYa33TbP>DTZz1gYAz@ zs(KHg+eN(5%po~KDfdN-3|_5V`65`k_nS{PL&Sq^PmhfX=OUhc&yIifxJl}px$U-L z&+@qiwo8n0RDyA=V%N7eITwkc$KOs6Bx%@vjCrL!lql~paq*eRYi|~-H<5kEDRK_n zBtfM_;bO0S?o-EAvfeSLRLM3>rmu0KGpqAzR(Rnqda?&azLo0_lnb7`F{-f~#p_(U z|K64oFJZ5HdgTO>^3zK3NIvdEtn}ng7gqnuLo?Us#NH~}&DsqzNS2TZW>I)HE}`IA zrhN731D@YatX?WSqT$ZnDDn8<%BgIHy5;Pnl06FO`{llHsilB>y1g_xa=rUc5uVQ4 z(HTm?aZ5zM36J#%4s zPIi(rjIuUp|5Kz#8N~AC@s*7i6|$Hb5*%Nev0R)h@Ka8wuN8~kNBMdOia*dO+IK@Y zpW@Zg_LNI@^Y*H*%v3oyRZ26yw6im@=0>?u2fGR{dy<`ypR*SISb3zvkL}_?{vSz; z)s{tmJIFH-=RyH(Q{olPiVKH~*179Cc13@xd)K+^I(9{Ws(aVD>pFHt|IDA)@iY2U z-Mh}8*YPv@Q{B7HpV#p-`cvh+&Y#!uGx}5Iyw0E3@iWD`%6a{N1i-|M{b@K!6}T`H zZkTbyf*V%cu;GRsHypU(#0~2IO0fP<$Dh6bjZ_#HTEJA841WGkOqv0%7DEsid>GTy z(oz#ZhZJ=M!pT8_;P(m4#u+S@t*xv;`U5_tdIvzVs;RD`tPCMQneCz?+l06BAzrK{ zX2!4${6!&7`~zaW*j*HKPVd2^@HGM#RO|%`QXDYD1Y^!?w@DcR8AW??Hk|APzOu(PRaJW|;tqs^{wxqZqH!BS!chTX&5FrIR zYzX0%58m3$TZonE4Vw~7` z+4>mhSoL(ZwSHqUYB^tOs(~fS>ln zcA%32KjHm*!POIc3G;!KFcyFTiChLPFIZ7y$3xJ6H9x~{qoqJtFe3+H8@d<*f;0$` zf-$TWfUZMeY7JCJP8#Cc0GipE(NBWEf@9lYM2#6u9l+2vU*ntj)}f#B!_!_aCv4#W z*cKZUZD6J`OIC&ESK@ni~FS9rS0K~69!`%15$vPz&0{P)#Ai6w@CEm6criZ~b zQgin%ITE`>w2RG@5hM&~10ml9 z>{k#|%1u-oNPyvDhA{#G`PamlHChy~WToTFk69{&w_Tm=u>=p)nqY-%>5jJXgNHXz zHwuuvV*MSYE4&6!S6@?Ah6Qu!C>6lNWlTN1fZu@Awegx1735c7T8gb98L7e9_uFRx z#~_<($3PLRXz%1%W}(Q?!1HG?H#>@jr5Y-`U?dCkbAb5qr%V>XC%|wQAYBCn@qr1( zh68>)>j`$4pxV~cQb)?N0ATq6TmgS2Tmc(R2%tmg8_dPmcz7FvT8G|*Eaa)|eXo%lfSoAzelFmO)bZ61FW;Dk4z5F0?fpvHv(Bq1Vz*nn|@ zjCvjr(n#OiS541qs>=#cZh8V}7(>8y8i4zegF2dOfDN~8T6zs4n_bmEAw*`@P%JCJ$+OPWcF4fij4}x_NvadmYA>ZQI?Ye&wbkXtcQR8GK$v^soQI1Y$f@=A0sat&LB)!|1R6N|$I-sGFJUNG7UyST zMiUkccDpBl2OUJ(dsF~83-bX(r2xwE87LYg0W>_VDk+3n6CZ;v2m6D?w1bWL;e(*N zRN5&iD#XpUWfL`6q<@*292;Wq>4IDNxT>rmH$4%=qd{jqUF|?Os=rTNSxz#b9AQKB zg*mvifW%->m){3vT53XU)TIlU`al}Qn*JDM&TDvy8+36$@eHBxUewNP$06E?=W6KB@K284Rs)`{z>_-T)qGbd^jIu1~#jE)D>l=w!s}>0e5al z^O*niVd(vvj^^iZwa`5f07Je6q=>)=9AQZ6V;v_*w?R?8nRWvqS_bqr-2VpPG^A$S z19|xMD>z{RZW$Qb8|dstDsXKDd0{9}f++Ue9L!1Jv@f1Nu7qTT%(TQ9gh%5^CmVBP zJxx``9oq%CSvOIEiX13=FSwo8Jw#>q^DBs zp|7)J13jMO!T#OEANCP z0tDwzMe<`|4ruwi4g}a6etC8(91?Wor`#Q^j{^46*d;Bt6|NKwIa>Yx8P@RLuGYrd ziU$RGw{FBn1c3(9>A2Y;z>xA1cw*5~5dWB;8tHxY;(2XV(VfgB$SVl+ISKCp=!n!5 zWZ=QV&P2O`Xz|m>u|Y^&sCxv~-jGKVeJRNA401nV4Kr%rZUvd`0su7WHV`g<`3Q*z zubQ91`yjsomQKiSIPU}UcryTs8oS_f11_Z{Tm24MBm=#WLh%ezUGC)FO1Kso3M1JQ z5Xa8Qf=i(y3J2{y)!^r>f{WC0(AS-Qp3PM@9Kq>K%02T<7n$gA90xsn~4Q0Um ze4O}85Q9`d$Qr?TdJKyDd{B?V(g?Hqw5PkXjfE-5l{F!SMiQ;*uwiKd7Z8}*13jH> z&3GNU2YS=QIPeEXXT98{jcbs^a`u#q zofW>PyA@@`!I}UTT{0pBIX#o(psRiH3`D|(x#>x1B|`{n#%H$LclR;$qB#1+upxveg34hFfSt+9>$?y zP~vt1=&~+Iuw}rz0VY1_k1robVSqM0tt@7{n~{9|>gB+*UXIo<kH{+wig3dt(gtghh{RsRTq{aC0*C+b1 z2=~1Y9+A%;!+M(qD}HoXF!FJj@%-~Dc*6^f?3f7T~)EiNk zgU@-Nv^j%xLB6{alEuKDpOylw941HlyE|K+J%K!zoLev(QE0%q)9wydFdgAmOK#(b zR2mB6@1LgdH+&8T5hy$LMoa`eKb#<$%Roy_QF0p}Co?QB-)6=Kdpa6xQN{h+S*dXm zA--O&Fjoz9)D^)*otK?)BRsV}PY%JzZLWV*UYMPBBP#5IFI+fN7_@3TVGOb}(NmMc zb(@3h_O`vT?qLaVYPfEfA)Cd^*~ZdDAHM!B>Fr1eM#*MsINls6!TX@H0Ju98-Tv); ztk;SDv_}4$bMh}mG5YTJub6m^I+LtfHuvw=Az5R@{n9r!{3Y7$ml&m3*YW~WrT#;+ z3naK-T!-wJm=_$MY5&yhVx2EYZ0Xljx__7Ug36fjZ(h`YNqYN{4$WV#)jv@%9Aoo8 ziIxEwGymmZ{h#*L{j@-VtrBUP*A1&N>F%e~8Df$FRy)K4us3lK5f1Pa4{+HHY+@ZC zw;Lwc8z5sHAhjFjO&%5`9@<_qWaco$&ptq5H$=xi$kv)xJW5A8Kwdn`WiWyqhf(`5 z3L55+9bji2+rssPb&S(_luv#HrPziHAl6Yr`4LWo%&8GBgK+|dC#)m12IHI;$JnRG zSjk5@D@Kv?C<9lz9)auxtjZcDIA_&3E6*59=NO|xXlFlF%h*PR4`lA>-z=bi zJ3u3VdjDtv{aa&;{>=jVx5gIzn+5c5u!{c80{Ssr_Bym4Ap8GrDoq4pWz2|GaAS)> zF(|p=2jPD)lLp@TU#z5I-+wWZhJ9-`(!`j^WAwUaBn^AlY@~^?ARHhlA=pSmyJjLy zj8)xu3rwV;U9*rT#>#BG1s2lKt{F%ZV|g{+0t0Di*X*N-vHTowfqgWfRV*vVzjI-6 zX&LVY`)Js!36vdgxw+#O%%fo+&O*RjBcr$l>uA`AGYRn45mVfPaWw41=>T}EwGFpm z8%?K2$cb=&w+4P4{LfwRR!l5z%Pa6cFfkv(TN@~FD<+Qj9XzyVNKNQ_9`8%fz{goL zqbB_H8NZJG*YPW=YPhwt$NR8K9e&zlGHf3KJQy14zc#7$2+y4T<7L>MnO)od{Z2w_ zo8Q|Q1XJkc{%WZH>fwEG^j|Mn>H*S)I*$?^qJ8(}H_vCO-9`|!%N3f0@*9{XSeaGbh^IMg#m7;HRV$Xce zKHu-E$^PAJv3B=VO=%kWO{Digd(%jjNOkaPjDv)-5O}L?8!lu`f*9|EfS^SX1 z<%K-kU4@Lziivwy9`gI5u#fpCwx=#o|YjLOzw& z_bjtof`nCxmo`Ku?r2)hY|MxBz-f-F&x|L}4-qHO$-fDdD(tm-$6IYfpWKKJzT;~3 z=1za@{*gPLvv&V=?(+Fyr5WF1^Hr&#wOzFzY<$=5M+tnnXt zAFB24bKh{Ge(!kF7VGaWv0FRhSXJ-FsOek}X$Ix9&tD^HJuW zwLIQ?B!96Pv<~ht^z1Nvc@S9_@@Qp=mucvy3#vwKh!irqw=<~On)vRj!IgQE`OfH;A9oIt^ z+Ba!1=+@k%7#Oy4Vw8Q8ed$A~EF|b=S<5OI!FHd7@K{la@ZH_F%h&>);<0K?&Yv}9y*WBLu1W0#ze)02na zZC^=mx39ZBtKYm^EqbG%OFL3Y2rni2O7HYSl1J#gcigH^ciXIi+YK`Bp6=PbyR{#ryeE)Hy7|P>SF>P2RaqclW8~#~Hr+8a> zeTSrpRgdKW8TFaFg$&56d8Tcngz%Ml>(HPP+Ev?5HACsJNBNY_!vbul9^4~DcCV>O z_Fr@_+322hd0ddyuWp;Qs(;<>O_#_|hKx$GJD@6FD~*dSEgV;2+Qo)E-zn@o-QSJ;R(wMH4E0kfFH*Al%zY4z{^5ILV7Cc4+m~vZ74XYC zc4$AZnAA`)&-kP(Z&h2=#qIxtPUC*dW)!;W9o=ng8!~70evZ~Np)`D*msBBjw)2^B=vYnp% z=Wnat83@??P}FHP=)0Q^6bbE@%5Rv~CL*@koYll129q5uUw97@kdknLFvu z>glivllj1Gim*(gv&+7|Pb8$!v8NLG_eKm&@9<?|rz z-y%wzCM~1OA6K@;ZH~>_X3%_C6xjOKz|Gj^=kJ5UH~qx`wRD51mEoh~WzLUIp>%*Z}N)o5KnhDGb=R3&lkyXN6_N9Ts$q`ChO>H zA9RY}4oMm8xyg6y!>Vc6`5sAEPlHI581hO!?eXmm;wq)=0r&1~Q0Di0xRIYo$HVm2 zpzLvZOXR-uWX31b>Z#TG4-%fqhfahXOB~%{r*3~<*XPbx?imiWU(h;zL432K{h`!3 z%d-48%wLfG(~Wamz1#{&T?-PQBl=lh>&J`)w8o-l_nB<>cz4yj+Zxf;N@#TO!kca9 z_gkalqIs7txjhbbr9%qW<_VcxZNfUmL;RxKYszPK=EUS8D!$#Zq)xUT5362?Uf96P zcOj`t^3L|XR+GH?b#m!17m-i?Gwo`{i$$W==axJ+i0VB5v|{x{%FJg*TBm|9zlRvb zeP;;nJ#gvT0Eb9Cg>QZ#*MYqm6qnzP?2gQ$4B_&*gy#2~J>S>1({f;^-b;hAz4~pt zEqbj8Jp9|YD;A2DFpHuz4%KXd5>}>DuU~JC-4qi2!P4W^fj$*+?@t?!wW!@pM(=gx zJezdt-^i!rEgFy69*rT`piwNE6w@godAO3@(-?&hH;)JhceRt+pGf+sk{0)jj(SJT z4|7wWr>{0Rbep=Pn_SYSEvn69Ea#(3I6`{|zw9wORL0=q<=EU@%@sKK18oTiiTk+s z{>#?_%6n74s5x91qS)k8va-@6qPZhve6Kxne>MA-=VVEJ>FSox!jAWz+$Pvcu6gEZ zd*1uGqW;ZR-bm+C>;tTob1Y(u-)mKcSx3_h?F8{mdg4Gdb6)tS-*8P4{Z4 zJ_%f!u|oS#zi(Qyeaf}@;DfYA{_`#OpE0v2L@ypyvM#%xIdR~(iWK?yp>rOLYEj#)8@NkykP%s9Toh$tkC(O#Z zuGdv_Iy<%OY1u&LR8dZ8@d(XLE86V|?3D9!DJLZ~h#BP<@_q4?%(#hQO2B1r*t5+@ zm0I@XPRryE;u{2v&~}faSD8;f7PXV^anrO+IcRjH4KaVA)8-em${Kmxx>SDq9pfQx z8gXiL=t^st_bs2m;$b9CMSoCU=fWE$r31kSj}^}E5tIrzVtM7+O6Oy;sa{eQ(+^+%0~Ar^iVM-QMiBw{DrE z_8if0I$lRgetrU3Uc59bBAzy)sI^M4&Ro}#D`MR8Pt0{4xgwbz|HNF^kt_OV_Pmas zk=LnzV$bX78Ok~R6MJ4q&*(wPy7qaUJ+Gr@)cN|K*z-DiruaJln?3)Dh#|!nV_$CE z@Zg3QH+;C^$IVvU2;fE#H$u1(#{Yu+VNU%gCH}`g)T{sh%QyPjDq14^yJ>U<=TAC( z3x57j=11LS4}WCyfw zc5-YD#ne`n0;5e$M%RFHfIiL*BE|>z@7n{MOI#3w=bNcW2o^9CMgT<`e_^KUSHODr zl#7EU03IEUU2+oeWm(Z?DzY^o)ekTPssxtZ%LB|50~Hjp?$rMHQDl5TOI-mM{N-i` z^&A<*?mtZe40zoJ;>{umk)oT|fCm8$aI?39c%+s(Fhe0Oh+6-OGL9gqg!iKU$;0wu zh;Cj7x9lLm7AL?}_b{+fkR5JE+aNX!j*tKkKH}(Wds9tSDFjuMFwj zM_mb2e7x*njr%K>--Cnx;GT_g(-N*lfV1Z*2)2WQdhc#UX>kbpGtiR%jGV)Dc+r3< zXF*OXCSAb*Z(QxI%uNpM-=iuo3HO4Boq-mj@?U2s`n%z%H4h(vp)V*2F9ra0BYxRj5L5z$c+F3gR;e*@RJ>|V~z>rt2dy@3pvK+t-Z9AGKXoYH^? z^T*NmZ`++5)%O7H;72*X8;_wg1cC%(5}K|8R+h4sCig=C-det&}RC2I@umIM*4t%q{T3uqaj;a zm>CD9YEw5(+x+ z;{n{rP*+oRCtzSO=iNxQ@(u1|Z&zFWpiUSS&9kD!>iYg#gxu z@xIptM<1vo(#N%muYcC7y$I4D1RQdN`>fH>-6;2BW40Ta;O zqYMiIMDZB`PotG@Gm}F-T>wiTVbTaj*-@8+V3`4gXL=Akh>;4YErF6V(o=z1JYeTx zn2Rl-ur0q2@IEd&9EAWOc7(#-hPt5dlmc5(4n`XC6)?Ak6{)rEQ3Wc7v%PjX`21-g zjYj|sEA4=b3Y>}X`|Je3xn@-VsH6Z`4nU@WvtCYsyASDTDDM!5+zvPrpgALymMsgVcnBufQ2Z3Z^*3IizfVX(N*jhsQWlkW;c)kOff#HBTX;*9gG4sa{->Rh%~3<` z-Me;(ZUvPw1@ZFS#NZoXun&v!({DtF;UhR4KdiS8zNyGo42nsXzD&a`d-(#!|6QP) zv6m4f0bpLHyL*?EFf4N$iI;&=_jbLgtA21BaxEfJkS`u`hqTocBm^NtVZ+L|>EYhj zZS{~G00=5F7@hTWgbSjr22+_6m>dzz^*;ETj~?93Oau&k4(O>REa+$1z0P;r6^e_p&PUELy10+;r-@Fcq7cjc4 z&DnsQ!SX7^!_EX$^c(mHylV#r2MG*Gv6s^ZkiND$SUC%E1NVaijQ0aDuP(a>_Xyu; zSe_98_+2~T4O`$1^Dug$y3V@C-O=40IY8F9J-ti3+dFVE@nz)c!Wq2#>uScn;reBi-Ff zXa_7EY?~=bmOsHt_PV7Wf1kAYD;NDdo$V})b@mV|Ns9^E_>w^8!BDr&W0aqn91{@? zEY#k@2(QUN2-z5@iGO^Xn*gl({0XXrJDLon(cj124o_Ha27sjw%nwnJ5HnDbtl(J<3v*LFaK<~?De*BdbRZSM;)vc})t%DY zcsUrT5zcOy9O-@ui9e;VKmd~u^nn`y2igM*9WN`MMX)>!!xOCmAS#^mjo9!Yyubr4 zx7>_ifYVVDEq;as7)*G97-uELMF#twK6%3Oh=G>6Z45oU0iIRUWA8g*u2#TZzH!wi z57~m(vNog#hyq=ury^SZ3Qh(+olSMsaM4oZBSJ{vs@Wd1EkPpuh?$0*V18y|@Ettt zO5kCa5ET{(!{oS`!9F$F&Ek-)KtuKe9zyS4Haw{)%t=eUc03)~95d0=+6^~Xke7Ay zMo6Q8KLy~?U0qt33->nYEb?@<2c)f`C?hJs!GNDo0D<8Mk0E~s@@&E{p!26s0%JGW zw_6E6bD6z_xQQO4&>BGPpMJ~swDmS9n(uKg) z!%%n6F55T+UjjxLe2G?AOYY^tw}b`T=cL1Ncmw=#APHy64s#C96dpWnjqv2pO}QR* z(H|z%VLdH1h3#;$nIIqFpX{N3xEpLAZ8uhB14Sd zKgcRG0QS-3Ej2O&8^`+zT8MGw;{k^8e)g6jCgMTDk^%C>AwuFoFp;Kg8QfHo+A_#e zGDz$&w4psMaY(s+h&TvdsBIsj2uf`UNE{+*A0iL3OdO(WCn$r%4G{(nk+%;MrVu2< z{s98kVbTk?9N|*rsBDFmaHkq@Sf_ zWQ+4HhXK08Q6dHSU85Tn`UzV`85BlHrw2DUjL=O7I*d_Mz`ikN3c_R_Xh%t^hA3r+ znMp@D)5d7K`uQL-KgQBEMsx{2PXs>PV>FlG=tJaX!<3}sbeaA9Eu$>1{md;yV9-5I zbr_CipE*u{IM9LU-LauzqLB15f*ROKjK$*<3?7554g^gjOlcEzTVd}2OX7!3s?Zr? zl^tYqfd5*5Syf}n@1IuHzZAcS6#EWCZQ{QQDgU;r{-yXue-={yCHeiO_{D{kt!>a+ zA?5#6Q~y;+ITlJf{bf~+)zp6!QvRi;MqpL_vo`Tx6#egNYP7IkNclh2)L5S@h<+AQ z{!caa??TGPhtawX_!^l0tB~?<7jX2`20Z4^Ldt(rQ`1#etrt>Wa{(V9(jyqf*cpVs z7(vV6#uV=W%`fif;XWvSaeomv2=4%~FYdeGCKm4ioiF?#{7d8uboD zu6T{N6nEhkoVejLm&0-Y-hJGH3peZ`AjJKx0=U2C@J;A-3hzlw!h6=-y$L@|;yrYm z@SZhiZ^C01xc`T%H{q*JyyySX(Hma>4?l0%^M{)^F)pl)f74%X-thYOCA{Y^FK^fb zPTsI*&BvPy2Xs2TAj9GRb znW55^N$W@G0qIfGY|iNS?dMsibF2HnBU$m|)pb8k41zMVTx3tc$D^(H->4nVV7$(ZOzYo}Sv`}Jw|m!3 zaHQ@4a=SsYd0X_XjazVYNy)TsX3EXaiyPc#Y~4fOO}yWg&V>|}(jPx%b9&v~#HVlb zQn&dFU0`mp{3FBI0zsFHo!jD2c@c@I;v=z#UrB7Q<#NY}oJppUmx|jI(@-O9zWe%@ zBPh)OHOux?ls?+TuCgO~TrJS|IpK(Usmyun$|Z8ISvOSEu5t7F7w0)`-N;wSBhBSyp2VWRlk+?c$q;()K z@6Mvdqi0=`YWs_rP{6e`5(&c|-N%j1qIMBP?qAT3`@@?;7o5YwKay;)ev0@H9xrWf zp|yWG*Dax|l|yslf_umOxI)DHsrTED9Z6q6wl`9igy@{w_FW2j`K^Wicx`tLnTn9l zfTF!xXi?^f7&@1e*h{-0dG2Xn=?C&rR?jz^h&1ASn}p*(e^d@~FPcFb!N%?hk?jQg zgWXC=-q0L>uvq(X+eS%;JLAP|EMKUM(fyg~d!4smkn2U8d$eXI_b{rF5Xv+0o1_fi z&+ko19YoUpc5X%SiESJAveOvL$+~5ZR~L=6KA9Ccr7doXmJ!nM5+#>h?WZ@0@wLm1 z@vm4?l$P>wG>Lq}wD@Jej`9W6#!k?@)LAgRvW>p)TidmQH`If7heoOi_a)>9HND82 zLLz4(Y*X@lk{-{F&?WtV9DCn; z1LK+b`R13)=2pvFx-)u_M0ia?J=HYVp_KZ-?7o6NA^R9Zi?e8}{L!;JWVOi1kbtbw z>gUCR{HFtRnc??si~|cgL(eAZsvPjiHnj=ey$$WO@KF>$Dy4GuCC}0iDVkM&j|X1% zspq5KZgbZ$O%BgNz2tCMJ-?{Q&Quz(A#Bw8+Rf8dk&sQcmBw5y8Jnr=twx6-{P z*prlK6Q5v>BHFl=cr8xr$G+m*Hp4AOs(9}CaOtZzN!1fFy4!S&7?45MoFFm3zUayO z3+SV;+u`_m^VG;^jGx%utKMZa5V#_hy8ozI}q47_DABS}~zRa`ATU_CSW+-lG&$Ze6x_8tlK5WmsK>F`V&qswdDvvTrH973DWvvhMz%9n0gho+gGN&CR`_6rl5 z11=f6OlYOORO69>_NdCdcXP1 z*kQG!cQaDoE2l)IeCV533TjA>s41%?(aB5Cm_lEu{JtDexvN#8wN8!lgsFK(1TGfi7#{Y5kiO&Mg+?l%q9J+Iw;ukPBZbNZ%3SY=Y}cV)BXMfTwp{-dX5gpu0n#5W$L#V>** z14u7W-{`87-*X<@UHWP9C0`{XZBC(wSFW{eF_V_GRa86@ROGIIR+UcDHp5!kn2$l@ zY*lIy$_lUy%xI22?y-Got8w6-O)8`f<3ybAuNQ?GoX>2(sD><5HYqw>bCNhLGrP>8 z#@N#T_vhAw9n?Pl9D*YV`Rqn+5cy-cn1$TKCiVfRDqe$csEo zbTtoNE|NZKY`Yj=^k&2znVyjlJ`|d6YV69q!eQvnNx!AK?A0`FW9?Xk)7eXj+DJjE zr=s%h(!J}?LVdH|GS-)NT?x52yt%t}raUI6c`OHAD)e`csv0VYd|lT`bMS_zN8$y$ znC7LryKVUqHDp>lk%+_5z5Y!bXR64SKJb{|y>=OLtt^m=i-m$y z6%qNoj0|!pTm5jirqazf@ohsyV{7C~k{v>5XysdV`zSMcRcEzRMCsgALe--)nH?n5 zJzKRn4kf)AM5&!qcivJOpEJ99?^4ComOXSls*Bv_Hy?(kRz^K1IqZuL1y^6moRmEw zr5#{so4z2=Y?2*TMPiidd+$rdJ5I-H6nB5{{==^_CnY)682GGGyYotCYddKb90df8 zw+Wgj^`h9TbvI()aGusXlIEB2Stt42`G>di#zZ{~4Prc8ZqIE;pF5UYvtDd8+Ar&A z>v>5>nGms=%DHye8jJ4bRestA@S7)U1Yq?##i0*_T2Y+TJD}@ zrSmZ+A*s#@jXxHjk+4kgIaZ+YXN1zEI~+3Zy9VEKx$t0~@;$}&MP?n}47cFob2mGf zkhP+3Nx+RRzQa<+QAY0feke1_hP$K@xjZTvkm3?`e}F!Q+~gb33eav5{nJMlmOGDl3$mHs8jIXCQy%?k4d5no+OUc8h zQ%gw~Lz!pC5sSpc)TWNE6}5Bf>J~z~ylY8%m-caqop~e4D11ar-W8RM6g`?Qx^}Pg zTSj1{o|1XqX!)(qU`ywaJntjvG3i4{z;Y|C==axiY(}!8HhL;yee9pwHq$d|hj+Bq zeD8fojSl37owyn0p4R!T_R8a;%we)adNTGWl$^7MTGKk7U9CbNAw80EE9owmvger} ziN5ZRpCuYmvQWP|WPDOHFynkSYG)ND($wE}JgxC<&f`jRzak?%o20snO7`!Sg@5y3 z^lz1gfAe4TZxJB*4%jxDmyT7;eOIvmG}QxRJz-6mFz(Bh#<-f1a+nl=COKPJy5Q6LP)$ z8G}TSUzOiq;|3ScpK|>f*4`;C43ZzTX#>I18hU7{fAruEzzG0Qr`;TYi0xIC6Bh#i zRUpg|-W}?D)l^qigjFlyL1)}fSeY5@Re>lmCt{)jxbShbA5%oka+6{%K}6HZ7EqX$ zs+`0&hrr8#_=M7~rht7wJ0%3H3U~}ezF)m~S_McGfNm%VpFNxb#{dn0qO3Sf zOF{GlM3xZu1|R^T{+I~R{J>>_m^Q?lC4>+oHAIs?jzPS-p%%i?w{FBxMqKnio+DQIQwl%C!a2Y#@X;48MB`0wzc$z``VeZjeTBQfoHLB-P-)%0Zk=2 z&>ONb;%tB~Q$szk8fzbxf|~CJin;{SK-Uw;k3g1#n!J<K_NoEKfbYIW%i&JFZbLu?itnT+t#NKpnjJleMNSyd0a7P{usj+CdE<+^ zho!)uuSda`2mWlNw?|19_!TpZ@$YCBqvOUJJaPcK1APE78i*g&71o0L^cxW=wz?kf z?reSv)IB#XDK-KW(onA#ri-4ox`GS>#nTl%UBI62_0?&c=3`g@7C58C_OOWCb58R^V zS#=32%m$zo8SLu~R2zsA=oYtw1P~*EMGyiH^{@dW1P@@C8USIU;5wX!1Pu#Q02F|y zEFK_YaI&5p0=e5$;4r`!ASK}3DQD}WMt~PEa$*obzp1I1FBeRdbawBQ7UAWv%0uvnc6aBCx<^22U_8Je2FanV1&GoCkVy!GaCiV=~9N1;oU#GINgicY&EMFw4C3WUSKl^LBx%%!k)9gCK(g z3J0%c&h$g>PGfB)08)@h!_^9eWFseA^TYaDyOEN-6u|i{;KWV107Ciqpz?>r2SBmO z*PwE_mn(vK3#lg{eFqM+T2sl)fz(XbeDguLpGkA>!D7YI|9RWz1S=0Sa zjrK#n&SOZKxtp5`nLQz(8wb=60DcdCctFk!9b6I^1_;V_1BI`EZy8$`54-~p^haP-pLYw=a3FK*w438`QvxH4kCgcGvBJm!5w9g9 zYJ!Vgd^;lrKT9s0!!QprrnJ?7)q#4K9g5sj5P(C$$C3VbP?j6U!TsAPHozP`;InZh+)J$p175$Z-ymE;cBDj0s3V zg2X0BG#Nx#$&ZD>I3eZ6HMs8Zn1Epla#dVmSW*PR+Pjtq1;XI*VOYf48o+iM5az8! z@CX1IEQ03}kZQ0KkbxnD(?tm2CXK`Wgr%&!DE}6MS&SE8TML|(pbUr^Z2_LT48RqV z#+qs?5WERSZkWH%Nw|@R^#Scdo(nguW2BG_GdcVYy@F*Lh8ZkmkeUO6Ye+RRHqeC? zAB4l4@cdwgkv0SF0q{Ho@?D5=@|xoJ#BrNUv?k-l%f{*gJXB%uH2);DSmq6e5|GKg zrhwf6w;Px<OoEqjK`QOmmtXi#u*r9;G`b- z^8(HmvV)+22jn4jHbXiNh=M`X4ua})IN!}2K{^8D?T87%Jb=`yCCE|12^;W6$Q;4x zV}xNrsRA5^`___sVDL~w9v8^-;jvWzsGw$c{C~(>EamRm*z(i4$6Q_bZ$^w#WF!7lFU{i;{!=VIxN}!xHWKx`glrQj+ z*M<8f1H~TTMr=e-O8(mn#tHuLVnvxDeu6%U)cwCFq4O0FPVvlOrPqQYAtGgge_aL&_cuYd9}#yRi}U=AR}9 z@!u1*7E1_{0$`>>kq4aU16hk2f7kxfp+D;S{)WdMe`l**m z2LG*AGCKL~+%H4z^;*fV{}4)}P5-2n{_gjexS8W0e6D|1FaiGarA*BATQS_mae2bdFyKsF68 zkgQ3c2N@m6?#ouG)@!#7(mRj=#>UticYjH@p-XZ{vQ+U&8tc$zSpv@|tV6W2Yk0b* z1n6#R3*n)6w@QX6pa%&1=TD;XA#&M%;ufIN!{nrxKSPI@6!r`g89XHg&OJm6 z0&jy!)TWkU0)vc~K%<|qS~(05CH51w)N#S7)^QPjaK+msEh8jcLtBCfHJj5|M;N#O zWslHt4G@nHvX>A+Ip>j$PzQH>gm4Q9l3d0;J zL{LN;-a1A?Q6n=(M=?OjIz~(ZpR$qxKHpD_QT{U{p!H*PMuP-0g!gug(HIR9%MeVA z)AK!HB}f|NlIbUH0meU0#0O_MMvLrRC$t!`WF01% zddfLLpgc$prhbk^fX8+oQkxXRk?-|E5GnxQ_6DsJx->Ghn@6_Sxv~ zrIc~W-m!69Zg}=rC1tey0~=BQhUrj%7-}4Y1M^>%l+oY(vsIv)@_Lo(HT!I2jtdoI z?228WfAMKt{TK5{tnx;YSEAR-RR5|{o%wfb^2&$kH=q6m)1ksKCbrl<`e&7Dx^-{z zU)JOpVgIF}CbG42z)%`%s0khnY|;bWE%ZomBaAlICC0U=@zzcS z+*(-T*VjbYgaw6o4@jk9&zc0AkdGgK0vFN7udGS132!9eeb}rW?^}~#6VlM)&-|+Z zo3P_0{>1>< zJ!9NEjC&@ycLeuLanB6*%yI81?j6HD3*58p=lpLfuFb?$PHWqzjfpOAQe#r|%IZqJ zk#Bu8`J*%qqY2u6?h*RY-YZN=qv7{BG^{lAT-w0azIM8v=1B%=ieb{$Cldnbn1-a8 z43&`PMLk)EeNsoWd!zU1a}QB+Yq7l_y5n;>3son&q+GPW+OXPlcj$3)w}6>CI%Xnr z^h$Zd{V(5}zwba^UIs~pp%vrYL~rQ$srOubR(17RPgdI&0}EkY^+gtW)$cue6m$J3vjzgn8Ss{K<-jh2ru_r0%P%b5hypLiN|{ zURU<*G7U;UVHakw-=}2oZdy}LDL_#_bI;0KC1l#gIi*XTaN>?id|E<0yN{hWP;$|f z@eIdI=fk}Lp8g!0#Jn!4 zC>;DY`i)ukj33MzUte)ZZN8*7&vRiQVVA|g|prXOWD-@Mtpq_Rq^#i4|JYd%-q zCmfrR^C`R*`>LW7+2sVEE06XqT+!I_iD~{dqF0SS<5ZXw|B};sucEhuQoMww3L{Whr?Z3hxnV+qDVGrXom!ogP%vMU~rV>jog6p1-b1MyLDtwKWE|^W8gs) z2Meji$#x%8@_)%KNoOB!p7NSkYKDw{f<-s3G;@#)wS7^^9j;yEU1dFT`)Nk^hNe}e zkaXA3R5Pzi8W{>H2PA)@iioN8-AdDY>V3A{B7j#clDlIv8WYl6>Gv;v=Rgh3b36yw z`Hyl7?ho!NeaSXSt9q_1?0RR>6B@HyZl}vpRx77vhU=+E1x>_;Ieu6B+9wQawh381 zY5A&CA->b33O$)J9y)T&d+aM~V4p1SRy#YJtDQW|EXL|`k#l?06y1@VO~Hg9Cz*yQ zv5;kEh;91KkcT8z?(VhY-CG@B^b`{zhA*Ng3d=5aPSy||@H@NL>$3BW-mN0c2i%Nq z%_toZ_d?gtK3q{hEa`A&Z%DJmv7P7cUTorXNjrV#X=3eXDSbXjU{P={Sfs4`MA}FlsoiSr7EW0mQ2aijg z)^SC*FC|ThyDEv9DD3ihK=xr)nNi3xd#?P>O!u&aP1-S26z*ve(?BuOMYEC4?C!$* z=I`%XH)tmWoxYov@>0Ow))Ez$=En8b5cONKhg#6ZO9`o!nQtMmgz51~(6vQ@h{LUs zgOux(N6148Gd?6AaUqk)=4r-V5+bRnDIIx(oXq@ByJ9ZJ4ZnEHWt;AatYV{Yj!T_1 zH;+w-d&6*OkIKb}v}Mz*sIxEH>KL3VZleMsF5$BidJczk3gYIJ9u9o7J?;5Q{8mMa z*d7tTQ8{&_Q#mxEoJ6xz=6YM3STd)nc?}hr%?tgokoTlNbZ;(zA)7~W=8Ss&M5~B{ z<70-vlDM9wnt4g*3z}gMg6gP_M4;oBUfdlP46L}2-c{Hy>3zc~#Z4Hjvx2_x@N z#OGazWv7?Pg+@lpy(da;)N=SV+-YK%rqjRKaw&bT_ls2A4C5f=if&))45&Fz2o2x`+NqK6d)U` z)*WfRUA*r0^}r#rF#C^GZV*5?EQgczaIJ7s z<~voBu+J{5^hcWBvn9}6PJ1f0({9)fedN|_oZ(BO505Wl7v{Y@V|MI(@6?aI2?Ug! zr#u6?+0gkwzrL{n3ID#KF{S0eIL!|IG)2oWP&CD&?JV{WxDbDyRi zkzVmHa$A@@nra6nSak29EvL*~AK4u`E-o_z*8Lfsy-TLrhKq!G^b(DWAtIJ&q^2wd@ zLKi=n`h+hdk#xj$t*q1FOzc;OSDz+4YhLq5ZV=cSasM8D$PY!G?4FlOsF+Pd#5X2< zTBH1J<#(Ee{V`v78BQc6eLdW`IIZAqmyd|8lj6sjR*qC72i>bZtxRX%P|u6nYiAOA zmt5~*`7Vzl#$?1iO2f*C4+WX0eg7;Twql}tajcWse^ilC_N<8~s%Ep=fBt#GwK{_R zY&tiu)iYjRoKt>OLwc-&kIT9`w-bp)jP*cLXK=r6eo7O=GLe23_1NqoqtlIoAcl)alr4g)rqOXN(>59pUQbntAG z2pKVTJNy0zt^df8^AXGHI;fm4`c5I^PPKasiLcsZy-zl6PahrI6P3Ua!ENb0rC^Hs ztj8shXHn5+)0*Wkx@Ro&s6(FSe&iY9avk2jCGbr*Qi`5JpZIL|rx6&ZqzsDE9`7>E zxmv?QbFe`*_UiBfBXpZ1olPucY>fNN+&=A83NE`w@i3>vZ@tvo`9Fpj-5pRiwRiK= zZx${OSZ-~h)2)B}@o2RupGr|0In@|BS}h$vA3g zT-QeblQbGld|1~;uS=uX$vFI9zpfGg1C4Y2w&g}b!s{CGf0Bq(Xzly05&s*(mg!H& zc25AMWpN{i8+qL9#Ek-O6mhc)H%hos?*IIMlHht3{tLo(++*IWs}}=&TpiGH(2Z&ULfp#rQyBzNP~hY^$eo!O8*u@oJP65(;b0{M;77s66KkOgvQi*kxrV*4K`+SY;7HtTz(_H^83N4nvcqD+lt0i9lSV21)%IA z9mUcLwFDIxCZu4~4B}`%K)0av($Q2wz@J1w5JmyYq;YVphD?A;ATprCiw1?EtDPAF zaG;^M33TV+bqP3a1n31YYs{2DcLowS1fG~Kuw&rEx)pOd@VcY{Bt6yxz_GEa^wwnr zl0AT%o}dXc)YDJ~IUyDnFMJv6d%J?zuVb55VC=p}9box+_jV<0iOUX-ot-owH=h8!UCN1l#8HE1r1z7H7dQGp9>iQA-AN)=6AO;Kx zBb4kL)|Fw`Rp7}<(ZIp&EsSyAgWLuoURG)l_>a7Q`4o(}Z(s##fRDQ)qzHhx5y78x zGLnO5Z*ON)ZQ0Gsfa6HVU9Ar2?^Kl$7ht1Bgt;j|)s5BnZeIlm1d=;jQ~e#vu-9A+ z#JP_HZ&6!Q?cJM~!DTeq*Tn{2gH#Jp;{h8Q?}KcBvRhzf3I}|`-QMEB9uTOD@pCd$ zgI2Yx{b^k#;K_6GC^Fa|vIzh+ZPEP?ozk}}~2?b<|a9Ck55+DU-mzumJ66WV(B%cSBI#42@T`B<7cJ*4u%MwEf333Atc+xh8}l20_a^$ZvC27Aj-EiW=@0!YGUjg{$3szn;3B zq!8@=_s^rf9Ze6*3a?y13E_T_P-Lj3x=D032R(6SqPL^DuHts?#e`5eXJ!USOBKZ5 zT=dH`V_h$s>nmrnHp$ib0fGjynFt* z@=kuv1<<`7wLP>?8-@eoWFVWL9C-Jv9)W#9X3A+2%R zUpfntcYjZN_!S#iV(xk%F>UVZwY?DnIzaq2(n?m!vg^vYUQ1)nQ(58AGJMbutO0#ft`Bk^AO>E5BUf(*`ux? zEy4%W;&@L-^TU$-tfa^wA6E+_9aT7;oHS^0dJsgwRkyEPj1NBnr)8fuB+>|SGmw4z zfN!=S4Z052%;AA>M}ymy!bV}34kdLbs%y+6Xq5^Ex-)BC+X{jl> zo^w7n#25NrPg4O&3UlMxWbn=N`U=P;N{J5kakf6VM?+p}?J7o!g(=kkrscuCTURc` zh5JLsilLVBCh^tmbi}V?y&WK=zmBw&p+;~bU$;K0EV`No}B0(-V$Dl@TYp5!^nw}gJ?s~-O`uw{#Q>Q-UHoq(y0?3b_d~V zkrfl*p#J`49QCv{R>J5`jtcO!Ke%Uy^2W71Opt%q{~G?F0QL!m`nXtwpNKMCDQr}5 z^XhGXQVl2TOw)8#;18XS=M}YBrjMse#U>n$m)d#8ZCG zmiu*7Hwv$!TmFK^-nZ74!jL`X?_zDVQ)QDFFC*E^$om(K_itT3A43Aeg~R$9a$@{! zG>el1ubxyF=cmVq`8w@sKiCBV=}$fjh(!7C>Sl!gpwd5C@6STaKP1kmTBA`9mwEnQ zRi2S~zrzQQufJ@%f7^logxqK;g!(jw+`@TMcN8ks8~!5g$3WB@$(XbX{VDnGJltzH z?mpwc{L6=1rj%T{X2+APX3FW~i@{VeK!2&${Pg2yZKnNgx81|iO#dfs?_qAH{86bo zm>1dL zz#sLnk8TXVi*1YycB6-e)JHMa&v`E--ICN#cdv(lx3$i_l&$^5d;Jv7y@b}FKbAz7_pBcY?yeO_{waU^>jb6t&cK{?2UPU zA5+)}6=N?kWQ2vW&Zv(jte=^3gb>$D6*9sQM~+X~2us`$v#=vGL34z#y_YOxl#&^G zb(EQTnD}Lg@-iXOHj;3whn6%-me4~%8f8o%dd`kACmf+2potu#U>ziO4l`Yi{5nR( zI!dUkEq7uaWvJ_?C`YPWxBUgjad~9y=KMD`G{VKDalvG$#{8EY`Y$y!t}UI8GN3?n z?td4Tet_#LKZe@UsP!3kum0akbF@q(qxcJs|C7=jtrVBWHJO3rt84DSw%2<42772#$a(e4PRDmmD18J9gsuFF80w$}4aLBJ-p|hUif=2rr|iq#32rXbBKdL9O4$1eZ*{l)W-<~YmBRH zFu1nGts}T)hgPJV4zLaM=$ zdwoNdkEC=ArdPF{t6UXWM7sLoQZxPDu&t&pGAiiMiL-m1?&Wt$`B$826XmxrS==UJ z5M_M4Q8C^%x;m{2eb@6dbj@-Msbbr^n%_$EK<>O2J)5z-PR4@P#_gp2`%%FC>8iTf zx;lF8Sgma?dtJ)7T-_p{-+#d4|Gu?VjE)lR@TA~?2iYJ~veI>XC zc~tVQ;WM+fMd@7|rFhgNMBN{-^3f=9k4ubraXI_bH=CHjK)4t*Oxnr5tQ4Jgi z9JYDqc%ZCtk!=gSa`UUq?tgqVM%FBJdRb35Yv4JHSuLTPX9qvBtzt3VdhB@>!~V+? zkLOf3?mykf{kn&7m{#M|i-e>bp(wRtT4MaGSZ6bfTIdcblhtdL9ka}K*a~wgnw_^d z3zi&RsJG-ju;V6yNOzZn}|LEe0yD<;5kVnc}j>Rbvo@F_Y>XQ6# z({DI*5|3)ST5ZwuEz1hK4=IN&EJm`6VywfWM~aHm2j=_bL!J#aGjV@f=iND8GB}3@ zCA>gNQ97U(OU%yNsq?;ZB@h`s;VL|qe2j!e?= z*A})1dZ*O1*zdHZ8fI6~8*5)EID7TUM0s&LiVDc<_=U>+ub@)Yp`c9o$vB z$H~5zsBT2$X~BNSw}W1KtnOl_*Hl}E=wk0cp{laT<9jX5*ObK3f{)c+1A((%+o~^L zh&?jh-Fi@3Mp@$SIro{jqjR0sl87b7+x3|B^2A#!T_qK|^X?wss_Gh_S#47vxO2O> zRGtlS=0}7T6zyNblx(7Zz-0~9+V1_-L8>2{nm_Qpu6P{alK%8l zL2!aPpS+caD1mG6eiNDWL@nyM;p*mf(S%oO^3gD>9O1>M`obQn^<$S))ux`cyu0O! zf_6{}YuHH*FLfq_g~ut?m&9$~=NP(kk>y=R;gffzw#Z50?enhjT*Us`!NSU2y{o&# z&h2=5hFfyf!Pt8rCyP*N-eIrA#^)hd(o7=@U%d$pKebNFDl~YLmLAJyL!Ur?B(S=y z;>BRI*_ghN2^V2m&Yp)#O2dLFMeX*; z*;}c)9|<+Vxq$};MgiPv&0CsJz8G`cb!T>MiHrQA=f59-l(pO$!nq$YMU3OQHmO1enS-g z#G-bp1_ftTx}0dFVt>(3cH_aQz&;MyLtMhULyAJ8?uVv5r{9R)bGq{2occb^43XKIA8q z5mXRW-$G4J`74T^N7J=wCEZ^uBQw1{Zwejk_5>Zx~CBCm_LEPq%)L#{g& ziu}DiBG?C84#`Rhi9S4iR(fLM>*8s%Lz5LZOHsk<%6zS*j)rQrj{{C*?_M`)EewSz zNo`zPeQ5VUI8WoPJ;v*wZQ~kz=Kbo9Lsv;k z!pqBMjZBlr7p+U(7n_PtH|cV0xSw?lM&z%iLKS=lk($EPG4Y%`*&* zl^SHzXu4|`*<`4O$a;Y*`!^RHmJ;|DAtQR*MO%~M@$k&7hj$Ah%fwjzjze85J4>Zronb(>2a&7UQPg+;S; zwOH{|3^(a~Zr@Ff#;SrooQ|fx?(gZ=AL%p}@8#?~nRZU3HYeO=I@z(q4IPjq598`K zZ9C&(+U>dPsrwGj&mmm%Bnf)rm_Pgc+4YERq<&lFdC5YLy&LbVGei?!TtBlW>m=V< zSMAL;QY=k|NREdphwpnDYj1UlaZQxa30*Pa$)omb&$=oIG_RXThkI6*-^R26ejme) z47aG7Jlk??HuWjYT_b-Ke`LXY{j3|(T+8uPgQ?$o{qjH_fASa4}S*znhj`v+W(U%?VW73*(au6k(E3lnp|9D{X@#T%9PFPN-pp}OZPl7b0vx#6-N6WnLO)9U6~f(F@GUm_HA2xp+rSAeL=XnLa`cq zJYs!Wk-`?O-L6OVFrFenCWC9N{+4v6!)I?@398toWt#7@z# zR%~w+`cwCFcrz5Exdg)veF{wuIr0;UCK)^xWPyr=O6IE`JyB*lo09oD(V8@^>U4uk$+QUsIv7>82L9vM*ob{f75j2b?l#T`fr+!&Lsa6PXA5Q(VgOd zcN#}+?f-<+f75i@&tLw+>A(L|kiSf>rlz(Hv+V77qmDNkc%z9oJMd;F-e}>?F1*pk zo83L>|L>``n*@H~?>q4G{|0|s8tZJ87U7{M%)pvr-M#!QSXlS8Kcu^Ti-Z6R#oQ?B zYJXgLE9YEP&@m^Ay;>@;+zrc;pN7F8wW|1P8qD?p4$SswDoY6iQ291B(9u+L_eN$) z^hpnUvwfP1(n1K9q37}X>B=f}!YM#_W=4QJ*8xGH{PuD99eVNP!QK3ZMNuZ(0xl9(Yy_^Mys!75u?TPxfuHr=tldL-tuX zHe_#RsJ&H2Y&A11=?=eXtt~0YIvah`)9!%ocKHoJ3eeK$k?!_K_X~3`Bt)EWvD&Y_ z6}SgG?RQwMZ+~2V`!YHg8{!Ap1M?6eZo1`}k?xmG4~p|LlTN`Zy19`K+6qcZ;33~8 z`ar*4ar;_YQWVJtc67IzytF7UGZg~s+wRV$2W1!uMh6~qu{74zREFMQrU1z_^aiRd z0u6Z#$;WAB>3_r8Rd5s^2VOs`1H6@;0{rv1i!DG#)y?Yxeo@X(4!wI02C@ZNsj}^@2Q%0D-}Q_eph89xNdTdOO16JD_q{Nnb`^0GHw2&cMs^u-gDE)~x|s z-q~?b!#7kEp{o}X0pPmY9x~8U1IED1M)m#c^fRa6kZktrA`N*k;%1{{Nb7LSNG9a2qz%!=D`a#kO zHpjW?fa`t0qt|%1ngXP1umgfZANt_Msyo-fARs)z2SAuUXsadGa4~`b@K9Gr;ocH z0YmZaiqdQOSShh#FpTy4sJI~K0=VA-CEKsNO-=%M2pNpHH=yh<&Pz{@28;)wU3;6n z1lYG?!ho@L#jVR}@!==EoXiY&Z^hmNyl`k>K-~Tm#wi9rC*6-6($`d$1uDU?jAkYV z-nG_M+yqvA%KxYx&}Z}KvH(f=}`Zp zHi!1&eOSXmw~VGo;i{-Az6Pj_bPN+g@H-cTW1WFxeO3qOA5i(}5bqVnqqGr|4mejm zV3ZEXGVRO?%CRs8E+q~8g?jlbus;~kMfn*iK&;&CULUI2tcVE@>Nn}V6eg2(gALSEz>E!O${Sp`n45c*A0Jo(%T6R zSpx%EPJH@@yI($eh`{e2a3>@;fHj1{PL<8;*Kk8}4zQYzmPY`vvJn`=pYR0eq^-JH zLTD8|IX;zbkE=@ZGgE;QyW1nM-3INr7~%vbI}O~m1+0McGS5T@d%N118|_kCy#+H_ z+NGI^9&n?t!qW(JrMs=Efu_=?b=l%%Z(r0~iKDo1Uw8Wz{|e}MW;n2M$TE2VDH55` zzc36DU^m>2thD$_X$N3mc=Kz5)Pv2e{cOK!cWs+G_X(`tM(eqwgXpxs$^D zj>1USP?Qvck@*eY-2!9j^2J2bDx|I;u?7;bW+(bEj|5g19}2e=i+#H=_U2}xV24zR zckPfMa`OssZ<4QzH5|T8KVkw>iUD>lm=$E4g|r|yo5MzW>hjXUAYvt-pF$Y?1HR46 zPK}KKrwVIRgB_}nr^UlU1yWErN>m3Q327`rkj4URek+h!FqByQ4A%zO8vw3G@nLvR zjPX?sSyHrM`45=4zT(!E49t05tN;LOD9Hf0rzZnK2-ipXZOGP$3WBM?5DZDA;q0-3 z<@kre*UuU&LHvC&Ap)ab6Ff#l0m72aaE|wNLjG3qwT!dTK`?PbZUavA3FSp_$Y9$H z6H+7wx(5JhZUzWTyZjYq^%qa7icvuh{SqXnkh*ZCCtu4g1i1tM(3 ztOuhDoX^2IeF`i_00dsYlo}5*dPhrRZG>}%Ag=&!E^w7TybIPM3E{qOHjw|IBn?LG zWC-rgaIgJ=Lr;1-z?`{Ve!Ur#*dbnl->dpcVnAqi%q5Pw&g2=Hvg z&qllS39jZw!2MUD=0Oq)Q0c?byRc$moVB7|-?p&C6Gm}G) z4PfAXCoc=E*8M%8mhwun12;VBz|_?7gc6=;vd<^lS0nIFzyq8Ma#%3DuPcX4p2Ua% zNYF6Wg%|jt@&;iJW(znJNScED2q=z$AjeiW_nrbRew&KePRS-nDmO*x>9eCkx1e&{UKZ=4FC=93)x6_uRUg z1}R@K;^BU(BqOpKaWKHR9(VMKM;qk`R<^Y@kxLd*l=p=j)d_z_$-)V63hwB4gfRP6Kg)>wjrz0&P%-_?Y2Ejbe z%S8Td66=$VSE%Ek$oHl!l*@Yv_S-N2P+0#KSY!5#_P_mECHj}b`u3JTRo>_i_*}5D zM5+2WVfIzxEBbT*#=%>tvBx+TXXCdPD^~pm^*!Vy zx<6Fo@I6#N9nXQ8l1qZTyO&T3-}j&teg`uvCKBGJiCxFPti9H=o@6Z#02d3y znTXcpy-XqG&`Dao^h7XprVn`-)=L}GM+oa50FO=kWoXI0PFi}7l4GqsbTJvcahN&|j;NoC5>6=m$CQZ#y)i=1984UcWv(Ih(?n#EMyQzkc*yH% z`)J5Vm=gNgC_#757S_)aF+!QpPoN}l_DBMorymteB3$cdr5s?UCW_=o&_gE=Qo~V& z3=e=XrX#F?o*50kFx5r?gq47`6`k$oR)63h(*YCv1z&|zX zE2JB^{C{iK@0OKAZRiK+VclP)$^WcO-v3Lpj-G~;rO=gI3AyX<4{W+;$7W1PC<#| zhDJE<=a1u;a&WxyF^>O|z(d>)DjQ^D2|UDqN#7y9UINEK`VR45)yE+|IfdgOd51X2 z=i&1~><;mwJ2(#Y#vu-}d5D9^9pXO6aU5jx5ML3@6QQ~|#FdnB9OUv4|GQ8g$3Z9$ z@xKJ}!H~7kV?-c$Vg~JnY4kDN^2RM6+&Ye1zPROwTmHCp0=G`$Rse1V;#LrDk#H-x z$NK+3vH^wvE?}2WKLxG4*-%OU?Jjmi|E#P`}JLF(%h~I40^>YDa%?-v#h8 zC>{2vjA<^+dm_$pmhEm4s|pr9RlZSY~p= zi5t0Y9KLUqyMIxa<5{|d&6ku9S6$Smw@#%m7Ka&s5PIT)nw&-EE-e+9mwE0Kc)F@I zq(9GX>%1ZL9fslv3)yt*4d}f2j?GIJt3Fx@FTJW&dEaQjJpMA9a5I$|Op`KLt5Xp( z_`a2H{XTj~l!I?=n(e`^P{>tpJ)&Nt$3qx!=OstMJOkC4>l@Pq9%r90XtCW=CdWZ& z*8k9EGe14~IeZ--x(#9pg=bDC40o~Eh9-tCj6cn#yL!n1qY?;!1(*nR2~+GH1&nlGH(7=K{Ni0|X;93k5B zE$q}^tE6er12MwL$aCkz8ZR5}J-jT*N`L8e>=}2;iD4g?6B##mNFdgXNDrGW=XcTh zt8sFDI(1NO(*{XX9aq?ZxK8iha@X_7A-&g^BG@Jd zCO$_wppcC;41!w*8_FCtH1@mmkIkGlvhebtsc#G2w^K>3{y6dxYQJ;);)Yj!>DG>Z ziL#&jV^ub+mwg%K%UEw(Pac*`aQZ zGVSO=@J8tk$~;e6N{;!5-AUTKWhTZy^lIn^C7~z16Elw-Kw~#P_ieURQJN}(L`w2z zrl>LAufCH$++VLTKR(5>4V_nv)RyM$GL>bDwKLvL?rp+u!#>auOW9tuO+sJ;O9a{_ z5r0@`x8xmvlZPj@mDvMTxYL|>E3Eca63B8fv(~Fc5jF$45#EN4Zjw1>T8g5%7Q3tb ztPU8}+HJYGWxlnD1KmDNS#-+RxY5nHgLF_|tL2#CYtnu>!D0uCrRvg>GBxzJa`!~{ z)52ZjFOIU#zita$^EHC^OaG7ub??#TXZLe9p{iWHo{F2Po664{&J4bP6^hs&*y?Oq z-)6IWKK_J(<{%0(B19Og@Rw?B{b0Rqy};w$BKL&n8dGJ?7<6m!%{@MVYGUMWpY_t4 zIIKn!ZX%A}SZ<<=0|5-Hx9YN^DnIR8q_^s|7B4$fx8*jTAQyLY#l~!}!5iTx4?MdW zPk`*?B*gGISAsyfdd;PiKD14jvQq`==n^d~yn`A02RvkjB*ajv!_KM``}ZoOs&XZ1 zN{A|URu6}n8y|8YyPqTSvhH3Xk{N&LA}t}S*mdcWGQ}#zy|b0|wrj;@HM`H{xajS( z4nS*PJ@eDl*`rwN;>Pg+4B|XRMFuLK^bN#|t^b@``nU&O*lWtwJ~;IG=61CYF_9Oq z9Gd@hd9Q|oXv<#384rW4!l*)iL${eS|GN3}fkFj&>1o<6HE~NetzuWuZ9X&MGUip0-CCE!ld*EzfHWhFg4?=SO>!*sH- z$;-`qd3z%_<(A4=uGy~oFp74s`e@^g%PtCwo`>YueRbKsraUPexyhBpI`x*GIj&mb zt6g|ZL#R)E`Qhq@)6NnxI?GlI)hJ4@_z;H~Syk}n#I01W^zW-v=8~Pe&sZpOoRt2$ z=R+|H+LkQTE!7-uqf%fX9MAu*CXKu4hSJQ-hC4znSGQ7)qQ2L5j1(7)%v`;`W~V4j z54Ar&z(mY8){>Wd8k@Llehz(IKBFey2L&9XHhmsTSJksU&Q@G}h=RC!6GP2|R1;U^ z5urFbRYr=xQ0^rl@G3YYKG$ffJxY*Ia?6y@frWFC$isa@S&84$_^Zw{BHZI{&X%U@ zF8l9#n4KE1tvt_ex)W90^&$4%kQEXZ`5JZXaC7EKmo1f-Pcyx}lWZ~a?3OY&S{$s{ zF3ESvMV+@pm#goPDCMV$wF8xMWD~u$n(UOx9>_P?kJ$CnMNdyh?9=evhKud&d_OuwP)Z4z-m>6$IJblz+ zUmwX)>^XYzuqi~4{lRWYUXEI;1A;}jFJE(=?~RyiDXnuKAI+pkpW6B|#Tm-i(@eZ_ zd7h|r&PScCfN%N|^JG(Hz-0N+VN?)q{Mmx%*$xSgg;KGZ47M+AH6e2klE_-i>u;-x z5<`&n0axvJ1yS_x?q&M+-11*;t+^RLlOIN`&m&mC@|8n-|Eg`HY0>3e)**TAgdULxRbN>$B6>`n-r&_FEqy zI|p;qo&cNii~a^i-M&t2l^1+$Ka#8#PJvY;+08Un^zKn{4*#8W`P!mHlECF)RCOgJ zfbU9_RL!MOFE)}rBTA38ot<8;P%x@wpw!ZdW_)369Oo~ey{2$ zcMWQ%ZEFR3OeGtnW*=VInr*-O^Z{w=be6$f#5LJkcXI5O%hU82PySPTxdSx?ncf(1 zwhDFRdkVS>j3Fl(4nn0Id5@6@<+nPSr9ngK)qKPt6{(Ul;Q>zXk^|6eUEz&%+NJ`T z`Kfu@6zg5>Y3Gwuq$ro-b(Gf3JFt!+JI=8?r)RH*&F4k?YhRLH3=mo9e=2n^mZm5@ z-fu3^2T@$G*dt$dXxB3zQ}yjN##*m@ZEW|x@e5g7_o(`*12YA>?+o@z9W+Pl>NVZ`}>VH?&!7so%bFi`3M&J^6JZ-bA)M)Wa{Bt|U*Q<=W|EghF&(cIx%hN|iSc6*@0EZ@XuuT(Els zQ~$o+Eb1@~s$s)7sAkvOo6%mAkvnSj7`qGjvm9p={q1ZQ{SR~<5aXddBZgS(47Uk# zWUM15UA^|34F3khsIuy}(E6Wr*64lLzgv)_e;_FAD%lMp&@cR9#$< z0U+qOqlNJSq*z(RgL$RERwyI3Q<9xElt zNe|l7o=#YE%>()s0_(J(@RgSoc3+kHU(TNy%rX!K~328;!$NG81tScdBII-FYMNOes-EgFgJzuS-h~H7y

{Hg8lVxTouDzyJQGFoJ!)f$Kujhpu@;EH{71a(y|PA} z6a_f}7#o9V3vogJe;5E-aTivP6T|UR`Cd%;K?Dh!#lB9|g2lq=i4lPya5gd6p(G>9 z$4X21G6IKs|4x2JB0A*{Kwu9rGT@ekxry$VkM9=&p@W@ z+_~5=|Dyn=cd5YQI`F2s2?RL_wdJ?3oR1GX4%7j(d>asa)XebvHn5-1&rU`$_*9yJ zWKDt}w3)MG@ExF-yMpno2NXfoLC~F06qXXiUchHD#YsK|N?pvZWB_{5e@8fr0km}2 zKu`9Aerqa#ei(Cm7#DzXpb~Nx)EI|le+wf(&N86B1QP*pVQ+s57V1~wp#$hQs6Y*O zVaYQu8;HLqdS2qbzLK5<0!%k+U{IR4FfTidbdc0Nt}4pQ09`kxy8Cv59j*u+0IvlY z30UzK{0%TQXjC-7i5g52zi=umXNN0+bs->^cNxxS?qE)WEy8Mt~PrE+$0> zdBa-&-kn_+Z6I6x0O)4d>oTrac=>gUdZ1^bJ}iXzA)!5;?`0Oo_B3toZ#2%jFHNNI3E z14%oy4?qqm=wU>IJhcrw8Q;vk5FY`#9Txks4i``kBjD4CL5yQz^qfyX;U~NxTVN05 zx~x!Fm8AqVpVU}GSQQ4^$ijACX2HObR3)o}r=rwz(q(7iHJE`eD5 z1&Ew)3o8TbLfVZh}d4rv2$g@N`LtR~18rpHjv%a%Ie z@0U_fgJKkCJ%9oo4)F&J$IcN&m(!BqC_vMwyB!z`4>K7s7YuVj(};6G=wK?ljLZ9r%2_Cp_!vnnRAnyYX2MiU6b7-Oq&kffylTQVD z+JOca=lS3cA9@XcaQ)JGJ`i1ECxabIki^7D4jOF0({~}+0@#(i_4lk*2;{Bx_ijS= z1(5k8P{wyVjB<7wK#hP$%i*k`1Yqx0`*ktP=As2W*wYTE6GWlWpeZ&tgro;0=yfIv z%>UaSId(nuh z?py{vw;w2(b+$usB>0$5!*4;PdL!p-ET~#-*g%e|APMg$|2EOviDCTZa{%b^Tn;6N zK@|>04NXv6`w}RI;Rav^#fZUffE~f-kAq16VcB(ngu&QGVec*#z~WqZUIyyR2=a44 ztKedQr@7r>?X>U$*m`ud)RkLbKyZ)6X)Ee*16qxV<0MY~fsBT15bOuSg@8=-!M#Ep zr#nC*%-d(6K8I5i2{$J*NOq8ufb1^@z~X~%q5S$S4D?B$ONR^@Rhe~g{^0@{q=}rO^Rib+EtaK&UVJS#SDQ`oMYl^XA9a1208kRC-#4$tJlF@h19+g980Ptu$YZ>WkfL8+#obu@*NDRP( z2l6wVK^ne&GZN!xf#Em&26B49wje$f5Hdh_Mcnn@aVH~~bD@BFY_PX8=)$)_0vZ>L z5sbWlXploGA^E^aTg|=!Er5>tIix^9Hc^-#9w1mM&q}obo!C+ff^^!XNO7lFy8Gzks`a0o>8ytU<<$ zsx>Vu+1Hg3P<9Jawt_)^e`t>tIbx$-{5bRmF8?C9F^1uB0RslI*5J7SvIHP4zUP3R=dFTHOb$PB)Y^nWPaTg&kkyZMzi6}(LYO7vye)ilrN?}xJX%L6^?o^RkQC?3CB0Q?|ArUYLu6KBP z+bGHnXB8fx+Ths%uD#P7q43ND2{u5vArlQwMb~qf@Sr>-mfP#9D{jD7@#itnf>-@e zn|r%hVPLrsj~K}a?+ERyoaJ^_&?1Lt>Z1EA6b-y^gbG!HV+ zk^_!T!$0vM0GB3_2pNO4v^69`?jSX74}nAw0SHZj-z5kQ0+mO&^O1A_~G5LgFUq6c@<;&a0WB5pzwd=hAM8Hs&_dqdRp{bY(mqINmC$>w4uJItWnGRU+1hK8BUE+$+~uQ;JBW-)qry8z=;|o(zBzmt zp{*k{IX)VpuOox*9$~B_>>6RJBUWpUh}VrUY7q0J@OI7RUh>jW@<-4{|K;di1^(54 zXqM5R;CWFC?f(&K;W!GD8iL0Hce z6G!WRmLC5bc>Y5Vj!gdsp4~mXj`drDwqORb~@VR)Q&p(4=4-ZheNsGhcC70Eabq z;P9Db94;%zOtufC|Jg(uS{y%Mg5v>!IDX|Sjz4Y2alX|!zFi&1ot$wzArZ%6l?UQr z-3@UmX&g5)#_^n790$v8h);jUac&+Qw|Btt*f<<7y^G^u{|)iK+kWFX*nUHN#qOKP z$%Ws)RSm~&kKp*7V*EL;-{3eIIgW$hH^h(o;&^%njz4O^@re&OuB?K$(J?r3yAZdR z33#i&2S<*1<92Nw-mZ>xh&hLD8JMbvIw^pw{b7Qt} zlFhW0H04{bfjc_rxI zMB%DJ(~~@R#iS_CV*8jTQ_cFB(XL$TI$zY26L4I$z*_3GGUJDQvb)FnV%&AkIzj9$V7$qn|97;rcX~#SZJwlkZ=iXM67V=6Z+&f7D*Y zk)!Ei`oMk3ioZ?IO z!Pabn6mv!P#936pdrFURn?Kp6cMt18qv1WD@xwxswaV9=KONJO;JPD=h?xS*FUoe8 z$B3sM+b`dH)K(<_nBk_5JzG8pI2ou8nj+aTdo}vO=#heb*L=2dmaS^GzGcbV<6Pz> z9-*^g?Su`I>fa^sp(X5jtnw*UvX7+=pNXHt$^%0?j>W-rzk7o59J*^g%0>uz73s-n`$gNWiol#;06`j;667A(A6 zJXJ^ZhI}n;_J2(Z6RN+F1yr%A$hhxmMV3^;k#A@0H&UfAkDnFdU}q>(-@%X`7jfPh zPHwJ@q!3@rF8(JPJj-J#e2njX{f?OPWW*jE8u>W)9NGHl^*n89sj#;-VHMeZaL0J> zeTyTJcPpKb2k&%^zmHGCd4ta{KV|*)z-S|9eGzWuAprU^!eeg&MuQz5>`Dd&CK9_|7Zs_ zfr29W(9W0VPJ{#_%8~?$ftTaMCpT~Hyqa~rem@(1k-epX#;ZUFLUw$l9qKtMe_vOM zIOR?~9KKPQm{5ejXjEwTe?mbkOQ*YM>QV(BIlVqhW zlB6x=8_GJ0D39w8?O8)Vo5S|4KuLg(rfTbku6?^V3btvA4ISPl$b`z2c!vW|kTW~AMaeGnukI9{U%fnhc$4Z@rZ^Lk{J0Z#st=Q0 zm-^mLpoI$&*A27}`Ngb$TPaJwFg+NkrQQ&CzP!oLEoANY#RWvT926rp@@$aetcxRC z|1`JEtE=~%w`@^V|4?#8n~v-o`WmuH;GVyyr6YY!;o$nw zxvDoj-gJSUjiF(;*lTS$akNvcq9UA!c5d{p(#GXjXKfuizk|$)A?5}wzPjJ-RoKvS zv0!)da_g-u_mQfIxyE!Kp)hsA5d|TJ&I4j(K02F_2+?=P>P&wdvvsM~%GW|Yj;iNb z%j5+eu~4iGwt15gi-Js=LXHex&d5&_Y%Sl;x467;Qd{j=VxW)Hm-6a^l6;6ys4mu% zvAXm@gNH2tjl%~I?0XmE$<=oM=BZB!o{O0=C_ZxOVz5AcVfwjEwXw{3r#y{@LS5&B z9CnIV?chk4;DOxiLY37wWLHgfc~kW!@_rxgjba=<_3eGtrL9#->#imuk~dG7i+I-2 zy*nq&l-_GAkU!SXa3MEj=(ug(5GfRIjRZfRow&8{vYp6m@=T6Glpf2(C5GmJiHbNU zz9`dGu99f>dBo5ey?jqysW~I&CW*P{w@q^n@OjBEzduJT4}2Gc%(k<+t)q_65oVk) z_|~wgJJ(6<81XLOjYFdSYR}IS5|J*)YuOhGA0zbX2eb$8@)f!45XsdPO4zcBjpl?9 zXO0ol)>kW(8FeEs^V^*#HnIQJd2`_tWY2fM&WkxVer&@94pizUmCZlu+L2`~p2hN5 zv-G$&|GBjv!lz0@w40=tgZof%($OzRLnEYH)mFM~Qz^iJ{F-E%do8Vl3in*0OBqHkJEXa*Xq zcFl~RRNbMv=j*+P_K1!6WjR5|gud}<595>6>_a?^%uEG_mhvAz^u8vc)lZPy0dsS@ zb4hZ~YwGWtQ<4v6rlu5He|m9-Ww~!SS5~|Wnd)s->~YySY`C7hhqTZe*!Q^N(zYA% zyX$gd!Zfc&8lbxDP+#?@X$Rlem);Gg_}Z+qMNs6Ur@mmx`S?it`R+m_G}+N|MS_Fo zVwAUoN^NE$XYZ@JSmyZ|dpRD)r6datblcl_k9uo@-SDO8P~W#9rZ3M1IB&gerdWE^ zU^{P?%QloG^L@B^+s9@zH2fg(<4L2pQEs|ht6Vj!4@)tP>i1qj++|tu0i(fgUt%ov zRN5m?Qb!%p70KZ1HhdawDpj<;7ir|%t^aOUf3$zs>d_jJ2;-KW6z|H_ZilVS^;21N z=*dPB*+;kYsWTi8f1aqnzfor_f z-fiwmwU|*FitSH#(H>VBL4GX(TC1z)&uxv99mrBW!P&(1EKHBEZz`xr^4|haDHOfR^pSO6aIBj>;hUcYr zgj6|eqodHdPpEod>2YzE@ zZDLnj%ABtvbL+O|i(G{P#*kXzsym*2J~M4?aVSS=df1-Uedy{I&gsY73c{FU48>-n z5Jj~?bE@U~k}brr?{DvC3TGbsZo7RQ-Km)dyT$w7Y8^;vDS4^&PB@DpW%5*szg8{d zQ=hg>U8?A30c`eSqd6+*ieaC4SF8<#=gz(R{z?9f>>YmVpZ`hzj7~-V)<6G~{2Bc- z<^B!1QA6WDQSRT68x4>A)<6H2KmUf@h??fN4*WOe{tda2nD}oU_&>?OX|;C!r33#P zj5hAMjH?*|Up|00CU|oYZ%py#5Z)Zd8#BBy#~X{DPXq$t_lNw>cl{r&MgpPckGJO& z2(SM3>;L$(e+oA&qXi;?Kt^Qc{;y@uvg?3pgZ*6r-xs+)R*7NPKok& z1BuhN&1fAjNPedP2jSJnGZFqr!2?uN8MJf2$0i^N2UXq9J)aN(ZwI4D1!+N0Bz+ow z_X1X#!T%F9#pcLxCwwO#6G&8hI-Wi(0XZ*L;2qe#?We6I8hi!5k~cDwB2T)*()TX# z%LI+mB7j#Af97SKi9jda!6_NE)oZ!w!2S}OT!N@6H5TMfmarZUnrkq9U534R2_FxO z*s&xZXHYJ|3t(pnJYxU|F6_@`>~IUYqo7a%whI(_7M9(y{^wd|5{e80OX2-kFulT; z0eXUnxFF{&)|XlzG|;3|kOpNW4FQzbZGY=wrk^W*Td-uJ<*)U}6NJC4A<@dx;jf~O z;CK1ga^T8R;`T=fFHPbFsK0B3RwP2Oy4P)sM%_N+g}fDi$`!B3|L~_IMlzB}EVur% z45THAW%Un#%-`xD5{dagmt3<*%!F`Qi9G*X80s@6L#HxJus7RmMMi7#2`i2!tfOlyasg@Nd%qf$u*j2EwQRia@6Se@q^W zM!X$a8rl0ks*RQ>{D1S9Z~rOa@8X0vdRLq8cRB$(;y2~n-c%WW=)2pboy)tg(zIV; zAM4UgmEAA0UrI0X{5k!UkNiv3jgg$2-c_4+h#YUR?%-Shep;@VMg#vPtJ70M1fuTB zPrT^){me|BrO8_y*{-kppDA7OYjd<*>ch!@3lyHtVFb1`d%rD=)1D@JKjHD*IEDHb zGyg>~ugg0qd);MsipVwh-SVY3%^xkvJS2QI?%a%c+mklT{ZAHGdLL0ZZap>N|7qLF z^8RNvUe8Vcnr3)j<>A8O-$7ElwSHXOp7UT!;mxg034cQ5f6w{0y(dk&Jwc|-;M>`p zQ#}^*suDcj1~RV~zrS~K#3A{Pz_SY)bMaQKi#hX@6)|a z>hg~^YQ5gmA95g9vs(1Zx|Yu~l5f;yxoh-$NY@zhe!uU{_3T)@z{{uS?C!15K#nr` z-+vHwBDN|~URnlGCt|A-<*jY-FZ_~^j={h1OTM}W|H3ceWt)hFU)Y)k^1JsS+6LH~ z2J*M>Ale4lng$P?{v!tqYdi=ffGX$22R0}v8L6U$S93TRCmBK2v=akDI{rWRXHZ>@ On#@Ts@;D=~vIYQh;M?2) literal 0 HcmV?d00001 diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index a3e088a..add77f7 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -25,30 +25,30 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-19 13:51:03,571 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-19 13:51:03,683 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-19 13:51:03,684 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-19 13:51:03,684 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-19 13:51:05,001 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-19 13:51:05,002 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-19 13:51:05,047 [Voltage Controller] - INFO Initializing DAC Control\n", - "2023-05-19 13:51:05,058 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-19 13:51:05,060 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-19 13:51:05,062 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-19 13:51:05,078 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-19 13:51:05,110 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-19 13:51:05,137 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-19 13:51:05,154 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-19 13:51:05,168 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-19 13:51:05,183 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-19 13:51:05,186 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-19 13:51:05,191 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-19 13:51:05,194 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-19 13:51:05,202 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-19 13:51:05,205 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-19 13:51:05,206 [AidaTLU ] - INFO Run active: False\n", - "2023-05-19 13:51:05,211 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-19 13:51:05,212 [AidaTLU ] - SUCCESS Done\n" + "2023-05-22 14:38:35,296 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-22 14:38:35,409 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-22 14:38:35,410 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-22 14:38:35,411 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-22 14:38:36,746 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-22 14:38:36,746 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-22 14:38:36,791 [Voltage Controller] - INFO Initializing DAC Control\n", + "2023-05-22 14:38:36,794 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-22 14:38:36,797 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-22 14:38:36,799 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-22 14:38:36,822 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-22 14:38:36,844 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-22 14:38:36,860 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-22 14:38:36,872 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-22 14:38:36,887 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-22 14:38:36,899 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-22 14:38:36,901 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-22 14:38:36,906 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-22 14:38:36,908 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-22 14:38:36,911 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-22 14:38:36,913 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-22 14:38:36,914 [AidaTLU ] - INFO Run active: False\n", + "2023-05-22 14:38:36,915 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-22 14:38:36,916 [AidaTLU ] - SUCCESS Done\n" ] } ], @@ -58,7 +58,7 @@ "from tlu import AidaTLU\n", "import time\n", "import logger\n", - "import pandas as pd\n", + "import pandas as pd \n", "import numpy as np\n", "\n", "uhal.setLogLevelTo(uhal.LogLevel.NOTICE)\n", @@ -77,55 +77,62 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-19 13:51:06,036 [AidaTLU ] - INFO Configure DUT 1 in EUDET test mode\n", - "2023-05-19 13:51:06,057 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-19 13:51:06,063 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-19 13:51:06,065 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-19 13:51:06,069 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-19 13:51:06,074 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-19 13:51:06,076 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-19 13:51:06,080 [DUT Logic ] - INFO DUT mask set to 7\n", - "2023-05-19 13:51:06,083 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-19 13:51:06,084 [Trigger Controller] - INFO Set internal trigger frequency to: 500 Hz\n" - ] - } - ], - "source": [ - "tlu.test_configuration()" - ] - }, - { - "cell_type": "code", - "execution_count": 12, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-19 13:39:45,734 [AidaTLU ] - INFO fifo csr: 3 fifo fill level: 3\n", - "2023-05-19 13:39:45,735 [AidaTLU ] - INFO post: 0 pre: 30414\n", - "2023-05-19 13:39:45,736 [AidaTLU ] - INFO time stamp: 2433128852\n" + "2023-05-22 14:38:37,745 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-22 14:38:37,761 [IO Expander ] - INFO HDMI Channel 2 enabled\n", + "2023-05-22 14:38:37,777 [IO Expander ] - INFO HDMI Channel 3 enabled\n", + "2023-05-22 14:38:37,791 [IO Expander ] - INFO HDMI Channel 4 enabled\n", + "2023-05-22 14:38:37,795 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-22 14:38:37,799 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", + "2023-05-22 14:38:37,804 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", + "2023-05-22 14:38:37,808 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", + "2023-05-22 14:38:37,825 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-22 14:38:37,827 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-22 14:38:37,830 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-22 14:38:37,832 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-22 14:38:37,835 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-22 14:38:37,841 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-22 14:38:37,846 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", + "2023-05-22 14:38:37,847 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-22 14:38:37,848 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-22 14:38:37,849 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-22 14:38:37,850 [Trigger Controller] - INFO Trigger on falling edge\n", + "2023-05-22 14:38:37,850 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-22 14:38:37,851 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-22 14:38:37,853 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-22 14:38:37,854 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-22 14:38:37,856 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" ] } ], "source": [ - "tlu.status()" + "tlu.default_configuration()" ] }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-19 13:51:09,712 [AidaTLU ] - INFO Run active: True\n", - "2023-05-19 13:51:09,713 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-19 13:51:14,926 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-19 13:51:14,927 [AidaTLU ] - INFO Run active: False\n" + "2023-05-22 14:49:31,394 [AidaTLU ] - INFO Run active: True\n", + "2023-05-22 14:49:31,401 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-22 14:49:31,419 [AidaTLU ] - INFO Run time: 0.010 s, Total trigger number: 13, Trigger frequency: 1002.74 Hz\n", + "2023-05-22 14:49:36,884 [AidaTLU ] - INFO Run time: 5.479 s, Total trigger number: 2208, Trigger frequency: 402.44 Hz\n", + "2023-05-22 14:49:42,150 [AidaTLU ] - INFO Run time: 10.745 s, Total trigger number: 4323, Trigger frequency: 402.05 Hz\n", + "2023-05-22 14:49:47,309 [AidaTLU ] - INFO Run time: 15.904 s, Total trigger number: 6396, Trigger frequency: 401.98 Hz\n", + "2023-05-22 14:49:52,494 [AidaTLU ] - INFO Run time: 21.088 s, Total trigger number: 8478, Trigger frequency: 401.88 Hz\n", + "2023-05-22 14:49:57,705 [AidaTLU ] - INFO Run time: 26.299 s, Total trigger number: 10571, Trigger frequency: 401.83 Hz\n", + "2023-05-22 14:50:03,043 [AidaTLU ] - INFO Run time: 31.638 s, Total trigger number: 12716, Trigger frequency: 401.83 Hz\n", + "2023-05-22 14:50:08,306 [AidaTLU ] - INFO Run time: 36.900 s, Total trigger number: 14830, Trigger frequency: 401.82 Hz\n", + "2023-05-22 14:50:13,604 [AidaTLU ] - INFO Run time: 42.198 s, Total trigger number: 16958, Trigger frequency: 401.79 Hz\n", + "2023-05-22 14:50:18,845 [AidaTLU ] - INFO Run time: 47.440 s, Total trigger number: 19063, Trigger frequency: 401.79 Hz\n", + "2023-05-22 14:50:24,039 [AidaTLU ] - INFO Run time: 52.633 s, Total trigger number: 21150, Trigger frequency: 401.78 Hz\n", + "2023-05-22 14:50:29,337 [AidaTLU ] - INFO Run time: 57.932 s, Total trigger number: 23278, Trigger frequency: 401.77 Hz\n", + "2023-05-22 14:50:33,386 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-22 14:50:33,387 [AidaTLU ] - INFO Run active: False\n" ] } ], @@ -135,71 +142,27 @@ }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-19 13:11:10,903 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-19 13:11:10,916 [IO Expander ] - INFO HDMI Channel 2 enabled\n", - "2023-05-19 13:11:10,932 [IO Expander ] - INFO HDMI Channel 3 enabled\n", - "2023-05-19 13:11:10,949 [IO Expander ] - INFO HDMI Channel 4 enabled\n", - "2023-05-19 13:11:10,955 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-19 13:11:10,961 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", - "2023-05-19 13:11:10,967 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", - "2023-05-19 13:11:10,974 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", - "2023-05-19 13:11:10,984 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-19 13:11:10,988 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-19 13:11:10,992 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-19 13:11:10,997 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-19 13:11:11,010 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-19 13:11:11,013 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-19 13:11:11,022 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", - "2023-05-19 13:11:11,041 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-19 13:11:11,043 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-19 13:11:11,046 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-19 13:11:11,047 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-19 13:11:11,050 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-19 13:11:11,054 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-19 13:11:11,056 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-19 13:11:11,062 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-19 13:11:11,064 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" + "2023-05-22 14:38:25,922 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n" ] } ], "source": [ - "tlu.default_configuration()" + "tlu.io_controller.clock_hdmi_output(1,\"off\")" ] }, { "cell_type": "code", - "execution_count": 63, + "execution_count": null, "metadata": {}, "outputs": [], - "source": [ - "data = np.dtype([('w0',int),('w1',int),('w2',int),('w3',int),('w4',int),('w5',int)])" - ] - }, - { - "cell_type": "code", - "execution_count": 82, - "metadata": {}, - "outputs": [], - "source": [ - "filter_data = tb.Filters(complib='blosc', complevel=5)\n", - "filter_tables = tb.Filters(complib='zlib', complevel=5)\n", - "h5_file = tb.open_file('test.h5', mode='w', title='TLU')\n", - "data_table = h5_file.create_table(h5_file.root, name='raw_data', description=data , title='data', filters=filter_data)\n", - "\n", - "\n", - "for _ in range(3):\n", - " data_table.append(np.array([1,2,3,4,5,6]))\n", - "#data_table.flush()\n", - "\n", - "h5_file.close()" - ] + "source": [] } ], "metadata": { diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 0249dac..937bb28 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -3,7 +3,6 @@ import logger import numpy as np import tables as tb -import time from i2c import I2CCore, i2c_addr @@ -42,7 +41,7 @@ def reset_configuration(self) -> None: self.io_controller.clock_lemo_output(False) for i in range(4): self.io_controller.configure_hdmi(i+1, False) self.voltage_controller.set_all_voltage(0) - #set all thresholds to 1.2 V + #sets all thresholds to 1.2 V for i in range(6): self.voltage_controller.set_threshold(i+1, 1.2) #Resets all internal counters and raise the trigger veto. self.set_run_active(False) @@ -175,7 +174,7 @@ def default_configuration(self) -> None: self.voltage_controller.set_threshold(6, -0.2) self.trigger_logic.set_pulse_stretch_pack(test_stretch) self.trigger_logic.set_pulse_delay_pack(test_delay) - self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) + self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) self.trigger_logic.set_trigger_polarity(1) self.dut_logic.set_dut_mask('0001') #TODO the mask does not work with multiple DUTs only with single self.dut_logic.set_dut_mask_mode('00000000') @@ -199,12 +198,12 @@ def stop_run(self) -> None: self.set_run_active(False) self.run_number += 1 - def status(self) -> None: - #TODO just bugfixing for now - self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) - self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) - self.log.info("time stamp: %s" %(self.get_timestamp())) - #self.log.info(self.trigger_logic.get_post_veto_trigger()/current_time) #TODO mean trigger rate over whole run. + def status(self, time) -> None: + run_time = time*25/1000000000 + self.log.info("Run time: %.3f s, Total trigger number: %s, Trigger frequency: %.2f Hz" %(run_time, self.trigger_logic.get_pre_veto_trigger(),self.trigger_logic.get_post_veto_trigger()/run_time)) + # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) + # self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) + # self.log.info("time stamp: %s" %(self.get_timestamp())) def set_enable_record_data(self, value: int) -> None: """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. @@ -259,33 +258,34 @@ def pull_fifo_event(self) -> list: fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb & 0xFF) #TODO check 0xFF self.i2c_hw.dispatch() return np.array(fifo_content) - else: - return None + pass def init_raw_data_table(self): - self.data = np.dtype([('w0',int),('w1',int),('w2',int),('w3',int),('w4',int),('w5',int)]) + self.data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) self.filter_data = tb.Filters(complib='blosc', complevel=5) self.h5_file = tb.open_file('data/raw_data_run%s.h5' %self.run_number, mode='w', title='TLU') self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) + def run(self) -> None: """ Start run of the TLU. """ - self.start_run() + loop_number = 0 run_active = True start_time = self.get_timestamp() self.init_raw_data_table() while run_active: try: last_time = self.get_timestamp() - current_time = (last_time-start_time) - current_event = self.pull_fifo_event() - # self.status() - # time.sleep(1) - if current_event != None: + current_time = (last_time-start_time) + current_event = self.pull_fifo_event() + if np.size(current_event) > 1: for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. self.data_table.append(event_vec) + if loop_number %10000 == 0: + self.status(current_time) + loop_number += 1 except: KeyboardInterrupt run_active = False @@ -298,4 +298,7 @@ def run(self) -> None: manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - tlu = AidaTLU(hw) \ No newline at end of file + tlu = AidaTLU(hw) + + tlu.default_configuration() + tlu.run() \ No newline at end of file From 8ee457139971b0982446494c62fbd4b977652c51 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 24 May 2023 14:56:05 +0200 Subject: [PATCH 19/68] config file + parser --- aidatlu/conf.yaml | 33 ++++++++ aidatlu/config_parser.py | 107 ++++++++++++++++++++++++++ aidatlu/data/raw_data_run0.h5 | Bin 5258 -> 0 bytes aidatlu/data/raw_data_run1.h5 | Bin 159804 -> 0 bytes aidatlu/data/raw_data_run2.h5 | Bin 167541 -> 0 bytes aidatlu/data/raw_data_run3.h5 | Bin 70666 -> 0 bytes aidatlu/data/raw_data_run4.h5 | Bin 76885 -> 0 bytes aidatlu/test.ipynb | 139 +++++++++++++--------------------- aidatlu/tlu.py | 27 +++++-- 9 files changed, 215 insertions(+), 91 deletions(-) create mode 100644 aidatlu/conf.yaml create mode 100644 aidatlu/config_parser.py delete mode 100644 aidatlu/data/raw_data_run0.h5 delete mode 100644 aidatlu/data/raw_data_run1.h5 delete mode 100644 aidatlu/data/raw_data_run2.h5 delete mode 100644 aidatlu/data/raw_data_run3.h5 delete mode 100644 aidatlu/data/raw_data_run4.h5 diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml new file mode 100644 index 0000000..7e3d4ba --- /dev/null +++ b/aidatlu/conf.yaml @@ -0,0 +1,33 @@ +internal_trigger: + internal_trigger_rate: 0 #Generate TLU internal trigger with given rate in Hz + +dut_module: + dut_1: + mode: 'eudet' # 'aida', 'eudet', 'any' + dut_2: + mode: 'off' # 'aida', 'eudet', 'any' + dut_3: + mode: 'off' # 'aida', 'eudet', 'any' + dut_4: + mode: 'off' # 'aida', 'eudet', 'any' + +trigger_inputs: + #threshold voltages for the trigger inputs in V. + threshold: + threshold_1: -0.04 + threshold_2: -0.04 + threshold_3: -0.04 + threshold_4: -0.04 + threshold_5: -0.2 + threshold_6: -0.2 + + trigger_inputs_logic: + mask_high: 0 + mask_low: 2 + + trigger_polarity: + #TLU triggers on rising (0) or falling (1) edge + polarity: 0 + +clock_lemo: + enable_clock_lemo_output: False \ No newline at end of file diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py new file mode 100644 index 0000000..6bc243f --- /dev/null +++ b/aidatlu/config_parser.py @@ -0,0 +1,107 @@ + +import yaml +import logging +import logger + +class TLUConfigure(object): + def __init__(self, TLU) -> None: + self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + + self.tlu = TLU + + config_path = 'conf.yaml' + with open(config_path, 'r') as file: + self.conf = yaml.full_load(file) + + self.conf_dut() + self.conf_trigger_inputs() + self.conf_trigger_logic() + self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) + + self.log.success("TLU configured") + + def conf_dut(self) -> None: + dut_1 = 0 + dut_2 = 0 + dut_3 = 0 + dut_4 = 0 + + dut_mode_1 = 0 + dut_mode_2 = 0 + dut_mode_3 = 0 + dut_mode_4 = 0 +# EUDET mode + if self.conf['dut_module']['dut_1']['mode'] == 'eudet': + self.tlu.log.info("Configure DUT 1 in EUDET mode") + self.tlu.io_controller.configure_hdmi(1, '0111') + self.tlu.io_controller.clock_hdmi_output(1, 'off') + dut_1 = 0b0001 + if self.conf['dut_module']['dut_2']['mode'] == 'eudet': + self.tlu.log.info("Configure DUT 2 in EUDET mode") + self.tlu.io_controller.configure_hdmi(2, '0111') + self.tlu.io_controller.clock_hdmi_output(2, 'off') + dut_2 = 0b0010 + if self.conf['dut_module']['dut_3']['mode'] == 'eudet': + self.tlu.log.info("Configure DUT 3 in EUDET mode") + self.tlu.io_controller.configure_hdmi(3, '0111') + self.tlu.io_controller.clock_hdmi_output(3, 'off') + dut_1 = 0b0100 + if self.conf['dut_module']['dut_4']['mode'] == 'eudet': + self.tlu.log.info("Configure DUT 4 in EUDET mode") + self.tlu.io_controller.configure_hdmi(4, '0111') + self.tlu.io_controller.clock_hdmi_output(4, 'off') + dut_1 = 0b1000 +# AIDA mode + if self.conf['dut_module']['dut_1']['mode'] == 'aida': + self.tlu.log.info("Configure DUT 1 in AIDA mode") + self.tlu.io_controller.configure_hdmi(1, '0111') #TODO what pin configuration is needed for AIDA mode?? + self.tlu.io_controller.clock_hdmi_output(1, 'off') + dut_1 = 0b0001 + dut_mode_1 = 0b00000011 + if self.conf['dut_module']['dut_2']['mode'] == 'aida': + self.tlu.log.info("Configure DUT 2 in AIDA mode") + self.tlu.io_controller.configure_hdmi(2, '0111') + self.tlu.io_controller.clock_hdmi_output(2, 'off') + dut_2 = 0b0010 + dut_mode_1 = 0b00001100 + if self.conf['dut_module']['dut_3']['mode'] == 'aida': + self.tlu.log.info("Configure DUT 3 in AIDA mode") + self.tlu.io_controller.configure_hdmi(3, '0111') + self.tlu.io_controller.clock_hdmi_output(3, 'off') + dut_1 = 0b0100 + dut_mode_1 = 0b00110000 + if self.conf['dut_module']['dut_4']['mode'] == 'aida': + self.tlu.log.info("Configure DUT 4 in AIDA mode") + self.tlu.io_controller.configure_hdmi(4, '0111') + self.tlu.io_controller.clock_hdmi_output(4, 'off') + dut_1 = 0b1000 + dut_mode_1 = 0b11000000 + + self.tlu.dut_logic.set_dut_mask(dut_1 + dut_2 + dut_3 + dut_4) + self.tlu.dut_logic.set_dut_mask_mode(dut_mode_1 + dut_mode_2 + dut_mode_3 + dut_mode_4) + #special configs + self.tlu.dut_logic.set_dut_mask_mode_modifier(0) #TODO Does this have to change for AIDA mode?? + self.tlu.dut_logic.set_dut_ignore_busy(0) + self.tlu.dut_logic.set_dut_ignore_shutter(0x1) + + def conf_trigger_logic(self) -> None: + + + self.tlu.trigger_logic.set_trigger_polarity(self.conf['trigger_inputs']['trigger_polarity']['polarity']) + + test_stretch = [1,1,1,1,1,1] + test_delay = [0,0,0,0,0,0] + + self.tlu.trigger_logic.set_pulse_stretch_pack(test_stretch) + self.tlu.trigger_logic.set_pulse_delay_pack(test_delay) + + + def conf_trigger_inputs(self)-> None: + self.tlu.trigger_logic.set_trigger_mask(mask_high=self.conf['trigger_inputs']['trigger_inputs_logic']['mask_high'], mask_low=self.conf['trigger_inputs']['trigger_inputs_logic']['mask_low'],) + + self.tlu.voltage_controller.set_threshold(1, self.conf['trigger_inputs']['threshold']['threshold_1']) + self.tlu.voltage_controller.set_threshold(2, self.conf['trigger_inputs']['threshold']['threshold_2']) + self.tlu.voltage_controller.set_threshold(3, self.conf['trigger_inputs']['threshold']['threshold_3']) + self.tlu.voltage_controller.set_threshold(4, self.conf['trigger_inputs']['threshold']['threshold_4']) + self.tlu.voltage_controller.set_threshold(5, self.conf['trigger_inputs']['threshold']['threshold_5']) + self.tlu.voltage_controller.set_threshold(6, self.conf['trigger_inputs']['threshold']['threshold_6']) \ No newline at end of file diff --git a/aidatlu/data/raw_data_run0.h5 b/aidatlu/data/raw_data_run0.h5 deleted file mode 100644 index ddc474104ef54ed787d9cc06b7c24bc741ee180d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5258 zcmeHK&2G~`5FRHqSZyMu6i|UnB`!ThiIWJ93B;kP+$6Fcek3H5&|8s^04d@CXW%v9 z0O18Va)U?U*dyWuhf2Mfo!yx@+uDIBH}FQ;*_oYh*Zy{9Y`@-VwF|44R{@)8!Wk&a zA$K9QH|Ldwb)J7f1B2u%lJ7HWU_cIVZjkPF!*}VfIi9{!oG}?shT~v=_-x2J$7O>J3(9qh*OPIbhi$nM#OKFHq zL;wCgzj?#4y`bH5_nLl?gttnSDGsmUkS>Jtv~gBW!zaquEHn&sxtLQqJyzQ*r#Zc# zMbs8-TQF8zk}i$0orbZL%b)UJ!&DU}AG>;F)G#$=N87xnVd~10N@OBz7PBJG2zkt8 z)zPm(Sq>z*!fw34`5cTMJ%0KS{pgQz|1$*Om@%ocW;2;%Rm5Q;B&P?Q6Z>Gpy#}L$ zeW{4f>-JiPuri;fIvk!(*_+Vr*iI`b2i@i#mM>XfFxD8y`5lj|B;zQ@<8f9pj`BPn zS53xEb3N|-O&8bG0Z6o2qRMq#p9uN8LL6i5zr`=Ixne?If zV@CY|&vo^ZuD;dPHC?@;tG{&hKv$16HM)lIW4QY+{^DnxVuvpc_&hT)2`_l?P1|OH Jb-&=p({D!%&;tMf diff --git a/aidatlu/data/raw_data_run1.h5 b/aidatlu/data/raw_data_run1.h5 deleted file mode 100644 index 28850ed4153a203ffc5ab4c8332e4edbef2d0de0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 159804 zcmeFZd0bB2+yA}Kv$>>_ii%aJNScH)q)4VD6_IEzG*FopX^uiFg=nHOq(Y`hib`ZA zBF!_AIU1hNI?vPhx_s~Jd;gy2bwB?+ujkIIy^pol9@jpOwRVp8{zT3*F%=h;7iH*= zurR~VNOH{Iivixx^bKrI)$j7}b_brp;;kci>n!f(42u!OFT)Ju)l2e@uf)f#Xyese zTh5uoV9Vdf|GnllGd~2lmhkV2|6Tw8st4xHF}9#LyqtH}zx}D4=V!k=!`E?(y^G^U z$KU1Oerfc(;{V}Vis!HY?$?8uv794O{}#qS>-pAZa9~Cn;X_^f_j#?&mU6cH_w8q! z8CzNLwx_QBd;7VTi<|2V)fzkNoS zH?s1v*+000ICC27-{HXw!FlKp=dn6_xNr(sSeY+2VWIYSe+qLS&hO^`UH{+g0UB@g zhsAqveTCc|f6rfk#W8oB_)l@qiB|0T*Y^K?-0Nyi;hgBN?f>gIL?0>Vxnuo%OdJG1 zqdzQ09M4{uF`Ylh%*0;X-rRT*9lki@3-z_%`~8mZf8I~$Kkvtj@qgY=_doB)i}Qcp zZ{mO6?_aV0Pxq(ypZDX%`#+y=(tqBM7xROd-{Y=0p50&lX*%D`jP~c>W1g0O_bavO z&p+?4WB)(fU-y5w|HS{{{(Aqz{U`lD*#GzQ7UcB5x#eOTI*IDX+wvRZ%Eobodx8$Ag_g1~vxRw&{`g&*nZsD%e-f-7ndHZK9__MvZ zpIg4eYqwbXXZvL(7NdtUpuzds57{aYzkpE}<84GUv@7mw(|C)$@H~jDVe|zA6d*FY2;D3AI|5H7{W=RdBe|OQ} zX3P=vZ@o&aN7K8g`~CLt$J!2m+WoBs6N=;?Me^=J-T}X5Q%CgGm86HRG1D6S@#%&5 zZFVyWyZKJm-u0G5NBY}~D@S)a8jliax}CYlYmuhZ$0zxT{wt@G{@PpVVZ?Qgno!%l za|eAaC(C|+bv=2P!>m#4rm|C!YZs0ed;jPHiQ8nOKfJI0PG*FMnWp5ImsgJjte!bq zsPleyjGxsM`2kXUGdG)YQpax}YE{;0ZkEXc(~O|0|5`9s@n4VBr=<=N!G2Fpn@-(TNM3v!(|Rq&AyYi=Ch?J!G4sN?RLXfJaeneSCsj%>G`p+xwbZf8Y$ES@Nb zTR0ZrIA^R#$AcW&GYY-6MQLH{h`F}Zm*d2`CD1{&N=9ko|>B}L9QmMBJUn# z@Ap}(BmMo=m7@W6hROnM<)@>)Er_1nuh%zH0-a6O#os;5i}71(Frx46t<+$*`Qt@9 zADr9I=etyYm_rG1o~tI(c`y5b@3Kj9J=F!rce~6{6=|!;KHz7G3wv2Wj_-EFjiM&T zXNjKlr)QTBZ(A{4vA4GPWSHB$aUz}ML0$}QLK;_?v}3gquCU@Px>#@6ue##25I56t zWYC9)=MMN-=nnnW}P-(?2!eRa1^M0i=~4E^znTu%vho1-Sue(xOoa?&t3LQ1gn95q2W zcgzM$J!$d<7rhPl)n8YV5$cNmfA{FZLBFMwWPel_9z%qXQRu@{dpylFrKp$hv^Q1} zdH*mscGEHg#eq8XJ8@qKFModJ$PW8iDuT`Bry@NU>q_IWxG3XMtj62qMA+Je8iPJO z%8lK;V%qTDw}q)8?(@fry~8EoW{3S0L4Z;B7j3`qkV2@lrUW_89>dpMb~?&)v5wT| z7gvw@uQn#Ert;H!JO-OjkVMVl?W<-Wg6?KH=g5D3b@k{jd!x~U9rtLLPn7)$-`R=F z5pLbBM23ESaqS44VKiSuX-3%EMcR_xPcO!8SusuiXH6kojF_qlw%yIzgGVy>@k9*?cV9V-ivT&&o9Prv7uppGkLdz(P)A8yQiW&7ip0pU!LVBZe1~*@X?@N zw@8QH)=~7is!;1)>`RvD$$WWn30FOR1U+n!%iOW_w)T20)|UMA^n9GiCYvd8J+H4N z?Q#k%Cm6QIi+^~4M`AO1SkKFA$96dyDf2ayoQzmIPhG6zKFK+_(Na(L>&t@Uf#_K_ zu6(b@BCWw6o?J}Wyi8yAJE^{oE;gn^)tSh3X5$BS!Ebz*>dE|gU3e_O-f$#g8g8cr zInN#~fP0JdG#fv-``N|#tt+M~4m8|K4RM(xq$c{l^6WlubLS9}L?cnKtsDc`61`zP zuWlUQ>0qKJ+;%^EABF~nU*v5OoMxfsU_82n?W<8x5Xzz-502fe|!dqv^N?h(0)IAzps^^+|Rd#G!)2Ku@4XP zFq}^t$!;n|M=qJH(2IBs#^62p!{hU@(wkPy7&*{Tk`C9w`7sP^UNO}!-^X&&uph6TQ`zdGUCyaw#t2sP-7`_^m*@=r{`yAJE=Oa`50&tF z>nZa6bwz1|LS0P94Z<@x=z{=v*+d*>s|vT?J+s%_LQn47%WH=b)G7i9>ZtWrn1x;! zkYl@D=ZzEVdXN{lb>*}X1GPoCrupLscRj?cfvJln*I0HIv&Q6MKWlDfgs(Nzl=$@G zT2g?cvBM9t&&P5iriz=%!7g*gioJh;L2!wl><6}EAxZAM8r86j}~YyJF{#BQlL7rowUB08b)c%TcNB_8D++(ee?D-1Lgp9o*O zP3KPc9{3q8`oPTtUu6ZCGlc(ARMLWTe*;-Jw0NZ={Bzf@hKW2!Q{>GsJ8&&BA-$LHfV zFGm1j3>*|-KVu|QUyK&GfWrZ@93EPIBPGOj&RAj0k+B=;3|m){8NS90o%i$-IfQ`^ zcUzhnfk9@-S2~V57>yBrSBa_4ax&f)=)u;YBfeJ^9N&eG7~J*vLhNR|39vArMs2Vj zIz{dm9XHpSO_2KX;_C4r_qpnWKREgj!%^(!yO<)DA*>q9&ql4c)RpOZQ-tZ*bgUTW zEE2nE=@j|Cddx_!)Y~v}`%(XUi`NQgRJ@a~%%v|HH0Che;}xO3GCSI5se$~j+FNTz z5y{W=)oGRCNOt4xOn9cY^p~gki976OC{dT+w*j3c^Yv9>TCg)h4sQ%`8*L1R_to9b z2w!K0$?C-wf_}to)pielg3#`*#a%dKZbi_=Z?u^@l11u@PXxQnRTsx=)xk~6rxB+9 z_KDCni1iOo&co4XDiEd-E|wS{z&nIa!JY=<8$?{8kRT&vFltr0)yC5QirhA zzcDK?h}E{Qh=uopeCfxWS3 zCv2sY>ageDUjqB{);`$s$zx!bE_~z=#P{C!yFaQ9k4#6QXimjq6g;~(qYyjn*eDcc z-k$FeB<9w+;VVucf-Y7>5&c_^bG$+Sen1hudlaY1LGKo$SnJa6jWY$IYvUb)$oVPP zjd8N2=-4&%bm-UpLvg~fXLT-3!ztw#qhp;~an@hE_#+3?^P*oTUBj6l1lh82;vid= zVrrT#Q=Vqa`mhnkQT!I8(C!T*9>3R%ZJ9M#??>gOX)v5nF&KqO*HEZOA-X}*mKoGA z%yxGb^RR)16&p`>cNNS0DQY%xYM6Nq3TR**A!xm2dJm@8Czx;$m_~PYSMkF$==Goy zF5y_khC{%HGr)!`z=kKl7K)OBeL?>Q>}vZa$0|NnnAianvUM+GiHC^hK!H85@ep@c z@mamJt9Pto23&Oc6!8#UblK=(EI(}Q3DISvdtn#P#q`2P=b|QFJ{dN87B;#SHagWs zR{&iKR}Hca(Pa&e4biPR@W&H7CSuBgEj8lDG&0T%_krzEFv~&u!Pi*p|Wzr!6VQEE{E?d50rQK?KM<*9o_ciN0yu3H~_-)+0W!nz_ox64ig^_2cYme%4VSFRRZhsjS*)IjTSDj{J}F>2y;+By^U=uSB0 zGMg2)v=*n`6&MsuO`JOG)S0t%1DyWB!^cl(EiU2vkDtF_@+*uOsifQ}^y!ubd+z)N zTw{Z;U!wr6Ih1&qTXXYPF^t_7?B>=sZp~mxDXuZaU@CXxRrU_tny`pSj=|(`%g?J` za*ck5)yy}WB_oGJk5N^FUC(F1UbNVPXKmiPt(nECOFEv+tGiQHPA!%NyYqb)uMUpR zv*6aeJ>bT?I(RK_4|plB4nE1V;DM!a^#T@bI2;Ti3%1qLWgLU?=a%6(TmxPL1DnDr zxHX6_j={|4mJv5x13>}`*Ue!A~7GjMh*u z&7lT$$(OW=dSE}Tp`olsYiQ&yqBS&3H&cVgWHN0+43^xXH8kM5P{T%iQAa~4;R!P< zvi4=fu{QVy)8~gkp>8FtsFL4ag73Xfg_a{BI=F{b$kRk0MzW-}Xv9 zLE3xE%V|0R)z)W@y_l}EyVK?NRnfJ671Qzu6V}w&q?col417}coaa`ewtHhtcjM5_ zua}s(Tsn02v(aG^u-jiEM|*eeY_e?ao!9+4 ze}(2&&31}tUi^|7v?=zK`WYj)z#aqREWB-&mTIg?luJ-@j2+<>B6ZSuf7aOW8IjO7^T|M8URAuL;%wKhizPE<`92>YLgYhiB z_)0}qZBq+bIp68&`?05{oGbd~>=pjO>rI#R{_LmvY0`R&FSSZV%8^4)K3Z;z`LOVM z?I+KDsZ#qG^(hHA&dbFQjGKCuZ&d1F5^?+1&>8AJ*N1AU?aoN~xvI48%}krcN59SS z-ug_q-@cU0iQ4mNj$sC~we-=bHP%PoEshE=?8yCWeC9`s=62hJ9^!fL*$Dsr4U5Vq zObn`it#x4V2KUpSK1~W|Z}(0A^;v5_`DmmvCn@ss;D8q|hHYPe*3#>cwnE}nVp+2>WHNNu%m(^SXTbDt_m+8sN=XO&eHSKIeoadm0K z!|`ieu9KmgG7dcZQ8V1_TF*;4OPx)H3iBuWW}W!u(Z0|svAuIT$yMDM++d`>quTVK zU*eZfGtZ9N;9D}+CRuKON8N5!PxMuH@F-}G+l4B1&Q4QK0 zQg-eEQFOh2`TlUh0n_u+Lsb22En{mnm1Uj0U9 zPs$MA(DI#G5gyvL@Wz*4zUNPq{)MsGX(BTWj`aIwUskYM@n})0qS}FbPjq92%q`D! zwUJ5tSTnRX-F~WnMA_BuuA=HO@l-xx|K_%p)m}~Sttvl~)%Q%I{c?LdChu#TKEeBO zDa-wV=6H|d6R-7-bv24vYLfXe&qnqr@A8PLny_d6rW++c9PW)DrW3Fs=8Z~JXjIQT zB5YGE-!J9n7S-+k=KF})k`JEU+w&ir`tAF$s-#6?cQUy=;pi|M|JLEta^!;2!XEZ? zo1K!Hy6D847rHTwWQW#rvhY}kk(0}<)>pa-13LVx4<&rEFaBJmtzPyp&&4CI{WV%D6s|`Ki9rVB;m3)o$ll8^2OhHfB7GrliQIGI@e{;h<0O3u+YcMn%c zIxW}V=D*_T!%~HaC#-0j)AzK8EYMpN-8ffm=ArGo9*hli-(Fh$X0+#xoTAUw^;)6b9|nlC>-IuX zXLp|mRUPwg&oFnn`+itZhwaWIgU3(Imf4qoiF{Mmj_X?OX`PUI;FZjZtqvufFQr|s z+)J+d{8GcC@YQ27(nMy__G`DZK58kr6^+!B!|fbyP+vXUzwk(vM%0ykOt5(8D&H1dD1u;JydUyQZnmp2=D>^;xEzc+Lk)bZ8s}5Id3rlQR>Hl)ZvB1q)dlQ~2II9kxtvO|Th1OugXEx6?@%su_ zuUDT%R_2QGeYdptJTK$B#q#LMj3F+!TS(LV4erHCiBGEMZual6f65kI6CY7MFaLS{ z;vG?g{aeNp?WCr5i(51I9{4(QUat7oE7{D_`G-E(9glr)Ffr_%R|&}zbumjE2z}OI zk#nZcxpsj?Vnfk4mOC9W-9oT_18u#d)L|Ddp<6^Qf~J*`}H?e$Jym6$0WX- zHFwRu85c-F`-*@oW0T0dIGO3uf(Iu!M)rtIJUM*N(JY~1lf!$rk@V{$#_2?|0%H=p z`+iJI>KP>Hd#XqweZ<^VW%cit-?Jp^H-Az#IHi*NP9*qV#keygm$*Dt8hu!F&y&~F zLqdOfe`%pQ^2~IPu+{T*_=w6)@N@WNW;& zEb*0gLe7SNV|LWoLL^X zFE&j}BtKa?Nr#G*_e+gidFQjy&4o`NNFTp17Qmzxf3)3`rbOp^KjnX>AQogm4^Ccv!^F0(JG}7sto%t1w?4)3>U=ZFpGv z3qx{x=%{7aK2Ck;@J-dNLBR0R%;L|Z_TFw^QDU%sx7ci#Rf+dugBD%y0I zZ2YpVcjVYTg^xAke<{cXrGzyTi{kHJe9z>{`gV;sOzHUS-nymo#r)vFTI+}M%Hfq& zGf3X-UEvMdqc^->osp;J8PKy^*ki-}G1^Jed)_q7KY6-`*cD2M7#-hVGylS1{^bg%8c6c7rS3|sI18kjcR%) zOZ?s}oU{Dm%~u8~@19nU9jEMd*TQ2*`I|PgVtuE5L#J7gySh0gjY~sAtEVI$OaG;5 zk~1Qv@VWW^tRcP)ePR*!o{{BG7H{-^BB^jhEsq%-SY9#nu50d1<6~DQoYRZg*Qq~+ z#7iIh#`rb8TlHkg*;3u3v7OEz?6!2dNA&GiyHui*ag&S;>bEjY9wg!OK2B`%;W6_s zm_D+x%_nN>KRDJ`%LW`Euk?@h^^Ok@K0i3*Q~jWT?MdHkOU_j4PIbH~e<1R#xDuIr zuzQumuE&om6$5M9zON05>+`7U;Pc!P_HpfpQ8Nn%$jHbcY4=}FG}Q}kTNiaiYP#hm zgNz3cr>vYFQZw6aYrPVgo;M=p@dMpm!M*#%jV4AvDONl>a@mU0{etUjY%{Kkx{_sQ zMPpm4XC6#?xA5`gNqb&*F1WuiC$-ybMtGZDK`!G-?5`;9{nRXBW8hw*8oKko_9(xX zrkBh4-Ir~9ppkH(REo$iy=!{?{39L14X?}}b*P=K8nmB3>6Va}+m_b`1r@I*6C%GN z>vQ{08;3~7?NP~#NzVS2GmfRDFrq`epUqmiv5JT*?5A6mQ?X?xt4(_`6t7}S3`()X98G)H?2K&5INniIU&&%~^AM$@2z2#El*}RtQ;-3q% z_9VZr8d&>!y1p}c_<(VJI7P+iM0%n6QFa$=ncb%9saY9UhpJ87+qK-9fEMH-}(r(pi zdk04+XBSsD_cd$Rd3btxuixO~>$h>!X0m1Lw(UFo19t8T+#M7g5*ijB5xHk?RP?_6 z2VxG!#>F2Z35kb~98EfQJUJybE&asFjLfW4r_Y?tK9`f5cmBdf%vqPOT)kFs{YK%< zqFco!x9^memEWzncfaz%!$*&wJbm{31*v-Zs`~Ytnzyxe^$m?p%`L5M?H!%(-gkZY z*!}7Am#^PMzW?a?`K!0Df8cMV!|4J1K|*@#%vo3~S=BA(tWVSR@d0I4odqo`uRs}p zqww&C#aaAcKa!lt;2>wK&|g=a9^^WQi~+BZ z9kpQzV2@YVklUFylGR*#GJMTEBm1F%q;jr7=L*>5k&6`&JH5;Y@9BG;AMv-FP6ld``C2nigA7JqGARHl3#78M zqmi`dq%3wi&PFo3GH1UZvDTC8c~f{i$QjveZoX&|4+fY&LGsg+i!`@H(^#dPyt~ZT zs%u9AkUGV^p9Kssb@(rkD5)W97O2yVODs}Y!ztbnw%sb#?jqGmq^(23LkTgwYnc*}8`l;#){scv8 z1Ic$zibw9(n;R*+k;@e6xR(>-V?9No_qE8459&|9D(+5LoEIvlVHS1ZE3qhY;u;F8?u z)AZlvqXDaDslpF)k@o{hQ&W_>n;?S*d?;r>ZczRw@R1O=1t66kAl+&b)YjY zra))PPVMzxtR?k{;!ZR%hn#ox`bAn&9|=vO+Rh;T^|()uMcNXdo?Qh)W6WV_qP&;r z5V&T+(Ex{;qxjq4Ts}*X2Yv(e$Z58!XczL@J`w<*`T@CwAWCR{D>isEDM|^XkGl2l zsYnlV-65ZzUphoVtp55U&_5t{$otCdn2nTyd0hanM9+5uoAI>--tY`y5*QC9b^|ae zr0b+Vzqoch(7{9%kpZVzJ{=j)vQv8qfC^mMwIlxa$mF$@X9ClhAoUfA?VVsgggYw; zn2;r4YF-!8YZ*7F6I2geY6P4+J#_6N9Vzk!z!ZXJj9>@O?YC^Q9OXv>oe;pycaS(X zBN`H26qP_G^=CD*(8#2U(rehXY?@+U!<{S+hXen+k-W=!4m#t>g*YT9ae22n`Dv-I zRRpZjD&sLCo%d--zy;~xqBc}Uj`PmRaNse0_fT zaDW2=y3mA-t1q1D8{z~c5g3Qc+&I6b`f@+t+)Nh?asj>5`OrCz$o9Osd3>iMuD=!Z zzy=GXglh_s_%|JohnjzQJ6UNsN)XW><%NFfslJgMi0~K$GV35%2LjfCP}o5W8&lZA za3rg-1l_Qh!WNf~20G1F6XoxyJb!4LgF3l`bS4m;FIC_S>}LURcz8Z`({cla{)Wz5}&ct4*Qu({7oSEyv?;K{c@b545F0ziU*Q195;I>_mwBys>0Z*W>dsei%tXG$dmr9V66U0i0#Q1l-|;LtB>@PDF8T;AkCCho~-9}A&3BUK0V`+9jk!K0SVjhLwUlt zG%gn4gjJWxVgJ<}P$37v*EA*mmU4`>*7_p{>H*DpTTK~GxR3}25QJcI@Y;nFFos@F z4O=rG-U1_pxGvO``0@%<0&Y*@^UH!H>Of*2o?J-Swqk}7e=8|Fjd>4j3MCyWG5HB_ zm%sgNHQ~;OIR`hdG$e$t6^`#`qpvUkNNtaoxh`m!o9Q6_K$ShcoJ4>&s)>H!0zPAe z-#^Yf2!Bw-pnfXaYq8$&K2lqPQGxCTuqe=F{shb~92iqo7)~F*!(Q5WG+3$Z7^L(S z`aldtP^u);hm5AP@_`M26M;0Gq!^pzmsi)1(LsL@?%)u?EhuBpvj=>Z>W`pT2WQol zL3}2G;!qcT=jtXp6@ExzOTb+irbpok;5^IpN6>K=u`^K`<3<`@EYt^me0KFP+2Md| z>A+}%QDL+QMSHfcG*T6N{}4c$ov{i?vHR!t`z@b75>QK4l+Us$cpJENGSYjow)9tU za)C|+;o14{LIPkG4Ahl*@teS&ARM#kD+(pgNFpY1E{a4^RiH@d%J~`~J@OL}bYp}> zD4^(VIcfNhYLH?g?;hsFY_^3vH(@{m$RqOu6h^Q+C=7aa+sJZ*5fp60gC2W zfIVft?&ro}B*nB3pWa^I^9fEmpp;41E&+3$-W?*sG%h(>b=;vY9lqX7dkK@5!mjf;uPTxSRdqodp5 zHz1F)DG)RCd$r*8q@BQ(gxuYTwZ1|>-U!0oX-L5fx8M;9;Gs~zrY}4sJ!FlUw$!H= z`RI8=kYBgbLh$byGRUN>-f4&VzMo=!n{IwKhpc#Fc!vrJ)lKRsrJb|>na~lO2klB}@AOmvy zlL?1ppqKG?iUK))ZOW-2%TH`5sDecQPCg2%{0pT3v+)9)O1n4tO;do}I0aDUzwi_y ze*qQXFaAzbfZza20i1(^GAIC(!BXY47NC;H%L0SgpkuHE8iQ(ZG1!t)F(`nEL4gu6 zC;*2+fx<92J{N^?YNaR)id+t6(lzv3C5jz97zdq}g5r%?ToR{gZI>SoaX{t>!SSZw zG7Ss@Qz5t)7zFMJ7zDr@*nmS|1G#}sd7CQs&t3eWCn#!z0(c)RLHnQ?sE=cn06jWI zWE`smDdB@B5g5d*0Q3>qAY{u}gZyBCfvBCu;_31rTh`BAU`lW_EGsQv)J+U(U}~5N zX*G2sLB%!9)3h4qXIc$QIRi!|j4#_@q~Ny-EbE;v(!JKON-hd840ltM+y{l-2SHTpDf(dtmS#1v!js!DXH?4-X;w`K|0azM& zK{cR4R@FPzum(bcY8s^-uo!~{8=mG=LoprLa58K#^L8W40cQlBlZs;D?8YGu%>8r+ z=5vVylZ=hwGH9j%4p#7%5$O)BId_8{Se_rT)i9K1mcZ!5?+|Q35h~O*uR}Se#DSU7 zDCEFMy6W>&?=wnogheqPX^utlotBbD)_quWXn%6t5wuu!=oA*hvFJ67MBrC1)1-b#M3cN5v&Xrym9Ol!TixiOdSL7wUQ+U!dYXK`lJZnKS%d8`xqY?Q9GjF70h%l@0Ry$}5>CS(Qu=)+e(nS@)MjRD#j!Es=_- zWR)&Njqi6@hezJ=WGYU2HnTo3%6C36m1`=QP86^)#*a0XYz!W-F>*vyGBes43-T}A zVz!AX(*I`2#amkajkX}Jz%4wz)`JMxgzP*Iw?Gn^Lt7k-jVC|^jv`y1`Uh?S+M?ik zA)tZVcSza4;}-P|O`r%mkk0=|X$!)`EjT2LsF*mw0_i_U7Q#hYfH_PCYw!;w3t3@n z2l&A0pGcOV;LxxL!bMsBBv~jHaV{s1L$VMq%JMIgDQ$siCp*Bz%x&jr0Y&<^)f`K8315@UO)^QLQT1Sx<5G~Z?!9lc{!vfJd zF8kw1O)l!Q2dR8P9@|4}c_AmCegGzah&YJm;` z-NLH_+`_dO1>W``YvJ#a15r6w=LYCQ^8Mt-H_58aBVI^ z0Z))-Z^=+G976=;d9WddmlV*uUm&e~d# zlVC$SPt`I%Xal$z?q+jA>o7L$tlEKq0ntoGigF!8DAyrPt)bMCp$l1Bl%v)#Y7M8B zJhc?4rAVz2)EY@GLM^4bsZ3*X^xys$m5=p*fI`MacNk2X7()o_Y%8AYgS4O>=9p#zwrc5d5lksGaToQk8LQqk1gQ4=uBAtU+zt7r)HTigt@z8qSY=YA{@B1(|K0`eDAB z`kM8duSu+Pc1b-FULft}V0Za8vZ}YA*IAPN56qre`A77sOg+}Oe&^2mzCoK`FAhog zp{agUIJ~e_i?~?l_d6t>5I0oW-DDXaQYSCBtK0v=+2QUk@7F#aI>?bE9qP2cC9QO@ z>#OBi#f^T2LnOT3th|ugwQOmoa;6A-4$00QSb1-hnDynW>{;>kCH#vGeajR*W_sPf zR6RXYidc}C&@&&F=EmpucF3+N8$V1hIy75;e|PuD4NLtBMD6E$kO%jkss(h`b=lc( zek|wiOhAXiB-sUE`BkV!j7h-d%PMBwgm3NA z=u?A6n-r*>diu@xV4h-dwAA3S*+j|k2O?egbN^D|Ij@c5U5Hn(Hnp#kzWQdpz z@&3GI)hoLulXb1;o4;*fR`_;VuJ3f|vT|=^QYugXI=awl4 zo=($G_~KSj^mEOIYbr7C$>#|N_CGPaBrd-CtDL{ok&#m)ehzbe=iVi3-TY25L2x?> zyQFJ=NMu)^?7B~~YkE)F9{hT=LG8R{w_4ygd6(+twdA{YdQpGifcv3By$xyd`{ib@ ztCurO6Y}uh^y=HsC0Esm$*j1%vm$GnBvrEBf3eDtG?);Wnbf!PdPn2bbt^NN)g*dH zL2WU6?$PVnokU`5{fd(*eGAPqrM8~lcK+SN5j(rdG-a<-N4~o?80vbJUlnoxxOmEe zUwk%~M><;_|Jpfv>q|0S-}_0Cm`lBt%l@C8!XB-bYZDmp>B&m5yHD~7nC2*vxWi9P z7X((DTr29dnC5WD;AmKMW%-K{9%Z*mgnEAHXA-$V!?*4^{Mn)8MtVnUr+!T97uK49 z@J_pmS5=dZXI_vZyOWNKj1_gx5*_m0a^1rCPMfV;YKKS!zF(W1^jUY}aY?fO(cAe4 z(}si!u5zoNZ+>ZNzL2Q>xwFEa&lJTS&L!4rkhq7ZIyJ`yv$wx)H8`I7YVJb~WtZGM zzMZ{xbM`hp6ZIf%i{?i3^;%t#-Mp)4v|iA+9bfy+ODaYE>TH+B4H{`tO7u^p-X1yH zIKEj`Qg-Fq4L9Vxm#;p3B%w+tGW?YAkm1`&=h}e7y@N-Ebi405Hr_Qv*!vaVxQuSO zz;53&WfONL4JDD1(=4tw)GjQXywdvW4}O0>|J-sd-)-Mlyqmh<{7)fA^2jWH&!?F> zQSB=;Ps(}tJ)WG}{$|3~b+@$AzI7Qy#rBhxueFA+I22qsHt9p<;Ei6Vzxv&)kn(ZN zl072MnB}H)kok8;DLR+m`s~>_-_YxBk%(Q%cr}}x^B-nr|ET`#TcUI* zy=45v+i>PMok8RQMEsUV!jWN1Y&pm6lI4Pq$ z>2fFOS&}>2e9@WOnnfqS%Ct>!T7FG^e?_T`zT)bvA2RRN=aMlVw-szn5^7$}Nfb0P zOn-e>+5hcG_GCYsL$&%_UDMu?rz8EECXI1F>VJJ$bX_^Wh4HQzT086y_5RcfZqXX5 zzn++Ex~k~C&@s_7wAv)WE3K!gP09V%nMdj>mKlA%-U+SbWvz@uLCV<=AA9GYk{UKP zEaR$_U+ue}D?N6#O*?tw*cbBUyZZKrWf!I86}?|s?F#=WKOt>sbY;`hkQnK6hC7bP zkPT6N5h8OIB_^>%NZ0xlb`Ptz=49rI{O z^ecy^$XiTIx!}qLK1JlNs6wRA;i*c~$VLg)Cy3Yo?4JyROo+C2AF8U>tR z@WSInrCt8duyHqfh+bw5v-MlRg||kVVkI}dU?gMeC$!FLjhVdZ>ik2G9op6%u3DLJ%lMh? z@u!XlDxE$@kg|bYrM=sJMwPxc-5T-3r$K&dv9xjN^b*CzPgEDb^57%ucJ)uuN*EM< zugYNe#<$w>FPojOUDG->C2(*1%sHo(lSt!R?U>`=tY2!3^(nhE`NED9V6^rq&lfClI0Z{s45Ju-VhG#9P9(V(2FnDt8TM7{I@!2{P@tpgL;Zcm42p4fS+9 zB`#rcnuso6a#&QrIWOb?{>!L?S@~108M>@*k)3#Dc3ubL zd+MGUdE$|sH)!70pX)l+v=8Q#O-LSM>C~yFadO;s5%J)vc1uz;ZmIQ^_fHl4K2Fs1 zzn(qF;bKdf;;MCzXP@i;XniX2DH*f3u58ef8Jmko|DCe<3$h^pOj-N|S&;gFq%8h| zEXY68CV#;u_(SraXp_HS6Y|fr$zQMu`DfbXFW7|qGi~x0Y$B5SFWTgE(X(u1Qz(CMP*5y4@E>&D!3Gg>L@N1qPmS&eoV_|18QUcldi@;avbH% z|7$!**#PHiQ0!N>Qx`+bm)!dJpcJMkGSKL0+Aq&2?eeR=svfD@UE zkIf(C#%%_j(N|NLLep}h9T1k-h%dpvy||i0c>Dv>nMi!n4~n6!2Xb&Vj=?BO7c3GhxU+RXq z&mAjVqK4Nk!o2vVU+w2VS1vu0)kpH4zBqwaMH6R@6g&-=uKpkbhInwMe0Rd1wkk-=7$TbAd4XNNm zn{1HFuECuE5)y4kJ_%nHOMk+5<%D84$oQp)xG$K12byyL>UDKwRtqgfsxrjL5Zc0@6ic5hT_iQ-jaXWyLUe*_H$2(uv14y@`8 zgf(11Mm3j`Oejid3O6`lvMicm-l2sDw>tiA9{3N^&}Sx%DuhPw%* z8S?gf+0h=0G{p(N%SY~S8uFiTcb7S1fFor`d0U`YtEdvdbV6hNM5sH|Q{Fv5c5tyS zlIoWdx32&!&`_Kn;!GfA&~f*46o4ryBocYlz>VYpU?=>Ice28XJMR4bgB%2@&XDdW z7a=lXpzsp|6ciUmvFc0GND!o01e)&PEDJOM8l1tS0weR{5`tnHy!i$>w#&%`fnItt z0{LU{4>Se2++g^xHwEAgfQU3x{$c}Q84O`G8w#eYA{%-!ROkhR1iDp#zx7_uLEm71 zVX9$-FWt*e2mKw^Z@C9Ifi>s_u|Vk-0+ESadH8 z7opGt9es}aucjZ}HV5I8D95C8x>6TKc()fcF#Y_pq= zu?%V|=xV{%(o-aAJ?(2~;{>dpp~Rvgz)>E#M}2Lg43L?tKxSqtGoXKv)zy~hehM;g z1^B7AoOG$GuowV299|p5%#{Qu89u6|;(VLjhkt`5gI>lljFO;nAh+a4lfG}c>1HB9 zN4ibRG0*{b!&s{eun2^WBW47!ehK~rW2?x!%JT{SP;}yNhNOfq_0u;uPe9O#5(fmT zL#u$Iv_VpUs%rECI1B^KC;1g)sz0KR2vXu>gO&aW5Ot>jdrck=MGjDSX4;Z~Dvs;~ z5T+^u>II@yKp=36m`&i)z!;p0UJqye@rF?SjzyZ-x*!SD4TUo>N?GX2{(K9X&(&0& z3`V*>ahoj%aEQ{oP4Ejbh`!-Q6UCo^oV8OA{>jX~9RKygJ=5r@9|Tj>#N7ZDw~ zU*J}N3hBsvdwByc$pJDh9@@Sd=n=3?a$qAyWej*wOj?Y=p&FCL8XhIE(;m)A05HY) zECq1&mLhpvK;&4EvkCBu$Cw&y$TY$Sj02$3WX#*QXlfo>BhN1^WoJ}Z&ho`s_z-V(t z4#ae(QcjR$?ePEoHRPPu6cWuLn1eYAQy8H@ zZUB;k775kiP{JgdxtFZBg1$=iHE7Y`o$4LJ6>>OWxk@tkdYNfS(ibIwJ<~__*Oz2K zRttj`SWFl4Thf+$Gx;Ss@`0CcE8JLP~RnW~643;MFB3(%K7u-Rh>q(}hIT2BIh zQk(`D3Ea*L#3o)Ws7l&Cs+KhD=PL|tjwX1s0)9rvgMo%SkeacaEcdInNajQ&m}2Q~ zpiH1_2C*s-H9PEP2F;=<7<{P+?}ZCBa0hf+1z6JgC@*&F3V3TP;Ygm*SEE7*wP94~ zrkXamIZVCKXR(?>hGCXW4P9rhO)m;MA#+rO@n*q+k9y&x5d`!R#7{0^G@Y#qqVD3M z&9>e=p^tbf^g%cP1yAW?4NvG}|4^>b$E9gEO$bNngNrv%K?Q9qg)D#JSyb~g;Ky-07(IKL4g#sadDIaBj{>a zp$)|8^iFDvf|$LiIX?x3)i6RuvCz4M z_T@FO_#OpyBwwBE)GG0TxFN`1~UkL0R1ok2jW0E zkclvCVK`PbsL(}_E#nF;kXu!ZZfX^?qF$nkv8p$yV&t2Ybe(h9ci01I*gk{9>z`>7;{Iga%ms*RCI7anwB2#F2GnvrT8mUGLRd7G}m z2&8L3NF!YXNJp>+OZ5z@p5V6!HA*L8+{f?dli@7+XYJxgd3Ey9LPOgpnDLbi?_6d}tDw22<3H#ewGGN}A8a{=f_okS4f zsA%wXDR>GDU=Mzy2Zs^}PnQBY>%X%H*9v~iQV^a(!?VA$2RvB{B(=Z)Ab+q29LWzP z*5wtbgaZdXAUyVfN`CO9CH}-Z2o)c2bavrtP5c|y0m+X&geyG}|L<4_BtN)P6okih z{0r-NP9;A$+7w)@gYdWxj)uViizim`H>`t<;3$+p@&k!|s$TJLSjQqsa|@oB#c!;` z(Si-#1DZ*O775KyL-T;Hpm;zl|I#}^C1X!pfT++F#6Rc;Q2L-HD(Zm^RH+S31G<9Z z2{P4!Jc$z|sRgN=0u)WC$x|?)8{iZ}plCpAA*(9sb9TXMz0S zwL*f0R|oinYcWN<8lWdU3$irS0-yn(?;3nJ};3nJ};3nJ};3gb{k>SA^uTgOyQW-C(=tc{Cgkf_khby2`rHxIMI%b)`Hm4h`#!&!W2E#*`bU+o^bceef z!Hv2GZ1j#RxFI6O5!}EaKs_3;3Eh4jw%(RHm53C<;uBrq&p0sZdLm zT58l9ORaI#Qm2dYw5UO?3DnZ0mKL?N>+C3s@z;N4LLKScej&p$R-d6DZyLE^sN=15#z?TCP#A?20% zeCBCq!mk!(eO&cU-SqUsGUe^$S#O`mjq5tGBId?Ok}CK-798-*%w#N!KE2Z1;&GJ_ z|67*_&D9O+Sg?I-nXKTlT&cw-(a)WXzU@tIuaVo{;kW^HBR`TkdEdk2@s_tR`KW3uHkRe)zOeJMVg+xMBDuk3w8477a8qG9O5)D%3A!$x3p@^h`NJ^Qf zN}++0e6Mx5Kkny#?%yBJ@A>2VeZAW2tiAR==j^l3+H0R&?{!@dbi-nL*Kd4i{A}K; zGCixCS`QS2-QM@FEVsD-NNi_78PUk$;lL+ z+?w^Uk#-)grz z$!A&ZZ60+mmuqwDq~TSEg4-<~vi{QDv%PHEp=UR`rv4$suHa|Y)HTX0;)mx-*k4I( zlnZ(FV%j$6+=;&Vu{i_vE7t>vlX_ZwQo?|KLoc2SR*K`l@yfMN3TwozAm` zQk`NF?Gaf&FT^8*gG^p?O(w$c`t<3Rd2>^bJ&@Je)3o$PZsn|*wwI-1&ekj<+8z(* zUBCF&bYpC{Wnrs8%#Er=nL2{8)ME#eJ3qYGGe2&c*i_Om{f-O>L46IlBLadeqxjqFzq%?=`|)QU<%kd|pke z`f04Qt4cff;v2HiJfKGBm1~7ReuoPM)}>y5)<*p~$Woo?Enc zMfx}BrsZEI?DM7{YMXDSZ13S*$ZflAZR-1Ck@Y!sj}@#YdlZEZ^hkohPwYMR=K} zjN4rzJpIVw9a-Hb`s&_${qwl3?8wsKsPNZf+k>`UD=U)ps~;KEH&qF0&@zzPus5J< z&0B8r$K`B1hxZ#X0sp535 zJZ)&fUauU%9a&q_-@O#sR4-2y+=}k~w!J#oE)%dl@q=643xOTpsbBRjBv;K4wa;U5 zB#Ug5k6s*dzF*j?Yv9{xbTy-TriMk@=hdsM(-X7*Sf!J+GlRS`TM7gRd$ly>JaT#) z?sG^?T5+uJSC`_vx&AlViKMWzpMBy_>vejEGeU}4ti0F9<(=v>yHs(L*VHIW$b%f- z{iOe$?y>hn@8pdq=!bul`Zafl-=$BB_U^jbT_LdhBT<`o=w?6!?L?y{4hL9p5H7H?iF;&`&G|oHq$KmxA{DqOIMm!7Hb&n`qD3ZRFoV) zQ#@yZYprU0M&J5Pfv=4&f0zNpJ*@KBjVa);9GmEDT# zzMAcua>FX&#!Tnk&6`N))S1yw-9qb|ws)(IU+?=Sbbw9vFh4oPD&+TLa8>2{=Pf!n?uo@pok;%e z7d^0Z#Kq^S<&HXUGU$2WrNy?_Ha+3jcn-w9clmkx9#_PfCW8{gWm_s=47igf9l4c{ z+Qg0sB$xE8+mRv_t7f>VW=J|lEbitjhk$c}Bu(CA#r1ceZ0<~*F(;v-c2*dxlF5}1 zzt&$9nmJE zbw7JhOovCvQ?j_mVqNx3vy)btEhXwJNw)0iFEw1wR*3^1l}}{TOC|^KgvWmIKK{zN z%P;(e!Do;j(pJQ+((deA|N1GH-}XxroboT#>&vBP zc3FYG`6)4jT|YylERyE$EV7?;v5xo1^%lp@1NVNAz@+Dki_L@6raK%II4JFO%iQc_-=kH-ntwb{_T_}Qkn|tNrHF?T6)ordx%6h$C zdGCQl>e*h8j%`QCBVD@;sif&@UQNR$w{>6kYd`DOxI5==i*P_>h3W0tOUVh=scx?w zUU!QgzW*zTH$LZ;;j(pkN*AB8MHCN)Pf2)68XZp+uc|fKw7dGJfFDa}ZiTA5?+5!@ z)<0VlvP8}*_>)|%BUk3!T^^G;n0_RmM2HFwQQJe}_26 z84mJytBi4oW1Qh2f49mQhd9O=4)S-)ka37(oZ%pUw+tDFIK~+co^i{N@&7V_wGe@A z21swf6{55dqlGvvBv6bDj7a5Ww>T1=w_Y5L9>|4+x?YwJgRmyW;q-?wc1|7EqY zab!ZyzrUW{Kdm-sCK#nFj{Yy{3SCJCq8AXHf@Fnvg-8d19>~=8R>A@RQUD2raK!VG zskuYJsJ$8IX9KMlS~xUE>N7#Ikyrqa0eAvnhB;i->Ev+y{ky*wK@6Bd{fEF0Ab6uO z{-h5)0h8V_4I3&nG~Yk(sARZAo^a79k*yFiAikg4Z3l7d4NNu=zyPNX5Dm+SD)mff zT57{D;{sFrgn9Q7PnzoR@>ZOm=vwWm!_9ylHZ6m$4oPc|J)M4Bk?mMS;0q5`4S%br zLdqTo$WJ|0H{${};Lql5DX~0f|L$872LZ(b4?(0ldG|QFn^%a;6pbWD|18E zh{a5Hh<=4BIJY!pg2^wy=Dap94uU%7!Iq?ix)~uk<|!upg3N%q1ZKTr5;W7F0rD0l z3XXcidjVf6(kDQNumVt_r;7gzX8J=Xocg-~_7jk@0H|D!CdeN_xD2MuQvZOjwYSdf zheZqYM@$rkq|VYzU@oy`g_|vFQVMMm z`3A_1hznS&BgJT;>OO(7J=OiU!L)t|oo^qFF8~SyjZjl`5Z)qWH{^8~ty6Ux9v?L~ zaSruiq+f~v4|7$Rw2;emYO=^6lvt=}FtUgC%p@ORsNsuqIzXr&3TPR&7TC8iRq0At z>|4-)jr;_N($bKMN&tyKw1;9$9T;eY3x#HI!T`-|WhM;O-$m*OGou8S8=y6Q;9vtC z4W@I`gc}i`)o=iTNd-ho8Ft_=Acbb~_c&@3ynh{}I>^YZtsn#Fn|yqB33SC=02nZ# zC$Qu|stSkzbHq_#68i29uw%hzIOuJT_=eisNxT0be%` zN)+q`I11fqzuy&}!~AZlL1sjf%}8B>gi9$W@I&{{gK|>l>3N#Vl%e7E!G^E_edmQR zcYq=bK#C?hfDXY_ba$q?#+4I0t+d1j-jD+DE+)#DgpTu!0Fz%0MahBAOE6u(BImG| z`78l3sQ*k{gR0Z;>VUrlHJm`qh_fuAJ(waIG^2?ERXf(qn>qpo9W)O$cDPKUAh(Ml zRRGfHB3X#_ppeW|CIAUS8jKn+Fmg3!r}Y9%gs1i*i(%3OJ!A8-nG-%$f^4vWI*FJc z2)rE_f&e;98LWpp2$W4eFi-Kjz<>?oq$3>$P7mMe?xKH#H-cy#g@_rkAp|*5sha;Q zq0%HxOX@5GerB!)HVa%0JUW0_WTF$#A)rTSQV<<#z*bNc>l0O^0m-m*0Ce#Yw?w=K z6ltVN0O%@#M*{jAblkK1H#jfkML#gd6<3>oZvTdj^GWt0oO3>EJVIF#z(iXj2Dg% zA1c(H4Vc7dmvv-;DEbkuF+({HJQb-D&|{ed0>(k`KgS8aWAUGGR0#zP#)Z)-8i)gI zH4tC5ZXs|84685*pw>CpfFwY61>pe2T9$^Q09u&A_Zb;jpfeze*XP4z1Uhsp<)aL7 z>@fK7f_oF`tG+@nUBCzV$a;V~P#dmxfH{+B@XA&=>mbSJ_Gw7BlEWa)AS>fTm81ee zKo(1RcH|~A4hZ1SuO4%5#n%)E-;$|6;U-1*XOcWXW7ygd2>~|&9%preLGSa6%ODZw zLX*FaAdvZh2=dWCY5Y^cIXD3=kz;EwNe*!}RzUC+j3#KF7&S1mMCcGYF4<+bK)d4uWZRu2C2M4(`a`7U?kX)!Ah`S7e|DhETig z<}BK#G^HN2gu1DCx zYuU_+pDK}s1owomurt|(lpxqXU`)`HW@%=7h_n({&M6p#k(Kfl-XgoK=S`tWVxXfW zf4MsmntoK4eHeoayjO0V#C1orXD(oTkb!+l_Cd0Fnev2BOf(9R3%wly5X61sLXZng z6S^z2$zg9Z>R$wS!E6Q|FC9fX5*Q%3%fLnps1XOo(#6xc(<3F3wgIyRj7=OMMQ|@s z6G0FcbzzdjPlK=l0<$krQ#z8r8_};}LNWn#8Uom0Ytse?4vf|K5rf#3U{`np;kw0- z3u=NyjcxD?1x5~M+4k5kn&y6o0KuZj8@^54i|E)qhE5&d!L&l67E4Yr-{^tI52a-A zI{~MjVuMW74cc^WUvsMhs)z`0{ksJ-cAGhhA{#^1@>&v8_kwsI!sWP zCVM>@R+kd46>}!{;ikFg!Uf4go0r3*3%5!1FPzt+3kRHViFH-N{mDwxX*&VVrpWsl zzo3x)0>_N|7ho2oNN<`kaM=JOLzvb!a6-Z}fW}ZW7@&ZL3LJC@r3e~3Oo&eH3H?E+ z0}(8VUNZSD&`hvjfzyaE%r_G8lR}wy3ed|_z&&tsAEZYB-~mE~p9O1NZjdDSO#$Np zDv2)rmlqP~o0r#4`T;c?;%~T{xZfV#sii16#0i(|Mpv?8j^J1Dw1BEa(6eKAV0idN zlib$pF6nt@+2H06&UDSsr^fBhn9i~{)OK(814c!Q4x zGmry57EtsFB?n&HL)8a6FcL}wS7=tnUp^K#Z^@XC1v7Ak`dHAw6$%m1ycHS>LWXJJ z3OIu4G;oFbSTF-uz!D75yp?ESa(WsKT%o}rV;F{49|BjHxggBE6@ue{(FEl%#&ciB zFpLEh!ywGu7bb^+!x+ObG{!ItJ7h?ZvD}wYpO9JCs80wp_hr;3gb~I*f%=31F+juz zr~^P4sKXxwFhaf_8sH3q>XFHS7UqLeaA?C?I4{=Jv9|U-3XVfqyNb$ddlaDvdSRJ! z6VHVD92ktO7gT|Bp!#YZssK4q!e7KOm=zQUn)kAx;Xeci>P&zG&KEdVIA7pEQHPre z>TsGs9jFLOfFfvtg$e4wHc*FoiBlaHwJxFO8fXVS)6}?R)G*|dIz7>#IcWTfV=#?K z;c4NwW3W+X;uS5j08YH1l@wGeXyqI#C3L6zbnQ6SZa=VNY@{pm@B+XoY>Vp{?0B5n z%vHzuLyIa}q@w8wDy)gJ8BTSKQkTmd^o)Ps4SSDMQb`Ip=q2SVPxV60u`>CjM6+TY;~;&x^#~6ML3qe)JX#~!grQ7}-)&0jA(z|a)Kf0E@u-8! z;G`TzeX9UKjJk)kV5wUdLLV8~fHNl7F;)TF@J9klqFhoPtJyQlpgP76`udNXrRx}R zIOYPp$|me|3_IoNqT)hdQmcb&LM!)WMngJ=gituvaZC3XHC_R}B74wH9%ORw-snQDyI zay3SDm%19`x_d68s*6XBaS4?tT`X!5xzrj4uWI)E;hz>pR@$o;o-Bq8UV3TTt7e`$ zMp;B|GfN%gjC(FCuDXa^HaPS2x#t4hIKfQqn4tCqGBah z{f1CGCwqv86=TjJ94*qp%g_a6`SEAKp)^C zK&5J!bI`SM4*}YE?Z!>o2oC|e2ICC622O=OSgx_AjqnwrYoqo7?4=Yfp-{w_LkO*o z8G=C1<{WbXp{-+vAE>g!u;6$MZNuBqWExt z5NMJNZ5?wm8D%Dr6EliR0B0GkAd+Jgus|foXbaGk(Van&jJALw8LfaI8QmHD$Y=}b z5oU$qFuD$MWV8ZqWQ>#mHZn#^fEpRA0U8-2H9(DwwE-F#dj`7uSQ|R`SPgx7>>1!z z#@c|bj6DNAbF2-qIb$_YE1)GnUmz5RiA~ThHCjRc0X>1R6eI_Ywt!Ya1v`UQ8EpZr zGFpL4N;q?8@G7G%;8jq;&frx>TfnO@D@@ChVoFpr!LpgcX8DWU7sqC|mKs6oj}x+@I|@}(_+R1y;Du807_7tUy6 zau)+Xfl;uHau?FFsH>pv7RzG16m^TycAssi?-^}B8IO9>D0Xqb2=$85dS^H4Us1<% zC-R5%vxrXxoipl|!dOa)4Q%%q-8U=(_2Z-U+$*TxL7nC4oTdc~h8m?S)G247L2H_{ zHka14Xl)*?&8M{mw5CmKI<%%sYYS;jkJc8^LceL>|B%rzb9}wBN>a5zWY)<^VOht1 z&EQy*DP*V~QhbkhTZ@eR0a95a9sW&)(=6Qm!mwSaXLx#K=1-?2pOX(S)d=}MzVx2R zvJsDb_J%*p=7;_cW#KhVI#W6CcxS_84^z8~lReg-B0VjiM4i&Z4!*2YqO&kmF$t6j} zAGtq6ZCkU`>qo3EUWiYaBxss-u0|cn3`FrV!R_r+^afWxH z@s)w^JHCZJsWCm4%)9%O?DVkwi#(fZ1c_+q(>l|lMM1mYi17K>>-+mY66SV#voz)W zPph?823*dPz}M14OS2^GEmOXA%s$Cxw;{f{T4ZCXl7!hQm*`q)%uEP)k@kFw&z+NZ zC$Z0o?Omz0E@M~FBgt)M)~Ca=2dooF;GxFSM%9!3t=|j{R>pnOkWxJme*DYAu6Vy%aIcxnVa7<&KXKjiuLr3Iz9hWZu!( zcTyr@!O7IAf$`D@hgL4T!TD!njUG83(j~*|S}195aIn09f9rb@Uhk4}xg!$0!vAaz zVU1)Vc9!WMKF&=R-m>as@8@|jTpR782N+uZbqXBw_B+LQ=#o&MY_8AK{2Mid6a%~? zUM-CE%ThH9`(J<8`MFpZjQ( z6>+AnxJD}AURD7&>oiAFNW|uBclLQcdEH$7g8?CxGCOx}dHLo0;xj+{zb;(6`!SJ? zJDtKMr(%hqc9w`qDc6wIox!hTH5Tr74SJz;*!#eP?59N7|CWD9QC1O`bF7{BrI4eQ!k%tH z&5tT3TUK=KhbJ3}s#GdK$d)_QuwL0}hDUtOaTC(a+*N4^DlO|j8 zGFuq=SbDXp`>7D}YWAu36~x`)rsl1oUfE5yXS>bMZofI9fBMD>p+Ti>+QdGtOL|1n zRn0Q4L#Ch4R^O*=c4pMI-~Ie9wOVp^w^PX@`LMc2)r3vPvq*w5ZSRq+Ryjw)p~25@ zajLuQ?gTb6*(&jOZ==9O!Sz=s%WE7y>0dI@XokF$$QrXFpV!_j_%xk+UJSpp#i2K(WW?c#*s#2x*NJx9 z+X>HPrBS%}Wf-Q^bM}+>zB?lRqQj z{8b(zHg|uI>#oB?e3A#g?2C#U5*6Eejc>{Xdzsw%q5^SwfgNSjwWe$!iO0L`9&-$tCts5Et>~=~T(vmyk5~5D0prDywR1P= zZ6z1uYUf7Pm+)8{#`HPdh%V*%xinYAZPoF{l3tw){UvV^`YgZw<${_1pE~3Z^zHP2 zv2u9?o9mO}2Hj~oF(b}v50Ov(qQO0@bZo9&eKN(fsGwF}VRqP4`H8Cjj^0&sq9P(l zYgfN<8lTj>_?R=FtZu)knx-tZ>-ls=E=@UM+l0>dPs#LovKvlycbD;qi*J3UqQ)`b zY`)($UislgZW`OOheh5KF%6jw89gE&W;q&QXm-|(tGdH#now~3+2nTKHJ6&NlM2o^ zgGx>Z%zZ9~=6_qfbD^25yt3W-s_aVMhCZpSg=fe^j+#cPZC8Th9>m0#bL)QZ7j1n# zGS5LqW!qZ!>%TV`5&PKCLw7n%qE}g2me|K zFPEIDZn@nf=pI6B&!lZR+pO)X;Tr9n)1_?4rMiS&boMIm=~mkm40qpcCdnE3-jNxY zuMm9M)jjsLK#39ZuYZw(!Vfi{WbNa8qZ)4J)=L<0k4$NLIU=>- zW8IIE(r>alq^IOVadbw#bbDFFlp>td!7@a>)MiyIIXZ*)jbKX->>bTVNq| zgU{f^uN5*no8*-BypJrNyG~koz8^{Q_QStBf98v8Y+r79tjpMB$Ln_Pjn9-NW`qQt z>03>zo4Gfx-*|oUF28uz36ci}19l#K|8dB*Tt!za{A>>E8girRsnm3_t(A+{uge0Y z71Ap_b$8ZXt-_Tjj&rWDd}B#o@l4!NUN|>Rc#~iE^7Yk=Dke|Y?T_OOg^qYcYdz*j_J01P+Y4r-y)yz zZwrWhUjCTh>&@q1X3n7<`sd@~TYm8QJkeSo*d{6$M4D_v&;OLs2)C!e7tK9Zp|Yjrmib9Uf!GJQ}O1?FT=U}TI{p3h1}NM65Ut!Y=*l}37MHZ z)S(-);|hysZp^c(g0{D2g>AjU$D*IYZ@nS*ORW$I?OCY>)~ro0CM)Ty!GWFA(-`O;kSDYK%ur6XiV0JR^!Rw9YK^cVq(3GW- zLmIwcH$Leav37UAFB+AZ!zLqp@Yys~6~Bad(zm*#Yta2{<+3uPpwRkBzgOOy<+h>V z`*+J+$+?mq=XQ{^4~9-lBGb2}KIN2b7gFLf-dFnNmB4bnJrz^**k>BDlL>Quc1+W< zQn5|{GM#mX`%Lqr?FuXcmQre-*FKj0T0WPoDV(|AasSh-688PAmAy+%w$$1b-G4u8 zhJDHMM^8G9wTNBHS1Y}xi5IIDj4;NbhjHeCh)nr6=wY0BfQRP4K@a211JX<2j+_iymWIQv0v-WqrC7>7T`*$-0t>fhjxarT4f`;Wiik8$iFdxV8Z zQ|>~B7P7REqs4Su$kRfB7K*e`qJ=UoC_4Uk0AsxVe-YAXlpcjN%JBHV$wTp9rwa%2 z;#8_nPJ%P{NlfpRq-N#^H)F~zW-Y_!9e*2VX=73bn!Wf%NKt$rq2YQjQ)X&Ym?o~k)d4qlHzPTYHdxiW8OyP^JxGNU z1dPK=Nk-)6Wix1=3r&#_WQtd7=ZpV(O{bGXp1j{k|7rw1@&77iRqAx;K##(r9ao3SD%X{T}VOK0#P8X#udY7M{)n3@hL z=0{!r*#O%GVg$2;Py1WX69E8m%72ZP2pEqzU#q#o{V#8v^tIF!Brv{+*}ifP|EH(9 z(OZ_QP69h|Y^(Wf0SFeyx0%o8N8}zFR;W(;1f^&TG{SB;J3s-OgeM%|j+7XJteKb{ ztC_w6C;br20*wZbbEy>qj((mPfd@pKzm8E!2hjFhg}G!f^V54D7Ojb3a1r zp@?cv{Z)S_VLv3T-w>nrVf`mPRqM5<@(w|I*^hHJgdGC;2{dV($e;LVd)Ceq{ZVrh z3b&T%02q~BYhk=n$B}n>&${{Ij*AFA&-COu=t}*;RM${9DyGt}5H=p4fNqfOn5#>p z4{(~r2VUo&*=wgQF<6hOwCi-FAe$wUAP0R}2Ih@Nx|=9rI`+k*p37!YKavylbVDE} zr*|RX;U|1V_HWRK-cyYa-wedZxsX=#Pr>m(6wgT5W3MMQ z(n3noLR=xO!Vlw+CsT~fJ?gU(W)n3x5p{sj9rET5J`bf%AlGhOjO*la2GgRT=Q(sy z`W1Y8b>k$yU9n$p^G^rZ%mZkXe|k3y6|GuGD<4*zwyNFdSwsK4cu zN(Sm7A+BY*cAVR9uR9GU8Rr8X^f2YQ_(HIgz6@r(1IwZB*o82YO(qaZK^u54Q^D1D zDaw2KECTKN;$hFF2qCG+h;TEU&fZaqC?t?9Z8%gH16i8;0D}qxfL3^P1$59=4zj^m z5%Y}k8B7!j_@T^$oAC-Cp8}kL*!%f;&Ji#8mVA5)RBNdcKMyt>@-kEA?RgGYjO8;O zxrjFmH@;LOse?J29KIyzLkZU=TW!hV zclXG-{p;~Dn~=k@0hR{1c0-(Tk>gqpgRVE><6{C`YlZ6MzUNntL1h&DR(Q=xkz4UQX$VVw{+V4MJpN#ufZGN*od;>5t~gQM!jCT=gg836_r1J z@F{;==W;@=KBR`;+&vQj6lS>LJ}@mkY49fJ55Vn#wW*juLtQ+bt>s|~#w>X@SXcyN z9X1}Rkm{AtdkIJkI4N|Nr-yDdQs8WTm=cIFffbVVeg}OSM#DXl5MYOE1&9t9qAA}& zQ($KPv@uM6cR6g?=z^yt3WWkyv`lF1HERT z_GY}38soL|D}v-Ch@UuM28=WIArxc;W9mLS&cDM42WKXOPmXVXi2_$A@;E5f&{LUv z)O#fWftP^rY^Twy8czY$z~1@@hD9JpK2+u$hDVIR=V!S`@vXkNdThHDE@RM2i29Ia zv^-1($E1v&k{L$-3f(v|z!2z};^>^a^tT9MeU&Sb*$kkbvNy1!>K>L)W z207|WBChHju5;iG`53dc==gYoY+X4UmQt6G`>dEX0k#WAHsOqP0+_%x#L-rg7C~H% zU@`+-2p1Pyb8&L8BW!%&r?7AR0&%by@wo2M!2u)YLpk)p6>EYT#NFAqq*?>UOPC+yuKAr$Dwcd zhA@useZnY!1Lq*^50io%ba8Fo1;GK=h*vjoPXRMmeKpDl2mXoR8}Kw!;z3*vxUA_M z9c3AzICX5TB`H8JC4avo_Y?Q5*M;>+!MWY*bYV<`^>sR6dhVXxWxD{bL*#b+PAg4x znybffBj@TULpL>61Vxq_iW>!Jph<}b>~*E+J!qdDz4w%Maso!%uyES%^j(vX!<4Ys z4*XReMpj!bH%Afx5akE`&0#r5pr-ERv(gxH75tb=qoz%U9#Xa-IY-Eju`NP3tXyNME>u`!$j z9~Y~RT!I-$?wU!lvV*wffj(i3bt@p30ISq!R4 zz=g=jyP|mnPr%cM-%{LyX*WS*L*PQdObvnE1R2FGs09KOw-_@)_>b#_1c6}tUtBMG zkmtd~Eyi3gh)&VpLp_+d#ouE+EG7ZB_{;U;C|oaq3{cMt01I%ufStwvm)!;U5hi9q zXb=kJEGR!hRsSF0N0@Oelpg`ZKp_2(I$khyP!O5%4-*P8rV9l%y%^<3vQ+=dL2;~N z1=HYI#daE|7g#|$21=x31Xi(#okOvx1clqj3so$F@u)4hf1!%ej)f141{OOkL0B@e zJa4k83QA!yszBk@mz2T~!?Fkq{L@ny4yc4ET%~*EL*?hDe;mh?i-?L z-;DO-SZ-r!OiFR~Wkq-kN+iex`!=(tG>KLNEOq6C%`V$yQlw@Covrb*M%Duz|6 zc=MbT#$>!L5D=8euEF8pBTT%+WNhFMV*3v8NAu*A67d$|n_|)?@DT-qKuj1N4^7&n zKemYZ7N@lM`?gIH506GFMS3)2JC@TOlcHHB#-K?vAH}++@MzA_sGJK<{jBI4PW^)D z8~=&P8B58*HdvvcM9*-EV@0<>iB91X$MbzR6n-XB)XDGU_MR1e8706Wl%R&(-m_%R z3V#m^dc~47?GFC;rP>i!kd2XXg~jM`IA7V7Bx%N6EbFm^1W6;~M%76gW*uvsq!~NV zb|y)hwb-ZvZCze{D3Q;DQtQqlCuuf{G`aBwNi!5OgBdHZ?CjzSW*BD%BjW{|1&}D@ z5lmBFJc8XvSaIo~1g3-%kdpfdORsOl2(_^op*9vH$Ulh~VH{~))ZTvTV0l&N>{*Xf zI%kitZ2OMpQyC+S!ZxnFl=jWOtZ-M^>`T*qf;gc_Lm#WFhe+E7#NcWkCgEAQhBGfU5@8jp=ND6WWZ~{IvPR z$vC=}fA=0;YiVnz4@fPcjqtLdYe*xZ&&0(2uyER(buouFBU6Nu24lnqB@M=mJJ1TT z7~v~)Gv0>Ua?s6qD;lnXR&KoAXq*b&1#e38Q|K;ZaVfNQ%t(W_j>Vy%6|V~e61o`& zLDNp?=CN=SCYZp?j$j{U6cAm43iWD02+U{`GG9jN1O&W{Z3CP!x()FyquWHqC73Pj z3m54xHXPmP=CNsPe{dP2P2e&{_Xm|h$pqj4Ts#xT7=>B0=Zv)h$rx>8XA&7>+tV^K z$F`&YkF|aKF)&6hpnH#PMQ0w{iq1RMhORo=7WS!~VMv2K&=137n|YYdA*g_BKpz`z zL5CWxp!bY!x_Bvfv;|ikvn67o8}m7G@(QCHaP^FBVC{AibKQp?O}#!2^-}Z&L^RM z5nJ7up9tegcr453-jIGgpDl~h45H%LL#~^>k+GoEkYU`-NgLffn0xHWYGj4MO_(9$ zWH)ys<0|u&OF%PVm-kJ0-UmF71tfwfgJD3KgvAt5Fr>95v}QzW# zZ5gdCr?nNdW=?A>X>Ap1EUW)V_7nNK*4yvD>{)h8Vuo>g#(m*kja|Q;cc%#L9*|Nv za=mZ{i4$I6Q`9!-TC*lFev0|VD&6dR4-}WHZO@l*SXKJ9eLmS+{iRFK-KCIY)47P6 zpC2qTgbb9o-@7*A+q=a0@ZHNF$jqbF`NGaFIo#0=_1YKHe!A5O_Nq86-B)XJa9d1o zJqK}pHCJ}yjpQzq4DLS~hxerQ$gUGrbkJQJ@nqm>Jx?zOvAR6)bU>F!(mJ#vX2-?d z?#CZ~I(Otj#<`dLtJS94sj-lm>l1x#_q(q-*}Onw#?GCdxqns|DA_IiEx&B9!B>v< zR8rnP@+|IJ{9510TJGus%Pcyf;Roc<|G z4kvnr#94W!_wl~$n@lPyzA7vj_$4(E=)Y?=vC<+I_z+TQoy_a1?Zm4`{;Bb*sEd z$f|8ud#4RdB>EgPcD?7XYW-DGJ1lQ4DX93Sdx}Y*?qa{$dRsC+KCB{qO|8=pSLNL3 zxL+`$>1D7a(8b|G(~KWq7bvLju3)RWOIW@!l-q?GeR!!(O<$Hh^{ zgP$-AR5;0Ok&emBBe$6x*gk)ITGzXbj*k1El&sep1g8g=h`H`GB;otk9;??}z@wu2 zUDz}sIWQ+Lrj%=yhnDYQr>qZT`XHIJMBTSScIlJ_Yozq|mJJkDv%Tln3oW`(#r=Fh zWlm3Pxqq_!t2(ldHWb`OnjWZGbUhF;K|HrHDi@Vj!6m=NWrZbZ7cUff!d3;YCE}? zS@6%(_$|BsNVwrLqffsTo%RxAyUm&#BFk%&a;r0LRF8-}eE%csPRm4@n$NP$pQjq_ zBs%817cJTpb8G#wRi8c!E%Fp#h*iBF(%mvs(Ug~C@wP0o$tlJ`Q+MY@FWWFDo9HsW z{x0G6RtcxH-JZpHcZcWVPZdiJorx*ou(37`b+w9osVvI7!e;rIUR%pq{(Co`t(`)+ z-w5${3YrH6JM25;dgxlqi^R&OiPc<|7ToHFlav>SkV7l|c9|R~6P)=+-(iNwg|6>c zp1(VExj}9jue3@KM6yrEh-7qNxk{`ldUa@!fFP zZP~W?C#kX3_p?7uCmY1t*_!Tup6b3>KwoJ+kF1&GxG7N<9eGvQijgPoj<=v>1eTY`BJrt*^G#etoN5m)cVcN9x-}$=XOm9k;m) zokFhd3%at?HMT*j_5GixkG{>>pge26%2ac5s`lKTi`&e7l1kzNk~Zs`duQ!ibK=0( z>@Fp_$wu>)){w~3?30b3N;y}@2fN1x@3}Q(qOH0&>d;$N?~Mxs6A#=Z!;6<`$7cuL z=v7jmyxMt*e@0Vva z+O=%cvF(ZEjflzjNd|r2*``getaul+=WupSLfWhJTb+V3CHHC%hm-q#O5Z;GdHO

MI2>MIo}pP=Q0ugGn>7QaOt>9e39xb?v*eSebbxe;j=fvXn5e3r~N9A zMV~&UnGwfr5i7qB+}#%z7`pt+_lt|=iFD~E7wH@4P8?p;+;PX3#9Xd_7;!PhIW(m> z-PT^OgKwszQTOMUTQ>zo+BCl@wkOLsZg!TPJL_pp-(>Ey$D?LXoo_O~Fd;^y?=#!P z%PEO7$dt)~Jsz4WkM7(ltMlFNBg!#l)w=$t&zy{%4}==3FL_JKTYqt0k4wQ}Wf@UzzE*Ubgse zjvx!w^*lnvM|jhtVj~@zvrkN|xt)ZT!Tt#;4tZ;IlQ1)WC;Y!cF>K{I5*;si7E$(VLzutA*F-x+L za2389l=+^1HB!se-ouPrS>$csi2QK+cXI#IK`MmE^BDv+D zuCvPOUH0t_Ykkg?`dDw3`y;m0M@oFohgUocy|oR=_9bfO`>q|@b=GdS=CUob#qxO7s&O)Yqn+flq5enWMJy-tNiN4j!C_p>`#s!StBYr+h%4j`T8{S zcveNWoxlCWDe9~Bnpz)5WEQ-?xxq@WZ^9gNwMO!}CMM@ePnG|H?bDY9m4CclTYcpC znWB9E(^(FiLLM|6CFgGigr(IF6x_XOVYM$c-(vo(r^WR@`;*S+Z`-^trRFTjtvHqT zR(8Fp!qVaHVIcz^e(~ChULJ++Pogz9%RfohliO8ie4_5YijTZ_z#+V-=klTJhy0Ee z_vWSGZ|@I2&4zHJA;PMJ$m=li&?iQc5Q{>=SAT?>V7ekJ{>q>*#*(q~Cm zOHl*)S05%Wl&xy2J$|i@ZLqMu`fz>&zYuxM#`pXqxBB#FZ+r8)$&N#-wgwxn_Dwp! z%5ATn`^i_sB)_8j(%UJkHwjD6@BJ;c+KzqVydOUmocAx(b&!zK^E^pB{ceOMHp#ll zD6Qt_HS){w+?F14tXgdC^hw5oTw0cUh_1Y5*WJ24{;Df4()h;~dW> zdc_uZy-R#h9(DOC8%xorrsJ0?xrtP-)U;H;Nc%CdQEo;@~)Lm@&>wj3X1|K;XDx#yB%Ej!f{JzmSxi zlA4yDk@dy{oT87sxE2HbN^Agj^1kpuz@NSm^?})% zqkx3w6!N_e0v3YB=`3tm_Rrw?2w@iUzA-`a8Y~NRh{W%Akjx?Fu(ZIcz#X7MR~ZO~ z6)F=yKfM&a&4SDkfVc^>ZODA^zTN}nV+8pg2pIr}@CY^m1d1Tojaa|~;)C^f!5`2+ z+9O1P8EODh0TX9uO{Ad)JAo5?dxdlhTOA3g+KKztFCxQ@#i=BOnHW%(8R=%CfQXRn zgYHX}kZk}Nk~(2jVTvTCQo~gIZURCMB!=DIf{Dfr%xA`d*9+45&zQXW=HBVu>*xgMyQc##@QWi>15!8@8WvC?=veh)@bf^TX6&OzVf=1=WEuK_0dT?vxm! z4h@)qj7SdXf9rLo4#Qp`&{-d-L`h1>#wBv>9pwZvH86$GRaawtanWFsH)cD-$^z^J zq)W^W+GQj~eSpIl05Bl)LbZ6JeB zh*|n3%Jdx4Ing+GpgoWQ0I!{CroZ4)-a)?-D)`cF1?GifON5i@H3E?z^bVzv zn9NN8fQ8?IaOqV+n%n2U}%vCVPadnr0B`{Uw?7|m``wSdN@X3wj7|p`>&%#RtRKpz%bzm*%2e)0| zc#qIX8TcmPI^f18S^EIjScTin3`$_&(AkKMKso%UJIktYN74*`9E@v6^**so5% zpr|d&2?(Xi>D{MLTZvZ1v2iC#{m~l=0`c8K6O;y=fB+NpJj(%L zHj^9Y7^Xtf!*A~p{8X7cUCbOvLwQC- zum!<~H3RTairdOk{Eh%v=4vd@-VRG9u$(X?A$S!dbcZ6KDvJOM(7Vz+5u9AmUn)H9 zr7184)0ZB>Sr5RSeW2$0@h$Kx>cQP{BS!kBf`r|~T1(`6HAXuV1E^-Bf4pHQUMG8i9(4_!bz?Z;@<}_eOV3L=k0jCkJ_R_R4X9F3ygeC67Pm*sh zVHC4!Hh)hgfDW8G0ulyy0f1qTDdY*F<;kV!tt$b0g1g+ha@Hi$^8|@$E5KI*OabXK zq3aR&(WSr@A7x-&k%zUrEXz@p0O7&&XH58j-&MHHb9Dmcac6R;Ku?y)u#gtOv`z*X zlaayWY$(IpT1+?KmIhxl7qBU$8E}fzDC6_5?h$1B7|(E8YRo<2A2H)AOta7kdgM<`vQAsz%N9yMbr9z$bTgY4g_1~O`9Fp4!WZ83l~{GDo$ zq(L74#2WrXH3U{M9U!Wx0|X>~m^7ed0u4d{8b(!mA^JO3k-wuM z%p?*RanK|ZN`}xN5;ls4U~l+vp!^-g30#6QAy|O{2TGhjlsJ0;Bp#ci==DV*nt(#@ zAqrStRIwd_{{r@cmjp_ORu7cn6QWT9CTJ3=Vtr;&hZW4!Z<qvTqYh6)OLYhj1}z;qeVI zVVRbK!l(j;S09QKV&E1QVR6H9w3X9@@fH^{tHEv%<61J3M`GRFiiiya=2Q;~bI+u(&hENVbZes!~I2M$kSWv<$1SP)e&;%Bz z4iu3SQxm{Mpti0FMKBkNEY;8i`o$u>V_+}Vr=_GOz~=z9K0g#<=dc-HCte6&CrW&s zC~=~KtdN<4B7!f-iWOhs#stSI4)~}57zjnRU3LrOM7!3OrrA}Dx1d*8u_#}l=YGpq z6elGBdl+Exz!Ht+7M2DqY)L6BGcIF~)vr+C)lz_up!?&yK<5wr$ly)=$WTxH$h!8O zvugn(Fs-14%eSDj8{3&rAobNlh_}ZswGY+R|1J+m* z8QeTuI;EYF?QFm(Yvz`I*v>FY5n_0Sv;(@pWfz*qV);5^7GrnDEWi|uHxq9dK6 zvy!CK4z_R^urzKAUze)M$jVA#yht{1y_{nc~c_z!H$+%yMCR7-uV| zGwU(o(?QZKIz>U!XyiDEmwC;w%rtN2mu}~N%_vTL-7?9b+`OA#n(X|!pKZ){U>t53 zV;dBpwG$PH-v145z`S*+?@VI?^55ZxT>+y$1*2>O`J07AA{6>msN~s@tFK(82^3%( zFzc%baKnGHI{q6sLLlM;9HChqV+j@9e{myIAnSu0VPZvcTn$Cq z#&(PwafR^zU%3%73XXsqp%xAQ!i^9bEkZpT3SgT+qc7`mpXZ-6y+W&#RrJ{oCJrX-8*G6s>*UB;X_Xe$M9 zKzh(tyg9{5&E1Be0XK{w+dDCdDz?*EvQ&|Ppi6F_>50Tr5B^G1ORU>>7D z1vrzjZD2e`w}J5(-8MA*XS78|Zu)2oK$Ee(cli2^ZUbd9y6r(p>DV@yZ;Wo6EF?U} zQNVd)l%rsEbarV+ss~64&?RGAVZ1T6_4S*#V_VVvN8A2GUZ8J}?T?;3wm*9A*#2Nk z#*r!og0ljH-1G>(C*#N3!bOWv~<_17Gl1rLRKS-#%fAgSWwEslGdzfZ4Iqi)0z#f+0xotTC=0Ib+opg z*6e9*1FbpGnj@__HHrNXT_~*ml_VFf51u(y=H;^vk=ae%Q*K^uoS@cJ`~BjDd(9K? zk&ESl`*SM$Ga~YKY>K+pnVM36tzbwe(#ZEi-v2fa z0QNJ6w)CVmw&sD?+51VggpA<&xOaD=ALj?=w9ZzYxN56c{fg_wg^KI!b6DJulO1<%{^9?wVkosTow0ySv)-!i9QS7ALHBen!=R$hjO_Op zAO5ipT(grh20tW8W9x9)`)Rh}>-XjzO6%1Rx7?H!b(7okO4ym|lj%J=2Z_tp{8P7h zEOO7@7=Hgn)&EMwwhMlHo~Q)7*~IL3x!kWw6jir*yIdW#SZ#X1-6Fa|=uf*6Va#() z3Us@e8S&t^DN*GyTWz}Ma_jTsS5G;I-Q@XvtF0q%!iwm~RVT6!R{Sv`u7;6E?4ql6 zO!<~BpQy7rvtaLq9M7{a__>NZSsO+c>?h~e2BtV4%x(RgS@ZSb554ef+Ye^=9j~3W zQO_yC+OO~z5vzUEla<#gyp(m4dRtw)#1gKrT#pJ{CCoTj6uTODREeXwp!&d&nth}u zpHOX4hoXgWKlwk*y$Mu~-~ab}-ObUc$xwEqj3qkLiwAtdGVz9m(Qb%%{ABM_`Hfqx~WIr>^9ZU$YuJWa@hs z2ED%epg!Vy_iXo7%XVw%?oa-j@u)89@@vwkSluF8n^iYvy)4(*x~FgITD@25@iuZ! zR&RUJU-GDpNUomDJ8fj=Xak>Z3mi5taZ37BoY7o*zfs$UyCcn-#i;oF_V*CCQe3cJDy#q>!7Xac}K&hM3S2WR_RZk%a8ax|5W+=$7806 zY`#0r!0e^4=;k|Q$z+!}64o*!ASJZC`@Puegxu4GeGRHR?zI*$6US_eW@dBG+C{cp z=dzC6efM+q)DvaAZ2}8MPTBdOGrLi;P0}J)iev5}vd;05;@+K?xj8grr1qE{d>H?f zZ?V(DO_zQA@6`xaewsz3XSmm^Pt-oM*Co1Bl~;Vzigkzc6a{!S#t1Dw*Z-Ms8(F)% zY82pC3OZ*`3Xg=H7_dqs}&NSY6vqtFb zj}LK8N_32`9w$)xgN&*Y*1MH==vMlPyx+z<7Fj#Zv$@v7(=*$Ck#kII@69KqGrNX! zttnaNEI-da{O*AhDSM9Pd=q`~>i7K@Tyxib|4C#!_~z`t=612<>QhOloRG_f39rO= zC%PTIe=@RawDwPKq9HroIY&)g+{x7OzL=S*)19#JI+^V=X4n~PA5Lkx7e~4Z?l&lG zRQfrkHM>@1&cIvIuKLOD1v$CGBY}&h-oHfBqin8}^L1wQaI{Tc?P)pB&T^sY!L+o{ zH=Wr(G(5abNpOVqk?Wt!E?hgmWVK!J5hbzq1G^6R9htIh>961Yyse(ZYE$*Ait0@L z1@hUqA3x1B(J}b=w5UASV#`iRd5!h<(qx?4%aYFuT0-1XFLT;BM-@Krxt3TZq9-OY z^JniAr?XqhTFWUj4nF4T71NtC$2n&_x3vC*Ddq_cw?p!ye7%BiI+5jwxzllswcT)b z_Zs_vOB-diM60{_)P5!1+QiEvzTgLm3_md6k~x6nlCN1Rqwin7b4%UY?=0N$ zU3L-aEN;Hw;u)7_K7B^{%`!f6Y>tFVZC2&?F{C=HJ<%uf6;EEwvpeBe zvT9;>UD~tS+|og%y6w_pBg?a7#|%BCIv&M^0wwi%ryo@PsJd5E7M1&H(j;z;xdY=C zA0}SQO|8g5Q18#j+oUh1UJO{AZe14xrD=VZ=>WlJc(tM0g!k>B?t zrJ(Y{)f(m1l5$G~rfv-(F>6*B5A=ymQLlO166_V6`}&B3-^3A8>IU7Foj!X)?vdZ=;cP$})v9wUI-B!l~OC!`>v}`BAqE z>+Q~Fe~FE*@(oCS(-(IveuMUACqE^@@zn*5L|FFujfY!j%-wv#P)S>|?lYH2)c$k& zf>KI)W4i>a65f&8{sf2PDC%gdE?~h6Q=v+cg6Ww^5}WUa-%t2 zKYsC-9@;(YWk$q_1!;F(d0D)p8Uw<8Ce9&?w8z}I;x5d?C0K5{ddgk==g+nIMZ$_j z^OjA&efF>zLw@zVu~@Mr?_ScQC{>|OZ%czs>l!kX7f6dKj*kvGqs&dd5Bzl0nET}X zZWo)*dui*Z&0Mpp>ayRs>guuvUrRHNm*n}IS}(^yoyod30qTM>6)8FGvNic#DZ#1D zqA#M-e7BN=R|*2H+&4{Fvh$L|Dqjcl@G9QwbFq$7^{m|u1V_DgAzl$FM^)$aMqRx5 z@W^Q9m9^>mmA#%WvkJ1G*FLf`)B8-meCx?yrOA6X;KYTUOy@I$slrk5av{WjTVLbr4GSkt<#b)A*Hly0T<*Aj!K0|q0AD$w-x0(&H0j>TIV)RVb$Txf!Ct{k>0yE4JRs1nDIV7Yv0BVPCnXGHNf1CTrA9^ z#6doAX?UzvwOpaO|62K-EAMU`JbUY1yyMB8nl>lz?wg_hlVrUfm^-G5uPQRLiSO0r zqRi8eK28;R(%zBxfqTk`rm+A{dexjv#V3r)D|s)*9hZI2*6ZYh$Ym>Z`IP5dm^0iW zLXE+*`1vC5>odcG%_A$ABNEf zVq^O^_F))(KwQS(*oR^Cf&85T8Ad=z;nQKih+zg~7y%)FXF!G#5W+uV*f--}42bL> z1cXeQ{5J+<7y;qeTk(eh`43cMc5M{3zyp0ThZb{bF^?AWX|aG73u&Q2i$%0pT>JI^ zf0Tx-{GbU1AATw@_&0o#!zLL61E!n|>t8*{ttj#zn}mk1{;E#9bO@Tjuj)q^5oJxK zDEf08eqP{qgHqC8y+^IK(A3`o9 z2!!80L5%luWuB(|7zj|xyad6OkUy1q8ljs&sO4`dKyWI4wiaH4#yWwUAVwR1i&sLN zZZurf5wDw1$p$!{gKU5xEyxB25G^kB@#QUwfQWp23Df}r=buX<3L+Gnd@i}ewxs{` zGV!F{%Bg~o6MU_;m3f}W4%}LXi48}N8JY_y`6lgHetG~bl9*=IpPJ*Cho8?q5 zi1Gw*z|>^|=|CK1)uZ$K*UyA5Om3fY1Q*a+0G(sW_<@?Nh(lWtKU@AV43VplEANxg z-G-`&SRGUwoAYC#cgRBY3O@*P0>^iT?AD()vIQ3O)|#@=bk6NvrzZ00IpoEakWZ+F zO`uOeeSlatwhx8`G#nTH0sc06%A9ps2rr*c#sNkN0v|xdI*7g#cpz=T8Di`Y7#!?| zbp(M%bOti9(5Dv(r|k3~gMcrvqJk_;KVb6ki`1lFaD!a=r8M!RtqvUVA$4tqX!$*ns9al;t;p; z<9w{N6)45vWxfof)! zE-<+jfarXxu0t?GT9lGIArKQGlj2gbxh8^&(JYuDB%h^Y6v|rgF5H^V=QoZ+(dTQ+ zmx-p57?e3gRzugM%ABzp91(6Fr@_)Ko+gBf+K09*M40x&^WX!hWO~NQKm{mN{PFGD z3P@&zBpX|CpD0(fdBIpni#WsCuraxR{=hnQi7({U-4J+*h+tSkHAh4;+ofbOm9u@f zL*A<z&c3&O3-d*aZAoB59ydNE(2%JC6y%{I>F2!r}7&uhe>HyQiFGI-fuY7oJ zAK-_t5R5HX@)yQ=TWJ9?c^qNZMc};Qx(nig$KshzX04l$aPoE*$h1q1QtH=R7fxSwXCkN_{M5T-c)(1>Rgd+uSR(VQ^3ge$ zwW$HZV2%_yZgb;^ad4P^R`?H z)GYnd{`Kmk2+U%FpqFCNjXP$!R2~%Fr9*J+`CNoEUonxdiR1$RSuFkYEgj{Emwy56 zcs0V`sf@cs=EwW{Tp@695Hfp(>?ptAKY%bjQw(Dq(`$-Ad%?A1SjhQX@?w1~wTL2j zLskT0|HuA(k2A!6bhnaKaJ$ zj7b>;Uj#gAZk)gzqR0SLYDEOc$NFv8R^q57NO81H1GfZEG<-le(onb<;zr|4D2h-6 z^ADH{jqWYGgAc?!DgcJyt#8GI$8Pz}Jx^UO_5CWT=7q?E>u281-6kgyHephE)K4^lGfixJnth~_7qkUi&<59vj zTLShZ9wlNJ?iP!s`!U@2VKw+t0_!0|vtsI!p~$MsyhI%xU_B84|DloKP4K1)tSV3! zge~kR2h%6RM{saJpSbWuIJz088*lFh?}kGKm>=M9+KLnxfxwRkUs@Q24uIbP9-1mR z5?%&$__AR>E9+39XjpQ{(8rtG#(A(YuzF8MwiVu>HXxkf9Nb~AK}Ym1+)PODKA27e z1g<*=HmQ&L0;J7GN0C*Fhr`CX;$1J}j{(r5#w-*W5dRE758E8ok1p&p#@HyqMb=T` zYRn2hNH)z9r>lj-D*7!W79Kp(n0)75i~v(SOE_-5j3{9J4tHBWTf7V40WRW*W_-BS z67mZcC;Qf`35k6yi~~nUepfv>k5nmeHUZXP?nolx&Ow0>tk_syp5O2Rq{LJ`y090% zOy98f5nG)J+)W^8HeszSyMwo$$lIJxpPf=YWyxp2yG#T{b=4hfHMT>XLE_==dEr9# zl_iH_wGbj5g>a%+PM?*?goUNG3=r#DRSZG+!Yq~TuOPs2jAvr#CHUbYa@A&rAHb8h z2alnh;4YdTUm-`qYxcgm6X*z>s5uwV4Z1O69am2RxrM7ia6@2if{_e!sT6uD!ksU{ zU(g9dF;i_a0jx=sw>dr4N3ijjHx}8V&h0a%4lZC$RwxrJh0)%4FyISEguB@yX*}pb zC(V(d6A479D4-l%*ER6ffX5PWO0LFi3W6f16lM^dV~~+685SP1@rCC$X7V(Q3AQ%| zANUVX3`dSy5kPTUU^c<#P3Sk5`#DDB41H#Fy~GoBHFC4T$A&IH7^!{~I&033BpzF_ zj9^4i!>qn?q-w*Lop1OS0muQV8)7CCB%)YElYbx;g*8q70q=&B?A#y7M?rIckd=_c zw>Au>q@c+^sDZ;^?vJNyUcr}uAS=b*q2wRoafEF#W$#uKSXcz|1qVY^alC2DFsbxLQN_D&>PUKO9hd$qZzU_R9`>AAW5JQ zsTwG1tWfZr0PgTtiURE*PylAwb+NOT;F7Sjm*9%9lb7I%d~o`9;Fl-iW%DPBG(`tY z6X+G8_{p$s0vFPN%(!nZ%K`WVCD0RdS(bbp#A>~lDBwCFOI;^qnT$wTrU@^BItf`u z9u+;jcHwmnuMF%3kU*A#1hTAKGx1I!8&K}+_b``5?h8~wHJ}95U@j{|6QF>kq0StX zq@mY^k-BtMlgYvBL%pD;hZBef4<}}Pq!XhZ>BO?{GIwGL1*2s)T1?UX+Y5!# z4HT+Fz8k0bzF_8&mgz5zYncvt_ z!fVx4h{Uo?OC7hYWfBK++gK*miOMpc@PmnJL6u~wz599{2Hjt@>>Q58MwUCNr9?p?}ki!Nn+ z@w(Y4SZc*^U|d@wlaL(q6DcX>8@U;#J(^)g z;Wf{jVJh&G!<%76PeSp`0L7PmXdXlNt4AL{ewmT;UE0XYFru+bnYpn`>x7+{*Ui(G zGTX528!5}WIRj_;dXr@mvo}%}2crJSqJ{%LEhsS|a8U4Qu|m8yy()+4@Cw0@dK4p9 zCnU?1#>j0CYT}pk4Qk=DY~qn)MJZ!jSdMw<%l=W^Bq+yZ#mLo51U8MA!%<)#bEg*m zJD!2aTGP}#E(il6txnO&kJZY&irK~R`mw;v__6YKK~81m2QkZHgBUyCAV#o>dl&Pj zm7B#xTw~eDBkzvCJQyt$H)r_EXV)-4+a%-}(d+WeTz`4y{neVC2Amg2)I`skX6{-> zIJTBikF8~Gsk>SOB&CLRLls5IdR(hsv=CgX<=%{qGqoB)iCK*jY?OHhWut7I!7%wd zvz8U2u$0~H<_A+^z=q=wO+k8k+37?6 zPO2y8X{E)&7tuhHXOSF7W|7;A%4eUZZKrSaq-gsBvlC8hT}2dga1OR zkPpBS{tKxhMstIV`p4pAB5V={Nfj!|!^{LKJ3Dj4F)Fv;NVrLxVM#)p*&GRNhS>;h zhOY?Sf{O^6FkXfqsDX!G3p$fQEyj3K`iRKaw>90e6Vd`u#xT2kl^ zL;fd&J8*Du(;fbCH=#QW*_qJxAp?^`hiUteV+pEwXV{a_9dHnXSzqW5I26j34DJB3 zgbi$P?XZD|NCPL^$OCA}5YGX!WU#xY4*nrS-M~tQy5Sze?&jFA$z-SrBW>_EVz0qG zWau}FN=k>iF>D6A$IDW*WbpHlRAva^*tL649`*R(Vtbgds~nk+vxj<1S`mK@M>OoKT~ccm~ANCN{dnm(p!utSJ;P+n^mIw((q zi_YwAVKf+DU>kvFfNey?u?dj}k38x90$D7={-#x8s#u#42-E^0G9BN>fW=5&k} zYr`hAZ@+dLXb9up%7-Qp8i~}eBM-k-RD#~>!QS3Ky~?y#1HC$W=v@z##1hsVyU-2V zgzfQ>OplhrUKQwQr5#*@9n)yXD*ZKvMyLP{p>`!)bp5zW&zG`S&jvfb(GF_IGnRHN zT(nqI3oV@RDlxA;#4r|=?XaYU6-7O)X>B{L?Vz=tv}QwVwzOtPYxcC}Kx>Y)=0t0| zXwA8H{{Mjx>5K6H*WW)mStKmGG33ZO;}z!TZreFW>de_!&(PL(OGLPnA|K&^+j_w3x4cPhA40$`S4a(^)Yj|xhzzg$5-0G zG2?SVLy~*=E3W#4e4lk>Z}j7E`%`-tYzxZ2A962fk)dNsw1tPWj`Q8VFA0SSTZvC< zPfx+Oiqq%LhP$aQUfi#=_a2vbOTLYBfgY4qVp^*K2obJ`|w!h zdB(!&g`qRG*Vs?bdK98=tYOE=ZNi5k=X+*E=0 zTe*?wz**x3O5#2`vmX1~JMEF?CnMwij)z~G{rg9t>#^wDOPQX1N~2X*)CoEXvc=3S%`>n;9vIrX!>_S7rebaY4z*=y1BzU5PUNML~G0;8bY z2ZFPFFBFL_Zf*G(ej&9*i6PRfWt2@rlWcZf*k*I?VexU-6Z&(^A}jrOo>;DCeBibf znK$ocVDPyZgRx4Lk4qXk!YpjYw{wdsyo<@+yLh?Q@>UWXqWA4XbN&92tit1$lAawM zO`0ypJbZuI$Yo6*BQd_2M8@xxo;+ivM1IkQsbj{f2nHXV^Wts2Q2qJ%6SEet^Y0<#i>^g$j8WEIH_-eu(la{!H973C zXH0A3kKd=9_KUQC;u=?)ly13n^U+g^{lfX-0ap@<@f6MO2bnL5kEl&A4?GbNI-|V! z=$z>@XV!-JFaI$hK9)S$zhi7xN?N89Ur*$+WgD%9b2IkK&sehbbCAEz+v4&bl4`nr z`pc%y?#GsU^xL{V_C0mjtzFgem6f+nTQCf~CvogD)=;WhP?%$95R$jq}t~x_j=>2) zq`1OCQY>-B6k#$Vbm4?oPPY2%1b*1-WnKibMsFsiR9}~8Tmb2P5LqZJWf7cAO9_0WlZmfm`NOI3&^+T(4JRe0za#t zhKcr7SuT?$eL31=zHOc<%$cRe*XcM*r66s(kOZ%GhYmBTLOpTa&HE zt;uQj%T35GJCUd9>zRgkwY!zarEZ$9JmTc|ktZh!{rqu8ffcDTfvC=FJ#)o=$_$N7 zD%Is_`%k5}-E}_es;0eV|NMevZ+Us5tigZ#{%ILi&5f!JRdMrVRn};A{%ZC*bmZpM z4JHfgyNJl#)x0u_?|Vci%cK`%ICw`Dm7hEvsI|#HB3Ny{MqLf*8Kc(PIgp(4o=@nK zf0&+@p50E)*Tn~S`9I3t>v&KR`S|3O^B=!IV4$;MYe!!GI#K=!>Xlg!b$)g>cOG4~ zj^_<&6q{O_R_1FTeCL_fk}WgFYWNrW?X(;7uBemyk>{!95@ho7%>&8F4r&u-uIf7( zwD@&NM&@A&!89`qTi3}iUmY7wIBROpOdDTjsg!BPE5&JNx~snpq;!?FaX2 zSCZ_)ij2+nyQeQ%vx+n7#xe0R6E(-DzD(I-X6I?gCp2)81g8`}ao&Ao@+d)x9v>T{ znyjRoCnS2mp4hYh{AtCJKTZ;dqtOYAr%uUnsd;$4Xq7DwDC-ZmZ|i^75dS zD_7~haoZ)7a>f6Ya$V(7B0NH1)LkQe-lQAX@7j)=(3k$Ksy$jw=8cuD{h^iJT@Q%< z;zi59?RK4=aq)=b%#zHDlVxQmeLw7?)A8X`PtFcoZF1*gM7ZsTcS+ha7n-f>s=KSk z*U^?RXByvet9|>o{Awv9b|&jAj62>uHQQogW5v8Jbg*^{IVSPBWXmGGy$42i43PVY z`BinEmNrr%)7NZa%8G4QY;y1suleY?eD2&u)v;HII@8Tha!v)!V)c|fTglVwH@>&& zo%2}X6jytPef&vDWE0Pik3aooM_idcvMq1L=hu5!&F>4gR$Q6+2!E3<+@eQ*9=BSx zvd>}lmxBhAMXpSg%vq_ct^4bU%bdF&rn=4cOG%sQ3aw=W0p9D|US{T>5$AljcJ?@_ zI`d_}_84mFNw}>e^PWLZlD)8hLwVw@)ZodZh0ppr?lY;ld9dqwc4~0_)$DMYT)$<^u5+^5+`h>|3K>NKGO4ONvfCF>V* zdD~Kp)M2H=<(%IZ?Z(=0L%M;%-B7&?Ml3Xh9 z26%6JdDiJe_Vt(`iPn01Bdu*tGDJ!ue78$ztnsW#55vNfQ_Zwjv_E@N+#KqDa^eUw zcWoERe719*))qVQe!l!mx6&S&D9PmQJ$m%8a8~Y)iMrN)ONhAoKw(a4bNKq5vIP~L zBDuak%O%vbcIYsoUlWs}Zf_+5Qs2{y3TyAKGg7>M^;SlN)v?{8<0tD1W~KWmE!yN^ zLHfBW91gj-E^Gf#f8~D3r!seU$C0C@rjC7@o9le!Qfd;}U}4R}2*~qA-?+7Ai-X6p zu`;7l6AP=W&t81!>V7r1ngky_yKL!lYugX6$~~Nq2A@~@_3PBZy%)o#E66>6@T!+Z z&hPV;8Lg(bUHJ2_-^0wsFmge}#Q(-z3?mn0=B#1gjbY|u7`Y&SXHSOF6SBu;*mq-? zJsCz%NN~uo@5V5DGK`*(yZ46uM~2yxVf2K&di^){WEef+|N8BZ|H$xv3T_N=E?&HN z2?akiX|a?RTC`Y33vF5~r-cqJbZMbSixsuu%zvXW{!L{3Q!ikctl^Ix0GjdcKQ{l_ z@&8%gAcd4O! zAYLJw{*)bA3H2>E8iL0-w4aA*Ir4JcrZ(&X2;ubKv5Y`9gVF)D4~F@{E=DlGrW(Ua z>aGs$8IN^VEdqT_=8AtUh5I_70Vq&x)}bC+nW=_!A4K4@unv)eDi`Ez2#o^P49G4a z2$>1uAao_dmbm0uNNoTQb7;)>&q4E`q1dM(%Z+R=K#CFTWs2NQ*;jC!NU`$h0`LHs zw5NpbTZeBR<@d>1RG@LeFoTAcm)=I?GJf$nd@Gj2uc9Cp(i#GX=>^yV4xus)f&CCe z%93f|GFXp98YVvl<_wVZb(N{<0z#;1Y<48{WU2(6bs_M_?<#=`kKpz%G^+?b6L#_t z6=A$VfD^S4XnziQ9@#`b!hB>Gl7~S5WJjI1!Z5&wXe%NX`-+D-{5BvF9@$2P$YdDv z!{Yx1?9W$|$$YI;x2GgT_7x9{Ww>Q*`*5h~nSdNL2@FTlFTpk(N-E4K;7#!TT}lY7 zQ>18gz3ck9JP3wPMm7yIVFK%rIg;O?`XQ&gWcOR@OMq=e1>+Woekw{N)Nfq~ek#Y1 z@dL6>H01zT)ftzdR*nAt`d%mmRA^?DtHFsN9R9>kc(*`l#}z^zjDlFG5!tROJHQ8z1<1Ce`^wCn8PP~*GU5Yb(P3?Z zA%&p&rN}q~Ny=ot6v!1|60^k!g5SYWs0l$pK5k2Ow?a6|>rMjt6ou;~_nTB@T%x>0 z|NDm+s}MVjZc~ax^waa3xK0!Knh8)S7<6#JBA=Z<0%+EO^>7=Zf;Hw=2hEvaMWK<$ zbZ)^K1IqatsJAa~9*2}n&zOLzQsAKWCsd%Vf?o5wNnL2-nUyJQh@k*4$!I+Yyk?NSvy!DSSAJ{Nk{L70QewLa9 zSMtGGR|6Hnj;Bzf7eE)i7vQiG+H+RK!A*0KL+3ULu+y8w)qwNdid&BJ+-pSF5F`#* zIf=g+Mizw4MF47B3lQK*G*nmsCaDN(p9#Dw&dWlR$U!E>ZNjfTkB1aV0f;LYFA(6N zt|EG!bUuSbxdh5Tr0n%GumVE61w8|t09XS^9&$SXvFcpFRNS$E(x7(?FaAb&qimTc z1?DDzIIfz++w>UuFTi{O`G5xr&WH_9(Kp?Rkk9A_@-iHa?D|%vax= zyHwC8LY>dpvO6pQAnh)ZWz}b5Xbk!>?7;=%MG^(T4+@+XPK3HJuvscm%oTudlc{hF zF9SLb&Vmyn0EHm8V=1HKV;k1?*Y`s97)}#t2VSyWYy2-#LA?m(h<`1)bsC!OAT>a} z8}b0+P(TMJI4+QXzaYT{wGn{F0ZqR!vK1CUmPl{YkV7yoH8EncB9BlRxQeY;Q}l-N zc)+U?$O*dkHb1_680gLSw*dSMKrOsr(+L1RqCAK>kVSw;uq~n)sW1>#;31LV4~rp8 zi>pUX33O=OZ)n6KNXT>27Dxi@a*mjS`~h0A+h7_I{P2XP*^y_}AnVNfS;C#q;oP7N zKpG?#a62xf`EWPWpfnV?7Xp(Kcxm7P!VgRj zk2JV9br zC?fnfJ|pdmJ=hg^v3QwlNK>bVJ%)s>*n?~w3%{+9UHVhuVioIa0YI?=NhFNaL;y2g z_uD~J3~VqN6fH6QRFKgw>OvRww-jt3#T*8on-zlvFjr$xLU!E(u_XxB^|iD7%x z6p$+{d8{<0``#pBDV{`d0C;xI8r4x4>%IkSB9PM^%j5^D?uYEgqSu^5F1u}*A=L5o zn&;L9l3$8$9=BdHzV|hL7X)7wM&(8_Q}`pYI9X`oM&3SYqcef2e2Duubp${hw{@6@ z4xW;3?zrred0LnL; zg&<1*cySEE5PNzL4S8{}S%`%MX&lzDy*Pk}u)R2fkp_d(9Kb`M;=dz9{J_9aK8Xo8 zRN+)@2HHglaXb%pyG{JJYJ<9vMiTA4_W3SHnOo{)rR7P z2#Py%P?T>)AA>$+Y!vFF=yF9NbqU3y96%uW7?eO$Py$RbmmPsSF$C)Pq7C=KT$X>> zmIO_>1t@U?P=ek-2{gx3lXY*Ng(e569F%Y)vCsslfGThtl(^bx2pNOj9xcH(GQ*$* zf`bxSVJtMckrD<@CP)_o9}|>tz%c&;qY#fTFbcso?h(qo6-6kKQwAlt6_lV>u%CG| zs-SjIPEMR<{)I8bQ8|6vkMiue2$boX`R1}rW27v{$N+I{&q!H-?M)sOv*E(FeC!mI zdN0n0igGgCsAEiw!I4}Y%Em(|?mjz2X!P25$L=&}IYcC6iw?WW?=>Z%g9wq~rWS!Mu*ls28m9kz;xDqllmGBgav?p~ABUEQBl? zvURNGI5C31cw{sxF@R5`@LCj^LBWpXAqP$MrD zR=1m%3Ws#0lBEiaS5z2VFBMMeMq@394HLXP(5(7o9lZ;BGuh32dMyiEZwUPVd{57(qW2yBfx(a1B7v1sBxQO_Aqkho+p;m3av6NA76wS^$(;mz#*>^7fFGM+%FHWRRGc`CnlaGDM^NR~Us1 z(J22FMj`*{?NKt6fn*p)A^&)L{1+I7NFyfnx-U=>+6-=kHtVh!Mnwkc3$Plf z@C>Dsp=KWVkXFzdX#K}hMS|`!lzWHnGK`M!;bVP|(cC(8mmz!vRe%KL6_xZo*sKa` z5Fg>eVbJ6_gF6@+8Pi`HLPv(^3}m_)q%+u_BG&0!BHY6GB1vWC&I|z+D zHsE6WkqnU?z($6;2cZ#kt4ycV$RPMJh>f5Tz9^nvY$St=&5f|D9Kc2f*$%Gm?*K;z z8v%|CQ5-|q2q$Qb7&iI=Xk@4h%m{n$KRp<~Lp|U{{@ud`T;#+ldUrsJ+)Dwi0Q94V zVho@~IJpP6Rq%rYBV`c$7=%UG;0HxTxF~+~oW8>#EW+-fs0cf;%`)2YZ&U;T#+9o8 zFcRZ5*w98%3l}P^PoL5D8`uZxqsMyETL^9hcZgX%*to+6JM3lCA57pMrX!Ow9c6gS znmY7$4iX=He|qQ8-qq;+LyNFK(3iGe!tud8B##~@O|R5W50mCn5+CdUC&K7Z0>qMb z(3D7&5dr!!%!mwbQ$oc1BJ)29kr4)9G&VELZc1zHq1=WGt+~?LURvy?Fg+Mr8Re2^Punde=C6tNAyuQyUh(3&Z>QDtY|)AW-U-&Ui#d5)|^_f zIxk%25tVY!y*suvx>!xDDJyw#V20}3`0UqxS$n)!^nL1QZt9Vhedi9kd#E;7g{sU@ z*Hf+TOty8h-#fdqxAeftQ^A{CNc%IZ6>}#vn(7F~=aznXzQb!{cUwnax|&$Kv*m{U z>jnrnE8#h0UHaZ>Ix`M>zF@f&pr>;_YHS!4tv}?K@4^-sOjNRl6+Q^=XNqCC&JKSJ(rj$cecI;6X3DWZwHZ6 z9#g?NW9v3a%?)BRSG(Hth>X0IUChIZi@UYk^LlO;Iq2rCZGJi@*Z*41p~K!u&kr8- zR~#umYg2don4;$$T_4HObqlI0n)?RQ?^XKwpNqPsJx%$xv$Nd+QeW+;yLtCMB2@a^ zVY!~U=UnL}BU<`pba_jwFS&$Q^1XS<@g(%x^A9B6^P0Pr$H^EYfw673b33G4ZkJrP z^154>?h|)J|G?QI61ywRX~E75&u$%!Ji5f{TxOuz$$iQ?+s}nMrX|>%O3Wsay4#n2 z`utP)>&@Jo+Yg0h1k7EfnxBVNDr5ydz1+TwLE59!5xO^_Tt~uy{kH$ppodFBRsTM>>7LsBcO@qGj zrruv68#lf`vaX%; zclh=yd8(8gS+(R%?Z>10?Y!N`e62rVvCZCPtbllOMioea{YS1b@!ivs(%|`vc(dPp6E6!5cU`oF9J_mJsXAx)h4a_< zj^uh}XK%f2+|}SMpMLU5wYfSiD@rE`5w;(zQa9KgzI;e>+=yGL@4kEr2*02@cEU>S zzLu(kJBYKFqY%f}=!lEaM>wjVnyRVI9Cztd!1ynpez)%1U|98#q&>-AFVAy!i;1Pl z$LBA%Et)RF+Bb!B7k_z1xKgfX`95O0LNm|Xm8RVO!e@=bDXyWyu}_7hSfPkRZT zTHj*2a0*XA+hd21FCsmN?~S_?0lq}r(XP5)A!wv4NI z{*UUioP|9ftfp}P`r*HIQ!7codoyamsL>Doc6)@*WqO0O)hABBxo%;)mDU33^NS{= zk*!N+DW|U2tU0#XU`gs~`MXOL@!frndcLu`vUI$G%3I>Q%W~(WvZjay+Pb=*&&92I z`y}a-R&AMceQrv$&9~;`M6xOGp+$A-ma?QvZi-irZ~59%({gjFRM}PyMakw3V{3`t zq6y+9E2e$lHs;IIqd)V5W!~Hg+tT)Ee`{W3#PN}BX=H(-to(0xLxppD&DN4f*uE znGsI1x1GlP`o)#7O0(>^r}rMA%w!t?KyLH9K9eX*>#ZjJ$%*E?Se?=xwu>j;xEis% zJl&)&{n|xS<`*e)I^yQ8_Uzsso^Kz!AFftwvR<#J(XnsGqTGyxld922iO!2>WsN!O zW^sgEy#A!n*2(lkLkmZ#{pE@wS)S-(e)f2@LhlH2JSpE|qyPp=_M$}akb9v_JF z5YM`wk)tbt8!Zce;UU;1peb3LivLwb|<``pQ~Rw9j2HzFffwl_ttF#K>;==|)=TxTJ%_m)P>4Mi^p&d$FPb-}pu*)i#nLK1Ix5eMb#ahcBoBzta; ze)k~SDmQH1qcbk{YIl!l-4Azjm=Z*6)uU~UR*D4~ig>NpSv5M+Zq|*%+qaDNn*Y;s z*2u~m#~8u$WH5NsxOZpbToJ!>e8PZ>9w((L5mFaJc;BR=Ys)fw~_X*g)TlPBKL3z{5b97 ze|39bdCUZf{+|h=KhtMR@NhN~7e~X2!tD3Sy6TLR!Mv$`4zt_VDDZP8OT9S9Uvw$N zn!NGf@G|OL#3|+8A}dvq-!B&plq?$PYI>rnAQ-X#fcHTn_w%dQ{0XX4ANwC0-P8ZQ z&1I56u%--OtDT}`oRgh{Ir(z^>ZIcI)Eh=)CZ-?Sx#x&%aqiw(lhjSV-F)n!IaNcC z^gqZtYP5Zyk9vJ~;<`1Ot3L<3%`7P>st8ix&C;DSXEG^w+A5LxFzl4dwV{FMx_a(1fw+VqJu|N>)Dyk+q zSP|w5eD16^oAdLq*;>QJ&(FIyMxA!w^W~z``-_M6k!=;>$G&C9hn*BHzA9OG>x4zV zyYufSw_?wTJc$`ym|8$8OvedD7#ZpAp(|AiF>9 zw*2JfVZW{Kq$_u1=*fLt2WMR$k!&+NN$$r<{uk$nO~;loVVosrHoVth9c?SP>Mr1; zQ>P>RCQR|LGNRQw^;!e#YZ-ly04$jWBffs zE<56oajyB)a~d*4^oy-XkK2q<1C55C^5<6;#vJK7zWJqz;EQmHOFP@Ww-GgN_tAn; zb7XkF?-r`sJy7~MVe*4mt%CGhA+m9Ycn>ZkiTe_FE z`mO9S_#M}uyjQ1hgLJvp$16MdH*^OBLz*w~MCyj=?KS*a4!N7ew=wB{8prwW30*Xm zc_V&q$w^_7={Ui*{&baId(yswwfwtC-}|Q;nNH0oHYoqt-gKhHkZ|W5uiYef?E5ui zkzMaD@wut8}QPj7rZqvK()T7oVA85eVd z-d(ebWJuFV)ySXm)Amah$6Xs{D25RVlAiH5hGH0@AXU}F{vE>%#V|ra{?3vNqa;LT z{Qo|M3(;8gHW{CvH zv5FR}X`xSxHMB6Gg&{50(!z)q#4gaU9T1{QQ3deJq#% zRdN5!E)Wg%nHTpO&k%0Ui*h$nha`LBn5D+pUh?MdDO+86raCQbkD&_GfEcfB8siWf z8ibHk?wa(AKtDxO4h0g zH0ND82oB>j4CXB$>%6-YXpg`1*QP`An>vC(VnWouC}h!Nh}Q3gsf4561g;ve8^$UE zZSc=`r+ULnr~yl4dS2Z=z61IOBK+;3ztutR)t^H6nscCMPZw-2h&hUP`Uo|6%Y3QN zP@b)qNcX)417W8NxdG9_Yp0KF$&GM>VgjWCLC-k7cz>(KMEb|uq?0yU#a0!P)e`OF?k^B;JPfi~9@-WJQQr zo7Eu#-9BNf1FMCMOZ(Q%6oQ=N2kGSpDTjlJ{#5=3_yw3P9NP=m|f<7{64c1Us)J6L}gQBd(r$U)=CETQsH{?_s-KiK#>m zc_UT9R)S;==J0ZVMR^)rUut`xCeWG(6OTFKP{BM2pqM@=Hg?OEAiO- zIATf`Q2ptIEh3~b@GwlEyTy59vivN+$DS;t;qj#d8)k@fzJ#Wy1s#=2dJryiuexuZ zBhmFDo*dt?l>B;k?~L=B$-K=#qDQD5(!aae&+^_++!kmJ4-<6IVv!+pfeUon9NKxyCF z=>mWlJWS_GVru*E)I!*PGJ);I6d)3p+&0Y__3>FOXpXVn#gG!0D{?};cUeQGjcm)k zd}!m$QJ;(A>2$)#2zFXMnH!kJ;f=FLbr6L7TOcmK_$C~FWPf2T0Rq6=loO5_A=df) znkRuIkNI@M{vH~ z?rR`{-lYi+IBPP(Tp{;<$d5vlzEn5XKBR8wf1gZ(99Mu!$qaK@JB<&s@Zh=`g01<{ z9$V*0Vm&!#tx3l9y@txGqwwo}3QTb(^VVh1@gf9ooTDc5q`toh;O=XM*=B{lmeN@CMo39-*bH()A& z<@I4GeoL?gYw@NzNQ1HibYBfJIbhzjY1r!nMt8{reOX&#j5yFtW>-tt_U zA%wcgP!SwP36Td)=tn{F)ndu@TivW>QiZ>l+{Or;$kUh!s|>7-+lg5!*<(0es5LM8 zDCimJ$ESA!ajU_yyJiaVJ^&z@i|5I!q?0?B%l)o=cpjQKKcr|(Aj!{QFl@D9v&4-| zR)*8~%}$J1I2v{^Ac-b%*KW@uqI5n|Cg9z@V5gOnu;PO^!IQ4^7A1IoSCjM$u7g^# z_g0ND-MF4k@M@_Cse|eHwFoqW!%8@JWP;KHZNx^F6bGsvh5|qo?Ra(_{t*N#Md~@{ zHPZwzC_DkOeJ@KK#0)YoP%Dad0&K)$$+%vS7MK?h`6*r?{)vwKW%PH?5?5nY`pfQH zH9&&gf$hZ~?O z249Osbbtpqte^utY_AakQl1|P*P+p%t>C^PKTv)@7|$L)DC-!ZqMydR#FGi~|GQ-R zOPHJ&Tntr5z*EF~8-{Fv189jVV0jy$&_AKahb%HT{VC9Z=Z&)g!4S*Eb6`Hy_S*p% z5!{Vfz~CkVpyBvVTwcN!@+b2ES3F4B2}tt*!Fr(B+v~!z_nkPN=yod&8>>4T6OX<+EXCt_P3i1FAw zM;xSynp?fe_0BjxJtS)Lfk^r2*s!QLgCLL2;RS@4Vu;_?=0Xl2J{>GGa z!Up3I5RTJoe1X9;9G)M|IalyCK=dP)5n{1u44(fdY;^G5D-|n~3VI{4*pKchPCSM2 zF3eP?hU|h%44%~oHmC`8Sj-TGZ3oO;R!`=FcM$!w&gZ_$*aiJ5o%jwrR%<;+G^;zL&=Lu|IaGAQEUH99L=O;KC zEXo|UY3KJE;rmw3<^6<`Fi)c0P3B_wK*z5`#yt z(+c_D<@n&`azEZAo!Ci-S8|XYp)5vfh%+#lx{UL#hEwpUyb7}-;r1sno}1@Nbb-2} zU$A~q24v*~4lGP`p{~ygb2Y^G$H(*-=$8Y0CB>mUi*erySg`mOo^iMd$-(#v%0ciw zYd^laY;T9*1sIQi3_Jd$TOot_R{oRm_=9**2awT}@emqhJlGZ>dPp?FMm(94)O5s0ibggbky2=N;Sdx_>Sp1!y!!l+KvF0{@?=%*Lj5b@wd3@!bkqulbFl%FTE1|`*1bbS zfSoM{Jjoxs5wni*``CC2V3MI!F>E|#OFi4&0x$`VX+peN2diMUSqDE%MuxBy9CNC> zKHon;3bqyS%ENi==y2B;IXw!t81Q5mgjyiko9Q!s*x@2hD)pbNC1~I=3l|y2Sd0cS z7M#~t-1Ye(_`?+h$Vvzf@6H?)$PlAX;DW$5N1^n~ zpfAX3&>8Rr8S+LUe^T4v2-v1CL+}fIr_iwxir2^>{jvh43e@z)8E;5UU)V+?YAHZA z2%CNxbQ(EIbLCLa6p$Mfeqp=FNLhavvXMa|nh5HdLTzN=nPR5T0ml>^Y1nua3$onx zSr#p*arxu)reN#ET5LIe!`4}B%}vKI0BFHkvHlm|nL+->{xjRPMJQN`ux(pr|1bOv zjBG?8lSfpuhD3ExEPf>yu7fXbOV)Dcy+q#3kOP2 z-y4{`EXZPWO}IaMK^E|XX&SP;K}+3s+$2mMQEwk?ttvs$xd($4V-9b#5bs%S;q8MH zHq&R~u%-9H+lO(A_Hoy5RCs+wzfllH?rR?f=5W&_1*Y4qgBjH%q0lJ(8ip8NEz#=C z1n<{Of%of133aBwQ9zy9(a5cy)52O`;dQ=YatlX~+koYHEAevss+|5mMvE5oS|bcD`2lYymnZr<8q@=PViP|>Aym) z%hg+*k;2x4MX1!8>2ty)=!BIza~*9fi_x|l$H6RU9EZOln&~(4s&l|G$g%~EEbT%s z`jfeB<UASaoyo_RH*L?s$u;n_Fx*k+8w6-w5Jf9bS}nIe$_Dus zR;&H7BJ3)t-XPJ!O!P}*o?c6H*Kd;^*(&J3x;4XZJoDObe4D_?MjrLHu_GA+cl}!V za4;xVK1`$kb?e8Ce6MQ-^qIH*y)g=fy=2I@BZe)L-=d=Re`Y};&z`@8ZvI!_j&D>( zC&=vqX`71&2`~PYVMc~+$UsSn|24x5%{>BCVwjZpSB9CtQz(NOW4u7Rk$Pk9| zUn!KoW|;X83gtgC%>0Ey`6t87peM@zq)Zf(q-B@y0l{F;JC|~c7&WgN4MDs2d%IH4%#6gBuuy2;0CR*u?^Y*WP@(A zagBOjs`SI_V-U*d|2=>TAEj2v{vDT{N#jmh`aDX*p^BF_if0}Wt{??4K z{I_N}$qY4fM8VI4pZ)HvS7iv{FyMeG46Z+*g>hgPIAEkh5gkkG?SqZMv=NhvHp2I2 zuyG`9gr5y`Al{FcrIz<7fZxoG;ACL8xQOG*B7y!EbPY{K@&^8OdN# z)8N?SvKRY!vG-x3T1TAbfLRQ?y8?U%2fSL){S%l*j21JFcEdD=-EBm>Ev>erd(U8Z zFztqA47>Xox~;Y9S@a~4nQ8DSC*vsn(2PsWvD?LUu<3{$Mk=Af zP@*(a$(%%k&?pTmg_0(ji>S<%CJ~8}RC>>~H+T2_Jf7z`e(&#(_q~r}t-bbjUG07C zYgl{V&d>KeLuj|3K0QE3p>z~RN8xmIkd7kg=nx$prlTWtbd-*cHTwP!8IDjv*Xh^C zR|gy3;Z4(zaTs$`+h6^OMDm(Rw?-Gt_7r_Y;&u1RKAc)}&W>UDIgzU*Dr8~0hl1}M zfzH!C8QUs76iG*9_1dpG^`Yhxo7f*N{FD{3D1Lg6MbGs^#xcr$tD161s9mMq%y@Gx znLg{b%aXDCHfSa*@Vl|yI_UCZ4VQ8HS~9jyc)+vwsvHRm$vAv4z zry3NVF1+AErhZtSvZYD?>t&x0YbIui-wLyNIl0c^hWq#?m&*O4t!2og7%2zYjE+z} z!R)cmeIJDDaUOk_ydrIrbhX9trHl>2r2g!JP>F=L6SHew6V&gH&RWYJ*SLk>;B~BR z-^@abQ<~((5jD5Ln5S-vZ}#NK=6~DDpTB6oz?EPRRu+?uqcVEU2XxM;-w(MvyJdC5iDi7w_XEDK|B)T= zQvKPy6J-9oRDS14{?)$9%?qQ|;y<4;;Bo90lkVX}|nj~drI zp3}||TU~`8PLlPiO(!hHT|~=8DZ{YOJh)KYkN^3iG|OpUZ6EAvH5Mq`kRx_O zk_4JgWOM4cG%wb5PQS~uxM_#i@ZQloZPUAPzQjFlL$WA_ga!XOts*+<0 z=l89d)wDr6w?EH+Nz<&i-sE<;*3W?39vq&UquNe!6q={ljIHs!xayO}ixW0{rrfz? zC~E%q168hLe5Ut23VwBDVboIozK43BF0$ptZd-EEN}8NlCdpQ-^X`EOPis~7oNsT} zjpzGXw?>F<>$v{59H9hv(iOFF+2jLb8?D&tFQ1)s>!eufez$dBlM*dtm6ptV>|;(| zSWO?xm7>O*swlw!^~B^cm-RHId$#kuKQ?VleWhJvIk9NGnO(51wrc-SW94-({+|Wv zqkG?;5aF(Iv2}6Nsk%juPZsQXeN^y!vFu=Vp3}1nF1NyMl9RfA;{@1Kvbdc2$HrmYzNy<+l>z)xYzh3iyGg5GS^ z;4PN99n@$q+fzQZgdFbMXD0jA_-)>#vqnP|Q`2m3%;&33o0{X*;=X|Mq0Av-e9u_4 zFyf_637AhLr(b=F;7H6N!ub(f5gKMMK)IS=^4Y(YPx7aQ&?Sl}|RY zs~Z*xbzGlMf)>3{|B!!bVQLWBzFgw-foFRJS8(J7NZJh@da`|bM<{s_MEn=nx1G|lxbny+ceUOE!W(Lkr}3j`U%f$} zMcqM5v7H^~CPip$J)yI}q9CxypIb^`MYqt0RsnlfuU@G*nK3DjnRr(rP#$)XtrP9^Kr%ZA!#)n9~ zxIml>v_7u-lpnh3w&TLIMLaDzKlU%r)B0Kv(-*qvqV%gX#5#YmM9}1)t=FdJxV1Vd z4ZK)UmAjxSVek4D-(^*%vOh@MhF2N$(wrA5WRkbu4cRAm@rRp#bX_4Ycvj-e5&nis zl5hDc*M;$^?PbDru2k_)w{y+v%J&SsD2~=U?<^S~xR&U4=#NevRh#H0;5C1E-C%jr z!qba|_Gpj&c1^zL8c%gPIc@tm#;(|R?E)ch;X2(D};B+58OT4q)%0G{8Gn9Pi4>vUJC}^kx^V9{CsJ&wKWn1KeJne(I449iBkI7;nW@3% zB{9y68Ww&l(dvVvx?|baiNWjCSjAteAXwuAt9!(wXmE?yi4n zg&a?XWYLk{FfAEQyU}$s?(fnT-AlUH;%p`beGOW5eVOE^hbA9O=hj@>$!nST zMYb%%V6u>_*{|-Dve%TZTVV|$Gb4?Kp6)aPk|b{~T5 zo+I#CLp*BIbCXBmD@S{MxiqG6BmzqdZNp3K{BaN+<8-pZVLuXZ|)<#YewA){%SWVpe}IXSf{E`-knn( zAMRY-@7Hi*5$E30Eu=-g{m_crDR+8Y_0Zx^=t-G%Q1fHjR4+C1V!qI; z#Q7%|epxR&+!WfDx3$_wqdmOF`ngEX?gd?jLnLeP>e?PzLM)Zy<`zfKkH$xYkmm&|fo@R%x zzGbF-R&_9YbM7M9DAIUxeLC+=p{>IAcU2Nnsd92TN40K&;{#pJnqzO5^1Id^Sw10t zT!Y!&(D`gu@nrG&#{Ek;U9va5m|7p8o7EaRYVqs+n&%t*T4c)i>EymAQ6d`{af`E? zT->ZuE zN7;P}nYp?C$m2mWALv!IhR#=|>X?90PT1nN0tuUs$_Q}7S`C}f|3F!ggj=Ce^14Xs3zKrBf+0L8TT(Itq` zsOQW+?7C#?@T+SSCE#r?A#qS=#z0C4gcb|g`v_hM5>fQ7H8c6&K}@kEU?!-V0uj6# zqU$2*p?W|V%jGyIUty}u+e*q}y@8i>+&aIah^0sT{nuLXh%oCJVM_*$r<%sK@U&^RdX;f`d1 z6yT1-c=G{BXReDU4?WL43Rw*5(s8%t^4yJ3_;##>Pd?RTp~h97-D9o{m?PfHREevp z==6@|M2_RtRY>R4*x%&C;T_gy*CXL)&jX3r0}m}X;8(wL1|<79=rRzilJ`LhL}_vG z2CyQq5ZS0p#`itW2zN4oVxI$WW*Xxqq#V!~kY#s4(&(sQKfBi)StLQW+Hgt9MtQ6> z3gJ(AoP8@1o2-0W3TtsYyTfwuOBd!LhsJ%*6W^6hebTbC90ugvuK@a)pcjaf9Rlt?!_d&<~bPsYh9`2_SoB?Q} z$${%MggYUztk)3kx{G?^`H)gmgY6*H_dd!1Z8MRc2#^T_&vOsEQrY#|31BC9&ls|E zL@=>4aAOpMNoArj#u7M~l#p#IJZakgq z&DAJR6CCma>f^7#*;pKn%m{wy&;XS9-<8MjS&OUvUXuTMsyC5Lh}M%}zHki85gW9~ z9V->6Z0fsi4qQAyxe%(0UqLX2JcuYr`Sean3V?w9tp+XvU^>XFt6;3CphxMA(I4QU zf#XXrR-8<_PrN%;L1QcdAEI`ygGhXVBoFFiupWiw^hQHHzX_Za>Qn22qF9Dw?yd&da4IZ0Fa!)m-#TIn9ki= z9#4EM)Nl`_h1lV!KFK+{)kuyLf*MXJWKF2N^T@cbkH9P}#K+G8OEG2mdH!*_ORo#4 z@`uTU&;tqDAqI8WJk7WkR?ouOrx+8irsN2#hZdKcnWC_hV& z@}~zvDZxOFV0VK1gu{AB87rpdLbX$*F7&a2;4pL5g#5y1=BCz8`l5 z4$!f!W0!z0Kpj6tYaXNo+Rhj6by!A?JaCSU6+jpvjtR;u%F6Aufp=s8(E+JKm|O&Z zYgxQEB*spl7nWcFpn;=w|J&2-@J(YDPUw4b`4G%Zz!@FhtS{|&gKybXNR-HXoWb1` zs7hK( za3jkAXh7ay^Gn^QHSigTeUKe~2KjZ#R1Siv3(OSUPvZALO8#&+d7t%Mk*)`6`)#!) z>3mC^aecya1F|sKkuxBH1Uqhl98(($jTY0J82S1z?SP#&MBmJ?jZm(iUOwogPw$4K zI3_=yW=9YTz11SM$4C|vF1|OWfUN_!0SP$o*cL-sHu45MpfS+15+DVXsKK0|GzuED zkD0OnCMz$p8kf!u%)9a&uL^K^$ueHzFAXq%=Rr}H9(+!)bjcK)(UTs_<+rvZrXj&_9oq#Tb)=!}% z_(9leNf0>G9H4r54G;qZ>4E3Kd4OHf(*?tn&-YRY69QpQ0?6MeIOay}YHs2vDDi*` z^jV`qKuw(Uvz$HVLj@2!bs#|TaM2lqDcgI^EP;0=F~ob765qQDdh+mHoe1fFG|r2^ zrJ7I&KsQX}!kv`rm?zQ&bsS$8_!bJ~$o1A7@2uT7<7Sm<}3E z<9JO9K^K7Dx`ppH06%nU0YL%^!W{-9EjVssfZMPq^b58338r^lxR>M}a#`$9A>8 z1FSSeKHtT^tO9)4v`>`YvO$1Sx~u5TKk+-brp@|JgB+kH7*2y?a%@1!U^jz#Ino{I zYZJaqSIBd3aw97EWSH9k#bGuE-_}!wA6NSLA%Pnf--mD53r}v}$VKqsF$-3n$CU+( zm83u$O`M&K{r0-}oY{vrE#$^5h+ln%4)tW~QW@Om097XUKgq&J!T0dRko9xu6}ZnD z94fh&5`a18(>?qgq(6&rK(xkn)7R7j<%rKm=1Jf%fv*+&S_R(@Tr5=!Z!iB_whGzL z3|C2%hiXl;RakBuTYtH6L`uYwzuR&Aa^qmKAE%@em@EaO7y>Nh2?(cO8poS3&Oyaq z51Y*HBfhX!{^^N1>5;_^v{W}@=(6%&+m@sx@FahlbnoWQfgAIRt0nGr7 z>d#@LA&)C&ql4}r2i@P+1lb$tay7BV3$(pxlh8m4FznGd8z-AE%rNMqJCls}U4r%= zEdp&O+9Ry=#A}P5#w+G)p|g06?#DiKv6J!II_216BN`7{8rm|nk7!5GRM4Jaqt81r z5J$U)ms$tCn{6l%-PtMV7F42Zc19P%hfeMiI%LS0FkJmj*aVN`kJQlW(7e&cqd}%- zY(Qh6ok!D0YeNggA1Gwu&mXM9v#)3;(dM8%ryuVZ6v23yV8Zx?L@<~KhMtlO_^bwK z?KlzKCJa;71M^7ZXtViumY6W^;h1kh8+}fTp^nFTwB2YE0<^HUB_N!!A8mR-I8LRV zRug0=dP*BbO&BKvo`aiVBm_=pEDW69$Q{SXu%BM$#ppio#gGW}Vq8bFM*A7))u>?7 zC_pa;hKqe=v*5U9MMuV`AVzOYnh(F*cP0? z5JJmo;Ywia!SR|H+{L(wW{1XsmV~w#tpn>0qA4YqFxKGtH;kjv=Apep^Fxzv)G=Y~ z!(cK!=+!u;9=KKxi=dlz1zn9fx*h%K!~pJ)U)YQl3!7mAIFy}05Xl^xQB+OVJcmCD zTuy$w&`<&h`8+hF2pmr9X2Jw+Aj=+@rK^gMp*Cx?GTzW z+GDis{wAA^5Iux$Wi7fdUg1UzNwh+=^=QN4Mjc~*kbk&d&`^tZq)PmDy@2Tj85(A= zb8vCPuiziN0n7CQrWXV`A%EZvR;)5zV+KD1>-B_Yu>3o3FcQH+jvPB4`5#>`V0uAH zO3P`g0>u#ih;oq6UnrUIA6zeh5Bzu63!ogt6gu{Qi(nyv!L;oEKS!_#<>uuV{3C*; ziE|}q<6D+Z1}85+3xHr6WdL`uuwq$d{4-SrD}I}0aQ-7#1uK5rWpFY*Dj;0{Yqkm} zGuDKKF#vd=aKkT~3}mcOu!7SLV!0D*gMZ6dVQ#==9#|XvTgD1=119po+5p4@eT!fD zDoM$#w?M*5Rdq8P#C@plUwV33Py;8tGJc~EoXA))T#QYcI99U%6|%w!zl`4q1Siv& z<<}N}<*fXMDDcQ&{w=Pp-^j#Ym<4MMa32Wwe?b(#p$R}ce_D*hoIfoF3Gt`JU?Kim z%w!AbtFsAv8U z!wJefMAGggeHukar|2k}j!x6j89IugqgXnMqoa5_I!i|hbaal6{zsk{Z%lq(USA;f zQ13>-TJ>uxQfX7aU*6lYQYuBH=}O#a_yRuF>_~BXq43%y-A?j}QS_RI2bxk#}wQ+Npb(~nQwOH%zUI+zDu)9vwHhg?e`aKau#%| zT{aWA@eP%?tM4LHV90&6_9c6si?7$Pnbm~pzn>DO>{{*ka#JdUoO-KW7p}DVvaa_d z2d<1Bo3Tz;R`eH7+Ag)X#(zqK_8DSQKJDS|vDQgTF7~BuA9tW``wNL%eg;{?*Jg&O zzRQ|yLIxdAYc(9|R(+zjMc}Mq{yX2^Ij;_=U;7-~GcntoBjzRX5i)8GQLPcbs^|VG zd`9#Q{leS&l}k@$iui$T50CRJdSz?ajvekfy07MWPW?ksrI@2XH9zst1e z;g9Dw8$Uj3%)2&cZQ{3>wSz|F^2Tetmt5y%DYb5U9j?`ElxcrY?6#lArQy^mfl5z; zn}~YnhPy}fNBb3TsuQo+pQ!I09-IqfWrtRU{ zg@eaAN#zd7m^z>C$=U0E?j_e}q!g(%gh^VzS#JMgqsWE3^NPRuh~IaKm(6*$sy$*d z(aTrc)OJ+w!GKdM!|mG<@i~#>S6x=A@i--N?Xw+w>MC-OBl@K|*M&vCwcb}J-E-e0by>Y9%iC(((Rq2Wy27Y%eFL9)<=dmw#ywn?J@Lp-_pyu{|`1YZJwjaiJVyl z?PkySjx)ZqCR8xPO=NL_VVGE%x0Lylo!R0Ei%9*b9YX4d_zJAOAKM%s2;n)HKlWIS z#>-G$zh^F|+CoK1V$taR72?U3!j5&JEhe8M6dZ1EO&yh}_jJbDMbY0bS`#tfM?Nj) zqaH+$^OBG23)B2)lk56k`)AIkr*dr`b!RsdwQSDY>uw)%&?^>c_Nj?l%-C^z55I-n zmu%x)XZF{0u$?|!g$)B@p;DZSBpk#pXX3%cGi)oL}N zt9s_W+ke-Vvv||>rOh%ox5-zHNwL3T`h(=!Whghv7g%r?42EchiQn*>v+$Cyw_b$hP^3W1g)o@tn!I>-|OL5PiY7JGyTgrPxb% zSY2@+vYkQdqbKh*d?tOvdBWMx2L%>?h+UHRE|x<*0UZX!{?A#74?+2VmL zr477cne)qShZa7ujaGaRZ4fPbrT-Ao{(7WjMUQr|uNkL))81-(q4w0yJ!2f&!(hgOmeh2rP7)UG3wKj#nIk6ridq?JtY z&=s{?!3)H8)*s{cQtZ4SwnHUFid4m}D^cqAsEPBkeD6B-vS{5AHz&(@$;ETsa5uQ6(GmDIYU$9n%AHd}4253re6U;4oyfLanByaLzR{ahEeW1^ z?dR!byvJW|`=Q=(%HrjyIDUDOrSs7*MJcCx?S~WN%^H347kxUd>nm2;8!CHi^%2e! zcgWIrhm~EreDjwMXIUqyelU4*%3)@RK$S{u^5*%GQctInt9y<3jBYqznR;iBauD17 zg_Zj@8x}4f7d~~k?v8rSuII$4r!c5yDTn+0UF5A+*7jCU{Ry3><%b0i*eG#^bJV$# zrWjMHD85>gt6LN~4u30Id}1ZvW0TXy6=O53g${j8nL>i*e#ubUZ`?k&E5^K4b2z5& zTEL1%jgNckl6TLz+_X?@b%`9B} zNYA$4#{In;kHsNL67$T7CwIJF)pEb-_jV2~>)L%*?M?csGfP^ zh12%UdVf)9Gf^xOe-)sS+Lx+%LbL0Vq&dU8*yd-hjM}vH+Q7LzR)v@Zq(6(=}D&|#A zC%Ce-VeXkJo6g7M7BYB%)|8riV!Oz4RGO3`;MzmyK!-laA zrB>|8%}aWWi`}?0M{O5bUts(x`W?}3o#ifk)%p^55}(2A19QB~%(MDq^go+~$$H(e z6fG7aDt9II$_(Ebc#U<5*J?N{uyJbEgvZgYUv%EC^BZ-+_z{sT)7VRHdViboV*3@d zF|XXyR#mmTapdX;i=@2X!c}fZP8l?fFLs<*z_vU&{NvGy02up0YeHR=Hc=wsBY1l&V7u$=<<>U-zrNIr(b#*VT`Y zui`blFxU0AJ%3uaF~joK>Yiv)JLlH0?f0WqMo^JaI+6#Qw@1jkt4imlu+Yx94UTYLuGf-IVWuu zBksP!jqYU~VN(_y=$qxwf0dXXtg|}y*5zaLLy&!OaTSR`x6knREJMoYlmW-w)IX=2-G?N?%_qUAT)Zh^+N~&IlWQv6KJoPr0kh zySH86aF^@+N^b9S^K$y)3$<9b6#x{f3Yz_?!o7c-?H_5#dF&{B*;CN!y<*a z!hZq~M)(7wqWUKQVT3;*e+Dr|I1J+9IpR(+0%DAC806UTKYPfG7j@Ds&x>L_{%<@lpc83{q7*wA{{r2Gf1b9TC)SOBy?21x0YL(~s}yuwY8BBB zfgUamKVL9)tl`%XzL(*j*bB)isB(-~*P>9^$o?Y#I7Dr>*S~;)LdgA!ga=+1kO_HP zQTPjH0ph}5OKN;g|8*ax-swQj@o)e1pW+w7A>s02XlKK(AoiKeK!rad=v9gE$2%#3 zaAog%jFkHY1pW=jpkKpH9F`E!vu=~*0Bh=LLFE8x_(-4@9HMmT*}YVhzLylRfoRbW z2bFRBH)Isp>7v3DJO%X~K!LB3EE{-=Xb%$w=nofaQ0;J?4#rj_Gf$PJ}ukUsJ}6zN{ACHEsLq8&fDPUVSq?VZ;skypAwUhFYlOkA0*BzE zAop0#l+4IZQ>vN8?>1HDYbi@W*%N0ho6vud@ zrk+w1)*7gizC<7xk4NP-l|>n^i_V}bSD+o<3mag%UX>mOWCKDYEvTIVkBnHjn2+sb zdVz>`-%AdJUPPTMAd5hpg{Cu~`Za_hP2wAb7#Dr$pIL~(AP`AVoC^&qQrtw+?F&yfw=`17*Xo9l(V{m2_x*R2>uo`B+MSN5>BWCirXRfJ3u>vt%8G|RPW=A12|2*{V2G0z<;>;q)YIX_?@9hDO=1fbTJ+Fa0T z>6%L@;g>CIm7f7S+6(A~*Qi8H#b2TW}7pQ>s9`d3!Vc{$rSU3x= ztv*O_o9&0oO*VqZ{03J?xER|z_N%b??VQjN?DFLc-1+q3ck?W}C zRv6VxF&pq9RE|{zphKW3t08J23643C%YigOfJE^=ldCz8PfNz(76iB=*^5(U3qy*U z^aJ$7J2a>c8oL3uQu+@q@ zP1iv$z`Ei(?yKpTx{kncgACMWggI)XCOwN5cjJl<$1$fa0njt)#V=$tPvq0x^L`N9 z@gaR7Oxhk5#YK7NoG&Idl8Rx9!0xO$n*9MuX#ja4(DCPN?x2*gv&G|*`)vHd{aQgmPj zH$?N@t5u+x!!ku(7*hxoO%$*v1&|GpzA>YNs=>V%<_HU!y6i*FxW4LhaAQsy5CD8a zi_=*`wEY>fQl1NF+RoLpFh3+DhWQa9y@x7UzMBN%PHUPZI|b;tszP;0vCV zcPfBrjvI=JmT|th9!1$S(ujKpk1ZvqcbPJA4R5nqeC_24(5NX-<4Y`5M`K>47hDG* z0dsZe_DO!=GrrtU!K^aw3#kUh!;+Ubf&i+`J&M_I@Y$6}k7Zzbu1D`AIMVH9@q1|b z{hjmp({Z0bgWzm--Jy4la2I)iDVYLK8Q=w_0OZg)7zr9D+;M}x!OoMFid=7RL__Q6 zYbk|qkIHB;7(Nh=KT$gx*j*ru1G6E4B}61Mj-nLOI?c&|@sc*=_e`)N1_TETpAJ6hnY&F8&S$H}ro=^)F>b8UxfYCtA z0MIKu=|KraFeRqS1ZD>;7N;fyUNX{f2*J0pJQwwxpauzd2+S-n^HM?)dkNbD3Z69; zox=47`XJUD0WD)YD--u2W~K*+(-s65P#|Z0B(PvVAeRFhI<=iTwjj6&u1*w)WP*Hf za{%@Nj=_U~hiJw%0B4ww0E6f&)ue}Q(wjH{r#)OQLxg@oVb8(Od1Houhie&>S@->v zV5Zof5l&!A^An~TZulB#z8Ob_c^n)-L?9yYKKQDmzy!P{*pZ-xh!o(N@wmZos{{YU z{SULML#{?3rf`$5P=dJ(3jr&q);IvfQbO$JOM$9j257J~0JmB>1BMXs6x?bB#AiOs zse{8xL+F!}KLMqX0rwxkoO$@#1Xcjl2moaVozcMwJS2cT7EC}86WD`kY;TG{0;&j6 zs~l>C0lIA~Vfs_SKn9cX!&KyY;C8`<1dA8M@dUzzh<PPV0&SMCI4U}AVinMVc|+teJXlKzDpf#hx;ea8BcGus8&Gj>OM({YgEH!ki>d<}lMt5>Nx;aJY zo^L?6hka*)r<8-PdJQ^{Z|Fp#(OsE`4$&kg zZ2e57AMam==7`3PmWsBNe$W^Uw9y*S0;n+FiBEGFZ5C92;~I2bJMc^ltFNM2p$+<* zu*J(_jovMEZ=LWSzkNbX7v?u!??NJ(@Wh3KBGN9Qw)PU;-G z;zjVeK#B_R03@(bJm3$hH)Jq?E1Zc3&>S6RcnQ-kEM!r@l%ccohuy1La5Y9NUF|~DcA0r{8kFhYM z53tMI3DX(Y`wbaC_Zwmd&QE_U>IM9S(j%&yTH3`PH*-5OltZPPxpP}Y*%>LJZVV%| z520@FG>h@)p~WR}jJ3|iEwTx8|G5$xc{<4-j2!+Ec|m5W&Q{mZoU1ioM|T0!yx{Lf z4v4%UcJ_`N5hp_Z3_MXb@4b7^UcY?-BjP_{#$fz8PK0TCKp-b00pVZcM5qq}3#=et zd%pGkElz|<2K+rvgwQ+@R-6b+zn`zItG95Gf#DLPWy?(fRuGUCOn%_cU`0R>p{53Z zj1ysnV&sv3nq)Bj9co~^@azR@{2QB^TgD;;;r(B6BEL}tPNoY3lwEdKa>rjN0wwaj&za}}9WcEXScn0v7ARtXH(NOZ z9&j?P7FZj=X@PElH@(9I4_FKWu!FwutPMaN&<*hBdQ9wqwE;6NhP45p1G)ir;wqCm zU~RzUU|1Ufd-=sMFfo^3V`iAkFG2xc48NA9rC-X-`ZZ=+jHe5*h=Sl=*;E*vm;oSg&VZubZ_JH>9KgCT%*Y0JlJ#q4%Ymu)* z*Y>d1@(Z%oHVLd`$CW*@KS3b?91dvH$~$9OoFz|4uK^HE=j#t*Q9?nvL|BcPKY7sHX{i?4XZA4UF>?LbyP?L`otg(NQuT zrO;6-9i`FHMLJ5Sqf2y@K}VT%lto9`baa`HavH_|hdjfu+I@M)Pu_fMLZlAnFL~wn zRw9v0@2&rgfUA}d_;S{A5T|3pThD7%?fE|MmBSgmFQ+H3|LBmQddquArC8bg{eB&C zxq4~t1N(1b{bM3Wjf<2i)bZ%@IVW^#d8_yZHHX%NO5|q8(pLhhD?aZ{lS*szobbf! z=CY0n7gmW@Fr3G&sS8mg)ofdOEdHbs1MBIXt*gFrJJ87o<%$~BDCyrnC_^=sSHGaq~Rt7b0Q)Vy2r><#Xa z1m06BNmu1k3nXKSM)6%qKcBi4&sJ=?zsl*JX;)wpM^wr5O6xB|n_Bvoz9HU%b7Pfk zFU@J%apvRpRM9KueRIz)%aVQ=Fw_02<>}^wL@ujS;ST?XAL&~QMXm_!o>1ZSQ0z*V zTHO)d3tZ{KTPBm*fX}PD>`yQ1FC^~b`>(J09{pu%k&N}2rza-um{Ks5N!AT)x@6ft z3$F0bM9)t#?uszHFIT&*V7*ZF`r~>HG7rd+H4T$9R$b8fkY{vsTAkee{rc~fUWU{K zt(LqZSm>B#O!(gYRmZVaT+5yY7EL0TmVXuqooH5| z-x81~m)~IU@zC_OmyMk7d8>5m!b4(yN`1k!?X&%A7Lnv$3dE9eCcwV1oAz*rIuzM^C6) z%JbDPg-EjgYwO*Efh9%_`#2^ih|Nk+Yw|uk5O9J2%>3%1py_6$WaUS%gM-PfE8BJp zF3Ox~nkJt!&mwueqWf33VcCFjD&&C3Ri}vd&8L63e%&4OeC@t^i%-Gn><3dD*1z0# zsBEDX+4D@l;``K#J@Q#Iiic;Ha2VC?=HGB}cGR;S;+10^CVG*}x+P|@56o^4MNKId z)vcQACvnldNFYbr>PPtrS#P;G^1@s0){OPNo=GddUzxL$_i)L2zJj?s$Hc$)oz@ZF z6t{>Rp7r6%vg7J^f5e&$94}k&ls!zX_h`+ACgJ$CLMh$hvc$GAbj}-rB&(Fge2?~K zPq{NDRIbK1L*?b*F2Vc_`Ix_j=Up*=Q&O&+-g}^_-tmDYW$h5O}n3NW}LVwdFsljaJARpO-NOj z=1t@JZ}YFd8XYBe{=VSB?9ZNk!I9ULbiEta)DG*AvhTC5ENBy7T)}U6PrqJ3<$TpU z*9Q0W%K6^$vWb#&u>prntVrsh!gb;G-8L_I$Ay+w&C5~u*vn?*HXOAe;|=j_9Th22 zG$j zkk`SpzsHg%E?nA;32XZ2J_@Swnf=DQNdM=enxpn&uESUPFWHLTB|O_FZ2lO%jz_E1 z!vDt^qdv{tmB;!Is@`Za|DsjwBKnrhN_Q$W=*f0I(;i&F<|}xzR5-CwDSdiTyV$YY zY@y@Q$!m$&-nmbm`K%8~x!-aXINve(w)Bdo9ikTDlR|Hqb%&AXe3zw6f26Fx%Mml$ zsxLx5rq-jDH*?<88y&CJlTSq}JBD3|k#x1Vv5C+rnko5|E_Z6fUY;FmK5N z_X*@$@77-B^p!tP)wxeFeYsEl&bJ6PyQjgcIP~((PD$VOCyKjAmkCD5w;e8<*CKvI zv(Y2&XT?Zs1s*XwrT5?bMs&ocJFdlRmVfbz%P%va@wXzkSh;iO-GBuWL7x z?k-S2w7N~P>wcV)k4Di@(rUsLaNX|RtS^`SMy=`(f9Rpm;+DVhoqTnW+QrTQk(KYG zh(Tq~5jm%?=bVQ%OIID2x_3~~`F+F_U+uxXF*h8qT8fg+q@ifjtVP{dZ6arM>o)CI ztr3V&-T5ua{D)$qd5lmwiQbT}!&v$>+-$Nh=RMtf;Y&p5Xs*Y;VxxV45{2OxG zDqs4E(#<_O{VNN7zHOdb8{DD)amnR1U5C0Y1|46WAo>i83oCCz2TxzH~V?~8z-R$ z0aX^<`o$Lf7e8!~-6KqD&)FtSX;3ZnncF|V4Bx=~jcJ3sX83;3+pl+S;ozDo;y1fl z^Rh?Pq-q~E|JLK(`t8S8mM$9D)qdrGNx544+FQhH&d1Vi(g(Qcj#hI!4~dsWCCmkCE2 zBBYiL}en!GUd6>EBveOwWSmNrMmB*=e-p8biE8mvlVxX2O)j67be}l zF!B1q6|yH}`rHIQKFXeU&ZT4DTImn^4?;VM9|47O4xS<7TnAr9OzxxSBW z=M4VWEAJf=Azq7wUz^-GO7<@uOWlIjm4$Cq&)~debHi;$k6pRHBw7EX;N+Ym+D|6G zI%xLM@JB@BalNsH!ezEM4{x;@Ts`&*;k)5Jr+q$x0v&*pRAbD=aem%TlymT${jfx`Jy~dv(d`-4elyN?#Y}Wy%i7wiR76&`hRum@JWiB%$yRv3Un73#VW8sk zW&72OhvJNy9WvH+&wQ|bTHKohL}11Dq|K6nN_P~Kl1Bi65k7zv7X1kj7~uoR%U2^# z4I_ZS2p>TH3`&e}5@dqZh*QG|C^5oG5Uu(DJ;j5pvmSA*7y%_lI0>?6@1H=45l(_P zCib^u#ou`bfC;M@!+paH3lwaDQ`kzoZM1WvojdJ3Xy-}0?X=rLyPb^&jK3Q^jEt@S z-}vdFTa4WvPvNLP<0$7u$C|f zxDRuENGR2)Sk;5F42_?PwkX9%g)P*w3-I-4=|%=H#~{o?eN>WC%mTR_*mKysLwiLL z`nRW9;m)Y$M>Ydgcm%zpNEh|`G|Ivb0@jnu%(Mjp0UAE-_ymZ3pcU7dVa_nzrltR@ zXQA{TIUMleMoa+oWjUIo04Yxpp6me|U{vua6Y>H<%`0pKjNwUfgK86kAD~!6sfML` zxbtH8xRYWS#;el;cuGYKKqH}*^DvRvZKeY61_++a65Z^B&dd<>m|ZlLq%tuOA`yKD zS3?;NYAcYCKzyN|e4&OKhEpL-M0p?!0EXo>v4E;4kmKM8a^ti&A`U)1ycmKkcPhC- zyrahDfGzl8+yUA`4f-64`L*%txEx@2P>;%N>a}n!%3ai0fwv9d2@+AdA>KiPMsfII z`<XboH&*atYhqZs_vH5zJw{DyP;2#VQz;VrS*2s$2Q#nmc8op)1V(LZqr z$8M|9bi&hGLW}ol%)@?1J*YzP5(iGx0d|CG(NAz(s49e_da4TH0MP1xstPSZ3Jt2d znQ9z0U7*FSNLQF6)(f5nmJCd35RMGwEtnFN=|kV8R`9g8-U+HPv@4hnz`TU!eyGDC z0oAK0f5w^KxN!2%=XuN`b(D>}8BOJ4Z=}SI{Z~len!>0Z$EAX}icH)AGLU=`7^5_* ztpox$968#{VacL_AQedb^P!Msg2b2%GY>!%@;q%71Q|Cp$R!m)91@UWA^N}rBJa36 zd369GyT+4}4-M?Lwt}DxMKqT1@u}^3`_4OTchp?>Yc9kD!h% zh5%MXV!YNs;>UD@3V4t+Fg+m1B#87}g&>r&_`O!*b5S~+e!y{|^k5xO3)}*Yg=coI zP~vlVMIaU52N0o!@^TbW!|4CTHJF~Dpt~FnHaIYXD69w4(pz&0_ra85+xuh|fT3jg z+A}@kVW@%t2C6c`H-Z^g&`+MzxSQ$Fc+aA89vDJmAoc#IuLGpv(IqOU;%)Mv(aYhM zz@@D~@d^0A@Vx^Qf$N@pd;skgZUFTZ;lfQ{f>%<9oz2BCm@xD|%_Ya+B!B}S54K9U z`ys3Y=nVj;12}9@EzX!wUMFt%YJ9r8pg%M)6+sxKrR&s$0lF}`c4|9~Sb|9h725Bo z;vS+C1d8YtsV#z+2&{2X-jDnQ35bcnRG?iK*zG1Aw*e@D&UpeAa>*37#*(vpEanJz zRb?K6NQ`U`a+-SI<3k704}fXH%>-TrrPxyxS5s9|$`D>QH%{+dGh3JzWZPn1V&=Qh ze1_QFw%?%A?5KC|?F^JgCpU?;O6?!x7Mr8QR1%{Ls z>SO?54cQl_vk09Y0})69Jm&;H4-RXBpCy8aa1CtKmFll2;LWIUMscjy8dZEFB>``t zxgkfk8R4?NhQt_r2Q?R;q0T7pLAzjT0@k)4#2Sc}2$~KcUXO{6Y59+233@r7+HRr* zR_KE5V)B?KY~X7tpI-R50BMp4e$WH=3U1(b4)aX`i}2S^XU zDLS=t4S|=?-IO3ZUCF+uuv>wC%Tnek90qw!F-(aLl#6Id@Mrt^S~})rT~;{$2$bM4$g?{dR2IO=SqBw;%2sC zmh;1w1!)ucCx{1(3z(4+8ls;bfQ6yqOS#k)fE{oNB5nx(d;A>0&HM!)LXzSfvba54x?W!T@~$HN*`O2;83gT{Tp zqXTaN$Ancg2ro!1d~w5x1r!pZGVpbdBqE-s5`=+JUSc%Z0Nfb02!6q(-%=WohBFxM zLPL`fzk)jm6a!{Ix&_RJrf}c~1`o`Pgz(Gaz@`HPCKfbrsp10lp@N1EM*^6I;I|GY zAK2&k5KBZ%{Z78Q7D-7uCK^J&VbATu#6SQTAc6-TIAEt%AZ3VU=z-%!&)$!^E8`=n zJ62n10-|O_zgAxa7&v+8)m22wDD!VTMj*=HPeZDcR6n>qg!C{Y!QkD4^9>gYYJQLk zI#9eDhzTZSOx{eJChE#SQOlx}a6AGackSdhI26&e3u;sZuLc;#0B)prKqp=y1V_IY z$z1e@)@z)))k?hWrE$nV!}XLBL~vsJYZ1$~cq&3ZPElj3R={wW2PoD96o`P9h;V`* z2{M}ykb_993jyl^3O&S!Su_d1%HSPEow>%IRJ!9FA%1wlzyOIGR??B0cH0I&Nlc(K=DJ0Jl1kD4X+OL6GbL`3Hzt_x53>| z!=fZ0al%~>KujW??I|zJLts^b-wr!$pK->*^GMMCq(cum-~i6`8I9x^@aGI;C2}aT z5;-2yL=N&FGd_Mre25|)0f_~yxP$quxPv$LjhwJtpz8Qo$lJMSW@vqArzth?mk|TX z=}S7XS>uGxCRr_pFAN6=T4{h5YSit*QKKFZ&X|E#6%fwm-iyI0w7Gcl(iW?I+0l_i zyKtd@Gpw+CE(Fn=MPWSqzk@y)sIa{N=GUpldh73sm!=nspqQbDdDsDyd~ytFkL^IP$kPGlJZ;EjGk z3T&xLcv6k#L2V!AVeksgk3Lz99dPVG$9)hx$YTO~gE-h5#5JnG?ZCDZe=)&?k>uYA z{{|i*mZd?6iayKVUf$Z2QW}7&+;0 zLRkI=NCjcp8~j_$2p217#NTAJAk*7GA&cp4@L*NKFDne}=^Ps9z=A=r)C8a8GnP`< z3^uiEfFSDD;i>nKZQQ8wXPKS~MGO4nsM|&yb=!!8+Xg-!{4T_qADAcbS7-@dr_#1l zXe6#ggcVo9q*H7=xjygg_u~j{WHaH{VTGPFI(BUPisy_p> zXBaCRMbXw|?2?D3b?X{8zIW^KMsx;^CBcjR3OH%OkZnJ@3)Atp2p6$6;bcaeY_fr8 z$tFs-)LUZ>kRv2-Buq`4xk-qz3`3Vso_(8yK=|N!zO4z*QwzK?Zu>?V#Fo%IwEzdk z(%+d?hr{fqnOE|@}vXwIULrNJLtz4!1 zh1en`SdYU&tum!-R&PQ)8UC$Yo(v-lJ|uZEgz=ac;)(Q;jj~ClY%wIn6Mt~aGpV#y z*0Y8CDciO$NbI=uw3SPiaVJDJ$+J~Z*1wZ+5)ZfxS_M5BYIudZkkVFe&s(y1kn&70 zX%+QktxPI~*~XtihTj|mv9hX|&D!n5bUK!)EO z17SKuFhPcY5Bs2u2w}1fDBxHAcSeK>Ado*fL@>P=ela5CUmPM>&IpM~DXACJGcvO; z=aSzJ5hVyXpv1yI%r{^aK>&#`O&q%a$cPAw3Xc<)fLFjj!am^Zz{DG%P}58cictJ! zD>#{016_eA3%Y{IR?q>+idiaj1rjXib0$+k2Oug^)94D=IMC-zmVypIQbe!hD!xfKpl>b0CDJL+B&@k2p zpeX1De%5 ze_9NulRqs6u!O@N9K&z`w(-kaA~wEsB|9!E){2)SD@4Vx0{jqb#p=ISFd`7I!Js)8 zc%l0vi#h3Hns$N3i{5g9{= z3l>c~sGBsV>WJyJi8ZFA$XlV;?&`*e&{hqSK$JiBmUTdA|4mEiqDQ~adw6PYgsLDK@%8nrqv2FkgO$@_lB zZstq5;*GJ&4GAjFqiz$)J3HsR<&Rr(P@(mLx<~){5AGa^T6Wz@t+rf+>mo&=m85&l z`)1vfDUjhRC$RBdeE(h*{s$A1S6tT{Oy5?rke9f;J+yGh>UsR=qmEM>Bu3q9Cx zTqs_@DL=w#$s=X%MDE7y7~OO~*-bOsu3MK!lJ#ZBw`esPa9teC+o)Qt(i-$&i`;Pf zmpH8hO0Sa4a?Hu-t@1z0jJ6LPeCDJ2Bl3C3Oh2j0$Ylv8k~_xKmt2x=Bq@?bXM$ZG z+Dm&1T;HjCihQ;as7-Uay_9@}mT2)iB{FI(I^si}`Zh(XWqontWPUEf zm|bIoEV@iaK1iA#O4hb$G$+`-mA$UHORD;m=*Fo9eW$eF?af@$Ms9DNen*g8UU6)~ z?fv66{fv6GUH((ThYRa+c4>S+*L_g`+|2&OS)oMpr%w4^l?LhP)i)f+v|W*Ynk`qA z6=9Y(=bcesZiLMRGNs$}+l^53l09an8x*-JBodro?U?rCiP78gl?|7CTpyA-KezMT z&+u>Gte!E4e9E61yZZ6U$v>WM?0&MF|LwyQ66C1cfUnJj_g2^Ud~;LmuX3u3Ry=A= znr(CZ$N^*V%0=XaPJvuoxb++Lw|mNW$u+J|TySSpSQ&LzzYGHG4X#Kddzui{ecI$IPr=GeC-Enmt|J0UJg4`0TwGfCKFw`L{?{lO55?AVMA>s}WR>T{H zD&O6=aeCg`sV66Yytw-yoreXHd^Z72^D4_zn!%XdVD)_I3d+GwXY>r%Uk7L-s;q) zcgNn_G9~d1=9g?^;f9=@VlHajq~)S;UF5*sC>zmre8m%<#|9f+wB}vimmlL$5il=l z1sD17XhwZR_4ZjK@5v#OYehQFNu1gJZO1gBPPf`)0}dL5 zdA#V(h2R68fbD_JcZRdSef>qy4e-Yy~Ep$eWWG=Ci zyD{pDeaiB&9p`(4wN6a!NU|*0GB?IR^wZ4=4^NE9fCe$jgQsm-Z|$R7%Fi;Si;|9e zwHz|PZa${FQoAVNQv|WspLBXA-@)-;@0=9Q3*tVqVgAJUsXun|*QOj6PT$(Qo0!d% zj1g|$@-o$B&Q--$o7!`Gj5^Ku_IBn4ta`Rs;oe*#_@>aZ`=Q^DpI_d^js1FjWYfbN z*5#=>u^xu1b;~E0$&-%{a$Q=kcr;`Nt>?CtE#Q4}-hXkn)5MUK5*_IRkIoB`N5^c* zQ495i?fO#pN!9UhXWi#Esub+1^<*+U{Mpw?Woo$?yrE?w;&% zlX2-hIPb-{sCkiOT-||1$4BHzM(cmOBNJm0J9xvI+u*#B|1&54j0Uw@IkJ^I+r41Y zBh`3wfilfhkDrIv>rX9NC>YUuY1^#xBOmIKROgw!-mjv@`VTvQ!#b?UfJFP-n7 zTz>n6ul3+wS6y=Xbm-wVRf*PRC%w%Y77JVuZq7=yyBlJ7Zrh}vcU1;*2zSP&X>}?M zQGJ))rnPBSY`CyerazH4&!cp=u@d8^%1gXvO&HU)S*_2Ad64M#aJ%Z^DW4u3YYd!! zYFdA`V~+YOvg}26lta#vQCm5lW*+{sf9_$yEcc1KzFgo8)%jhla%u^=IH~udN9BYU z(-X|czgzz}{KJaglPj*tzcDyAvGb<(o#RCEjKczn3sbma#tpv8*FL|O$J<1teREan z!dR<$a+y*M#QwIwq3F@J#EA!1DgO*;J!gA6*i`P?r~<@`6SeO00uDFf_9yq3GBry+6rKt04z+z9=x)9IjxEu;wrc$6LeUtj zy8R}yJ$8={eA%YbUp(hQqSSGdvGt2CkxiYeIBtfF^i(+TI(5U0%cOTNcjx(C-?wUH z^Hl6JuAM^K)|Ks>Kk>3evrpUYh@j~)Ry_MHb;NU(`OZmxdXi_BNnC~8wa2vvwP!9$ zG#6~}s!5!mwtkA}P1*W0{EyEc(7Q-12UqjgT-#NXx$f~9hlRq&SB$kD(R9lpeO9B! zJ^y9D3`w2e{WTMQ)oM3qD>Y;tw!FTI+vwr$Y2DM~m&MDz2+-I{zTHx};;7iS`1bC% zQMQ`AJHHjG-wd`;Ixu)o?)1_z?WW{KhE)3gwT3UOMZ)+hPw!~8SGl0ncYQzae&ZI$ z>A&x3lccqE`u*4U^vrs{>7JiCZ}9V=e)AFK(Ij+D&ti^}P;Ro4BjU|ou{RDGOK%Ni zc<8^Bsmh3|#v_;KfO`Dt-oClcA)@^ycd9sA;~wvWGH zzg{SR_Kz)s1zWRJ+YO4hDc6$mN7g=b*OTsAdc&p4U{v`@=}U*j&+eJcx4ZGAkn6+` zcZpW&&R+AM>Et(kAf1*H!ArSt>Oa8LU z_!q3PtMM$ucvAjiH(hwq#U8rYOBddBv5zi%=wd%z_%?n35Hb8B!<@$dXq7XA34=yj z|M&|o4yN`Ws~4F6@t1$>%KeFa4cW z5vj_LsPzD{aUMsy1y3`i{8E!y1;Jm*JMVAN` zhw1Xz&rb+sC@KYBg)D}w1}aaY)Rwvl0EM6eIYEp!4X=j>G|TRQr5T~Z)bITh zf!{mz*00YwP8B+&qVFlgNX_Z zG;T$suordIXS~3SblpX@qZ-QJ6F@4^FmC{#Q` zYo4hEM>`M#Dj-#YU(i7bEz-$>fz;*_6c#I?pcECYkTh_B)}R!eO5s#QhMR>JLQyKt zLT7@+g;;hf^<0l)C8yj=_JNJVP%Z?LA9AC%vC`mU;c_8J)lX|^q_FUJBG725#%NB( zUC3foJYr!6UuhvPtO&^K0`LJU0-g6@-mVSF6dDXz8trnGWH7;P!|J5ZhQpqH5#IQkSAd(^6MqdH-N%M?j(A%)od735Cjdd zj6zzAfUcm_`w`A26}Voa*xf{xzYX=~s8~k20?qySSp(5>@dQGRPmnSKtqdvkTKM2F zkXTGz+mWvTQTq-_fT$?ehw}(|iB2lmm{3(4lS+h&+Uxl+G2wAwuLn2!gD|DmYf~xx0LUd2L_TA{6unFBlka%c^$zJ&%4hj;=Z8=`Trjjjwd z{u4V;flf_HtY(SA^gkHD65iqx2nLo)yc4P>t}Q=k`yeks|4cw>Hi6Qr3?UPGz6OO) z0ypYX$?vEuMBL#5RF(Ao6xMiduN0j`m-*HR0Y=_8nc&e-&8>)xO(V0UZIR6hiFvJk7_SY*aLpy-MtiC z)?y#YqjR{@B*2^V9sol)E`#+s z5gvw0+@u*31d=FxSazAI;V31;k7Ud{m<()$(Lv>f1B8YU;Dfu-I{KTCPeG7iC)T2V z{NaUA+`J$h7!X#%$zB=~R0(_tw-|`g*llR!2|kpdY%XSxg*ygxZbj~9$CG5#+lmxc;L;QzMj`;%Wk02A%;oWz^vlyu}HRE>q;>7i4x0_I` ztMVK?8Gy6kI>9|30Kj&j2Cc<#6qq%JAU*~W1~RnXAJ+$116c zs5>%tni2M5iAWVX!N4?v{*v6aa{6d6KOuNB{e*?f4p0o8AfzxI9ij+8qSrTp$g!Y_ zKp-7-3OVZGAqj^9$ngY_!Jf6Vko$p&OQ8u+OG}k_nyE(+9E2)Tsqw@(+$F-@mgC_K zGYJb8;6PchUv+sWcNjBM1A9he>eE}`V(Qftx!qLF?7^!{%FOr)Hib!ptSKyAQm2cQJ_ zi+6xlfJMm;)aT-goeH)CSCo#_cUBP5Bpf7gZFYuZ57_EKn@9WxjB{`f_E{oc215L% z19g%xs^V$B9SxjIqz823M%*ZI2cpjsTZT*%diQ`nF)>4FHVt*?!3?FaNCqxz`2lWx z@Li@(+lk*sJXNbQ!(1pGMmdS;NJ)VW6+Q<}s^cOu0apagZ2^}=@kPACSIM>w!zG-`-`{lP(S$NjFcFzA*b3^wrO?R^NO3|N(Y4>QGO!|1gDTpv&e z3h)S7hn<%=xs9U_vvx2f*hLUwfwpsD{sHXDK*7$CA1E!J(FpC5v0ubxq{Q1CxJc;3 z1DF%(QJ<6}ZiZ8MoTP|4wa?mzryc@)sENr}mL(NtHF%HZFbpHeuYqG21s`Y{)(z@A z!q;4QY6s9O>KU?%AfboFw2Jm3f(z6t<~XL$_@CgjsAtIQkp`uUVVv&T)!DbOw;S;TV+`oxXxzt-8&-rO(a`iW z=C5Jv>3e<$*cda3=v;^ov9T5UJn)sHV$l>|K@a5gI1aauKsG?aps9HSiyA9>L=FP0(OhsR0P6kH8T}u(LEK1v|@f z|Ddn(e#f_cY60vabi!SbR15wau4I`ufzWrI5Q*9R)=}+uS0hK#Gb=- zB90XoQ=oTu8H;Ff`pb1ozm<`47TOPIaQYBh2dj1PJ@^Z;qWo1;dem;HrOx?ygoRA zdf*_+rD0KQfL$2!2*KNC<7X8tqDR$zg`87vSUG5|{v z7WcvlBn6;FEP8Yg7%`0pj5q>#(Q&SwXMoujGJw}pZ|9}I&a?|sYJ=meckp(+TG6Ih z;3e%W(t>%2Klcb3D80kX2E@UUq6D0xA{bXa=Ru)}U~m|v+nE9S8-RtAaGTyiXv#Yz zA>`n1-r=9P11bBnC&u5n1Nm=H4C);r`8V!B{^f~5k%xbJVgMx}Y)=f9!-UIbSQu>E zzH`@Zuf5(r`~6rb2{}l?i2s3-P*j54fCm4Mu#vw|5{fdk!1kbv{D&t7@*8;g_z}b~ zT3B?9xWqU~R0)A4VWT9JvG^~$5#hhhEG*ZxXV3=A#DWe0y`bx?)C}5SnOD#OAQyC#d&LO`Ib|whs(SMFOvgiSjMJ$ZqKOha9)IW8@3Fc4TNZ0sNHzpvC`4F^`eGO~| zI3-FkU>*$*D63!qo-}j-_A`GEFv%3c$j3S-A~uG*rD*qb+Kr5z;qD09eU8moASR~- zRvt_@%V;C8j}f4Qa_qrnhDNMGyT1klz+y0`*@JhA@3IE#S$-J!%O7-5m_0a0doF9x z?61M?bTE`P$YilNjiFEwPM}t-U1O&Fs~a~ER(IFbH%u?oILr(bf~%s%~7z{C~>}G3MRUk-k$k zY}C`axBbi}UeM-?R{z=g#98cD5NAWtNqKTHa^lrfJDf*E@8CUbGgI)CK%-@Px$wX3xdq~>b4d+a~Td?cIhL^1TwcOdI9*=;ZTYY32j_=R>7M@Jh)(OQ z2U{=9synDj_Hq|WmLIoL*!DZE%Vk36InLmD=M8?Bhg9i*UXp92&`9JHEHWftUv$1c zscQPQy|YGmyiF4dTQ1+T@F=Py;htz6;7qEw3!CG%1@H!bNtZE}U{ z;&^xATiZz8l$&GMevg=a@|8c&YJmqSNh6V>({3+s;P7W8;YxQUnS|MaC|G=kIw>5&y3H*Bg*B-UcDa~g6 zi>|L6h^x_hChYY6q=wh~h`QB-=ZIy*m-7mzP56qZW$tRTka!l$1lhG%j(>4kDr9NJ ztP#&ioRHVlD-&y@xArXPv(NX}{_1^i^OJEk>HD~h1Y($nDI|P(NrFX=m)^KsfvZYx zqps|Dr}oAtXXQYV!BM^5#ms$@pc`%{=_uJ=;C6q<1aFO+z)2nwPbb~og*cCLw;W3a z@-3;sLv`!#^Dg4fzpwG0-D5R=kMxUqHOcskU>A{Oe63srsn;#tHDA<+t5iM5dE&>dy*UeONY>$v zFV|?5n~r{YSt-Mh>wxXr#gA7@AOC%8pY;bf{f>1+ORzUe`0c@8byvcsUWzk+l6&!( z?)}S~>$4+{&Q14}@*?_T`~}KP;R5mY@__!*VMmh*Mk;C8x%Y9gio%%B3mD~{@Tn&)k~IA4SL0aUy1vS{(Q;9 zdU;d6S6!IkH9cnVhQs)6b#c;mh5nn9C6^ zYV-TNx5(1xTh1)(ziMzuxn%U!4Wn)eM9w1n$K^OnZ|wAaxIy5mRf^g}a&GajhzHBw zPRO#6E$-i@Ob#e?UGpfM|J8orq4z}B?laxi-;&p-jJ(okHRAT}e2q3TH)Hv`^j7T; z3(qe7lq(ae;rV3uv>uatyIU4~OkJKWSVB}UcxZjKF7j;B`&O{CR-qD)-(EYzi~EY@;gnYSInAF29){il-Kz6uIKLT6PyNaH^6}3$kb6{OGvN zi&f8R@$KS!S)4w(;Hdc7eTL-t2y%Z~ww=nyh3719DAk>zG;h zcE8aeuU1_RbJ5Kcf9_G0=(*yVPJax4@viBo8ob{s*Pn6!yo$_jnAv}BkC3DMy&1i? z&*&sukKC%saerr-&-5sVsh`gZlA09h&!x9dNp)UT|52I0_1P)6XDNHvYera4IKEuw zSCI%wvAVT(!nfzMzgKHC<)pe7S&a7~y(Nd=>{*e$K%hMEKCw}Yoc6ioWcQwV@v}!% zXN|iRTs8CirG3@wWxReqNDJa4(fj(ht&n*>_qreVp^fSy{-4f@ZlCyg@BNwI?C&16 z*DfHFdtKke+i9Hmad%~w*Ys|u$A?B(3qOyNa+`5gt}bH5LwGtYI=MlibKB!Xlf9@;@d9pVb>(CzDi=ybweiEekM<_e3vu#tE;DEuZ4@8Sg)gUYn58o9L|bp zBC>B$=`xA7vL(ft%L`6!oP1{Fy_H427E|KBol|rgdBdH&(BwVd5a(v{X1;K)V*lM! zQxDFp(&Q)$Ule1~qTY6C9~tX7?xJw@F`GW4udxHqy?s(=b-%1L`U)phZUe^V;TQfRWRd{I+ta}pvcFVjmJjcXZoj4w+5&y4y zRzEV8`uJu;&EXDf#rK73XV#X_`0}{W;L5&{{+5%-&s}eG7GI0p?K<+vCQdiKDKf?C zOoobBPtBH^G`&O@1@b=h%Xz(H*2+IG^H#W*_^*(^EcDE)_N@B~X5->Pd*vQ-(>mAW z?J}NH-xeqBsM)z7 zLj6bX{(G*=Za8QY^|7aPIh{Wh$Ly%tyE=FInD(NXxBcrjs4#b?WjQ~#Q6rp{lE=*o zS7`_|uc|-J1T7S9T3X`YxAyzxZ68YS)DPFz1z@cg}k< zU-VtGcVB^Xb0IlqwW$C1>(dI3JE$d2esB4LobxxR^|J2sHWaHjzJ5eH+wSE!s zX`mgCLuGFo5EthA<7j{Yh*j1w262hZhzvqf!XR3}n&zWu?xt7BfCXFM*v_D_^ z9$|KtOnZIaK3}(0>iB%VTfYL;gGc20tjf}5*1b7tFK=5H-bL&uHHEygQavX0Fxjuk zP5;pb`PUakqPFtH?{m@1*7~xB6#FlcKQ*#kHGDot<+8iM9kbr1ue~YJX`5xlS2pRW z^&6tkndir2FLJ0V#8~pw*ZZq;B6eE;vQWt6xV>%BtEJ-~5^d44#4rLurcEDq!Wd>Ch7kzzXBK1_1tA_=hn+BnS&(5Agd9EgCl+KF1tFKO z3_F4hvmnDL2zgxfCl+KF1>yhD`5LQ8@(pr)Wg$E7{5LGsyER=E#RC~CAVp^8=;6qq$8Yn1c z6C_(%;yiS9O_5%xrKp^z<{GDWn!!^4!3C-${eH=EZx{{hhtN&>a)U1kK$q1sgdq2Z zIH9^14&^A}rj$S+wGYQ}C+W&U?FpqCMbklcIC=!K(KZw4@2HfAy2=_4?7he^nG)CzZ%pAW_n`(BfWYFosel%)khha=GXDYwH3 z48?P`x*)W6>_647BDR`P{feZp1*}{covV!MLOJ&ayj4v$pc`7851HHo#uo@Ppt>mX zO5j$4YFt{v4h0TlGFbLH-hIBmo!)6iZnmWPJo0jJptIGnZuv0|6Qp z+Q14R>%lm|(I%fnZlwpHG}X@<3Tt&1_1oY=y*#zsK&9>>7Xq^vNUOWo&J^ygJa+)Z z$8Xdv?=({v>aIxhw_PAle%D_=xqT(rBajfb3*-i0;UJsO90Q6a%w@46R||m-jdRk6 zqAO?7`70emLs80gk3XBFUKTx6kFq0f|!s)Mt zR=HA*dd>$Uz2Rrg)#Lc^yeyvtq793iOvttf_PH5NeG)$0zi@!1d0mh8G@l_t5DbBe zahwBCOsl2~_u`a56y$7%4!jN+&=Z0Jc$CFAp=5j=V2Y<;O(374h~C$Fwm7l|4wKCY z?rFIl?_&j}^x2j0Ex<)O@Btu?!>b07CLk4%!m|@@0yI#-8tNUQB@lanj|F)g_4)*c zI<738IA)jGbV!luEc66u#a8I5t#=X$m?PX*AekozR82=j%7O0*d39AVxs??AL)+@l7=!I{A3%}|zpavzLH@5_F zGHH1}tAPLOGlyFQ(<2J?<+!TA@ywQ>pc;%3fX#qzn~r%l2HcD;v{?ACfc$8~#bl*3 z&Tk{37g{p?m=K5$)0m%EP#38u41ftMXq4m$jxbB;#(e^wFf`@^JNh#J)DGNakRw9? z^~eDIp-c-GD-lV3RChT9Q&0#jzzOh72QEX<0I2B4oK+LVT{Xyl0T2*e_@=5O2!J7$ zG1Aj43Q~YH0Y9Y8$}E8X&C^mS038?v#Y0G<^8=remAK3+aAU+Pyt)aCeyaZ?mkByJ z?ku~_r<2idfg0ICdB%;|eZ^!Rm?lsoihh`>AhL$Li4-A51TH!cE>Mpm_@zW{HJZxb zRhr}n%O0xi^SUgN=V-hg>sQX59tghAb~0Hyi4$PJ?p4!-;8GNTQhQ3c(QpXFB0=gM zNq}p?PDUJAOh`O|%nT3!?k4Sb!Q#z?f_#xsYJ%STc9v}8_%I>h3fHUSc>-#qCI@j2nAJc8@qA`c z8T5$ZMy@;V3weHZ$Y+E_WwhWSftkcN8!R&hvqQh!7a5Y5PxWUB(Gkp&W9-*v^{6ND^>coS`D7kRZY0(6@GsNy)11{t~ z$V1pTy?}d%5kRh6(Yq`S7%26>O|~QYLLSM*c zSIU+}rod(dW-_H|f!1@SKI7p?Jn(g(qx{@KSe@|TP7mlsir!lAMMVS;u<$K}5=StM z0jP&at4KT+1-tL32Rq=ryrN%VW)Xacx_HoO$s~Ha_E|Sa^4s%lpes`aZ~?$uO6C`# z+!6qeo=RYzU_+ZgBLZo}^W>Ntf`QsgNg5zQuwPek>wsMdE;vw^AHh>OLPdJ=r z`gA|wv;LeR2D}qL5_H8M4FzBtr7TPX5_%k> z50G6EhwO^DB1Bri@u1WYi%mU#Jsja<(3+kDX9QR?u%jjRv!f;CFrZs((?bBEuqE~| zR;t9`68oxJYnIK!Xc{X4m0gytvfo|=Ro%AT##k8q$-iA5kX`{=?M^9P#a;4Q*`V?G61 zK#2gz;SU@^y&gb`sA1UX33}e|!27fORdB@e{&rVk+qLlg+pgsiVbLaUw_-=Yn~1XF zX8x5n!@Rfq!7=if-49N%CTKph#KQAuzz-%aa2YcnKTRpim=S@?@b-(!ZH!ySE-TJx z=J`>a5qP>8ZYIXfBQiJ*9+=u$HS=UJ*~uA9T|froot(juJjpJD(NE6c-27puofQUV z24rx)SobEt3L7L~y(}Pu!?~xKJA+Y2&r@vqV?URj703&^IkzMD39n|33{JIdE!x5P z)th0xPEkMxw;grZpo3E{&twh47K8Qs)`Bv;M2oW>3@^Ar@MNlE&*o$>$FQe-8O+b- zQ5lRA-PLK$(ix0BZK=@3=Vq}CyB`d}XJSlf$2g3)$$V~>$!OzAXTCe>b4bL7=$EE5 z4ru!GI_WbCrRf}x&4br6pVQVdGWcB>yf!VJ;R;UYOq+7aac%Ms&Mker9oGh@7yrOz z_k}L;_igseIJrVc1<=0Az-2{BPTIrxv^@E z#b2DnzY!E_Sm7V=XUh%hVe!8#H!2@hJ$Z^0iaIFjZ~kSuLD`4z(D4TuYHl!sf8;2k z(ITQ^gj#M;^NR`p8Ot&hDnkCwW%wE;Dpn`M-N z1}CTu3ITxGpxrEc4B8E5gLZ@0pxuul?mws9-+uh0-JmpRw*h4R6|@_{HMARS2IVbS zUk@NR^?zEKnpAa0Xprp(0tJGuWE|&Y->6 zfq~x)=nT5Tf<>kP&tPu?I)nE9ouWfmShxs9gxDkoCy*d^i~D^qEKi1d!ThxFu#7c8 z_zaCZIfLjK8VA8MG>(bL?)%%r12E3e7|@&l7{h!S8pG@;{f93HC(b)-otx#X@~C5h<^akg> ziu>%&uUO*-R3t4+Pb&h8*xeqqJN(%3$SB&Kcl}1`B98L=#Ys#Jcn;2v&ThDCdWXH9KAhCA2>9en6X zTf|JK116?s7}&@j@MRC2!!PC@-EVl;uy;@bYxtn^Y3BwTTXttSyEBh=zNoEZck*J5 zTTyAUvI_kuNcmxR@2A~y35m(6Sm%OMPIaRW!#t%_$1_TGJg2P}v{ge}wX{`7TlKW{ zlD1yaRs(IlrmZ)$)ks@SbkW@C{r?dQ*}158)<9fOs;%tVjxY&J?!3ct4noC}UDq(9Z1+PfnW3hw#5Jz2mz^bVoxp->RP}E)|-;y-HnVs&&tF2nO9h`NxIFQi z9jV#-@W3OdQLY^KQoL)oss#{%>^;-NCUhIW2{TdL$y+|F;mTplJOhy(-yg*6dbC0L zGFdIx_k5F}P{vZNF+z#6`Ql~@^gcFwm}~T*>0z1W>kEs?vpY$_k_o|ZamDI-khxmD{@50$HM6BFv^lZ~ z-?Q5(rX>gFoOaX{tJD&Ev2w!iS7BYp&2l|0mWu_L%8^K;iG6tzbtk_^JFO56HjoXO zFF#h`xRFBC#uclUl=-eE1zVPB$edg=Ie+Kcc~Zd&{T3amPP4)$e{&G;s!HOI+&~(4 z>I(jF7ih8{)z|RBQ>0-NN9pY|6N<0Qt4iFlEN89&Ioy-JeR=spp@@;y@tb?ySF||K z9m8?>_w#)tI*QKdmPL>mStqtSDJF&MwAhq+z z+loE!3U=0{Z8wt$v*vfv<9)EJJbZ4v@exKr@vhEs`Fbs z{)xtmnD(&wJyG=@M9QSXVchj!5i3ePXODa`xiMe1D8}8aBFLp_tIqgNgBp^nSa){c z+A7z18Oz1Fd))cz7gijxpPj9%n7?+GG}pedq{C10-MymK9Th8{mt+Krp7s9jX*~Al zY0;iQo}VB3;-$#PV=~N#)~#=gcJOpPykq~aDP@6~o>sf-qHq3N7R+ieC6n9jjHCwB z$mrLb7HX)!x7X+K+_+4&-By3pp;gl*AFGq@bitz71B;7KZG4jMwtB3`xbj)=3St)@ zF_Yb|_U*}$cO+zHgi3X?Ny3a@D+XWO3Volvtu+OuEHQc#-g0lB=PQzitMVm>wk}Rx zJ0sJ({PxGZn@nen(QHstSk9ISiU z%XpqNIb%O1C)C}3!A&32Cuy+}PH%4}%pTEt)9KDmwXivYFOQH{yA(EYRL8E*TrTM? z^`_)hZ<5c4bo{&glF`J|^Oc0#iOYyi!~6Re$c6|!T-kX2%D;Hf8^WXUSw|ZK6+WwC4umDgSCgQ_B9O z=T^&wsD7@xI61V0;qI)tt&!o}ZllE1SX9O8R9;IX$vO|SmJ}!KbL!o0-n+w0X~4Vs zxZFO2u^;S9eK;*;+DVoDgY;E(7kAl@ITSDpuG?soXN*PmsJy)y(i&ox z=P^%?*(}*(A;C?!y4Q^Rv46>m)oU70ZEg;CT0bdh%Alv>&z6$euZyQ$-b)N8o}4l0 zQWx**(dfOhey5>^e4Yu(H&+qta9}#Ne>_L*_40K^L&e*2qkeiXthG_&>hkD+?)5SM zuwCOq&V#&tq&#CuhD-lak;*vDR6o%JHZ!?=st<~~>bxy5y&b83YCRd><#}_Tl&ehL zS@W|VeCxy>CTWGtcqIQa!BgR&Ue_`%@+59);zq_o=t0ENXcM9Jf)A5jpKP0da`^=2 z#kAJ*qi>OjZ5oG_KP+fY^HB|%^3ifc@3X{#Wc#{f8`Q-k=aW!E-jyT{9x+Iq{Viwb z8wcgk!OJ0rN#hDfdrj}llMb97$xDiTO^QtTz2G8fa^GD3?8u_MbI$yX=W0sC;f;KkzGb=1?Vk5C`F-f>861{h@2il9N4GA`SjfMLyYj@wB9l+L z+3pH&mpnb%;w<(ku*`Bs7O_wgsay0kj{lU6(ih`9dtNUcEcPv$^k`D#@-feKFS>LP z3-LEGTplw1EvKF5UKx8|E6#HKhmDVpeOvM=-nw#J%SLj;R<6Z3WlhH~7yQB2(X5^yimA(2+XRLlL>kT3| zEq*1<4pFOaD+K z-;vw%yOy@*oegadUQg~?C{~Oxkl?nLkOGD`yUy*OUaq**DeZWinZvx8F#< zYJBHesqUIb$G=n@d=!A6^f2L5x2Or z)@$I^t&0ZD~&eEJEhNe z$DCW&F6QiLDhmAh;OeOjm1p-1G}hIgks@8O!rcYYn_JFpd79+EV`hlq2=_@`UrLQ8 zOBYtQbMPN0o3`?NsC|BW{&UbBmP0tGJ_@_wO*wBkQhpp7>d41*9x;_ zJZ3O$5#MjG&N;Hjf&YxZQB`Jilq2bWdS3cxRay8z>lLZ@CC4>=4J86C7Ha-5=PH}q zcQ>M&<9j9HNDH~z#~3}Y6gu722aW0gh)ya zdu|N#Cd0T1nWOzD-eedzA(rd@--B1kp1ptKO@?t3{^*#$yhr|pg&b-$XP7XGdK{*U zBXki?7f0#h7+oBviwL@iq>B@D5!JZp|IUTL;KRy!cy9)|IR0eaF*xWjD3JM&&Hk~` zIu4G1n|jy{p&^FGTu13yqLjv8x-+R%Am)BPwDXvc(K^|Wkq8WDsK0dzzes$aoK zfr^9PFe*o2$wB9(Y;ka}mYg;K_tZchZhgwzy6swx8tef14_w59rXyRnNf^9l|bZ5hLg7> zinxz?7^@C>A3!h7joe`lt%*R>!_M%v6esVu)`d$rBp|aHqJ57qQc)MX43`J+7N`!5 zgk&}n3;@i(;avhL67t{M2N!|~@P=QnZa|?{N4e>RL!0zb*nAT}0fN$@No@l9K@ox7 zGz1+ijm>;Gom|Dfs0mPP1t~RO$dHIOEYlo@-oi}}{1KH$=JLO4Av5PtqU!Gly z^nkrNgxOFBlvuyHow%Q@N3_6mI5JQgr7R5~4e*y-s@so#k5c+g24n&U%BmraAXDT* zsMF$!kj+lQp#ielISLpI5L!e}Wf=sNtb=6v1Z)6J)}R>*a5S$tN2pZ;LgXVojNmz6 znigcEEBpOLPUH?#m609v7!wr!f*9F`j2onM1V9n``aBDvJgE4EnaNsBaRSi>`YC`3 zc&(!>y9GtZYbjR(mjarNQK2Xz+%n`)D7*aR@bJ%tpK^_gu8^v=CG)YW7C#Z9v zPrO!ZibG00>PnU&LxI}->tce#V+KhiUH8BoQ2+~SuYtTQyQUws3zYqhb7kRj0CyIc zW)vs+Vk^HQGJ)Aq_k^X@*jm!uD9hI0rdVhlu-S^Kz zYlkS9OK5#M7!0Veh){wbKM)z-<~vEOGJ8z2oy1IyYNAm2sG-3L1TJCXQ_Kf3MyP~0 zn?XciJpo}7(8cX|Ogi}Uz(vAP8Nz=Wm?1I<1DI$il4AYOaCR}ZJ0SVe=!IJRIkJS_ zKO&b7ZG!aMau+YVP>yLTN%h|dtB(4DST7v8zUr$4IyoYN9$$&rYD~q$ObGADY`B{O z>s6Ssdnfvd47ai0}VN!|76UD$2ts&Gn~O!&KSt=TP*=V+o11G90L z$bs6cF4FfDMk~05k@v`naW$C4i?aOyILi!xN!bHX?DGrA{9r}i6PEl73hfSJGvJf* z92Q6>xZLhvKHn8Xv+U#UMgl5e zOU{MbFH+!Y#`Hn){xJxxNb*25llIszl+=NDO*2RlngUWiDjRovgvgYiJpdaPyY0k8 zg?feH^x^ezHbL6KHYErW`2aE;c3aFA5c|lYK`092xC9nN`BB?3{odV&e7{7AuMHCy z0{XzqJb1Ls5cx=;l)Ivzl0t|OVQ}++#m(5SH93HnVE1qz(Jl51WI&;v0t~|60eb>u zVtjszaD^eO2eOX(p=2NlWe7h)3KmE=7r;u5g%qlTCS3)zzz8?4(lli3kiq)=)4-5M z!%!p)w{LFJb_eRcHdXJbHVu`Ys?A`ZfTvL|shVT~UjnXHlHz9#`v^=6&s7Mxd2|u! z6qC3h+7tL10gAa6xx)mITUmOr(<168gr~qvYOE9NC6DUs3|h0x9U>ZW<&T`m8JUG%!hqe(sdp-`eyT7#9TuZL15wT zhIB9Rv|A`|n+3E(;tSkF;A_R*0=E<@=T~P9(QlOZBS85mE~X6oAUboTkn4fRG02uO z`hbK%NG+UpOenmAyBf@fo?inXq6``|X&=C!PmePRte7Tp(}x1S8T5l0bz2I!qBPkL z#$uQnC$|%`>GZCEDF!H@DACsn8F4RiF~wjtaUX|ap)lZqn=yNV{lOvwk10hSJ7q#c zelCD1RHSAvpcgPvsGref5EY;j=13Bng$9aG02W-Jm1F>tz*kB|0In}UBXIv>@|;%@ zoJc@!(m3p=5TAl5DHhyDVMAbRBU6OE-26(#Pjz!cKyw#Ay z1gJc)ryvT!Fvr#ZWjB@;Ma--zC0F-rv2QiogsXSEc^`&iE#Pw&|~SIy)Q`I;yUM-7QG507&-P~vVXN<;z>yo~Zr!!2tJ5D{=I z4t&JVhGHoAss+=Ux8vUnmzk8zwR)(7%Pkq1v!AZwx@afxE` zjTywfZ}%FwS3Sx^6c_yl5WUmIo16#VBlqiN0VP@CN(Dj%PZRnTaV74WB{Y-G_rE+Y z{uKa0{x-T`n`UfmWtnDhga`~-SBTRr33x}aOfwMb0K$R70e}Wz12IGxI3Z7hk^w`E z!H^RLdBQTZ7`CGL4=0Ka!it9Z+l+!84fDvH8e7290WU}>Yam0tEvTi#J){4MRUtH} z1l|@j_Jr*)@fWu;1i}!#QnpFNrXiDva5f1u{jb;)!Zv>R&*T$!jtYfR2+Mq7h)T(x zRiH;%k|8R^n?fm^U`cQ^K$6fH6@+#SWtjZMrOeFNr8Efvvp~~6yjV^v|82DLj{M7M z23Wz21jqwyOsqH(713IF5B)BF#vCJ$p)mv9&n(gS8DPa3HzD25 zin-g&W5pbyi#2pHzIl`ta}X`NjAjlj;3Q&~!3kpxEMcpGj?^i38C=v1Bml!))X0N2 zIBKo2L*RR`UDBir=qO)-h3g0G+o6^d4xvV$}y&YFWX7lo5rcq*CFluD*2 zu#yRH5v*i&!kDkYVN7(2T9QX;Sd)+kv$stqjG58K6UO|ci@S7jBrOaHDPhbSj1|90 z!;ifh7Z9jP%{;8Nv@nkKZ)^*hfuuraBDP(QpY3>OvD3+K@T0-e<9O)_uW}T`qL`(j^nMzCbT)O%}$L!V95B`t8vDVR4nf-xxdMfqtpF>A;;(w zn+%z(R>gP@i5P4g&%8N6m*?oRL@kx|!*I3o#50wt@ysXunQ#;uH_@ouaKMn03FgOJ zD`f`7bAs3k`N@38Pb@~S&)a0!%EU8#_%$M)`I7#Vkq!BYGjqU@D;;2uQzpmC7eVnY zJU(4B`5DK9{3$-17u2rW7Pg4{VBgz$Gb{Kp+nqAI`1o6ReJc3NtW$j2C9Io-3Sqq< zQ;0uo7eZH=bqlu-`LEEEzcWwz`oBPkC;vrY{72>qQBi}QJyTO_wl$*=-bsFMEq>o@%RS7r-ELfk#J(r#8(3*8J!EwsC>qmy>Ch!EP1d=}b` zWER@Z%4MP5NM)hj&ueNa%YnCG(;sZy<3AHw=q7kOY8B$OhrJ0BS!gfbl;SA&%ION; znwo|5eq?WgP!`&Y9iTYMd_B5?-Jo_M&Mxdtkj+ASu`?7$$;-zIFLtS(CbY1rk-rmK zxLIjFL(~ZM3So~UrG?$c%`&SQ8ppgG8pkyJ4{`*7Ekk2)mHCe`IL2TMHYO~G7#8`# ziMfCVpD8C!JgbMB1u?M)aa!4fIEk#D;GQ(fk8pxLVh;c`VhsevvIoEru?J$~;{Q3o zP2Ffdf|UsF`8&gfk`wR{+2q3RrU@?GbfQof5pK#nHKFH#E+aq(rc6~)qdp?s@DW*S z$sP!x0~Gi=M+fd#K79OyH2|7~n>PT_FWi!t-*UmozF{=j1c~`-fF;yS1l#P}&)SC0 z*wd_SGFaO%4?0JLw=s*De*9*HStAN;j%3l$h#MUVWsStLM+(rBM&G9!>mWBqnudlm zQ|SKDOUQ)oAN3>hVh<$I0h+8tVU&Y^xr;E8w42^4D2{?|YBj=r0C$0K`uA6|ujWuB z1p|#u0;T{%BpIfKA|kDgAV%6~tDUwwXseU9x@fDLw%*cK4{g1pt@pI`fwp>S>mzOT zHOBoXX!17U+duw8mWzYg{EyWO4B>HA7VXKCx*PE7@OrHu7GJW&^TQl8j_HitG`jXm z$d~hE|IeHQu1j;RW+u-Y*_qzyyS&nE9#74Z-m&j<%dZ zWUun&#K66>X(v~{xpppMO4znRTV?M0oz0hZ4m)a-NXu+23q|Nmi z+nUPGo?QF%X40a0^Jnt{5MSB+_fCqVqxGgu;om`|cn+>JR1gSK;P6#f7*8(Rm^TD$ zT%~>0L@7?9^FgjUDJpduY%a`DJiWep!Qg{)a#>O8wKvmG$Yvdwnr@@YcZBfNZ+my+ zm@~7_=JO7tS-QQuHV7PbGSU4WYRMC{&2r5s670F8D&wHL>>01=X@?xGR7y)ujN|&) z`_S4PtsCpB7#^PbPeAwp4i6 ze2sMGiobw6YiaP@G)GOz-#!ysj6PRg+fN$(%nBW*5uS}-OGS^ancTVb(K&_cvmwr% z`&O1(C@@cmMrkl_{1ywnyBk+D?B43;(CX{_>A3R}?Q$Evdj?`3o)E|Hcejf3alSt; z%bb}wviG^^Rp%~yZQiG=>hqjhE`_+0A6^S;mdUl;TN=ILnPP?Sl9#4(d9wWvt3Qf=KnlIn5M6^bqt2EQP@Q6))@+NIkrCNKSC28jxx!~2R zXN<&KqdFojuB?;!@o?hP)7^(r&eJ=)r9kmny1&iypp|*<>vYHLoG#$2IkNeQ!C=j* z?$9>m@XB1nmCyh)G(^(sSrgZLaE49lsRcGiV!kO8fcKAq);lQGNdwxN-|I7{a)wjcHj5Y^ZeJl*8g2^ zYuV>I*WS+AXP>>VbMpIKpRbKh{${DK*t*&c zK1)#;Y$27o+S5hC5A5A#T(Dm)bg@8thV--GuNQSD_ZT!Ka@{;ew#`2$S+}Ar^~AP3 zYg>V*$u5RP&T|8&G(U;xII4et4d%W*R=9bz*L$P9K+Iy1vy=H6-&wZi=~aa~t-hfm zd~^9+GF_p>&1=~}!|TGW%|+%P<4@S~o-zBrO-)K5S*pdU>OP4$B_HWMC3Kh8yymS- zTDQ(;e}Aa&-9-^D&Yy|XWIH_ekDf(%=zO%~#pCVvaOtp8Q#qmgv=%eo;u# zgp8kO$lLO(DENM(*rzim-So_?4lU^X5vsR(`R4Ax3#)M?U*#W}s?>1A>41;w+sepU zD^{9~y?yWEj45Ljex;?ki<7Cdja42#2@)H{7<^-~aa`QF$g2lM_}iTvt?U<-f%xg2vfeyzx;Bf?y}7la;z7*T-ik;#V`xl&68*-RQxT=i=zwA*H5tm5zKFt5_*~7a_>Jy0c^Xo^p z+~2Aatjyk8u3w*{+xjFY$7Ygbih;4_jDmf(L?S2q`Rg5Af*BiUPa6MdpUJ2&??Zv= zZ=X7jZvRy2OB6_deEf~I^-X}>e>Ty=Ghc);<$BlmGTM>59S|MP) zxaZ=jXklVu$9R;zRYpm1`ZYtcq9*Lv(R1_K8cxogz~AMkBywpn;qN^?bySYc zMy>f}2Z*a`lew(ziYFv)$OgQ)l$~lV`&ZjVBY?!o&Q}Zttzh-)OMQ!;xo@aq0Z|*mEiZeco1% zr@~i}1)A06Rn4Vl#`@nsbW2EH3v}r!dR|s+EH&h(zhSfXCvxld8s&w$8>(Wn^ktQ1 z&dqY#@gp_()G3ZcyE1P}J?;18gtusMPEv?MN9isJE*_3^D`!5m)Ll5Q;-E?Oo~6^I zlZei$8dnpuZR4_ISA)U+m2R@S_rzh>9c;m_6K_+KKg>iN*(#*rqjbWOq)Mn|;HAtA+Ze&P49o^P) z(an5QgNt$95eL`3vLBi*ubeB?8aPp^)Wu|@72${o)BpalqA_)c{lxTy_^f@Ry|L<2 z1Kr`0jI6C|rU;XCwaE>3CYzT14DeiZKO(^T=XU8Vfw$M9ZObnkl-#&{ z-I`eXK<#}&21!xvx+C-S)ONYOLLZHJ`&z2B`-(I=${SlzAH;b-vItFt3*)mP5rowv{ z1X~iuu(iAmVrzS-KALOvOCxpua{)f&a-c-#Cu@_jTF^`A);QS?8D=QwouwT4*iQ2v0W{tORWnCeS)kiiiBb#(zL}Y9rva@wQ zB*|lS+rG<5;A!dc^=rud4ZYD(n}wvOlZ0im4{X-0H{`#OZqW3;{ztso zc9G2cr1-;`C5wLqx*A&u-@E0=+g$PbglNyL4H|MHd25y47_K5RytzxHK5WxcP%JlD z!hXVSm+9mir%XRROOM@FndaL4koejOA2Av0jpFB(sqGo0wIJqDQ`Y&x;*?8UQ_W@l zwvc(UU(Do&_Nwx;y;(l^&S;^=EH-PA3eAcLSCc&B2`4v`c@jmKFgnz|7vYU=JV%FhOwp>+6`-KLsxDFih(g9`&7;vteWSn0dimh?F1= zWI5)0-oM}oOrr($&+SVv*&7Cqbu*|(fcRM~f?oXY1s7CDS}|!CY6Fg%>Ck66kJSWNS@oz0wYJ+>3IH%R+w5D2F9q5yRpB|KWNyc$5I zA_N6zXZS#vj10mUKIWichM<#Iup7|n|BuKOA1Vf6PU*#gpuEaTSVS;M9;K-ESCBZ^ z>mnio^YzWNCW19Ubq8jGq9oM* z8))uRP@YGaPFW7`%(B#u1+8Bf+1fo`rni~QtV6%ZnWS2HYtPuV~T@Ca+0$Tv_ z0&);A6`Z~zCH^lIOhU#H94W_lt-`E$I!hi52u^LQWtbL0fh3CHyi5adF%60rJSpH0 zWUgRty(pXkCw5~dcr$qt>thLdz=wONO{!Cb#0Sa~*d9Va#Ng~pCUAtva#Uv_^oPa{ z(1JIeP)-9J5EX+OJ<*%S*F@hVM4^wK3mm!59_z+FWv(@*J3}j$-TdQPk3a@!}VX!YEhbN>2-tq#+G84i*`lq4dR??8LdbA#52daE zJ8jbdPM1L`GXc;vV#tcX{k!M)Awde43L+cEcfX09j9jC`AV_}TDIr1{!l?%r5ASDs z#%aJyly+Rg4ks{zuUrigmV^2KRDA+Yn9&~8rG)opuf7s@9mxeKF+=b(btxgNf<_o&H_L8P845OXrWAkW#WH;oG- ziA(NA^TxJWekYTDmZhJz#m;@Yhqx+SMh`-rH!#H%?uLlt0ZrnEF$tqFUcqEW!sivUazKf&zoDP?8mdLBgh95{$3R4Z3<=zNh(&9Ml^4N=;wae0We1Jm>n|2 zKty+OA?OnjA}fH^We^Z9)PxdA6PVhbB{SpWD&P^KCkf8m&Ent?p5sDagkkw607W@` zAqj(!Yn0_00*3`iRi^SO9nmh+fkR%xU?PfGApn`u{UA6_BaI7Yl`xtFw>^^3oUd!( z7^J{$A*qLkY=t^Q3PQ13BV;HMKy=8K>U@pw9eApW+jJwg_ZerYM1{TyRMvqn3eAic@L=Bn0ru6Lzp^5NwP=i@syX%R<~rr0XWUZeXfL(8N58^CsKq5u)!hxRjeG z05$btH+O@Xr1B5Fsa(SJ;@FInsvz0}nQ7mO;&y}*j1o9Ad!ci&TPaK5+WiQ*Lb?^$ z9r(?X0vOvZHxt--CSjj(0fP*tUv_5TxL*P_BHWB~z!)Ntc0ebVh-X9g*&cwGOyUKC zF#xQfM!HHRFjm9m^$DaU_7)j-emfRpXu5S~uT>);-mTOjf+!;$WCvo{-aMO*Z2|b4 z!AW(&i3_$KeA8%Tq!E44J^080FFNr4J{T-27r7dQOM?y63RvJ#5}nj_1v0boaeyik zt3u$QLqrwOJDfK(RBJsw$zl#~)x=qTi=4uM%nN`9A1L%&9!=BYfGf&vqs=!a$v{Am zFfAKux0#j=03_R~ZlV7$D>G&i8KxQj9`XX7VGJu31~D!I|HyFxV}UFcrf*|Jmq8zn z@+d4}2AR!DaY3w#6%$FJ4@b2Z%p@0tqYzfcN-JVvsQZEy&NA~3D`>^|qs1_i!X#Xf zGQ!GQS-8_;s-L@PuJt$EpKnblhea!}F; zkDz8D$k6u|qyiJHmWZ$rWCvb^X3b7CAss<8c?N#z)n>FDd(jDR4VoGc(P`O)`v8e> zU+D&#$b~_(%N->xz5oIA;ecWZWC$HD189zql*RKe22ldt%op_XokVYn zYC4*)4bgP!^F;HKjJF`dT+rIlj8>2^7O;v4vTdwD3tkaHHt86&mKWjm+o2(N7K>ST z(f`f_t)E_asLPWRasP>qg4knwqkR4qf0aUHM-&O8&J zVl;5dO=dP|LJ;r~JpLOXB|n@8YPkd{i36yIF$KSdn^xTT(uY9%2=kF*1Q})Cf?#@R z!Vf!GD!?m9_+er>roeJyr5Nk#xuh85>7uq?N{Vf47`DEv;VT8=XOPl8k9XKInHYvV zZhUQVKs>xnkLcywGIrE+*)o*qqRZEoL7xCAIKH+VRP<9Tp28R%lj3`iZDYB23O2tN zrN`I~ridfJ_nsAR?dX2kgxI8`VKIR==rJ$jS_2m^!^Mx+%CK(SYDOV^F<&{T;>Tw- zHhgTzSnOwL6<;^*A`~YV8C!fVGW|o3;~9K@@r)F|_#+W@LZ7iE)F*hNt9T;4*M1P7 z)HCKV?$vY6VR+NUntG`@@yiQ2ARS=@#iGgB=sTxLZ3CPsj7>-M7(Maljz%}~&;rqG-Fcu9I;O87c`cvFxGCqz?>B=2FS(A^A0g zcoyz|#IsN`dQe)jN3ImfhE{LAPllnG(+ApS=KX)m5Cg~^1_J4{0g zWkOh+Kv6<_@w7D0MsXVMa5HTw`s>idBU3~L8(;@60aA&Mp&N`qD%gMmD%gN&Oc_W0 zBpggX2?&*8E(CZAs}Fydr+yOFdf+L;>!}!r+4q;JM0tiPiyHx$Vr2ZUmB>(Htz^0ghq|-9mjf;oX9Zb6^u5_Pv z!@R@l7Np&j=Ti4u#-^zSj6|1(k?gcV`vBVPe*Q-vwKIZQq)Emdbmy}+`B;tiH@XQN zG}uIt1&t`fM`8tN#5Wuwc=hxQntj-BFoJewN@2Cb9(n+b1F52p* ztsdIyrL8{N>Zh#%+8U&-pS1OhwuaCeiW-ukiwXM~>~sZ3^|*htC5#{c`0MDu(UM^9 z6wl7&P;cvZj+)8q6{QCDj&Iyh7qQ1MZymWhbEt+q(dm6^)?1W#a(sT`;-;5R3eCSg zSyOPve&J0e{y32Qq;NM+f28vGFHa3?ZyAI}Pw(#Ud~-$kYk6(DLhoxw zqs^-Y_RJ9S){`Y7&6~s;H5>2T2p;|F=B$>Sq;sQ-@2{J}&DU<@zh>lw@AyxpT9-dLne^ z%PT%KT#%zZo(ObD&zt_<-9mF&^}#)SrycDq8R17~zQ{<5+ges&%icpimYq=$ZaI1S zY>f89lud=VB7|&f7PO;^Z5>Mlu7W~ zb<_#}!;tPK)!An_-`zl@aPb|zN$mb6I_qZTo^t9e$-EXK^7igxZqnXSrp@_v?|Ll_ zG51;1Zuoh)I`rJT%%7Kb+V@wi592(snm0L0OEz|k-h8!Odz+59Bm0b7E_(AsoH=@s zJvCrdJUMK?vG&+*uB%}|*4^j5rLSK&8>;oG##e%~cPPzp^FRPOe(vbpvMOJ$h7Zjr zC57%Uoh~{mUg~ow{(|hCY8APLHi?O-5b6vZ-mH!>q?DAszSW4H_VU@c5(1ra@}bvxpC~E$pkOmF+SSrikyeEx@Nx) zHX77!yCa+%V5*X`U5=bzIdw|w?wMncn{L{&B+Jj?`{Ug7v^he2Vdmy$n?GJWLDYI0 zzt%d>o1GQl?0kTyuJwe$ibacSLJtjHa$i3!cCIivtJe9g!(V3Tw2~lW@bp5-6fFg! zTxz}SyXygKqc(pVGA`%ljRH5pmJ<`WDjx6s)o@}w{^qgdUXbbui-l9}l4cSnE!6Yl zuKJiqvfrOSKG^Xpc(M=&*Av6FvhimRS;&VUA?pgm&UmSXuItqs-}UX$a*0+ORiVDh z{j&#cl|{$BTltebkbIB2$6HUG>^&;%^+x=~lPltmu83GG=_bqDa!c?gaql@}yIjOm z^sRD^+mc_~zNb%2G?uE;D8JAbvUh`KvMv!lCeP9IRJ%B8YGrYDp5Bkg=Hocoew|;c zd~<=|uigtY$)sy4ygZD%*6X@Y`1tOT%1qw!yB&tG5l@YbbyPvY0UO*ngimz?!cxtAK|u5cr6 zRk4JaYl#btHST&**1yfmMa9xw!6N@``e@k z@B3!Fy46=a)DMq)%n>sEIobDMS(oG+nZefysI53L>h_9BlBxm0(`PBn4p#sa@JvgC*V zyCC7UeHS)YDSkAMS;Kv2iNA8jV4SIZu28PYUF%6?@IHUOdvTDFMu(|*9$$*t)Q7(g z49s|Zcy+bf3+L+^pUC+M>&rc^EpEE1cV=p-;uF`UQchy;l?qR6o9e{*K>EoQvPbFo zR77k*Oml{@&%mT+bA?@`{a#3nFNV2L@sEV+Csorc|beY&J$`pQO zn6zWc2a}r~qphdZ<*mDUQ0CYg-l`*?qBYK&5z=+6A!1H|=BPKj@}0-+ncwkXQ;IpC z<@n|Y7Nr+58E)KYReVAm7FY4^+&oAcC5g6hpBYtp%Gg?3h- z(D=SQ+p9&F2o@hHThzLx$aC35`>L=(epYWJS04KtLAYl>PBxqqct zY-`9wW5V$=aZS|P=9L^}@yjBOgf{%hIIVb4>BH>LS3TAJR62DDXQ7vz{n%#;PlIbZ+rIOqMT`@~AzG9h|YV?_~sm^`oGSE$CQb1x4_TXH-;JJ|&xVM755w-(rZIhZ1S+lG5t zS!Jj|Pq?`AI+V(>m}Ydf>Qf`GxXz z-DGZx;pptP4PCQ>SMnBdyG-$JiQFfDVtmEoEGJEFmzFc+(~91bd+M1kJ)Sz!_2zGm z3m?>&%oWW2YQ-IIla)m}!sJwEx|4p<0_og2oHa$pB{9qMqFn7oPg+X`t&{3MHdI6$ zioy;U|1cc)WK#F5O!K!_*Qa|f824E5Yy9|*!Y8gB6(m_fi2cVk`R>=IOSPtc-M4;x z!l9k!YBz&jxSQTQkCyEu@AXusD{vfLB6-QyY^(0agcBU;CyyDXZBRfL@3*aE3D-U~ zwyt8;x-7W@FFXAQTUD;E6zBN3^nI@Ox7YVW_%lhASgfs<#No-EJ9>IYSd9@>g9r-! ziPacEHHfmxh;C$r)fhoF$e)>&5oCqz-t#ABWdvCvCrp- z=P&-mtc)NleBWAsYgb18j{vmf@&g7ViV`EybP+=rSLh;^F5>7So-PvTB9ShV=pwoL z0{yRu?6{G~`VDUU*IOAj#)H3Yo=nA!BO50(M%w?!76=A|>d1c!OukQIW!seDR*nt- z{`T+*9PmGmJZ>_hYvfLXJ}2G!Ut|etG!T-YIKxq!7lE=L3h>v0Y*5lb3EdeQ2s)iN zUGcsQXUi0t|8l^Zp6)=}{V2-~!fh2zK-K=d{FxGyocGq9%X> zV3Y?e7sxO`F@F-Rwd~PROX`?FA01#loEDoh%E#)L2@}Qha^byPjM`i!G-6}aY zg3{Rm)XAB7EK8+PCOm1+td@jA-!K}bEgDK9-UWum8hJNWcQLJ55hc55W zvpSm~=>V1ELQJT}&eEtLyfjp>nZY?Iaas{ftEXjnO8FEf_``$Jk4gCZ*CH(hk`I%4 zqNJ@j!Pi1-LN~G}pip4EzssZw8XsOpJ(*G@gymkL7|Bd#vC;}A0~9G?8{vmX#NPt{ zFYT|m7wWoE9Ti4c=a(Y8=iWsiKf=sBnpLs{NhD$J#z;j1g=C|xzz!+BD;~VPioKY>)>GC4$N@t48dp|8Z(jD!ygFJbaBbzl4_ zy>%Ya1r?N-U|`Ui2+s?g6PP*=F#z4w%G<%1Nl&n^5OmP+8swZ{>NUz_KGgG40R+lG zU96qFNQLSERkZtIsD9#{#~FuL!kqSwV(80jP?fL(cqj*giaw$?;BL5c!5L`=U+C*5 zOYmrjMcRN^q{m1#z=U_^tbK%OJdTE_Mv@@sBoM9^cFb%C7lC3s@^V0|;H-wRMBvLa zk_ZNcnjC_WO#*0y834jm%ur4Wml;9UO%Kcg4r}B&DRM$DP9z@eTp>&05WFlR{IxjQ zA37W6tZ>6Q;~-JNF=gsvXpBoId5tt8Cn<01k=ZS$-#ZE#N5>L_s5!)aocH|?W1mayX`1Bnnt7}9Zja1@`J6qa-!Yrekdcf5t5wOEc7!#SueUO#2G8h#}3 zL|}H<0kHtbf;oelkJiwXhd5+M$S|r=E(SpYIOin!ZT2vxW{;Z?dw_ia83gafAd)ED zjmR8~b2rY=VkeEBuv$712mYd~F(QY+TkY1H&dJmG=(6YbYvd*nIb}pe#QT5`g8%3e z>M1~4&VzF2zj zLw1Cl5iaTn8|Y&~q@vc>@83_B8RR&GJcXRrldFLV5Z*#ipj~(=pk-|hj3yLyPByPSW^g|$NRD~g@I*t>F7h~=f zAE;!0eaa4XH=Z-D8y2Ab>tLmN0l1Au6g|E|4#SAlSALhGQG(x|qei?6tWzFH9vCaA zeTe`D0(b_tP@ej8e6aUJ?=vqQL>3w@4ID5+`Id--;6ggVC_wrE$_oY$kY}ZU6V^=Q zBfxNI-5A`)DGb-}&BW3`7;09bxn5tMl6ags5f&`}Pl4FPH6@GcBhf^CCoi3X&ZEs_MhchY{RDSw2!@q7tT zNT90ZEIR>oz#DW0K#F+R+w1sPnv9_l2qB92&yrv@ktTh3bNA~jLp1`g4#sXg8TCsw zK{o{mrT-m40*gLg0X0`>pbmdM2!1IX+ek20K!;L}k{!!rDaQ#Dm}q-R3Jv7qq@iL) zvqalVZ@>jafGL7!z$XDRLXIPc4dFBADHsG(k%!3~(H{_kA-af`=r6fJX@+nd{~g#0 z;1OQ-D17*{!vbY}fM7WMMT5cgaiv4+Wu`&xJrvBLY!X;IDWDBt?_ixkGz=^-5O_d} z1+o*#Z4Q>x$3QuSSMZwVlt9yQ|DxjtYjfg-alh+T%CVgoso&p0Mh#P~1_A~G72q;! z2qbEc@Rb2rU`ZNyM+{k6mq68swm-)hp*xj_z;xtmwE{*WK&e~6vVx*y$-;07U|%W; z9XIjG^_0flkzb$}_Q9V-bH$hd-_28mQdc+)={F&OZHP_5mxbHGh%>^t9t%o|;0;a1 zfdz;J8OTOTU?8!Me$w2(fQugE(o4!-%HpxXdE#|Ll7mwkT95ON>)}Rvl?v7agF;h5 ztdE5jy}-iUw_xOk<7MwUC4p}*QUeLTSaBd|?2sv?D1m2SkK10~q#_(4D4$O6CTsDb zQ7$=r1~f+qpva0T?4RhcxBy>FGS1?F34I|&iT;*LCov%HIRG>e<3i9bdUI5QheU%uZ+f4+6#3c#8V&T{8`)m&*u;5(lb!5|k1T&Yi<%{J`b# z5$!~=lIOmD5U#LL(>F+t0w+?>goW`@0DTKE%@&M8$SsoWtH@yLa+>kYXFKqFCKrf9 z0MX1SbBN7w+2Hd`z)H~8iQvO$8e-T$>@HmWVmOb4N?rVg#fy1&wK z&@(;~E8zn{6bzt&yr zRTlk-j>$7+Jo83YP3>4yMs%&1DKc+N8Smgnp|98!sW+zJN4y20WEph|3$@!8rtGCg zRWU$3YS{!C#kJD`)6@zIGPEci!VCNZ znxvhI4Wlcs6hFpKj44C=O7TubcD#Sc=wX<$Rc`aEL~o3@slOtd#bM4wdz{zG*Ls$O^VhWtdYxM zl+|$Mut!Z!vJS0Tl0*Kd2?Qb#!ZLx_{R@g1VIs)?G=YGLz`P(-xv2=DXKPUcPb_YS^5% z_J2}`U`1^`OCG`wc?g9!s5%7QTTEH<5O&BzXg5`dpc}b0W#wqFBQ}P1gT|oU$gKg1 z!9z(5=t2Nmpz$BF5aw#ALMVqZoL%#8SqO6zs6yx_OlwKdf5<|Zn?MypHyO^Z;pU-t zm|%z!g)%tW*_N+-xQ?9)Lzu`0r`y55lp*XiyoRL_p~4W>dPLX!R)(-kPLLj6Po*LM zL_Tn)4X>lpkbka&GGut&Z)eDFLWAWC;abO;NHdL?R0ccVTQndTVL3yvQigIutd*BGej!?!Q?> zm=+Q03_0Sq;pCWeZ8rWH|Uyw}&^#4hB>qY%#Im zIc~7nj%UxkaT0J=*VZ+BW^UEb;y)xOU>il|HVolUX8~};En`q^2&0U- zf{H`XGm5oBovzTwo2EMeGx{6!GM~YE zXd}EP3y$i;3w8oNf(43qm4xrp|1y{3mIB{E z`&GI2X#BC|alC8CefJ+vlB2dic9FIadLFeT!d!CCjPb(HmyY>JW)D2Q>eQ6!TJL4I zX;zHcltU7YnE_urF_ruV&XxM&QyYxu(Q@*-M5tmwPSZ zGR!|pD%T2BCI9kYa7p^**^T$sjE|oq&|G8ElBbpLYqBtDMnBnB5`Dy;{g_dm{W5vp z!(Wmmj_M8-sV46EvPGpST`9ttd{Svj+S_iTaBa*(FM~>L(JSn)F1qyS3di2)NfCP#Xgai_?ZW$@jiUG1 zV|79nHHJ>rePkT3)t#{|bq(oX*_7m{xvSxNy6kSzrc(H)zU4E)K=146Q z=k2XF;reVeP*IgJ>20DM{%9C5s7$|pW{e5A@}0)X~!n7l@G1f zt=4+$Ytwz+#bnhJV!Wn5CH`9S`uhB>JT3Jvw=sT2Et;yVS{Hayhev6N^#qb~Zo!-U zoLd^{=hbh8d+n3C8lawW-fgd1s@u;UOHHr8%#J-T)qYA&gXTM`Q<25|2%im=c0}MZ*D~m zMY?rHI(lYlx3?(Lb)xIS zLAQ;{<4N%bZLx?GZd)fLo;5B{kBQpf|LUSp&8?FbTTsN?z%9x9pucdK6c*@pjJiv%dQ~Pg^#6ZPAbjTeaZ%?%fB;QI&TEdnF_pUwjpY z!j~wH=?|CZi%}oL(dIsyE!*DFnwavZ#c%DbefQQwO1Qwp%G^P@;6;=)$B)6M+jq`* z{`e+IHLfa+o2@)$!fQSCZa-gVC)K>j-Thx0%EA?dD$Ev-CquVY2I}mLjrSUrruy?d zyO!$3)>LMxw_0!J?NfGD52Hz_P4o3L{deOMkMn=XoyR`N-s`u+iqZOWoWk8eFRmA9 zoPtD=-oyt#I>edZ>^`0|K5eGUGdh&#KwL9uTL+GW)tPb z+8=M#Zr`awggf4@6RF=P&e_*`Kt?WmpOxc5 zk+&s#W+)Sd4C|c&MWnQ-c*j7?AxZw`Pu|L7?pg1&cbxI@jhEyYapi_9@mshFC%;U| zE=%(2^~cVv%_j0iPYPe1Rh=;qbul`_ZD?$B5IGi-?8Gbn+0V@{Drkm6+liyD?lbeQ zAK`9&TNte}smFut-({hcb2U(8jDVtGl($J;@`VcrI7-h8{%GMEN)10T8Cn%3mE!cw zd9sQcv+nztmc|5oZpwCCp6RwqZNjCsQ$ca7%a|l)K(J>)R>;SbAe2o8BdLA z?~B~r`4#y(WT5(MXYlIz6(L^xy+qOjIU=^NB&P*R*S|?|-QRAjohCXlc}Mr& z39FS)b>wbM`<{D3!q4)j?;3fLw8`xeM5HPsSUqevx9;Qohjs4<7-YFG z=u01U+qdqdo=mMSN49ugkl7@Usa?cm?B^#jCAI!UQc->t%Oc`wy;~(!Q5b zvEftLz9pFkvy1d4NA)=Mkb+HhS&1R4X~$IKopdEHFw_NpP->z1uA@%*fYA~_r z(*Bv_)UjM7xGr&*Mh3@|t%c`zENk7jqT6bLjO0heviG@ZPajvl@K-YbiyLP(;_X$= zZ&^5_&r+S&Z|@efHLuCdaLswzw)SitJ^M8#NeG6x*tNgR&Iys}Y%-P+VyoRkYBIXDkozh!&Uy|g93T6A7&Q6@tXxIP9NAHdXr^EMx z5NFOr*Pipvn`bAHNpCe$J+wG>l_w}%bK0oW3|K8L{P^B6(f-r!Ts?7q4#~T^GVAb4 zvCz&uv*HLV&BA5Vo^DVTW6RiLzVh23m-JWSv|HoV+c%B(SFf6o5`5vt5jh3k%ST-t zbl+E&Sexz+awa@K>a8`Tg+G|%*@biG~oZK@}DObph))$(MS5F)lxR)%GAAR1J|K?@++RD22 zV7rqBWZp)1GWA<`xWO#tS=stC3wBxV_LX_?(nDENjQ^&Ko$#&1s0(EAxB4h0f$mp! zJ*5FsT)zfy>8}_HbuzW$3lHCvf5~+{`D&xj>tnS zBz#V1_B82JYsUC37vroqk^befQbUFCxQccjm^VpU@U)h&lcLzKFE*0B_7X!sKUs)( z@14l@g_Ifpsy#&73lrr(6dE!b?#Ik*dTsK(;&txaCsC58HcTc$=cL;X33R-;J~KLI z1fm#WD9D|=e}X7R7z$EWJ|g}Yfha~83i4-|WP~Ll;u0g`j}e$;ge4(!=luyL8DU9? zq0xu{WdtS}VM&OK>;I0f;k$4#G>jEpGr~TA`ADwb#9*XQj^ip_q|(JTx=5po>vVC0 zE^gArExJgji;U_ejDJuRBM8NxTZN4OYs5#v5E)=&Fxc6~NVE;Xu!x^CgoS&!;r3s+ zN7_GWkD-{q;T~!FU_H9p4E}Bsxycx69VFu2rD-SZQ7`+18PFSNjrmrb=nD$~vR_bW zL@|UE#9=l*t(To~&_V7B{zSh81;&z8I7MWBRoxFI2Mp9ue8Y59%y!1#-kmUel+JPo z6hSL>4r@`^qr3s!`+en@fVyr5E5$>T3MkH^m?=n*(gM>xp-Kq|Dulm7w7z30)Dfs& z8qbBnAG4#8RKow|St9ip3{=u8z8bt9X~*G)fayMt_?R!AH~_DM8-)U1-C(9Nd@e`; zI5LH!`a!feK|l*F*rUEi#RjO9O+mHs@?ol2FNb#mZz#&|LM6HZ8~`-< zeHHgYP#7BB`jXI+E=tlxaJN%226XVK!3jD>!3l?HZUV&l zNbf*N7ygmmI<%S}Fx!{VAC0UBn4}vB(&mBjD6dd$v%{2kj zcY$Sq+SsU0M1K^cq6V!4;sO8#jO#{CY|k1+q-D^^6p0@4j%q#>5keAAXZ`l0@{Kx{ z2#zVO!9d?Z09sIcJS|?Ui?l*wZ%2g!m}qP=7g-=F$55}Df@(db14LS%CkLP`#ZjG0 zi=S{dAi6-8C<`FCfdUwa0t$6DP#5heNd>$j&rQqcrt>984>ScRZQ`r~6agE^$7~kV zQk+5&ilL8$3SUs;1Smw=AC!{;A&u`vg2z5RgmvUa6E74{F*h1TEgHvy()#krjE~es zgWr0ADd7Z@$*Xg4;8DFQxpo3YX$VZ9f>lIA$m-%+EDJ~)MZ)Sjp|=O!+)Y1q|BxK+eE zOF(82ooO_X2?b2JHBi#VE`jm!FoDh=I08Hggs-VEp3Y)tVjydk;NYRU94T6McGOoE z0AvJN2qjPMBAj9db%)Rxnt@6>ah>K8MG+dLf)f^pO%5`HP@3z}iiicggN#pEz;+0z zEO=h*P{eO6i1ngL43(KEF(Pr`Su#p~6FCM@r1x1!z(cITg!#vD{=jSosVfL^O5Z>g z0kZ*C6G~i-=7_Z6?Z*`f4GHj+xngaU$w0sXxF#2>r9nyol0sla$-m+S>A)aTPQa9n z0T~p8>aBS%FO&mav~H~Dy`K{ZO<%cX9})cWj0$Gt**@YF+pJDAngVv>vO%TV391Cl z&H;}?tA2>spp+CdC;|?P>nCt6b0Ld{xI_MdX$2=R*BobkIA;8#LWyf}l^m_OAJ{0N zD$r7x;A;*J3O1hoddmDb`Tgk2-2RFSss>alxBC+acu@8I{NxHoDsW|Yajn8$^8}n5 zR3-46;M$yus^(=%nRLB@G)WslM-Rg(IE5$_L>&>zz0tY$I84`1)2a7}B%$6DYF?t) z5rNML0XdT)vw-Y|UQ7`1td{41Jpt#b*pCtdkJCyF)H#=!1Qqp};JmHL4hMdPkgk+K zV!ccj@jGFzo0zFj^tcRE+zqBD14c1qbTG3<&hLZL1lXF-c6bO-o!!44)BUOH20=37 zFzBGbOzl0#sQd@DZ^#00`ffMkreRu;MZg6CbrZ-Mrln;7p&tO41nHG-y=)Q^YH*Pe z06yRbDS=YWq%cHKJU?y&H_P zM?&^PZSfVcPTLnFR|(Jn3iGI~`vDtanrEa9DW{cs%ofFQYP3+;h1h0O#7ZsKjR6rjT0 zbNi`k3RaDsD}c{DfE{KY9q-OM5Eybm-r)kDg5fjT3pNJM^pt))(EvLdIwaX!b{C(n>Z0545l}f0 zHTeNG0cLvX5NNo*cl47RUj*O|*`4KW+^!Y$(m@c_Y?1a?H;@2`7_8jO2Q9ygq@BViBfi7X6A!ba z5Dm26THRu%7*GsXj0#Njj1nFB_*Sr_r8S8R2dTW;TxrH68ko|Gv0AAcp@@XYaFEJxMuIvfQ1yI|$^U%F zH|E?QCL?O;!;Ih!BxTrD@fYEM$D(G5VO2#Q8IDhZtKv`TDHU5uEt49c@hM18i2*PH zY+-p3OI2Y9aDgzvfpM2)n2wNtp#$7O!odVZ|3u}Rb7|3k7$Df+eUL$G{w(PTxxEmN zs7GUKSk{rha&TA_!E>@~L5>PN;OyV__iT4y6AML($HE6_eXu}_ zGtkR{F&#h8qa7HXHCzr}IW^)A>@EXGYB(Gii)$u0F#2i)9T?4YQI7SDXlz)BU$DjP z8T{Nudkb#8a4Uyf9X#sSeYhivI}31UI5%Xw11jpE6N=ZPufuh_13Szp+a1`lme4hP zP?!u84%>6sK_g&^6JdJ}0$tF=Nq}aVDXR9re7tfPQ_!Fa3`T2o4r44z(2S3WwqS%@ zQDJ2JsQ7rWd9Yi0u#s4_Rz5?kX*XJ<&!cr?j->}X_!KnVhtLGyV(G!LtWfurj|#)l zM+L43EHoZks<3~wY{HXr9YYhRkEIH>#`}z4JSmDM-eWWktIz}&f+gNubgU{y6TvuW z3J3dmu+7c#@nCF2gW-pT!c{EvUR!#wwH-je_!SSvBJ@;S;SLWPNy=!HfFxiGm97); z@P<^w1AId#sD=7*}hVFf((DUf*6k2ld*-F0Xj{L%mkgn zOol-dS0-aZVkXE;4&AdZz3v?Kf%%wHmNR=-7J`3vj1u?h_GyQ@- zGjwonN#kd^R}LM%{-=8a>Iw4qRFMC0PXGlV|IT3D0L+XoL4%o|0>t4DdJ67gJq54>fDZrns5E7mMfj7Xf}O$;ECk|DnhJKxLa?{ldXatlM!z&nv zXpJ7>DN!->En-P4m{>z6T|rMFNxFiitzdEi_H+e}-Z@KGu*4P274>ul2NyRlMIk^m zsN)6{fGO=h=;3+98?W-8wu%JAqJVR#r<_ASm;g?0FbtwB&Ovzw+8V=Kn9?ow`z>P} zV&MvLzvEBdfMQ^@U_~cug*4?D<}(2YT^8VA&s>2J8P4RZscAQ`Ghi7iS-Zwj!?zBj z3DAM9_h~$ zY+vv~G8XM}m;Z550YeB;AZ>?Rh#?qwY)k~YTYXFUP z*S7fYadvazsOyP7>UGeOzwFiup27CsV_P@3-ib;g$#X^Jds?~%*kU~n$Ouc$T;28J zOM<19siVZ}Li_3RvSjh#%jeG0WBA8iGu8i@e*H$St&GxO_U)X<&dPFCZhLkRn+ey$ z^*>j)G4l4gE$tg4E%SD_g=~6qLcBeDXU2j#(+PQNzhh!nM#AlL(qpO9#B3tdez?fcHfqk|9ab6;B$RGIC$vyDN%p-=81t$T`bVm_mXK?s)EK zxpgP=9BD5%l0gDgMsSdL@8IAM`#ExVj)jo)RK`2Q)dI*36jB zxqp`M1=}5#I>piFM9Z_HyqXg(j;$k4Bi%lR1c()-hVN+%aqdnJIqbyty3%2m%tWbZ z%k}vuNKnuzmA1y+lLUTtpIbNo@g8e?cgbhDn|TMmw;q|qlemn`Lgs@y&rvz<@(Ws{M zj0E8mlPK02`%I;`{Ds^5>(-^Qo_jSC9T(g>ZN5nOT%aQ-X>NEM&i26O*_mCNRIj^e z$Jwfl?bx>pmAkz=mt5atUQ3eCgnM)(x_m3XbJXU^LGy}BPUdP+tEAk---YfdIA~_HTe->~#){@9Xtlk2`B0~|%$i6sTAL1QEor-WDK^ubt3N

-A=p6sG0hS~zL|EYSWf zlS5rLyv->p%Cpj0-B^@)FHpFrb@$SFixl&MPE2@9^0PvPi>^{JCx({ubtXcn@De(puSB3w4^qudSDzzCiK8M%9AdWQ)a4 z-na4n#{m{uht1YWoz~?gR+9(6q)czVA&_;| zcVnK%maJpivqg??P<`NPx_0ViJsA>;XiEX#Rjb~Hp1+%}#Z~V(XQKS_On3W{xmPcq z6Uewr3`ccVyx7Fkwr89u-++(uq?FA{lKlQNSiwt1^mO>l5GL6+CY!f0UREQoIIfVt zZ!rJuUONkWu~h%*$x%u7%s;*$2Sr5qd7@Tm@m=ycVm~g@XJJ;-nS-+74ic9=+z-!t zaEUCsdFi<0C|AvHof!l5q2gT0b7gq>aQ%q{Z*m<97 z$%yNx0xm_ZnkMrw{&r^G3f?d7n>OeheacER);gB(a-RX&KBlX>q9^R|SyN48x9e7# zTO|5ND(UqWj!e)0K7SF9U+R90Q(EGJbFaPeZaYD0zRgwg!0m}O*Y z>SEzfA3eW4-qv05;MUe}FP!`8UOhS{$XRBwZly$=1o<94;!&VwzwMdAV+2h0~$ z>&T52Eweu&`>afv_D>yUhn4NNljrC6juxNzlK2L$9Vz&=;lR&U&ykE#K7<(y8_x^(!T-|}kT9tXZ2wfJ;p*LvNY zRa}7*jW>>xmOU%)%=>cpwD58B?tO-ef?k|?^P~2zm5H9vY5OWZJdCU;j@4?;yXHA5 z?1FlByz}NSTa{mEh?a&cp3-Za^Yh+O!nIBQ)xv?oOViJqF{ZOVJuu2Vy7c;ywQ~6a zFT6TakbZ{SN;FBJr!4mf^Te0yVUoYr-J|NMK6d)kk^+pI^6o|wx550&jhC%WmfbnI z`QEmL5)GOox_p+&2z8iC)hN8X;Z7{yML2(XaLRsd#=i9_`qRZeZjl<{w@7Tv_~BhZv`}@CDHy#-M#ZR;*i$uvMId)sl=xeYn&sbLw>}=k)eOaA>WNWTwf)n2% zT|&Me=P29rHhk|U!6Qnq*36UR43{V6>tsgs?r#-@mDb@P=Bq^xddrjbkC*<0J!p-8lKE&--JT{QXcivQi z%cAG8(sNk0=jw?cVeXTC${~cucWiZ0{J6*ipAIe+?soo?zaY|VMz7`bNSQ!mBF%Mn z!WYk`So5iFO*fQpA6`58(vPPOT^VPN&b}Tv=k_V$u&3W{S%dYaRkN#%Gz7x~&m=o? z^k%9qS*{~|cHi34JQ8_AyDY)FEXvzPEMSksAsw+UuMfFLo3jFrXxzDCTXK_J@m5ds z(1pLf)1uVV4t05V;{8S2Uh2#KXbc(g*taWl^9MGh;%#J z|MNFY@tdU}qelO>viK(_3! z7B;llNekQB%m4owWGD?|AQE_&VesGYEB%Xw2Peb&Q%gLFX?bJdbW&Txnvz17`k$s6eK71{`ecGPDm*K`M?Qe%?$o#T&4w*%a=p>F@&a891oyE3%Sgpxgr}q@>T#8P!fW{IAs@*#32mE z79a%>%}GVp5AZ&>gveTtU~feB_aO9lk2aBG$Dks&14n%hye1alZ16F69IG%X-{w(G+1CL3L3JlDl>C6%o=_p2k_!>z3Pmw)9d*;aY?YsnX zHed!Dde5j526zG%Am~wa0d?u0H%=TmU;qZe6CO?mN6=^e_?p987mhQ#MK;Y911WSG zfyyI03S;0#0hH#!RXDgqOr{3+Wbs(AI)SvuI)TC<#(YE@WJ0)B@U|dYe5V${O@w-n zv;shgKnfw^w;m`4M1Fh=6gVX!(uux65IZmO;keWAVnlU2QlI-K@$%NGJ?m&@ z01O5#KqMHt7AxVnPH`I=noRCNJBNb!9C|Jd8!w0k>M#M=!Vqp#oIof{0+0fu0S^A} zFrvX2vGbzP&wqX+fB-fc*#VhvCqlU)_Z_!IoH~X&Y%*jqGb22Rr3QR6awA|^A;VGs zAk587Z4&qhg!ium_mds!Lf`>U5gY0Q0h0t8kS&f#>eKf6%A-DF3hyGwlfzDG!w!rO zhoi~_1jYx$=|uE1(1D$p1tZ&mfKjjli9kFlS5=oo!6B&udP@!F5K-VM0lRS}7=T!c zsHjW{G}v`Ore8gUi(qYl`boWCpw~m-{zKP9N(#_cgH;5W3k?WIei{Vo565Xw<86So z2=wFL(qw`-Yz%=%(VpfjC-%b^0Cdl&uIKR-`e9XPh0tj-2I(XM>>zcwK8&VD8R+nf zPEc4sU~-u)CG>1DT@)x4h4A{x9CZ(XS-=&f3_2zV1cGEF$E`JFzz~HWMesYk4FY#- zO-Jus1&{(xg4_Xw0?Og>HQyZ|wQ8WoZ-t4-o7;45@q;EH9$+JWRzPGnm^11_(RC`z zGnE-3PB8NUnRC*HteV2r0APTP<|O&s4igMO04SMbxl)S!fSSB_ojh*?P!CWBSjGHy ztRw?qGWP1q@igWT5P*=mpHWa`GT^cEj_@Vvczn&>1TG(C$)|0}Dj8O7)2Dw z0m;-{fcz)R3G)A(-*1^L1S|vG8K?)~4P(B(Fn>sRnjVC^z|i6w#sHQ&pifcMT!DH; z9NdKG31-PjfD@cp0zt^(F$G2gd_DvfVzXPLk7UZ1#q9h69@}HOCiDXsWt@;IPCOQFc_e@TO|8nG~#kJ~`fZ^*xj#}Y*JG-@UwjASjC30U#dFOYrU%sv+3BbQI4u!fVK z0yOs++zdLeg7@kXdH4s#fmfOcJ06UOMbxJ!7y|(hw*-ISjQpHf09?01lYeEsj)2Ob zOrsR!d^W!I4HhaO67Xb;#!Z_%IJPPinR@1f%3#w06u@#ZhFR1b3qc|bE|JY1QS=Dx zQ19Cm@RM|61E>HwAqlYT&?eV;wMLGg8_dZu6O|pM+>W?ypPrj>a$uL|4e~BE$O((+$LEQd>~u*4X|RB%BRd1u z2d)@WcsOXta^TtJ2~-TD6=$~)fIU|8J6~ zn3V(Ap!m%k;Sa^bFl06uqXlCDL;7P(j%*=d(ahCkxaxBwkI}^l@D6A!v9Iv0fdvKl zSPt01Se_&JQHGRf&*FV|z@!6U8|DmSBU1^^Rh3%EBM;QwQ7_*Rg&w_WLW0d>Bo2(#}|gNtz$! zf#Ek$nT|)1@+i{%3O+y-YkG;vb2NVc>#v?xQ@z2%scVk!Q&}=3!pkQY+ zfdvISo5>KHGK8j(|IDTgp(%uIxiQS93}tD#vuHR=3mZ)#NaFFYG%bJ4(sBUi7AJ(s zISH6Sz)FGU++edQY!46IDI`dtXbR748{Yl#ej6l$VL3LA zU?URm>UiH(Cuq-XsS~$n_G0TIMJmit@vD=!X97`4!>bxEZu~Kz6MG7s*aJE-vxm0^ z$O@RDpxR^$v;p0W66r@kQosYnf^r;&DC&4&+yH*#9$vM8K0J6m0-5XBD8Z|zo;#3v zfJzHqLTLRLAcFiGfHi<#mv>l&Pdt<1*@4Zm(#$N>OE#eBIqI3rOhn~@28tFt6hc8j zLqHZ;B-c%o#&)#@{)UmR7g~`kUC*-udv44@QMSb*8T<@tVKVrPhp6oMfFfWtw(g>` zsnTi^tIySY60iiTNgUvVu-&!?Rj@&*-d=#JVfi+c7^2t_In8PkH-Mm5n<^=BG8TUc z&y7j-V*(q*k{QmZWR~1b99FE4zW{uLYVdfgNmdRVB^%ybIn)Vf*YnhDU&n#`IWZ2* zptl2a74L;j+zySr3{wSAh0BXE7i?lVuOqijrAoF{_Esflj)kIGr1lRkhnW;%52VDW7OlvC<5P>V#j=EXbM$n}usX(``FyRG88=j|yH@MP z-uJR~re4#;oK_ya<|(yi+05%^zS^i+%rl@Y@Q2ApG9AxZu!mWJkGAQw(PKu$>9Lej z+28S4kD@}OtcB_f?XL;3#$0OSeh{l@6V8Q2M?Tq4k1_D2TLGdMCq!UN4X>u_4;TTQ z)*Qeu`ems6wCv;@A1)K;t7zE?dguc-k;CPppI(H$Whb-0kqKjBBJ88X7<<4otcCaS zCk^iQw!&oMP@<#XNkoRSZ~V*TgG~7oTd|NWwEu~%fFewW*$Oi#?|)}2fL0(x=)`|w zD{fJ3p8S=q_&c^j{>rWV9a|w(aGoeVNk(=!-^agWD@5xr+{)jv6+-iY9HWB#pG`if zf66enLjG=`@>gtS?4J^}bim)@U)TyL2`(X#QKDj0`ChPSF-1JKn_FOui&7^i>F%4! zx9K*RlJ^yKn^Gk>Y=|l0V#6MEH>FCjdx$CFVj~`OH>FCj8`dL00{HM1Dr~R=8$9?T zN|&GtW&mIZ-G?u`1F&Og-wI5QMkmDazR|wDd!AM6O9>YETE0G^onV+ zB7QUg3Q?vP_6Jk~w-9%_4TqALzd$8u_!CsZsmF?ltOei^MR|{CT8p8zYqS$+UL6cI^KU7}>j{{nlBDM=7BW&ph-V>{}_(p+E3` zZQYggJ3Ft3j?KSLd>pzi+Vx)AZ*16l*}lWeXq9rFm3DR5MSo|$q!`WqK{8VC#?B*a zM{o@G-?VX1_{fOMzPp^{tZsYonC5rpzMTU(>ZU8aXl!n24`=$;?MrG~`zNyUpPiHD z?iP5qVYO&d3Mr_$vE%%qag!BS%Dir>4hRXob8eY}K-j(VzDLFz<62T(elJ+N zbgs_ixU(+I(;Jb;_$s5Nzg1VKt(elj%ZwOmC}-Wiq`F9V{~o^nnw_gOH%`B_Yh7t% zTt>2njKcduQuOTZTuH@y!RJ%5b;b%Fn*FQ0WzSl*k~6jjJl=HGvdPb z&0CJo;rZpadeOYuH+C3*3f{eGGf!czr4Z@;`XkCC_i&t7SL+DB z5)rBXy*p+#S-aVcGv>J5tE~HJYd`hfFy3Q#STa7|_+xcWvcb3JkZEMfgsHU$j=?Q| z(%78MmNRp2o5zAObxxqlp4r8u!s z|6{y#Mea?{k9Q+vdp^B;pgwV8I=OPf>)6QF`h!LWdivcj-UoV}P0G*^6-Y4G*VTDw zZ~c=zDSnctHG0y+z<^UhQ{TQiVPs+Ft1B_7@WQpi%Cm-B2iUC4 zwb2G^Z2XpSNPkODEhrDQbXY(>RkaKjd4;*JHM{iu!e+bK4PSYu^A{F}n;yRU{??u| zo@A-%;Yhz#JG6RdtaIKjxl(=dQX?-b0kNJ-iKVPcH!pi4IeVp^%>9Si+Z@j%o?gC2 zt6zMoJafd?OzT@JXX2lHxNB^y!8>NsvR|o%B=cPM7nu>&T#fM$3#$^h*s6c# zm*1k&K3IP#yR5tNL>O^aTxQ^I+V`zC;Oym4mx=O1Ne`a1zc>~WqH3_u(|mwMI@|&x zvJ)38jEoAuS8~VLY;#|C*N>+=*C-}kK6A$T(-V?wwpoAclI+u_H91*n=Ome4A9D@W z1@BU$?)TY?8;c>a!)zqrsSd$5u!!=4lsG+odc>NLf_j7sb98ExG6O>PD6)J_^~f=Ui^E z@eak${4#1{FGyF?(SV>E_R{m1#77^-wgtsHO3z)YGrqVo&-=`|E0({=_nT{$uC-Y3 z;^vVtGD>s5dAM)m5tCgpF7}%L;tjhlxXKe%rLwAzBX}~3?rhk+>#*_Qmx5(VOIOcG z2-)477Gj8W^8+>EZ zc|UMl`vHxP0C#)qPMbAthj*A5Pavg*?&E~}U%83#zu0YOcXZDC`ndV>6O_7-A5|$T zE=u1W)>N7~K(@(z+%|8_z-w*Mk5*bLvqzlRy766z{Whhw2y&CCTFHnV!C za%8_AkpFx!$WTBQgSIdJ{v{(*xA-Gd=8EPuim6Do3Vx1BOD%{nJ8)2f$dE-m;qiwg z<`^Bb9OV8M?(Y|OaInAnh_9EQNzbQ3LqjvNNArDYth|DT;p}*C>!!z9Pa~y&K2++f z?o3nsd4HY=H{pE0df>@Y?vBQsS>4Z##`M(Plx|I#_TsXuTGH0#$%l86P4jO!>r~&k z7UJD|XlqdJ@ zM3V9(`R5miv+ef)lQPG3lApb0gf1-<8?3b-tS}eosd;HRa^Uo#U*7Uk)P za|N3b>z(^}3h(Pm36AFX*HFn1Jb1w2>&+Xcax!z~mR!0ptCdteDc?3}LY~c;P1*K%2Zqt5D=Hr7(*W&brzM63_f9J=_u$6N~y8|Y2 z-Bl+_RO_-c=MY2+6LzxhrExC>+bW}w9#1e(Up@UUZp)P-Dj}AgJfRycl(lXia{8@X8h(g zC09VEL9T`-Jh;1R?xdJg?k=0(CxuG# z^Nt@IoTVG)al4 zt?uggmv*-WVzqBfj8XeiSzmo<&Fc1qs0(gle@-GMucxoj4PYQ|=|2$|zX1$VQTf}}<2Qlv8^9p{ zOrrb-QHbP(ejt>s#MZ~*x&WsYs;k*TH6chI ze;n2aQ2ZcLYQZVt;Wg^g&t0DvBE7M43$WYT@GyqX@;h4`aNYe2gxH(jMgE~*u zGqxQF*cW3&^rKhF9xYg5nD5Fiq+z_J~Q3FrV;6l^b|i3ns^)g&7Z4KU)z0N#as z7tp=jO(@`SCulD`D)86D%Ul&Y7}dFOe_lep!#Tl(23H1N0RRVKJ7pxmFl7qF>WE_? zK@il<_LGN!#>w5q5+`8~(&v1L5uWDRcxnAn_zrV4CHCGDo;{AWJ}*;Liaw0$h6v3?F8J zr@@`mkOd?H{%X-U%yC52Q@<5_s^~qGIvHT(7E`YgupRe94q69!*E;U}YAJ6Cek( zL)o!}(0(Pzy-?0J6&ejV2e)uKqiyi zJGglW*V+rz?jvMdyR~wd>^N?f3`1(E{Ha1Q96H;P=>-^$?raQJwuH=CN8s)Q;}5vg zoI#X4#P+D$3oV_2lhl! zob?otjP)eoHDFkE&R$0zXbG-=z8JdaxD7}{z%>r*W)RRdxU>auL5hLzgcLktV4E_9 ztC~9NKmjizvEG2RFtE-zAjd^h!PTRiDT0&)W(ZFd7#VoHCjqv&y;T{2q7;SNksrrg zRiX#Vy4@OB!{lI^5o%EphdEAQnQ?lTHjFg@8^Pn_%L{OWODFsw@9qZf!ODTD?P{_Z z&x4dRyVuYMX5=v#R)CKJ$g*YzFCYk)EptUb;|g|YfR&(jPqPKu3Xw;Hs7ii^RSBjV zUt{joBj_tODuIUwpOZ+zex83EO^zkyVnq)F?sNRf_uwraC$llBk6gV zVbZ{|3C0>DNjsdv%$Bh|Mu2Srg~5-445z0bfX);|l;RmK27Ru3d*4b*y;Wq(nWtMW1f%o^U6p(Wh7EBapf)&tK zu#p(Y2VhwQ1Dlh!8h8$m&%JV#K2vco0PoUlEilHw@3EXK2RE5-CMV&`fu}OoG(2^N z2q|G0a2%)b4g7t8uu{qg&Knde&7+PZ5dVk zu0D=HVcH6!JVu)@pYQ`eo;Z`ncE<{?nzmy#iah9UQmK~FZJF=Wxe<|#J3Cm=LFI`*)0qKIFD z3X4P`Dno!qwMC2F=L3WS6+*BdpghIDQ5aKP7t+0DwSI7BUA60?ZuBwy@np z{$?JcMgHm@GDK+1zRLzQAnk8&B13sp*l-LCHNZ`<%|mGR7-}B!)19#0Lns_W^QZuh z0VM$SnQhHM^=GNEY?fv5Fv~KOJ%(+w0=EvBte8o&-fVT3W(|0tkiCv#O^LfSvkw)q z5LA{#NHgYm4OxzGOEZV+#HE=t*pps|Eq7GnaI7|bLzum&h+afxG4`6_1wcfa2|(p8 zUX^Hd?-;XOWlP1CA!znm}(`SP-!)aiKNF164hD(W>x_}yir3)~U<3jHC z06^UBnYYl;2PuQXh009qeYV~skooFvZ(hTpcxRD$4Hx*L#+~MYsG&QOl);CTZl;?Y zuy8T2;iQm^2R1n=9upbJ+@Tv>T~2*295^(320fG;cY1fXGZ+#WJOr{bmjfOd!jF(% zg&$vuwan=g>IcOPAxFNgV(LT~@?Y?0u|*yelhuGuN>fJ%tj0lcg@k3I2S^0~F>h zFdt!RqIK)%)iB)k+%@oOsbRk1$}dR9IIvb0)^padd`HGOpo!(&5B_7aw?kwNYe$E- z1Ar8GcCg<$G@t6#Pp)C6;xK?2Xz|X$$HI=pVivQmkv9yK3_eq{*t~{m^9rMk3~IN% z!XmPxX60r#iwgm5X}ABaisel29YZJFh zy^t|8AMa7cOk|{SgHUn3xN(z^3bUksg5X0HM$)>!iBAQ-Guez%9d~w_Cu8j zynxFfnas)DY%j?=COca4$w{C7;R@Yo7VO_y!KA~#WM>5jJc4#sH1VMzn9>nb|C&K% zHQT#E9|j8W1R;OTpt6@Ow*Q%}Akc-`J^)Bh@{gV%MP`ihJ^aN>Z|3Ll>SNs#V zLWZ)_{AX^3tka{a^QO&Pj7?1ciCZCV#{jPU7jA{H!Il5Qt&rOKzxl2Fg~o}gIWt6a z#vfd4$b?dDdM!>;?t3x zd;z=lm;Su&r&|B$UbJ%Z**U4B5<3-w)ncd~(St{ROu9XobtaCh_{Eu_9<7QW;DwDF@v@A1z=9=+3 z)%o>F_m8aEYL(bSK z(Q9%>Ywh~=?ZFrHglFsTk!|Wt(A!{hO6^UVmo#Tz>nS2YGVLrkYkoWHyRfwMb-}J* z9aoplUZ^`dCF;Q7=l2bvbEQayk=}+a5}}?e^Hc98`w4!1wBFRjWn<6#=vh-`lmyf7 zkbs#Ai?=G>zj2lb3rO_(yKb+%9T|FPu>OYDTm^OUtDbxAiAZUric~yxYO}oeTTeiPUkC4nI@!H?sq+XqtcSpVN*G&XE@9#Uf|M0Yo8y4Sd9u@e=kWo3t z>$Eg^FP+kO_PV7zb){^Lx>V1{lm*kjxolspTD^rRGp=?UwFh?_j`KL+U?6hV-Ln5n zV{7_i$sc>PRCQ-(lj}jkpE^Fh-K{tOy0eM8Oqk1|(Sz-s?{_UyNI&i3vUiX)m+xP# zWxZFlrPf|&iSpFUbG{-qWlh!F{tt6+9#2*G_y3=B$dC*bDq@jRnxiyFk*N$Bic%pW z4HT6~WUNS$24zSHNl`MCF)|cI14(6`ii!q9)9mJ>nW1-V5fod%-bh~TRV>)^o~t4Tx;`JH~f1 z!Z+IQvgk=j)cZZi93UrWip3jgX{tOsZ1eMKW^S=PA5Xge>UndY9WY_V2ijT@fut)o zg8X9A<$HF{d{llfch|SC=@v%Q7BJ2q+xTPP8xN^;bT{a3Y5H(;HhFKqPG!M+SKFyK zBLkxJ3L?xaF1uI}$;+-QZ^cDjauk{(emyC%^pYs6?_9#|irRpS7xXszC6Z`)sZn?C z+;4tlG-X^$fSsGWB+uyBz_8?ND`iF}p9zm87bkNKI<8dPu<_gLPIt$Eo2lx8JeRE3 z8|@lVTJGj>{4&Yjx^Q)8PEFg#OM4DX_|VQh?$(Le%`;XSZ4s$^7i?qYOis*uQ-5;h z^rahxU-adlh|6s|rm~hhCnLYYYqIRy;{j15PVIfo@kwIi1XJ}im{-GMZtM}8UVp^> z_$l21zM3P4J;^bRhGM6Ye7}X$W{oYkG_`fx^y5pVTR`Tk7&WaKm9-tDuh7P7>BG{( z{G*~HY7bazt8fMEU00Wv_2{DHAPkcm$@ZmLk*Th0)3m5O}*rl>!;iUT!r$!aVMqZZt(!6JuC}FnkpY_{S{72I( zODTa+v$a~9C8rO4zZMpCVgBbYL0Z#@7?01Kv6u8USFCHebaFy@+0!ql7B2aE`$0|P zvE`bK{9IC1=R8&7zQeA~n?>#;Aklm>1%y`rwrAy%Sc8dv-73+dEg_Yr(XEV#P1yeuQD3@A_L7k}aW~ zXV%8*&16=pHzri?lx~Uq5NcIoPp0(W{^+#OU;JtG-fWFe2F-CE>tZ!Uj?8Q?zASKK z-^)Y9X7z|&-=C<~#k-o8nR4&>l6(HZhf_zGS56<@^D+F$q#6(sVY2aiyLU`{pEEBj zWc(>RtqBKvlS!nj$-L|(qcf)x=F98SVH=t?xGEE+9cMpK=6{)M@-j&;D|pk~eDUW- zF~pX%IKGKko*uMCyYHBTkdL+gs$M(I{zJyo#9Oiow&f!cC9BZ)n+BHSX4|bRxi_kclPAmhZTiSoFs&kEDX#{d9PnV_RC1&loj&Nh`|yW zsdSwM-_8V_4qN#&cV}1ST_{y5j*IknwuVmle4Q+u^!?4wnj88hVY{}CKjW?WtSBjD z)y;s_8Ig{Le23IoWaoTozUFr)x`xlN=^poN zh3;LCPBaz~%h|Ni%B0i7miOM3@F0?zPE0!+pOm>P%DcjoLrmtMF!E#y&94rfFD9-8GYb@fk zMOTNh-+(W8kENkp{I%nwz7Gu4+NzNm#f3MnIkjhaeM}DYFnW=FdC4RxDQ@@G(-VAL zUEC#VNyem4ZJqU7q_{3QZr)x~hV|};H;fmtQajps3IAkNxq36lrPX))`$GGoO4qEngx4N>Tz`4W5Pa;o6?Ei?m&D6f0u8c|5G2@@aT-^UI z{3tWo!(jT!*-h%z{%e^Aq$*tWd3L&2i?@Nk!M`~`h|TtYLobHO3*zfHECCrtFNVnr z^6v=BFo8lI6c0;4h7puu0)@1;{TqTZOrVevJi{`SVFYEEKp|7)|8uYm|7wjt!7_g% zMfNwuGZ+Ub{Be*jTNq4#e*8MPPQfG;Hf(hk%*%R9r_^%+Q2%6%_Mdu}hJNXu(Ww4O)ss@r@Qn zP*g_UqMD$Lh2k4##iOuCRsR7y)F*Q_<)d`3h%kn0egs8$$kT&&YRjY2&kk^*6MP{> z;i}I?UX3y)J)>k|ry+|OzUL1ZD?*n}^$8PE*`a|dJdGqT5+VvzNRtC-v6U(XunGx7 zpgl5anhL_np$$rSuj_u$QD7GF1+YGJuCDi1)DUU>gAPFjJvZX8Ic7wo&~C3anNgpO z;05I|P{toal?Y)2+0}@q;t#^cvlkZZ2>p{M9K!mjq6iIB)Pl_%diX3O}t^ zqE3j)D@vmZe3Upi0@C2y3*Zj0NHpJ%JiHO*Bv||HG$De?4IhUY*I1{dh&)aqb~*+- z^uhBbaW`Ki{`DzH6Vmlo@KE3e~=#SS1`hC)&}4B%h*ggQ#%;0KY!Bcp9S>oAj_*4Zfl1fB;aT!OCz1wdRq zl%h}^0~>;$wVLd27%x!JMD>fnwSdBJB?`Z4G$#PjITTm`C_q_@oNyOnGG7F-FvqP` zr26T5Aam#nIUCIr1lDna*lJ8hwo0TMxDLuV?9iCZLNOA~7g~`%u|tF4%*7!#n#UBWS_Z09gLcwU1rb7IJvEhFgB=G|?@U-lP&6-vsDh@C zP>}$_ouEBIjup-ay!R$LL;>T`fpG|UmN{zt1dbARmG{9F09JooJ)@zSz)*$n_bwld z8W>hkW4DPQG+Tw%##1l=PotqbU`Hlmyk`4M-jyYe0!hS%5n)ys-EHMMehM8yan{m7 zJ8-A=lo8FyEdg85XZ21R87D<_7(l{J28IDeSY{GnlNri{T7YmHTa3Vsh>F2=2kQhx z1`2#|0RcA~O6MT>^g~7)oXGGXK)k{6Ab_3lvr?78y#WKAiP9L{kpgiIjX=T)&KF>S zU>6Kw0wIX0veg9SmU9VLAPAgf7>A~DvrROV`$-c6_%jQT8;nJu)F{M+w2baY+nd;k z<{>uxh4h*=^xJ@60;z6qsOtnlSOk=hlQDcN)N!Iqbx9CfVIZM!(xxR7zEXV+MLsbQ zouGpm;$hf^2a2+R420^3Py&Gu@*I$JPJH~|z6_FfBUXaP>;<%po; zuxuU0F-I*h;MJs^8nqkm+6-?ok zMEy1R!mzJw_^7)aLpu$c0;@=ITDH$@CK>gc?&(JdKngN zJ3aLnf}VOP_G4{bHCiq|(UOS5B6}rPo9)o@9ciIQAQQn}(D)X_qp)QnN4VHIHy}D_ z&J8Ilfce{Nt{iI4vIw75)KnFWG9j4*h%t-@?hE#oI{YDb6kj%oRcz? zoKrKDobwAWIGR8vGGr$y!7IKRwv(Jjat_!R^3UWP`ht{wVJGLP6lslsD*|>B3q5|I zFPylOIapa+wVuA%2d92~TCs~LyaRbb6N+j*7Wf%7<7eV|aFNg-fA5G84t{VhL$N#P zuCu@v_+N$+cd!Ct<~b*C2P+^ohJ+?qf%s)eXM!J$#=+o+$pqOU)FOiwC}h~&jZ!97 zs9gk+JvgjbVT&Bt_81GjQE z4A~|kh=>#+L53&tQW)AuPkHZ%4>AUgw8d!Dp#fw@u$fWL(2veV2+X*0MiOl_j>B#? zi)3|1Gyhr;oz}_A7^D1kz<9jy*MZ!{cNrtnUx!Qef%aqTZfxNX@?FN9 zdk2dRb=%w_cd=Q5UA)}T7Jxp#_~7Ii0@wh4V_Hdr6$ZcSfe?_N|;?go2 z6;bsJh4fm4an%0eX*b@K#@ock zak@(%KH_vEYle2?t;N|M5Kg!1DmA)wNJz4C7cdO3Xg=%+CvRqm%E-KXkJI9Yn54O7 zsLjid$eE#M%vDqx+6pttCi9`K6dU2dA_>=$INN+KHM7|G2+paYKAA~!|LAkryYGQo zBft!t3uuf0IAFtG2Pnenz%l(>2Y?~=`ViXj=lM9D|LbNJ2MWOp>#s8$>R@!AD<<(Ccqa9lrKiN$Y&J*S;;t48v!dmv$t@H`|fnRul z<^a@@Kq-tOc2^C>I=a!-$LW%xUCY>A`W(!|f!#ronBbZl~`;6y-`6sx;}jD`Aj<~A~liIv6^;RZ@1k6AD96z zy@Zicbicuz!6>9?MiGTH9?(`XZI#egDQ%U})r0P@vcZ<2rqtHWVr?bAY~ z;&7>OM_t(yBWevFM>wnHEdNdRcISm`d969&{%V1eD2ad-sUnXrI57?=Tpw2z=h(GD zffP)^pZK)WyyQ<=FJxW(lx2F)b>+;r>t?-KCs>1 zL98zM?1pDayI)^F=dE+yicEB$`o8$Y%OL%mhU326k}N#_BmKf5<6?iCnm|+4QC_M< z%u8(|Plvf2&w(WqMFav3w2FOQT@R0V`aplgtNZB+?d0VKp@yomMKyO9w${}?H5U4W zjEXU%qPA@jD9uPuTPH$(CT>{0QnTkqoYh2O1~YH>`uCn&>>MVRdrgzHY%qd+pAxD(@_H z6eg6Z@FfXW#&}B}BbwiJxw74Pm-n7}?y4ejSN`YYt$Iu5_8-~0`0jbP-7`XnF8`60 ze9fo6<#>I|3D`c-NxDM({#hUWyY^F$&H7dq#!Cv$@;c!$ zwWKW@|Lb$%3b88Vsa!R7m0{ano!ep}LHsm+J1!9Ua^+{y(YAPN)n6vR%2tdV_>w>S zQ{L)PziZ=(Kv@9md3yNn3a{tQk-mFxPdbD~ElyMK!DK4M0yPkM~Le)+_%Z}DM@Pm&{|6r0PpjN$p*>7hK~ zq0_c4JILtLV&`d-7q9wo?)akj-+ug#U287!r1le6f!&s=6%{29$PWH*v6?HCWS-fs z=Rak?X4YE(zDK=5RIXuW&fa^0`W1UG}7=T%`Vjsbut#6$;@xbNVyJBpht=(wo*gFW=?O7KJZQRX*e? zJc|h-UO#dZCKsRDrTN_1=tJ1i9r_h}bnAQ#rhU^dIN0EzKb?$9oZ90~qy>8S{3x|* z&4>vm=QljnmHkwsk$!$^!m-^%C2x^T)}$XbY4a{vbM0Lr@XY2#?EExu)2(72o4K4- z2gpN{(%4N!VLNr-+o*myu+Q37KJ}7qSIMnVrE|L`2CiF77P10ur^LFgpZ&ve#gDCX zM|Pd5GlB_z~sN{4MK8yj7}>^)SphXx^Ua zMQj#j?OAza-3*aF2YLRpC|)isb~1i^HXbhj#dvHy&=ax0AJ1$2pE51&S zughO1nyBz8HE6uM`nxSNS@n)B`T8ZX$81NqtrtGFhnOwv+-o^GJu@-EzPH40{HWie zpY~f$Jn3ZVtaLBcuA_q7D^FZFiQ((&bz%=+SDBvV=NE~Se%BaC4Zgdj#8bavDVaJ; z?6i~px2KQW?yE|&4sI|rUf)xkzM4o$NnDRkH<&>rlvnll@Lf9;g_`4I=X=yBexqk7F z%X{pdl*%$K+elqbnsIsNrhCUWtN+-e{!U|3d(N&8IrhytaToO;=bw-wWZDwpsG!{+ z%Wem)%s8r>xqa?n%$JiIm$%Fk$(z?0@`RsyM!&WtzdyZsY})yBui|9cG3h(BKc6~kWzg*BDEF}P z#iPBGB=d=x5)nvH6V00^%JbP*q(50lSw=M5Q17R^$>P-m$DI{O%5i(+uTBQP-8ZgX z{>x|Iio5Z?0UCJ;mQ~lzh3G#?uqDkop)pq9+HXzf9wGWtZ}w|d!pHsCL~UyJ6@O>7 zTYDCeL>IHU{Ts*DXiSlqIxhLNr&w=mO|FrGLP0|6`CYZ4F63iU&`Ce3-bN=Kef6o) z>t|oxZm?x*^@;sIvTsJ76mNP=%!EaLcJEv^C3CN?_WZOX&cD(kPq_&`uX9$OGEwHv z4qGCUot~Ge(^Rmy$Myj2M zHjO$N=<7A(eyl-Nc3#2$v0UW-dL30&M#!;M73F0$F>~c!?caIm;#PhsW^7#g^C(p< zvbd|~=lCCizB@<#<{o@tvS4hapMUg)d6WD7c5kwnb0d_vN{$*eWo(qUennh(#Hs1y zghITW-0ivgyAJOQyd5jsNGf*eXu*V&bK79Gwwb-Cko5iZ#xYad>RK+JITd|#l`xUG zxM$byb*~d6G{%Zfn3B4CH&5l0#{~wgk7qX;ZrbpCKiSxMRBxV4go=3NbpH0DMK7++ zxqmUxO*Ah-{asaFz9S&d1Vec~#^ojQ&z#n8u;9Dmr}RAI>`D2|3nqQP2Kdw0kTu*f z(K(fu%$#+ayWVqEx*lAdbNfo1?U#o06GTVxJ$EL~CZArU-gNxgcXx)wx2`MvZ{z18 z?chL7s!fiYihSiVGP+uwzoF`iM1SFu(cfMtNW2Z@s=aq@-|Nc<2Or;w_ZmUUZ_OBd z{Uk$m+)umtvXi(RN0%+BNeZMOjW}M%%hb{0YgzOYWx4&ITn`Gy7hzslP5C=e|Mt`Z9q_x@ya2Jtbb-zh3a! zYcG;{*L8u8iS6i|;^TS-rd!A5Bw3A-nz!^Di3nL!|KM8Gk~pvVk20?$uCFgS((}A5 z*OAd2H(gdnrj}S4h=)gbyK24buiEXf_vqFSkHcjpettgnyFGKdob>dGB=2T0UrTn_ z*1R*DYEpvz%qpWVC@9EJ5D_oYqr$aZTDu1+f^61Rnw#J$jZgwKK(#s2o?(a|0rrT^B<+9>dH$1O;8@NcZD`O$F_ zb$hHWEy?(-n7uO;6}6|{e-yEHt+A!dokZu~pK7Yl%8$Ii!_M54NT=R${soTl?5y!M z=0+Mzzw9v`;AK4MlpaHFrVPtV zhRKm(aD+Um{5NuB7#!jM`00bcU4;mRG%3v^<|3?#u&UD^Z)OS$j_)(V~foYfcGyWYKqcWP#dEc`JmH;>i z{I+BMC(V>+m#)|~2bdDDSwoJ%L4cALTeNT*vrI>!C`XHELsNdoeMJEW#e2vGpfbQ@ z^`XQ_CHNQ#ZsOdKnKb?gkAoI@EkShONY!BkL#_x8DNJRG+>GYz&}0_RBlkwM6m|R z0w{n~--15`!6C4XZ(f23mvj>1xNbJ27uPX&o0d-_-AosWK|pb8Cpdz7I<1z{6_xPh zV=6YyB|*gsiVj#uFjE_~ojv+w7G@8lw4sKn-vB3QX`||9Ae|A9U4~phmM|bQ*$;n0 zFZ>A*7VvD8kc96jFLnj6^~DMH-#FI}+psU=&*140AT5=?F=LGAsZqF1i>i zVp94wnwrCfqjK1MG2&^U+#skZcB2#o*oIMm4^=pth;SXsjVX+`IkYY{kzJSqO~87K z$-CGWIQFR-f~OT#tc@1_1cwBrw8G1W%@^Tw-GWI3@j)f=K9;DezRnImL~yUASj=uX zD=;hD3-jMG@i){7rL#^Y6moK>4$upB zy>;AIcDf?^h;KwL~w zX{(@LmmFmLv~q^ML_&r-PU^Qg$Si`sp3+BuiolQ=JVptXfTmQb(nl2+SCWmY^e?bXE(CRWDm0*n8dZLrA>fXv z6oktD6a1{z39W%%LXB+PXNXWJEC33HW>UcVZ~;)$Sn?T47^-xk&;jPkb=;XK*D0fI=|LCR}s08V{c66^%!k$=iBA z0=@%y9TI(r6)Z(?!N55&qVe8^{rXVo6h^p#!0LXKfb)1ffh6G+D#Qy^%tcsDe!k8+3yzPA)WhzLLmt^QANSyE6i0x{ zBGdssE6@tDUYnPW$AEBZH^xQ)ZXTN#QRQKG+%n$#e9c?&Rw0tO@Gz|9rvd~k){FuveZQ6-u2`7<* z&3FuO7!QA(rJ`3iV}6SjhvqwzC%IX1NM!HFQy#{Z8m<==EETsV|+M{`*ZbRJvgGPS!*r zWd{}lr!8}t$%<$_H^YL06wHW8XccLrwf#L>C&yrswiv7H>(Fv%;Wc9Mg3R4Ljoi6R z<-1tljE>(vSjb<)^GbEm`sjpKusB+o>>WbmD9_PczQ2-;uwm~v&;esF08UtvhlOPu zR=JL$HT@#DK(*##|$D73OyqSb5%q+ui)*XLpJ%oJ;bXoSmPQK-4iooVw9tw0g9 zX5U83q;{J-qc6stDSc&|d!tltvz+_3axRHn_EP1;fO8*H}lHN+*7ZGA<0+`G-q48 zk0cBHkhLTu#Yd8dO%AyiIK#x;5;b!*fSo1I!R)LTIexSn|PBf^qQDS zjI~W8l49){nUqR7ibb2C0wc{&!8(hJ+F59$NqBI(OABw7MMN9Zg;D3{(lA!6k#&#t29j8k@oEsW=k4L;8q11%$- z`<>|!GGsjI5H+-m?qG5jjL$v@*pXu#J{H|7D3=i3FiEdfbl+vY4xUd9BO(}!cBn8- zUilU@@I)|Im-+5Hu2SO3NTEy5u1+D99YLK;6;HiZrGn!@?L1G&zq1ut?6?nV_aOgG z@6pV*Gj#p2dys+ev~Eo2_4A?n|BqN2RFcW`8M9`C23VlHXz@}iQJ~owS~|mM1yrwF z{#EY*yN3_8dl0Hx2tE_~KVmB+J0~yyK2;M?Y~{&+$5sd}RQG=Q+SmU-U@HW%G?ZKU zS8PQS<`kj_K!B}I`8&4a_{W?g^50@yrnC6a|RF-9$wB<5H>@%Vi)v* zn3$}`3J=>$!p2O1qX04C_&EeLY{_552<1vfAU}p1Scwk>Dqtb;xfDnF5Ef1XHqXJ$MwQsjKMV*C zC#MTkiSz!ybrlpAvDg1Wjo`%nm(AR%pr$f`JAmtGVX|{R+5lMJfNGP5a-N6WAAxj9)D&Qa>jT|;)Bpz7E z5JJadPKOz`&^PspW(3op0yTurRLYc;aCZ9>=V1cf`$bEZa`xVivytY=uu^W_=4@=> zY@``7tZCC{a5kEn&~7(^dm*HPS^>gByb(IsB*I--yWW`TOe5zpWC<@%OwPto175V+<4x z-NP3Revh_wJ$GB>$M3k^_MRse5#dRVkjfGv}-zzkG!W%690ZfYcV7CV%&}0ggKBrZ>qS==ZhzlO7Es5 zn$(uM47~5|f4=9iQP0P|kuQnas`jnQA36kDmMD`hK6L9`sBmwc$^hpPALu9KI4+MSkRg|6C24Yt}4~f)fG{!>?GyE-|ur| zD)SYp1J=v?&m0%5GVy0Eu~wR2bm~N4=!BQgwsF5s44P2>K%J{N@kr0510!!<3JWGv zn%`Y8-mrCJ=efheDe1T3=Ttm#74La^Yg$^!)MTHn2IOt1@4|*x`N@m(V^+1c_59A* zvUSe$N4a-{)@br2UXQ**9JyFk76xlne}n|Bc~MeX8Z5$kV5V=fUZE_{UxIt^7b#z> zHqvFY-i9fup>}Wc)1&uv-`f51`e}co7m0^^9^TI(``dE^KW3dgp!+H_OkP@KpwdXZ z-)yGTgszR_x_2y?JB{#qXb^wRDZ))#UM@)X5rPBAN>i+b%rMmC(FCJ(+;UN_6U`iIJE*f=q^*kAYpcP7YtW1ry9y)IM zbSB`~{)y=qr=*A26Qg>2gLjAbY+By1U9Z7PRc=C_{+dyFH*V)`>;IIp#>j|h_a1g! z^f=>ojt6gN%tFF9^36dLK~FQCMW5}LFiyHz5QF|5s=s!tj{ljhB=&ZP$(kiXo~9Eo zS}dK}zkSoZ(uz*P%edruL~P=Ch0&2N7L1=ucwk0$%Hu%pMzWq?Ej8vzcydbgY4x zkmS6M2W?4VH%Vbrh>^+9{AVAS1()(%)U2JoCO@k>qP*I4r|6@H2gH7mxAjil*~TB= zR6pPSu4;En$(@)*X}+`4!`y5JY}fOJ9wa7eedj#p-wL)id}plk_3-|!YVR%O$~ESR zbqBmp-Bu7tOeQ~=CsedxeE-`se61PtLqv)Rn@& znzM9g3H#X@8_rEXqWd`ZY>-3a<&!e~q`Ud$X61S5XTy>sWd-`ZmWuax?VTfaYp2QD zc^Qs+F9XSDomKToaWgt=pBJeNG}}*?o341r!Q}nra~Hyu8p?LgBva=py*%MDqous; z#dZq@#29HKl_c$K#MUKy)1No zdKtgcBl7)i$A8qH{aKZtxsUfls^TOu*>V-pa^)XoQOoZfogGBhuTuJX)J*)8?fNxi zqeHel3p=<&DPh0v^V^YOmX+zQFUf`7mTGrGZS&l;G(V1?wUbTGfubp zs?w8r_~+tAdyr?9OWdbrk+BbtEPo>P=15hb{=}Sdl`i+)lmwD~#?0CyRB=xCwz(|v z(-Z!FXY7lsV)uM^DxO;MPW@fNUd5Qr3$JXDe1AbrDkgcf2va$O$F@`%E}Jx}a#~0F#QNKT z_8-q5`FbZla7X!JqH|;2)NwzYWqUUXbhp3UJVEq=uGC=5s)od0q1&|-!X^@?6L($a zsnJ=1zfNe0R!IjN-&1%UY|*wuqr{Z2C|uNw%np8i-cm7H`n|<#6u4^4Wa0&@uDbM^ z%M>i=(Cw|vsRRK4N8oRD1;fSc? zW26M!&_m0 zlf#rZ(~~VOkIr>d37y)hS9#Jz*q9XhHm&cEUG3a(?vu4-X<)k*&-&YDV|r~G!nh9` z&i;CU@U(6Jnsv;sX06b1;kx34X;JQdma^Yo=w+QAXFZYiT!oz0sL&o$pQU=kPa(nI zV)6Z{{Z}Xbcon}m?c|1g{#L7A6OHeu9_*UO9o2n#=GMt zHo17x<~o}mjo?LB>h@2mA_^A0)-hTG2{Kj#j>(HZ7p^+5S}IiIr}uJJUqDN+)<|+| z+%u1NSGTYDv{|u1zU|5(+1)~^Lb*p2i@BpzLo~nNChN{Ef4BPQy$h-*l|CB_76mUk zJ-=}^5#OTgNz4Pf-_M* z^gZRP$5OuMlP;=Xm{5M&Fn4~}nwOUksHM#PYTcb5L^ftjZ?$TRv7O@2Rj8ciZnYrl z*PU6Rn`eI8nBvf7D)@+8ndq(cbd|{KNU^AWg2x;!mX*)=7A*AnN&337i_44R50jk} zqCFOs-HHfXd)-Oun9($$Xr33&bw!tbrui>p=2zGOMy+p?hV4;^aG?Ako` z@UouywIK#9OXiJ_p0cFWB5GO7q6qYo81vqIJZIku;=d8}FZIW4bLQn+t9FS|Gx zCmgIbcg-V-i2BV&ugs!d-%XKsET=?!n zSHgbP?)BqS+9QdXSejt^_VSQ@%Fh=R+otW9+Y!(izB%1&3Qx(L50TBs$l`CIT?gd$ za$i5Hd2_>*gs;eX_q9r4)9f-wW>0eJpSp1J-P&#$)#P70x3nl{M>DA{d*Q%8GHbEe_n6p!^K_7`yZ?q;4AT~* z_SLYcV;Hv>rY*?8zhWoD)Crj&F)ZpB#!iN*6S8>8zhNiC)Cn=$_@AR<$RXE%!%l{& z6aKJ^e}pB&|0zIF%!vjg1|xtHAAxjnk}iVi;uKw+ri);@2%(EJbP-AyXB*VOVGO_0 za8S(u&?;rXTsYi^|Es+G+v;%F|Jo|~O};Z33>I_r_)otX4Ehg$#%F^eGyjiZ$YL?f z^T%KKCs7-KlOemV0h0r*aDv~MBSg9%qH=-aNDY!I)=tG-)60i9F2v0CK_`mc&8@GxAdc?X{+&u5xZ4Et+DU?|RH| zuSoEL~zCqQIey>qGTp7Y*FN&wySbbn5gTPCe={Jn3Jb-$oSAbOaFKYonEExCb0ing$_( zuO0Izcv}ZUY$$*`WI+DS&p0ikM(C=ZAk25M31 zT?-98rFvAL`M7(Y?Oj1_-v`J7d(!_pYRbp-d@8Ac<^!oV?M37YjsC!)1JN*7@O>#w z76DkphEha7RVHH}5DZh#rcwZGvcgcO9W6afxFO)vyxOlsgRHtG>YGlxDuO$Br? z`8NeeD5`);^Q#-ZjexKi~M{^)>h!=`|EWZXaLh5@pp@l~H@{t9@QCFZEJmCu> zVIT%zy@BLooFQt`rLjk;xTXr?695XYvoAOs&9xj4K`4!d2?dJ52RVQj4PIea=@AoB zfGXEwLNgvvHpjWbMr1@_`tZc8fB{52z{Wsp`D{Sw8?|(3)8rl;e$+V;LxD?)7QF~n z!O&^g+gHnh3j$j(PZ)(;$`PlR{=O#jjD1M-f1qsFpe^+ z>e9bzEv-k;^T*SC+Zk{|IOW)7;ORWgKvDpkz=Ogbo>1-IhXfpmP^e8nf`%2a6L6p~ zumn|t%nc|)1i&gG6lvK+iaWqjG3p%+yIKhnE;}4<0$g~6qFacMDZ1>r1#{Es2xT}M zpbbzJ$T<+%K=6?u$Vd*P2AxLCli!51fT{}&=V4!^wI4S5g*j4-xRElYw6Xxne#5BYnKm>$c8;cw+6insu z0+==rf(S;O-MEq(vM-Hwr6p{t_|cYUHRb}rSt$C^;`T&2I=>pKp;56t3kFX1}77Dm+ z##w@t4@xfp?YoTiiT%|y<^f0tYy=yrjUa^%{#;E6WT z;9Ezwz*bXB5Z6MHJ?hI*rz0#ot%XvQ7^Qie3oetxI8qo>f_CD3ZAHup@E#o3Q$S{r z;1he)g0PWIShT1I1iu)lQp*%zAdnsu7u17Z@H0v$OkgbAly^5|h8* z5V$xf!a#$K5Zgje6#%Qa{d0oyim&o{ZAFq>UFP}ymeIJav)Q{6oQ9xUX%LqwcuHzD z(ZgOoN+iBEtDq6e26w513>BKnhJcwe{C1!&M}ww3$(9vTgRkzv?*-x1%dCs8W=kad zYw?Jn93y=2Dx_8lalK_4Q$78Vg83D9QfF&?4avD+eobwW54KdFp=FFo~$>+ipF6QzG~ z6GPYpCuimlH^Gr+9Q*<22%rh1)==Zc5dPBjcl?F?37KKLGn@yG`~!b^M25U5RGf2d^Z?=%%rQ4AL}Wu!$$> z3SBTKUiLEIrp=9`vf~4OOyG(+L($8e@f?bh1K+WTm}F&c1d%OuvEE za)T!BB*xh$$s|T82n?%1uozZ@08p$3S@?R<%wB0V$OTlX%L^T>sn_RXo8B`lKJCY+ z8|O91%vym)0~%hu_?VLu(ON^llkR<9gDlZ7Dg69WxNEGE7;X45?&EkF08Lmzm2!o? z+d0Xh_iZ9ctzsLl(8UA3rWxioR~Z^jyykIyjC=T68k?4w`|>q0%n>j{w=MDIW4yLX zY7#MLem;0bkP!(ni7Fm#@yOpKD#*ZbZej>BZ909jpf{O)D>lo9kGZeUh7WrsjVG?g z6Wgx{7NM8^Ymv%gn!Ra}w1?-!o{<)BQzBkm!cd6Ly;{QPZQ+q*suuaVMCUU2x0g`t z<>~ek4x8e#y@VU*yT&8XG=NVm9pFp>W#Z=o*yn;&tRzD{rhIz|gR5D(ycpXUBip3# zBW+XhTE#AmGi@VW80K^_#m}XUp}<}+mbHyg=n#5Q>i6IkQ>~<9#6m`J!a_zSxSki( zb;2{dRVaYb29HSqEJSwFWU51;3=5?;{>iYA z|0SZvgZwWMH7VmMMKJ7M`NObqoFXhZMNlN?mX-kmg2w+PbcUM^e9#x6u`{4ZI35u` zwzh(5kvFGC^8g8LnCRZQ)CDW z8M2IMXlW1qEKaF^^xf>ldhBYHN?rZ>rn~f^5n}2AM8!4&m^?x8Ef6``#c$7cS zhE`uh;B+|9jsSK?B&Q>n)6q^ls85823y8B@|HUT4 z){an@$WWvVPI8V-gl!k0E)i}{qzpPZHW7A5DD6O`OeWQ=aKC^ z*^d^Ag0#|B8*R1IRtIf$($+iLdQV$jwAD>pA86|%ZGEDP9=h7w5b;lf!OiKGe;I{OzM2-&~n$a_f>g{eS4Y5$HGg*P5$_T)|CSFw@u5= zY`*WRFaJh||IWywbMEqvqw?l_Zy>2|oiS#Y&E4DDY z)z8n7?K2LFy|Wg17@!?U?hD-W(v{vW^jfn%-hQG*$EBVCLo(*6fWurLz7$7=ov#C% z)%)W0PJg}7>p1@1?WuQmKhfYKZ!+bh9BS5%d7UEep%}}bcT_iHn5h?X9kMZKeAPxApFHiL+PgdnuYU{?aW%kh?Bi>W1L6#jqtG0F?VC+J6;#( z%xlhB-kf{YhjlMP>LJO@%T~A@DgUG<{CnTPbjyzzntlE4@j~{W~vbfdObmU1VGi}y6|AisuYi8b; zc$d0(_W0lFGTov54x1poEw-77{E%;xXyjvPpLe0?+cjP>L5X2s;>HlvYl zsyyySOEqR9q5I*f{kAL1GHx#&J(k&FF4b>I2(#zKuKAOa_ifRcnsU&h$YMJ0D?_f{ zU9z8Rik8NcvZ;ogU)}Pb6VN4A%kpBAmOzU~`f}Il^LfTx%ADZ>4*gkPiomiOK z;2q|rbtHL%+~5Pzn@5UG<=#ej9a$MDR^&X_^GDXW7zbr8o9;VAJkd${*S7B5IR%Gw zr2HrM9hT|7=T)!s&EVeNWn9*6*T|gg5G&m$rt?e9XY$tQR7B6t3Ol-~#a^{x;{y4y zH|L3vv^CR3ndbz*oEs=TZP#NlCrh;ZQHthmpQ#sYR?T=ct(*AO#vifzWxJ$z^L*|m z&(1XGx;sH3o9lB=^3+%4do?E#vx0zibG{gi%93n~IXLIInTXep^_!d z|0}sClILqCxl`oX^isd|bIuyix@fq3)>v=C@`Q=>z3FfAO!Zr7>Q#Y~3{6IN{nO3k z1S2*oFOZ8jRn663K1(b{pD5qkp)*4yV!dw9txG4|iG;FHrcf;GO}njNI~ z#br6G%pk$`>+-HUhem8C(<^5FEX&wgdU0L6-Hg8Iu1kvpRmXImZ-}*dbk2O*2LsZ7 zSE4@Utj&u!x3<&jylEo$&W?VXbj9~qUc#8l_``F8$kIh>AjkW+ai4q){qE$t**&F@!{DR47A( z66yb1=Wx6Ce(t@G$N%^Bc(hx4?bA8??6ddU>HS>K7ZJEV=Td~da`xEIA;Si~wT4KI z$e$|zeIRLESAx3q&!!Ee`JP+j_57sCSz85@1I?_3BJHH199|(76JgC{_V?T%CMF|K zC5MEYwU+MaC_i`7sj23;lC;v~{s`MKse3%!wMb!%OLx=FqRkJFnw2DZY%;!jJdo$z zt*UH&6}emar_!T{7#~-N;ByKOz&HX^4;tcL5zxH!VfN7I!ajS?!~J# z`pPEUK1uFmgl%t&T6M>6h7{MXv3*-e_v@QvcHKGkig^2(2Si?)c46Ymk06s;|E2Oj zc5y#(?5H?>m?)l4(7bUWWsgKg^yJFi_$0%-C-t9QIuED(|Phe zsp9Vs<1a&}mfs4Wkr(N(pjMleA^EN9G_fu66yLN;|R{n*MIfg`2$>a*Hk;-yt}0*%sObhP>T%r*BEg!V4aig z%R^f}6$Wni`H;Lv;oap(=h`Uu>hR#r#HIOIFzZZ&r~WA&!7$kmPj1es$&t?Ynjx1s ziM3m#B!l=hcpBYVr6$pOEYcs7=((WykSB4_J|AH9?99PE8+%{e zx6)LdB^PBq|MB_4OLv_Y&U|?B8hKQ1*wbvGsU$PFMN{I4vBJ-n^Xo56Y`=f6P_g2i z8p`#y(~eE&3mqr%{o@wd4*~Kr+^rkBi;U_o=fujM-NAS3Dk(ayeeax~lISp=qIHJf z(%~l>o{_$q$@)3^c~tUd<#}Yar+!D%k$IZp5@$4cE-jiiS?j)9?^PXn;Wv?k?=sZo z$!LkXt)52pE%o1u=co+&t(-YWG%?(w?rPz~n+wMAL^u=IU26yUxsM-7zjA0ycf(FQ zYj>L;jn5tQm$=(8+G+#W6aSdQT134x>2P}X4BoE+io##Y=PE0n+iY*Q(nxw}?OPmc;ymR&5W6SPlo440e z;xz7FT=o2Xa)#ck*T-fN!uu`7WRXz*xeFCL#f6HjO|>*Wr^dT{XMAhlFF&lpm`oHI zac|9H{&-*4HA-0|HEOrc-SYJ53Ic<-O;rZ{E#}Tw z%8rlVZ>oNDLWTLkiRj8PYu3npv={5H%^TN}(HdrJGCnVObMMV0f3vE6Zm&qf_D%LL z(vMF1-0=2;m%h$vKUeD&Pj>~16dnlnX^P$2OOk@z*3}1j4!e0iH)Z^d-MmSW2f`+_ zHu!6i5#wL&OEMy#US7|hbRlxsVb3)SKE>@BbLP;l?Gr06d;a*wD_ifsb2h1|e$-fK zVKb`iW_kOy1xhu}mQLQ|%Pt?%o*=K$zTI7%#B6jh5svhpQ~j{+!!7f*CVgLeg}Z!~ z&nh{0xBl6l=rA&VoS~<`mY!Z)eXsPm&r;Kx-VKZym3H9pI`5?NN8YYsL|H?B-SV&X zy~p<*NI5>CyFX_2N;~tPcdJ}A6-KMxDJURzGgQ?VbY>qj6c^}j-#K??{l!bAH_U%B zPOf)w_fzb8OZFM-=*?{i3*_GCx7A0cE$!41;tA|17ov1Mu?IpZnpOB)EF@~(=P0Fcbb~#OO_Vx3kh<*pRk?v z`tie)5_LDsCMzim$5*UO9Y(i2TdVZt9iA*UsC1B0X6K3$!O(G+QaZ)MSXs7(z0LgX542Z2XDN%;llp!>QC@TFEn=*u^294L-=%Eg+#mNZj|9)MB8Na{}=;T?W348={fSokD9z`@1kx+<+Jz_p4 zE2CD5x$&Kkpj%(RM2+ohhbH_7O~XL#9dRN^cu>T2-b6yzJ1W^(@dK|eVY%&vjgUPP21)!R`9mxR@eMGwI zE1*0JL&X%z-LQ=nn5>)N3ylNbBC1!)Eac@tr!n$tHJAldnuOW96~^5lRvP&+iGDg^_GJFA^1Fs`D?SE$APL9~f#XA% zR?Zyfj~@O5REU>}8kiEWIMY!-z6#O+ZV1c`$Bf<+Fb4dd0nCM%8(=cSKa%@2dj{qH zi}4^W_}`YM2O~EFEXBT!M&o%KP%6ivralrBrco*fvauDi_A=;!xDBAcvsGL{sd`qqF-kCt2_V>VfP+{7vLoph!-@Uu?vS zqmT=f356?EC|A(fhde++V;OZ<2%Pj&QMrZZA9b%5)a6n4qCyiS69{3Re=xz1p#+7A z-D;T1jvS+D5?%LmBOPF(ue)?;i-{V+yn41+fX=JOp~7*7qCl00nm{a}A$d&lW2s01 z?tK6<$W2o(1LzRH5Dc?-?MZ3J(tpI zG&tf}QgHjnxEm2=@F1`eU}`?f_ckbaLrCFbfZ5sSQSpNagA`N8gyiS@dDtsQ9p8EF%E57O4N8D;SVr}$^|Tt9ilrZ zQnCp2%4NhIVNN-zNCzG>>g(e(bb30SVb3;UfE=-$P7NX9#F4GSh~2Q5(nm*qk@f(6 z1wJ_%;DX954qgl7FP7Ti6DSyiD-Qc%2Gr-yiC|1B?|m$EW)CiB%wj)++OMq=alv&_ zfBlHB87}F2NFmWhlm|QpOOXsyk{*nhkCENrMgWh|$?LE$hKw=@EqFb&SsY3b$T+Jb5Lm{DsS_Z^WZ;U{YPOq&wQDo9cn-!A; z+seSC=*Va z#7RYUG^kj^&QW^_{{>T{*BE1$qYgQ}&kghm>@YsdCkg<{0DA;C2hRBg3hZbc_%(1c zQ;!Lz@8^UevudEWFm|I6j0d-nUNM=bCeVY6y}1zQxm1-0W##~iR^dvwn=J#jZ&2M1I)qArpn5w+XVsfp~H{+8ub}Yq%sJL7_u84WlA2E+-(< z29@o!Ac%}QALJpA2WKee@0(8<#vZTmu>M*YT}(9u-Cz0cN9j4UA@{>VRWFX3dfYT7ZJJ0VP}#d{=3R;NAk3Z4`_uF;I+Pluwj`HUpRv z+&}t?7zPrzBI608Kvd`jLgR>}duz_cQM$1G4t{bjrQlG6hHDAu9K+FGfh3@OF4%^1 z8AqQEX&yLskdXvHT0zY)t^iXQuW?JF$z8CLP<93o3>+5x%~X{$U6R035(zSWpbi$S zrEIJR;Yl!g&{ItB zK=Oi9jNXnK5X1x$k>C=9kOL$Q@WvIOT<8TeA30O>?P|i%Ae;OGD-L6)h}s{(2w%Isj=8B6c2K4y?p08#*#I2uXCaw)Sq9Du(qr+7y_YkIxE4`^aKE01@L{1u8prkDh8C0P4?2%s)w1%ObK@M!f2@>`w+z25Hg#(oaL6CU~g6D#*2B~pU-RYK$m+6+cO<@28u6YJm2gioB zN=p=@QMeGyf7Zb55K5%9&v+NdAYIKyD3T z8$*5}6LHeNj3HD(0;UECh9{xM5HJ|j7;Co2g+d zNWKUDikUe<*bb6XqX)la5o`qsbP;R?N#V?*`Gg}4VQ06TT=~2~>N>+a=sIW&xLtH;1A!etCcw0ysau97J{)@ygm&1Au<*g4 z`Pe*I1gRZpCCOv^oZ^Mp_peb?yol`Gk z9nu%94+LXf=_Fd^#%OhH#+Dd~1gs-AMuchc3Z1*S(5aB6YA?cAg#B`Q4=7;-GFlif z8s$Wo2c+@1sh0sMSmLqt`4~!Q(5GW`1k(T-l~Y*USq4hviw~F)8K^WsM>NnFn;g3E z#)7GHvr)*(K9>te=6*13;QWqfH)xU%8!0(LfP(1U3Zxznw0NRGm`qH|Wvs;a9$+Di z>vR#`s0v!6ndxrd&u9S#19HRkX>dQ}9^gN8L47p3?7qwpz*la@Wya%X;mc|LrI#5z zSeb~<2cR(eSxDe%&M3yhDXWQ* zz<7vnQV9(1CgB98XO~k}Ndj}mHTE}VXbX21^NtCA^m7YOR(e9&A72cK&@9G<%q+&M z&@87Orc82Z4|85AS`E&jJq&)VimuuzmEcH|x{ z;8#C=_Pq8bEp#`E!XonSFQGOKjQ>%Ju%Hy7aK}FhMNlzk!ydGB{uiYP*8h@GgP(^^ zgg%Uob)Z2LY5-`!Kfw`+@UzV&_8gfA?=P7M=K=qt5@7=&lrLfb{Qqb~*qgWgJ*x&V z2zH@@trFqzB7e`T`2~jjuS^6&5U?fxY7=4eAl!e-s`-mggtPT8LXltO2#g}Xc@gjw z$aUcYuHnR1Ss48?iu{(3fJg%6fmE5_K9S!K$p_O;eZ;J>A9cjQXUyRR`C}A+UN;(;$ZM^@W6Jhyn z^T);rY-B$Vm5F5PGai8vVSWD6g-r~04?S9c5sI*IvCtFw?4N}qESQF9=U;>(EZ=S0 zX(vZ0f;az=zCj3oIB$?NuuNH&9+fEU&C#Q~B)t(Oe-g4)8(XyEWkshm;N=G|Q&5p~wdw%X%E)u$S;i@T@l0y1w zZr9$o*BKSJT<&YhY|$UyMl)0g9C$wvtKskSH$9K_>&QM3u)ZxVlLD3wd#3Kw(&KcKQ#QH{iiSo zE5k~MB|Z3`rOJhAQhE5}O!bDISHyRqp}0WzLfq<(Pd(ywo*NbO4+lr-JuKfpR_bR* zmfAGZ6}8#QcJzsiV2OdBeAz18PwZB&SUu&<^NRJpQOC9l^OA4-0{0#apP;4nsOWx0 z@mh2JtJw$lZ||-tR^#Fy{d0>N={5WDyfj0p=FsQ;%cn_hQ|AxXmHhU6;io(L?FC1J z+fzt@NY&ZA%n8*6vs&|aIKMp_C2>73Y5&-}SC#|2jZG}RWW`K-{jQr? z91*|bTU~WajE49n7aKdHx&Y$X<1$-3BHjUnd&oS$6teHHbUY~J)OhxLB6={aUwyAVh?EOgg zIaeKW(3RRI)v@l!)gya;798Y0>FZ#4-pTm3m+ttV8wk(4^;@A=JAk<+Lm>&+UsuAQlTb>-YnKtiVmsdtg%DmWUWjbFrIcmk7TV<8o$@l7`qeQ;7rHvAJV`;E>{M|XD z-mFw&az9(E(!ODy?pzUaJaQ{<&repvI#n)DgNagg^QAi1jOuxm_&vw{#eog8TF8tq z=cVq3nN18<`!er!!G61^KE}x^AIkT?^;3gH4FJ$-F_cj8}h4JdwQpT4g2d--m_7go2v2Ee)waO_SpPqS3T;>bF<0(tU#HV4f+aK6gu{`rui=Evk<$; zb!(%1PFLRI#Cac15%U5UF?Yq*%QiQBM%xKIE!$PIdr6*!Tu%=Ft?`moQ&g%w%xB6Y=i(aiG^Ukk$B$%5naxowBP%n$t-ICa~w@`Lslw7*(B z+NRkt^+wdk00SZNYF1&`=N)qeJ61Ma)~?vSKxVJtg%O*ySRIZXH)b7OCPv;kc3)RN zyn0lvPPWZ`)w-k3?dv4tdoKDJUlf0_^%FJcDqjeb-s5F$RHgDM;9j!VjAHWh%$UCF zi(X%=_IDJ85liNB=_0`!>nD{+-ZZ_seZJC5gVCSur%H9+8vm($CU*mQ7|(wztoFz@ z+c7~CS{HXePG5J~Q|FA&f>|;V>I^H9XCybEHqzqSl9An&)9%LhrfrhXwyD6`gaAc(G(0)`C{9CW|vNi`2B_DF_v1XqwXvy2_y!>o^LKrEeu>X zHnj7C{60N_XNxbp-c)>*y|G!Jwf*H`Vlwi`u$LaM)3=z`Em5jaXFSYO+T$v*V?Oix z+!U|D^~$8>jH<6!biKa7N7Ig9sfp8=%Vn8{C)b@5^W!)lbsI3Hck4pO78p9 zh}uZAhe!SGrXIEs+oUYedv9jjc}%}g-K-p@(5ly2dNT4`ZhY6}l$}e?84TY%?Ab~G z#?6aQ8I#dJUM*q156}|qcw@_IaZw!h?Wule$(+jlE;_lIeJ)?ggVgA+ao(Rj6zdMR zFX`L$&ttZ&v%hRJ`W2eVVSIqwv$Lt&cWNJLx)8p6REh zcz_tn^gK{3itaybH}1Rbx2v)#c9VFVK9-DmS#l|x73K1r_?v1=N6GT+ko)>LXL#J| zE9z}$y`L}S^A)(0?#BwZ?jeC@%1r;d#F58b$F!{~i5_*xVY67YsEC46KVKx6GAH^0Hj27;mPT6S!gJxDaDaa(1JE z(#v@brQ`0NPx83!EaNhGGhyq8eO~V(T#P5gV#0Ln6jn>w{5KhV$2KjM^_Ti!*;kc+ zgqgJC#|Aa7*UQ>+h;(|ix8cQUU7jr$b}za(s@044)fumLgF&y(@~oYFJI%9tg@3! zisR=bds-}JY&0XPr+kdZz1IC%M5+^gG>*6_9}RLe5ewB9-nD$nn6AyUiDHyS@0;@@ zZXJ?+Utd?D`6`#cAbQi%3(Leqr}k7FUJ!YlqLXCZ zqumy1+@eLEZ!Gbd$(8snUc*=Je#AKEo~UOL^JVYI*YB&3(q%+kBTE?iuM*v5w@v=K zPQLx}rV=|6HS>FIY)g#!ov;n_d+Q%MLi$l7MR zoJj)RG|ze&&tm#m%f&j)ktosl8Q+%Yx43H+xvN$kZrM*PCw+6AB`NY${bR1cS&zxj zr?+Ma-3arL4>#sF>AiGdDao~&5+L$8X~SJV-9(j#!P6qfeO&zI;?DVLONBNHUO%*s zoHpX|EF+BRoI?c%hx4>h`S!-hxuNF6>J>Bf81Tf|H4-4&v; zb>e}BJ-S?bU&ar2pVW27=JIwSyD_b0Yp)zIyO9+WO0@Qwiux^|AbM>g-#PxfDWR*& z4m-Tb3v``)&|>s{+u73O+L*e--I;ESWe>Ye$*>;BS3a>iwl&LDyLIM^_>Qm{-Q;Xv zyn92O)xt@6F4mR)bEP{?+jE5C&F75T!dohp*DU!--ehri{U zo!YWu!8eCVZ5CrblbV2+q3YoV65V;deM88_5OG07hW!(AF+^Mt^+`iIkRjw^h`1pC zjGhdUC&XjpKcOc>#V z6b8wv`sBY;BC5YA5iv@MF!AqCJ9mN$|9u*It{g_s(8`Hr-1O0Z14N({fUO_$gM$5l zA0V7(t3crJ4@ON56VC}snyP##5+cE#O6#f1|2xben^8wN29HY4UCdLRHwr~uOu#0vEI?zAQd4vBv27O9*cN+R>M%GT=Y>3(w*-k27EtY1 zp%SA{6Alidz=#UR(QT-IwU(w~&hJdA&U?`FLwQc?!UhT`cWW-3Bn>1ca z@G(_`&HbpK8ET4U=@9KxsY8ereC_y2;t26Mq72*?!G1tX%Bno|*Au(}yP#yU!(uw> zd?>KlL4;pZfFhCnz>7-9`2yz#Q5KO@bpwJ6FLi?Sp zAZr~$<8}6O3hjx$P``Y>kK(i*p_Rie%hd$hNO?Mvf~b&VzxzU^!8%%5o&@O!!V&<} zzyOc14Ox_Xqg)Kf{&;?#(k!%O4Z;A0?bMxXriqg;4|BpCG4;F#{taqyM?u?^T2L}* ztqL_$66FemLfs{ZH#CrjkQRs}hn{N84K`BY!sqF|f=q#_APcezs6Ec^b2n6E)?bVF z#*u499DpUtjD(gy^$9A7u^S9g+2u)IWNGT14ftqu8C#bw# zIlRRLU{5hEdy5djG2jkldT21n0;NH=w|=4^jwGsH;+^-h*;0gFcEG_nl#Owm)lhXy z@T<%CWjKU18v;bdBk&1^1mFqGEh{Hu#&mihN{_fS*xn1o zr)*w^w{nx9bPF%fJ#apH^k_hXqQX;ujWP_3Ly=kxS8*5@9Y<{IrIjd>9I^GfJKqyDVuGK>-1P%yQc)E4f6cHrSAYVh5R=wlAkPZSoXeUi0 z07l?usDKX-S_4f<9NUbLUl0)_7{UmLg7XD_4Wu6kAn_%T&RwSoN~nRdQ2~r<;!a0Ry<4}>nzWE}RP#n?MpsE*T@K!KZ}(2rE5wKJ#`C<+(+cVIby#E_Da21|;}S3*a@ zMYviKaJ71x*hk<&0B4wR0&S+^kjg9)LRC981%O8o_d>&WrqWV7G*TENq1Zu67AznX z%3x=h&;joaC^zt7^s`3V)i+X;k6aX0Zj5OlT!y_X&)7xL5uiq>xHBN)5!s3z!kYu= zG7`51+q0wv9uef^e4-IN^W>;b#A6X-%!iUN*={jitev`b04F^>73qjtH2gN3 z5cEOG9cmithG}R$bx}|UjiyLDqG%BCg^U&q9pky*5R~mLv=Da!ask8yGD|isr%4-B z;RN4O!9KD9mW8HUFksEZ;0&J$><6@p51gpu-ic6$dH5e{9c2n2YPgSrwxPn$R~$!0 z$6bI37=S1RgVY#6ggKG89UuXOhOI&GfIAvSq_-u6!x+&aaPb5vV}LG!7u zYeVcPL5X^PO%9PEHhXH{Mp zKHLEpq}K7bKr8``$S>$+@bxuhU`-N&#%k9(9qDfV$N4ePLcn}szmQP;k#x z@?6G2kImB1n_eq?Ic!%An5I=zf*Qy|C9VeKwo!Rk^%)35)CDkl1Nfr9G9ZRYgCL=W zdTQ7ydidQ10}?Jsycz^PlotEK0@!NTz<-53#^W~@rx^s;k=w#?U}55cAqvtglyx(Z zUPVb00{ASBcN6t=-OjXiAvNd0!b}vxn1E@pDUM8~J0ik9H9l{L_ zmvJhLS)%VNGJ@8z!7FeQ0Mlr|ixEyHx(n(8c-IsJ{d^~zj@W%q_%X`hdPVS)iyx!h z?ve96VuDqV-T2{@Ki!TA^ni?(t+2b8B&jtA_Nr| z?hFDRRK;~I5lL!Js|m7mK7yH}YzVcT;P)$vCDI`TFdUBPNWLcAJ`v3YxfoC}G6*RZ zo1!c|?lO4{rAnw4?lNGL34k-%zZ)U34!`o@_p=;ZIr1E235;=#*KyTqA(V_wr-0C* z3NjX0E&{j_WLwIPwHV?a_hyln`6}@_8#dq-~Q4B8vVq^N8=^ugoKyu?Q%_ zYtwupoXr-m&@&Wvgq{-MFf33;Xzm$-CIBNe&0e4hxCqTL>G+YGhS&!-sDz%#VW54~ z&Q84?x*3h`Z3Dry!Cf>T(MV3TD@-Ux!f7*e$_4fVXc!XKjw*z14!y-_$h-x(;}#vPH zjZOqI6w{5ut11GS`^3VhFbcz`AUw$d{mdj1KBaYRAQ3w}MRV#jZPbS6%+=M?H-Nyw zc*&pASjdniWaB?sLiQqH_(0sDf478OCpZ5ExcHrPL;kOp5L2>z<*L=@Yu5g2(hUg? zp;0&glypNgVb120f3bu-tEqiaN4*fFspW0kyAMN2H{bszU=fp$l$IGKi=-RMeyD&a zn55Cb%TbDe^ziTm0)mP;cYFeJWzb+DQ--c1y5{`{+F<9&&<)x%XVG;;*VsGI#$UuD zSZ8O*&<*VT82S^CiqLg-atxd1;PwF*@NYU1N`!EK1Rd~iG7*}CBoVMhf0KdG?kKi~snIf&7+<{H=RPCc@@Tem{ChCi0hVs6>7tBy5=oeRN1C z>>hZ#f6tgbDbMLPME5(XH;jX! z9J+>4^s7VocgHl^!F&U-V;6(LO%V?s%6{I{a z5fPVSjG(Jxbc1-k_Ww^%g!w3*=d#bzujV7}jeVA8E$$=xVrqTV;sI-cmu6D^_1DR~ zkC(&?_t{7Ws(o7U_PCE$Ab+*$t$>AN!=$=AB;P!)BnEv?mhkkxsWa(%Y}HwM#P+)W z@Nn||Wr|p=RrLm!`7$KlQ0}UQ8rN;(=hs%>&)K%-wt-kUPtA#)U)@NCLc_Tr^3zTB zKwF0Al>FYqpES$e$Av%G-ZAk__~Iggle0pnemzg7`sh9#-H^0X#$iPI;9W1}8^a#& zdY$6BaB!n$lm3r_XrdFM-e4&3v?!!DUU$#~!ClE z_n!O>$9xN!r?DNe7ME6v+}fOP(lzVq8Y1;TC4bRgsf#<5?W#OZNIp8WDtCd<64c?P zUB6`Y`b;JLRSKCdHw=5P>?)h{)Bb710`c`Nm$WWLnvxJ!!N_QBBHV2Mt=j6zF*p4y z)A}MM8n1_a_tw5ROQ7SfR{VB9mw z2sLx44RlcPp%2=z-RsXH}%c!{zN8aDn zm=&^7BUt`?`=LeB7x*i8RgrD;g)2yj{Tb(pUHQy3r`zj>Rc^0#5cbvj`DkzRA&(jo zuvn&a%vsNxz_|h?a+kM1bXR?wC!Aq%dH#>8kP^K&lgJ7C32*0|Gdm)9Zj*7=%;6DI zZ;E2x+De7+Jjz;Itl4Np%7RuY9^x(4h}La8##_+ioZ8D`gZYD(y&yKz zS!KF8fg=JWb=lJ z-G!35wl&+dxNeV4TTf~o#y*LAw|+v@z?IDgCnqs?49gDiQtK$7vk zK!y3jhzJX}`{|9@p)*eI{h}YYXZJtIj%bM^CQ15S-Bsf%4z`4>*5b0^DiTk&Q0}+r zxha2XkMry>F;c8}ezVPjoTZw1<}+kIdC7d*DaZfu-t3xOjk{4cOUliO!oE?TuJ0F! zvuX5Idv{Io+&;mhZW`hzMtAysyI&9`8cE!F_L@%~o+A0er8aquLd=)b+L(2_@a=~A z)n>}Q%|3PH#H>)=pOr2TPFTIVlDR`E#ardzj-|Svjm3(TdfpV<+#&G`_gkrcKO_4g zgXiu6XYma>-HSh+4K^$Y)(+9;`JCNHF79Z+UsYKyBWh=Vf0`fFlW2d%PE+`a!sT6E zM;+xqFDC7$Sb6^Dl9u&}E$))dsob$vS4@TKbNY7ceC0-E5p%wnklbZg)$4LLKUwe-<`7M;4MlFR3`8I75w+&sQ5&tv zA4Tqael#EPEJgm{+IKeUT(3M|rW#+`?`+b(R<*`{=_qC#d2>iH)Iy|T|GSf`vp4AR zB{XMS6z{d2@l0zVm+x_m>u9ob8Lz`6R$KPZf=$gZ7OTY?DI~1XQF}Fy@p|?6ukX$f znMZ}<=?-m>)t&Wz%1Mw6hN4HyZaKhxGjAW^3r2&JFgMUObYcKdFs&2vP-8@*EZdc8~yd^Wn$KHGn84n+qPrLn9Jk(?&n+H zKA?Mcr;YqIxhlVV8NoJ0V%zc`4(iOBh>mmakK?zP@wtqvp71Jt$LtJC#fT*%dP$x@ zpJE|6wbMkS-=xgU@|i7jQo1Mf z{pE0rS8AX4v+ku4>-S5>Ka_7M3>FKRRW9;i&(eoNmsVt1N!EwAX0ASDBu4JIUUe9? zYpJ5dbM3A(QcusOt}b-ZjMVI`^ttB0__XsF@-gSrzDeH0OOJXz44x6EEA{#6lpk%j z@&lh=T94}R9Nj}o^EF#;>b<%QcSLfdD#da+@tff zs`Qe}&yK`NvgF72A`@N4E}vyHzwUOMUU5G6m}~pXbTyIBFZT*J9v$|E+`H)X zPqjztUDuOmo%9g!oz#BUyF75LAJO4$JUDpTB-#A?MeAc4O_Sdovid&z-sbZrquzx* z37!!^Mwf&eM$6~C*f8pe=V_w>gEt9lg)P3H@7yt^{rFQiHGlH+wB5j*ioiQMgT+zp zTgS!8x82E;%k$$q8@zUIv4>eL**0&)m$l3W>yOuyU2o~XT~V`d?UGx1tT2Iw(j1{( zi*68o8OAH~`zacSO_hZLyU(k~Efag^T)BhKRry_>+5yuiWaRA)ih*yU#BC(oiVb6y zzSi!(6~b#VEUq!#XMXwcr&~#Ntey7rN$-On?KP6j>dMs1a+v%z?1r0wIkR-H`g(~| zgpsvE_M+hR4cF~b(}u{4A@G7+yfh^J_$TKFsd@fSkx=;9Pz zoTiI2ba9q0^68?0F3!(bV8xEAArW+v?JLT*(DzG=9CVH?YBrdsUIDKInJt5O8H5R-C{=uHI|?R{ z4n(;uP#i=q01b&ibWI+L^qBFESQc7xsm$8#sE7I{%Fm{lB3w#fvX~_W&;V86@sOi{ zdBGg}`v|9j-{Cb1+QbwA4dqlzfv^_5l(7nA@u)TGpg4#^KYaCg>9j!9`+6SVyh)P$ z*WjR2djxd}AjF1U4@^PTR2o&oa7V&1Q^1$O|Mu2Ve_Ac2*uq>q_yVjE<5&b~0LX|K z_P!G4fjM#r1vsz?v*l6cN2M7B=yU>n1!)&lTS59E)8G_qAfmv0_uHAf?GX9Wk8lr^ z?P;wSB*<{g;SYDv8;wHie#Bic>j-L8Yym??2#WcX?Ac^G3C99lz$|IZ4+q->KpsIgQ1fz2!!7fwaH;!Ldr$^;P( zvSv^+nq>#P8={G{^lD;F@qlINtq!wWEQw4@IQ-CGQ0sT%M@W8GOCI|(Kd!o z9Y?h-(HAgBTQLgB+9*5Y8Rv|`%=R$)_NveBAx>~sJTKU{0cM)lv>dE~r^pIKk+=&s z1)v?|NA1PKTTLgTV2AoB5?bh$NOd5W5XnMC4I~B50I)nz7*OcyGKH>&q0Wy|8Ljii zZzU@x{r1lwTY#qK2)EzMi*(e7-sdtxrX~~W2f>F1K!$<{wBE+H0u8_lgp3H$Pvrhd z_+gM^g7gK<@Wy0zOy5UQ8I^cTWJWwaPY$9KC<0Juw=E(zun(A6k5n64$5&w1-#qG1 zP_afJ3qE9ZA!?+spAXFG8ek}ZOpkC%7!gDX-2#>|Q>Gh1N;GzfT7hWf3d*J%K*f-z zn^@ODW(zKWB{b^?FFqS(O>jrFG+#a(?uH{y8 z0Gk2H!BvFR9=50g@f`@_VAs7dn;+0eeG$D*zyh2I1{%`)lmLN)M+6W+pyf;{03;{? zEBwx80-6@cZv&UX)3Z26nPFTsgUi;23}AfTz@hypSn$4*5@ewJpbDbenG0hMfuy1C zoSkc?Q+EwUZ^TNV2EG=98D$*ONg?2tPS^32x!mffEqw1MDL3CVFsix~2u%IPQ=GVg^@1AxJkB z#FtSk!*F(J6uZ3b^p-#|v&ocGX{U;rdpVH~6lB4`kFgt|097MF8j%x$d=y}AaPv$P zZNHrbzDMCF#7$dPk*N}$4^HoKTSOV6G#WAl+~OckH~RVD^Oq-sh}r_$BG&mhAHy^< zT;N0i2=Vplc{+CRG?UVd5F409YN-7K7z&OxFccP!5gQ0A&&WJRkcou5LPdIzEd*S( z^fI4JM`9cZ^7F-|!<&hz#&CMJI?f*pEaK>P_+$Xq;08or4r++00tkJ|3wJ;~+zUqm z97#?%<*&@6}Gk~)kF%O{JnLtzY+SdF*9zJZj(dv-X3lpdg?KuXTRVFLkG zS;lUpxj=W4h&u`ndLG%c9vO7?G>!&0Wk^Ke$N5P`V$=jeISOJ(@3)A?!EW5ogX(J> z4iEa3PGfW+s~7Q9pk`8cuwA63?tjU-_j5{1{P{q zE0w`uxOjjFDGUg9yIE62KR67s#{8&pm@6OvXmOY2Oc>Q7p2AuOtrnYc!>?a(n&4`} zu!;c-vM=e*M`y@Bj5Pm51+YCKsJN4i3G8A$#}ncRR|UIV|H~5sO^6sfTIKJM0CGA| zc&@XKFNwUW60z!qJP2sI~rG;urX(7eS4EsLGEWI3SIlp)x;Kki!x!%Fbc2H4#On z{a1yb%?ZRvpj`hi6@CGjM3zI8@sBlrW^Vv~66nmIYy9i+`n1N+LXF?ngs~#fM1U6g z*$R>rym*lwUf%2lGA}yV@Qt9Y37-lD3}7DtjIrU%RuBe}!+yI=0!{e;fOv2qkK?G$ zufR(*yJ1ZTYc%qOf7uf@Uhu^Soig~5EAu!8lm@Ja02uin_la#Xw-BX%>P1Z$$$&Z3 zO!$8LPXJVW2XHck{h-6yww*tOpJP$U0e{2i&332kmt=D*EVxoAzwyD7!}nmXGztOU zJX(!~cOUj=2nb0DJe7r zt96(9%@tAJ4O>cK+na7b%2&veGljOmQb-P0KhcTaCZAOQpD z!|0L(#_bR)tw>-T!1t*k2^3}sfgyvLqJ)leG+f&q5?F#U;vq)N^RwtCR{t(8zz3P> zMvQwQMl1+$?E9IAM%ni>w9#El;fAy(VLT8fkU$eG7|mE^EOVe8%qw##%h1S67ZUx& zxeSFy@mz)me)3U>5tJwnMgTStM)TUf)!qtTlM}!nj2sde&W&ohfpDheIvBBYZ&MoDB3O%;#2(-2IGHkP!HB%l;En${SBidCS|_ zIJzI+j)62Ri~AWJ*v`1nDAHeUkknuiTsTs zRxNz|jl3cZ%@#R+#)lRjenw%72tOkOtwu?a7A^iXk?hnm{_Ir7luRs{{W~E@k*lg2E!hMSt~bO`HBJss%_5r|%Dq2hO@*+nzkD`P(*}KePwD2TqsY+nm_04x9;P z>;^t|H2zR}tBKXIJq>`qqno_)Y0lGsQ^NGGF|Bj{+x|HK(x zRM5f2&5P~goNldYY#swAV3|JC${LSZ-^SR-@(X3q}}v{x1ik^IXK-p zwEH#MZ{K56Le7t?>}4-CGx^nXD&xH|pcLpuV(a z;$_UebA!51ZXD~)e^>nhiL_JPq0p@JJTG!x;o9+g<=@{pFp#>XHO_hIW0T1Qo6_D2B|T>M!ZHhF3j~}Iw&=tpIPA5Z}9z`(>wh>WJlls&qPTQJoT*qJePHb_@F?cqG)Fo|~TCZ3ob=7@Fi}r_nkyOiZw-s_$ zo?0&bGU3}9mm6feF4vj9kjbIypUR_t#;-iJlCSpQ6HDGDwMWdydJ8|CP9C1zWB+J} z-OE5HQ>C!+U%f^&+&Mmw=vW`U*~<9FvPl_aQft`}(Twc^2W+QFACUhUBKqu1Gt5I$cpUG`Ik z3hPmcXXncVMs<3~*qzJy+~)AKr!uc@BUOH*Sx37s*;gLiyk_k2od$Q(;$zL9rCDCx zqNmVgIpHg@nJg~S>@aiKR;9M`$WKRCHR?>4`* zihM2NjAVdkD@Hy~`{bxbe7+S%t-7{SHA(f|#e?r{M(z{_dfByJDRFFF z?#}l2YM#?JbXBkntvB)&;7k&geAqmg;g z*O}XQ&1Y9l{#RRH?j0Rwrt~xJ=XqjqI&kUO8!8>%*VghrUUt;>hcQp}@j3gJ3iudn zj&8P{O1OMf2OE<<6*yntZYXoUbC-g>Xpzsbl|9Ewm;i6MfkRK7V&N0IjisD@MPzEZmLbE2KJa{Pi{REP`pak_iOQv z8IfN|*kk3=_2ZrLX9PcVmwP-eY=YnI&12up%ih>(Kel>*(=_tI;cmdp@7DQFweO)_li8 zB;rh`$Xzp^_1u1i;mmsCpxfYJY-;k+#jw_F!oVx9fjYkrr7@0NL1qGx8%(CYA*;0q zc6qKg7L4)H%1`jxyyWqfknXmJl?P?N-SAFQ$7a^Z6?XzfHs_-&z9(B95$xyV; zu;co;hdA0@3Dz}X8&;|uRCsU0^YRfnFPpjLOR}e_R_-*W=l9}$YoEH#xi-1;Oz6k$ z%PJP|ye8*l^L8_KEt6?AdT?+=)GX$+wbz39HqH84VOo(gDI;__nS5)`=n7+Xo(9jF z^chFImXboLXWQ#f2K&!@obOtbvyB+mOn-ARbS?;ZHOdwGH3|JU4k2U5NNfBZ;_LPcotPLh$(5;wGz5z?S+ zktCxbO}EU5hLnhFlx`VCM#Ic5GE3qrD)yYAfB5*E&pAHl9G{PI z&g1!dJUf$}iZ-%reClmB&+1D&pYIOoNsg?=3qy(F>~{0E+uWD834K}<5y%RC7JVumMrawwh{{CE#mtz|H#VsNM>Kk;ne+W7? zBjw6owbb>4R-`_`Q6p{bw0NbNU5^dlJT_{GyX>>1wAkWvOpy_rqNsq@u6rl}35l&&@5lMdZ}C9ndH%y1jYpcGKU=?wp$RF)ww0-J_F@ zIgwtP_q_~B5elMo@kvt+v{$qHd#y(rFtH_el(hUQ{Y9^ zo=?W|Ir0Nhx+&}_%HejZ0=}Q`k@dG7`XYvNWK$shV_BxxChrlj`v15e~%4+P+J*}-Q=A=B_ z$JzCz`A~0#-x}F@GoNlIOPh`ybT}j)cWKSjC+TV1%VSMDYo0X*&G{HOtEc5_(kim3 z%iYFs(*&=5%ISelhgM}>+fn|fi=9~1L4`yQBNf3Y;-*t{{eaF?+qth4dMY!{3}l?^ z3N>HYuqeeoYwLu9lhx#ke)(bPt|x+}=Q{%JxA6Qf^mcuF_6?tbSmzJ>K~6qD8O4)P$}?S3QP$dthUvw>3MlUD9tRKG0phj_29xzPxL?5BJHQ)e`7R z5Pq93(_LG3lZ?-UYD9Zxvle@UX7{~AawoWoWNJc=%AH+0&RU@DMGBFwO^fJ7a4T% zsQx2kYwcCI>cX$9c0pT*80h5}63H8Wgu6M{oY)6bB_Zm89Fy@S*cbEhU{yYUa z`KSBVQsWx+ULRu#3T607iOb^P#+x`Ra*d# z1VzY_X_WCXMH?vu)9X?o(vj}yT>w|`v(*rzr5VSO(*TEeHz)8!l-_{>0*nftdjwSI z#Q(5a01#p#X<(WtxZ42ikZNf!1>6St1s<`c8u+wef^R)AeS`}nDCoeTL)+BR?f~Hi zk|}_EWDY89V0IS=6br@_EKgfOBsltF@4i1e^rM-VRw zK~Myw`Yr*A5&2P@Mp+(i(+6ihKk&S5wK;xZ)EOaX4AJiGgOJPa$MtN?E4hnd`x z1E30u#8eIpmqsv)dt#t)L9GcoBXp$cqbI15nL$eytU!z_z`|AMVG16!jM_x#X+)R> zAG-lF@qMBM{v*OMri!S%K?4qL8X^=NDN&gKop(mB3bG}u1$$rKyUf%$WM3oBklc3y z?b@g#HbVIz+$Uwxuo}!o0}bpZJYsN?KoJ30UvP^#09|?4+@AnvIp|Or7=bZsJkKav zl+X=n3i3Rl*g*tkGd%6EIqXqmV%?+g6nrkBl{F%Rl-KJJNMj&3*s%g7Gf|%A%0S`? zu=USFvIN%xjuK38gjAlrf1#>~!=7|)%`vwNYP z!BIdQ0S`ljg<#Yl$qM>22F4Ce!mZO582GP16ky1LKZPp&F%#~Ad3M-C&h6cKl?2c^ z?G{X!V?Z0CkFzvT(tzX@rpLkVy(|gUP^1Q74hfnG^N;qqpuBF0s!jN#3mx#a1sRxsEmLe00EAoD1vqy zk#P+fLC5r#OvU`QC&Ucjt8svF+&>i15+e}Nzml&Wh4=@;48ZL9IEzDZx`Irib4W{^ zpmZl3A`{4kk}d&dPi}!l00-huG;9+zTe}G2Oma@LMHPI2KC@6^Klw!WsxN`YPjT28R{J zBS&>1VJV7ow_sT{+x$2|Qx8sQXk2KN1sa$6v^(P{+HG1P05V@ai$Ll_r35rQ#H{mS zCM8HejZxqszRl#s?;3nRilG8QYRasbT0;<-LSBFwCsSso3hWT+0e;0V81{GLvO}HB z17P#Bpa<#UIvsGs&`vA}ZJ@27 zJzQQus1_Au3sV>3Om!g@C_)+PSHYP;Pf~g(7{pJ3z6W(#uxZc=mzw*R_G{1#Rj8A} za_pDHK^rvp$E!4Y{&1rg$8+Z@n%THCB0J$mt4cdsN8mLWK|+Nqy!z=wv>GuJxSoW7&MQD z1_lIpC=wEb=#4N!U3(eUE&6#QXjK-LkvGjX!C$^ zheiY}Rv}o16d^n(_yxhth*8hODuM(KnyfU?et|L^dWsfC!niZ57hx5CA0jP}} z!MohhWB5~qKLe2GAb=Ey;FSms`5h$aGO16d!lUsG)O)muz41}7;}#jtX2jaI!aqb% zLq#KwMUfC_@pnO7Kz$cbC7`(j&m`mofzgC!@yrwv>7yYI7%mc!;G)48TF68H{&z9R zk0E**K7Y8tVc1?U#7}`S$-mhPsJuWJ@`9O^F)}M58g_pehLp@kh-ew0RLyeGTHr;j z@&#e>KoQim79Y+4ne$*TU;yQz!JAhbBoxFG|8MbzFmkYB=^O&9fM`8uE8_xI7Q;C3 zdd*e_)d`zOvp~``Sv3_cJ~Ed0_-P$l`C4dKQR71c@%>V%8!2Ea&biyBZtdxyK-7ehg1RtzLF2Y@x;?jX` ztOR0(W(&r>+KETbK(>JV)Ctfg69fZ>5(-m)3I#Zs35DvRCX*8Y-htF9@N3Qh`-PW) zN*6kDAjdJ>IY6wrM{EMa;sJOBHdn$U&Y}z^~00EV%qYnwKR5 z2pi&KAVU3y2P40U&4Y2lEil*Pjih?62XJ5&VmCbaoJ2SLPIvKU zC56?D&4APRl!og}@c0-Wz|vy4P=E_IUvRmI1v%=;_E;5f1 z&?sdlEryK@7kF`8m~AkPJd{YISp$ck5ru=Z5g%>g+KlP=d>@c6><1IOYz0mmym zBwQPHk6n?3aRs9LfAZ5|d`f5P$yhB0zm^z~|K+DVplzf0l!%F=AwpVq@zQ0Om>%J$ zzu;3shw6?0tsnwGmHgLGJw?+bIRy<7zZOJ1t9UUoR8P?~`TJ1)4{GGcxb$RB?kUrl zGxg*jL-qfIriq587TENdXqr;og-2bU!pg0Uu_=-w&1ylHz zyD29-<8zi?WsopR$`|NmCd#ENI$O5t>d`AOgHJCr0qzi+{g1+hp&Ky! z7KY$0oxca&#oc0b8~k?Y1`kt!Z-;I`=kIX?+&u=kfp3Sl$}U-ot(e)?H#DMG<3XIn z^u4>s(AAOAF~f+qe57$()G#R;u32aBWEspkBI2Zj3V{JwzV64puP5iof+=Jv4%5Uo%M>-gQJIBc^ zUP3n_y?{dkQ0zrFB9=fmq6cJXBZF?l+Y}9xbnr9yH3|Szk-?ZxQKl$`nhWTf7+n*m zYZ7!#lCCYJYf^M=5nYp}Yclo1w73;}nLYAuM$GVrp9pkhgUvr~LADp{QQ&^Ny(xKR zT~12EZ*@89bs0B2dc1dbT(B|PRC|#eYzsMTtogyiy2HglRW?SV`#4)k$nK`yN*JA- z+IL=HPD|Uf!0+k4=J~}= zGRe&@92;804cR_h9MX{(VvuO?c<3TMxI749_e ztX{{uxr~-;Q%b$w-wQj)N1RmHtkz2l)|sq$C-wY_qma2k;`o$Px-)HOJr}L}!-|h= z|KO5sGpEY))6F9lKHFAw7znaD%1iOKuB zlA&7DYPDVjE&Stvl7MG#@%AU7W{L$nu;fX%q zgB$pq8$u>uJ!Y^nLsvG>QcG%P^ahDkH7PFA<3FX!exR-N;l|=H<-75BqHVsuK2;az zp!sT>SdqeovM-3U2b|hw;aI@0L%uTzx~ZE^<%KI<9@q(ZoW=vnyfd1^FvzNH9zdom0CDUgU_kjvO9w#}{Q=}MWR;?@aHdWSpZ5&@!q;5KQrD1{zf34Da z$IZx8;Ru5e7tp^UvYf+cIsB_>ZKiS;w9nAWOc6_21I*oEm#rX5YlSoc9l`X;)>*Wh<7(T>N4~wzlB&wz(o>jll{YzJ zP2Z~rp~@}w`vlm(Hl0z^YCB8pbXJtv=(oAr8EBR7)NDDqLt@fZ?$6#6-{d7)ergDl zAws;93d~I;jlbx|5d98~AWjuro=D zkBy~3e;K=rsl42`-;LE^D+~xUuL`uRzH`a{P;IU+M?*z!Ao<#8ypXTIV)fudVe&E~ z$njm&;nJh3lV9sj|6(aTYr9Gev>eZqz4^W3Y6|N;%bO=>I!vebdVn` zQYk$5-csc4%?a+>_lR8o{omhPiTQDsoL4W@;o4sws6E@M?ba;k^?dJ4p9M}PdsebN z+noN#;wy%Wr(bA^Tzb`5y!#wp()2&MVANtHlR?BgpFYxmaYsMXSAS`VVB@*ow7_HH z9vTCCew)~Ix-m?H#M-PDd?L~iEz%h8ryi-xZ#%O#(Y`K7`{J^;*j*_m(}<8L-n{v5uMcKku$A#4%57%yyaNv>CG5=e-?n^$ z5qpME!Rc+ii*oI=Oy|~L`$~dzZfOg&-sp8-d_`60W9+y;?VmX)@IPBx=KlJ){xY7! zgrk()*v?-*)pyMe`LE@X+VyJ_n>azipnF!g#VF*1NQ@ydo2Dvzr8vs=2u};E!iAy`dp}7{ZFf<-Jay* zE$O{%Ii4IQ0(aQ5kFS0z(RI1(r1*0+XWkQ0Dg(JD+_w$bO@*6ot?^z`G%fr5@Js)% z-Tys6OZfQzdw`ZKmm5VXqaEP{_4;_S0n#P u{epk~ZMA@9uzQei!|+$!W}#GNkVlVEHL{Q4f6qoP{@Z?j+5VVL!IKhebqYQ6d>jk}*T3lp&NMMJN?gghB}!N`^8;NP|L|N`nkZ zDHNwUq)`<49SePj>;7K%@3~+1^VjoSdbN(V_Pp0{tbOkH=h)GkH*R8K6ksIqA3Z%m zO|UIwe<$-xe~etDg+;&1zt=l0Wfs>GMAT9_pS+kM5wrwe$G5bcZRz-;RErBnmX=#t z=<5@(Z+iG=WoTs#9hl&R;e)&O@AFz2TQ6+)uiI}h z*0r=;+8%f9-`g8lm|5c+{d0SKbb4Z&zJ;ZsndyHy{wf8frQ@qDSQ3mhyuG=dm9Cz# zzU9tMW)>#8Ry+UQ{>log{@4#+L+{ds{2r$|bPKDAily;NMd*<5COou@V>)Q@^otw) zHz`yL_!j&pN(3#Qa;dzq@E?=E?W4T7$^UKq|7{r^+UKxal7Nc z-#(EU`WgNs5iHR5^u#7ZedCQgm3Erynqc>};9qcG`@P@q@%_*Ht@`)-EsgPi-cR}8 z@3%D0|9L-^f4|=!WBs4*Z}q?5Z)v>$^ZC~N`~8;2JTvjz@3KJK{aK%z42_L(eg1XK zp9@L!%c%hAH-|0P+;xwuGUX+3tbfBoxP>bLw$>#ex|x)$b3i^cMJ zkwyFKU(Tbre{p%~()xUvzwiGrez82dwBBN=|NY)7@Y-U|a%ugn_U|=|cIUIdug~4` z_x0Du7RxkC_hoMV_w_W4fA6_Jb}p{}W1{){=Km@Erw0C01OKUk|J1;LYT*A9H9#h@ z^WooJ_&;3(-|2MlGe%k$IO(8s#{H=(jIc6x&ZeBVS=(1%EqW}E% z$*qj&1C9nNi1BmJ02+k>GmZj zMI_4n_3e`z8PNgGTULuQe0lricIK&rF2?FB*k<~hE3#ryu)Fy>Nw%LOFKUaD!@aj{ zP!gg4{O-w(toS1y7TPl0Wc0D;(e;dIza2(u%bBKnpWMzl6YOfHzMOS-w7t48>F6G0 zttZD#ns`}PoOZ(7c7q%*)yJ;-lC_M4RWsXx4|MMWv$d#to1*=L8J-@OtaX%mn|t=Co2j}O)A#}1ruOD1HAMRtVE6-0o@fy_^r5yLQ-=HW+{jvM;jSDCJ?Dds|=stEmEJ;6kU?)_( zD8n~OOXZb>5DyD2S)Td#9o6~gLiSi_u7n$IufB2y9dMYtD%~>|wS}lJ)0M z`@KB47jsQ%?zs{4qB=kE*xqe=3cTdW?nh-8qV_u&tQKSZMtKUgebjv`(pSBx#89-*vi97ONxt_czOS7;?X@; zIxE@HEUxePgHFb(A`D-9A79TnxzAyfGThUfhLZFsKL=!>EJXjc_vwwy82_DHR1y7` zw~ghOP6aq_RuQE8^6trXu8UFo?Dba(QBS_9D^7{nXS-2}kNTriKElTlrv227kK@1H zKv|IXQ_rK)bbS0(!ZaVdSss*Li1LSm02CgTIh9i@BZjYi$I6h2x)^S-ySe9%xLasR zbIgrCuP!`)+-sX2?nK=WP)T|O^deMZNNdK+x>8+f&gS)nBt>owU zHQZKRka*O?a{UT6=#W(fi6Ndg8x?q|>S7r6WO&FQUe^|{=K{1%Pm!Pc<7+tK z=>TVwwab_N7;df1J9}iewJwaPv6ra60*s{~-=JSt6#@Y$v-$2&jT zaSz6~&o&)79z=}2YA8N`+{b2vq7W^1G?z{vay3~e!7>8_BtPjGx0j8+vJfqd&Rf~> zhjy83z(tO~tZ}M98x{FzKE8f%{ZjOP$IUPvzw|u3e&NKv?VDE%GkooNatr0e2kkOb zhwb0D!zc*Fo{5io;?2YIOVI&NhO5QUvLF30YR`svT5Cyj|AKqEmVC^|T3Z&jdi}5@ z?Ib#|(?CUp{!4FDMdqm>C-5EYFl?*xlEU}dZ&DGa|3*z|xpVo<3R;@4|WFaMw^rt!3)#JG!zv-#FYg}R z%sz9(-AYG>j~0e4Tg8<#M?G!z;XGganya9%xK(p7ex)?u$xS@&YX_A`|F!Sgt!!6i zR#`66#H)JfvIm?^*GjO>Ig6sOy^cnz%V83D*-(}a9czo4BnPnUOy~N zi#*_LtSZiez7MqBFMz&jvvCyy$JShtdoIk&T2BEE@b1aY>{t}EYpb>_H+kY^!*!VT zT+P-=alz<)Se|hTSBy0Dm5DcxZs7i5t|`L1KljNp?{Bc zJ}A$O4uttYl9L#HSyz-2>F;c;E`>PeC%PV&XT}D(S?VhCL$7MO1GnL2tEV8y@V&3; zF1ma+#M>TU;M72S?bY)q!1<`aWHSIwdM?b3V5S@b(YOv*HfATWUgMf9`&K zGcy()-m^_tL4f-6yJvUd@Ox}Fsz47JXsIeVAK~k`WzBNLHb3zSS~DuZb?Z7wP8hQd z*Dpi`>@-r7LC(kE@E9DNJ zvaB>$auZ{46&cY%&>x(bu>*@f=whNF$^L8n&4aQ_F##^PLdbBbH?m`cb|Kt;q;a@{ z3(+tR*GY5Xx`*Zqaxv4A;~{-Oub~UVurg6w&h~4(vkqLnzmutk1jjtISZVr+eQ5h8 z6;YP&z0Y8xKfK#YOO|(j>{UIuCtsV5N+OJ3V9?yij0<+h)9EaX-Xicw_6BS4jM7q> zpB(PB4Pj@C-4h&byWtwd^c`;QO2QGC9HAX2JL^#i%r%S@!|mukJS=#C zUk4ZT0v>$Aec<-^X}{o^_;i4iu{w(cjJGEXk1VF^#FxSBk{sp*o)^3B#v7Tjfi7lh z66|yD;b9EsDw~aRyoh=N=DG_fd>u9_!ra~6cs)JR&&fn}IqOV+E8YruL*Eg?4};|} zak;?IXNCu=%FA)V*uQhmjZnY1SCD+%qaVS;Mf2^f*dS-q^)g()#$MJG<2l|?MHu%r za5*U0!%9z%hw1|!a;E}ZOxMCl7;d|lf2MTJ9j*G!&ydBd?S1ZJ`@SCfb(}tyi0H0GI?&(?L6eUZ1TWN2vrnI0`CQ}D>5akYgDLu-QN3x5zJgs2xeQC(;%3$ zJn5ofnzp`l=p|Ihr`eNxNh&1cHk_Vh#%Y~FFIj~YP6v5%wO%9MYWgS{_PM4~p;@Cs zJ-YYH!MRKoYKa1cay76!2ljmMuTdeR%hIq>hyA-wSEJ;L^7S>PRmrG zs@?R|9G1==qdf&?ZI$jrFn-^UkiZlx-(C-9#OHGm81a1h>tJ^D@16jYDw4Aj4)en9 zt?Njp3KeT2e+Dew(qi=*Oax;*7p$z&e`59mR{jj5iNV)gsq+Avslx;|t8QuFd;1iK z?@F#r6)*D`-;b9`6+wZz$7R_26YS1+ad{pb)Uwl&0tb!bOcBn`RH1%s+HTteYpJ7` zorAU74TjBNg6B^#fVsKu{zfn#1Ac^pku66_kkBe)c#bp`RQ_JnJ`!BWC(Zaio&fIDPL6DS1VqVm}c_D;r>P^}_mk_06*zI~7pNoHan2YgCn15J(NC%)&4oisR;$+QC+f)l(?_v^u7M47 z4KO5$f_~vCVl=02mrOr-ir5JWDGl|Lrbr$3kdmQu^b=Dgqt*do8G>zqEJGMULW*P> zAjyzwH$h5>#Ow7wz**Unl zdHDncg^}oT@fDIQrDf$56jv#u)oa$Ot<%ud($U?ZziG3fk+G@SRtqa@8(Vt^#~n`2 zu4tFL#~x4by}tYX4g>@p3_g4`B<$Gn6OkvQV@}7$pGinWN#|42(l2IYX60PY%_}G@ zDlRF#UVigd#huFPdo{K74d`Lx<0nneT3VmCcf9O;-PQf}U0?se(D3N{@rlWgpTB%V zQ`0j)XMfF;$ka4+^o-0btZW>d+&p~zfFl!0&11Kxm(SjP`~43D1|14May0Z<_=$*0%FcqubG=SpsV;nm`6rDf$eZrw(A?p9Ubuc@nl@bJ;&r%lbRZS5~! zzIy$pyXRdmrGIc}gl%+e{KMp@&tJcNpZPI6H&2lJmYWkB`((_Cb2y>kWED=raMIGp zZca@1v6>Si6xJ;A#!Uub2p^n3mz0e2RX9%>7{qxdoL{A~8t3hCJ}mq=&KKZ(OWSiW zeH`Y5SU=0>J}z@&-;%w*WS1>j$0b{|WPdK%ErTo@@P4UFc4Wz}U9yo&wqwak3^65= zHg3YZ_~Y!kB%H0oS;_#;vg7P36`Xa#*|2b&Ey3BAHk|#1vm&B6Yck9Q;*$X&Z zbq{C9aF&S$XV>AZ{dSy<#@T|aINOP{(?4)lYLr1We4zpY|HwU9$dSh;K0xM}Il;A9 zUbkLzvFy57jy`pIv3z~8-1(+!u}oSlOG(QVo}AB^m&u;LG|xRvkb5tINTfFd6cIQ_ zgLAYvM~8FtILClsqCf1>(<-yL#S~F6NdzI6iIj0R@bHU0YbscJy0NRQL9ZK%+#Y@Cx1_iy53L;>Ol>V;`+5*L&~lVV6ELvpeIO)ZPso+ub`KO&wsX)<4b_dtE9^Hu)<1!LUy4JUX6`p($i@TmD4g zOKH(P9XnpV+0^)Qb*56mgB2HQR$X41e)apF3*MZ2M9brRmKVmbPQfjygjQVMJ z4F1@3f9F?;gUm{(NU7N;d(12Rq24>MqmQl6j~t{;tof1rjO~hI=|hI|?Pyhl+gC1| z7wn$Y>H4Qyb{BU$b~q)}8^<-;^zDhQRPaE-pPin+9kbed@Recl^EXC`>AxJF{p5

lJFHf^iRx!@+Tb%2carq4HeKcg>G`RDX$Ez=nPf>?T9A{wk2Sdy2N>B7%DkOByQHKl+Yu;;8 zj;HlK+G38pUu|hp-xNE?<}={@VroNqIa8F#y$P3Js{%)lOmXb}fPQUzy_Z;iTG}*= z?KFvJ-$$v#{P`;l;*oL5s>jZO4SL8YjUj3lh3RAHS1h7Fs~ zRc%OVbs3Hx=189x&gL&>QY=9{;%VHel-WIPGyCSU8AVb#<+4Q|s%>jG4izjhY@>=o zB!#xPjeQCgI&R-HjJl|fF^FWZtTWs7*uZ5VR3z)3GOF$~=sYZFbl>d2Yd@acdW|+Z zf9eA518G$J`PRO8JT$|mP`8IS>Kskoy6o=Hl zv1!dR*)!)~+Z-(6PvO)^TA_7x(2GtM1y6IEHkrR1WcNLIZqoE-&B*SoFaBSAQU^RT zo@}T!^FsMr5tSNM=IQSuxfNV4NJb96SzS#8Qc(x zZrWu$wJCs~GP~+2zR!My@DeV{WuZ&gLS-(fLjrEMISI>zR58iL-WAU6%Uy01F zs=HJ=anyXarAQ~h@HbX}cqh_JpuAz(j zWn+Zem7bn9{-JQ$AepZ{&fubOE8A)9kLco<8;jYKQyQadvex_ch49_|V%Z}UEY*JW zf#{8ITb}Pm%tkrtPU#GnR9r8x+g4lCR8hN8TNiD5?Ug+p^MXFZ7|mH;l=r#0l_>jR zI_6kzHdDg7n?G^ztlFD3!Gclmj`E-fQv10h>Ky4B)eiC<%r>X5F-X|Zz+LGonlX7< zEoReqRKU1(HtLZt8gRS1eSB@ZKkxacds%Jfu6YhBzVa=$p+TjFvC7(S=*nl4)0u0IBCoHZM}&UE*P8ln5tzs5^$@+xu8xXhCFd2p3l2V0y9 znkoP8!Rt19X$QYM=?=q1H~C4OYL6_|G=mQsm5$ehZy@bg%)6$hy zc6iHxWQ@x5h*qU8v7~kAZRAsq%sz?AVAbU5D)IfC<@S}l_jr5+8{BTQRf?XnL{lZq zo5$>LY$KOR9G1`dSl};`^e&dgTY2cVW}^KEjUkjDL~Ak~_374WyAj!EwgrJ}zWCR8 z^h&)+u^rzqeY))w(wR@9TvR{6)08M~`z_+}{#B&h@mMie`l^F0+o*0*7a+GaFT{$s z-L@px?ydJ13*diy&HI+qul4N_IwJxJGW&j^D|YPW?_h8bW|{`}N7Ng9zPTq?yq!Oi z_lEr`p(gfYNW9D{mFB`D-JV3_Q!}?2!VRfPzS#YePElxd32iytvI_OZD8v%C=seh- zhP+rmxS_yw>WSoW>G+v!JJw_4H^f8H^5ukPl zweWk_GUO>e>RN1E!SUdX(Z#W3D(#+#bp>61-9pc!?4EH{uM0uauP;lxOH_P0v%E#u zWx(;g-gB>e@4P#wqZBAkm!z*YBK_N1DW!^6>kJ1@b7?(T>pT=Ck$GJ#`=RakZ0YUo zwh4%wr?F_A~rz6RCtx(5LV!q_1>*Wd0?hMz)cHHn!|z*ivhMhCV^@-m7)B zom!1s>qf1IIQ{3+)_FA<9YB`}zoGYHu@@Qz-Cy)C3yiuin=h*swamQCnVdHum(hS2 z;$&;63|?^AzTHDI2}j=fS}iVDDXx|C=d}h_dQb(SSBLl?X{TuBf4{tWMCTZ{?^(7p z;w~ZM%QsZY=L|1P`iwZ^`3gT$hqMjYJa@dD!?-tB`KH#j#|BsKsh7)zo+i7ZBOeb6 zM3ijqn2l9@wcf4I$Y9*tJ`^m#AA@l_}J8E*IOBCe`Afi)zuN{<9E4y%Lio zn#&f%>eGUH5ir}TM~NC2$Uo3`y|e=D%#*saDe#eD^2|A%A&Gr-&Xty5WAA)EbZ;ub zh~6??8=dL!mZzwD5PAWe)y~Dk2im!AII}yX%Eoks%XVvoilCUAnymq~R0*B^Br+8> z4J{o#10xeN%Q99rb`DN1ZXU$T$1fl#BrJkN#g>aptdNviDJ>%_C$FHWv`SfJ^%_;Q zR!x1K#(GUHZ5>^`4IA}08EiJ(Vq|P$YG%IG!qUnbeiz!>**k1^+_BTi*~N92o4d#E zJ)U0PK6`!l?f3IP5D+;EH7tREH8IjDpxF) z#aS22;vQs1M#lGMweFWwY;J)fAIrbPH{$1n5&wcO5*!<!zaub;*NOP=qU;SpLtf16CVt`6~DI! z9uDvA19k#FUp71X;vT?pfI_MW?x>}zFe%jA2I<1ped&38BO@lr72Zv=!h3NbaAANY zflh&v0hI&A*=4FBh1mfHTnC&?7GB~%zh9IZvDd)>0T=z=|Lo2cOnEWb9cZb{J9pR} z6WU+!qB|d*Jp!-HS8@@f!1m6EK`jD^`uMsYzt4Ao_x3bs@=fE7ETA+dm;l07z;V6p zfWpyy1U3jb4>@fCp7&$0t?J6zqn=h;(wKKWxRx5R4={)rXbR9XK<^=YEOlf6m%V8y zPCf2zvq6cUJkeQGloH` zhxq8e^|e$NrJmU52yFpm%}D?S02N-zIX?~zDf>*YJMcT`m_3hgUrqq1t|QA&OZV;F z6X@tJKM<_wZ2_so@xAt&Radaj0X4dwiAR8jBo_%}8we;C;JbAzIDd`4dH{uku0S_< zsV2G_fhY!p+Cp2Fhw2lkX0l=rx?AbW^Md4|@fL_x0Bg$e15jZCkQE!e+e%xWk38wh ziw?V4>cBAm)B}o%(?Osz5oP&->oqCF%NDRWE&AN`=w?p*A$I`0+yI_1)Py4gg(AjZ zH^Mk!Jm_M&UX~AFRYR%sW3(0kWItxhK)f|^&xC%G0bG_!@7dh2pB+XL6Y-huo<)jVV<@d6$I#jzu(RR?Zejfz=cOTYOkdpLq4|P zx?r5ca5^32YN{&6{H?F~?v>a>?xyN1*k=cs+p1w`?XlLB;r{jhrE3%d#xF=a`Q{-E zGC$DS2!f`du^cov;HL1t&k!&!P{(LUu>z5-0am;KP-#E+K8A(}hLMcKK}YeTDi72m zz{|LPVf_(sKG0Oa5Pc1p7lnC(@`8_Q5|kGgqk-TpNLsMg1?coz0J#XzUUociOORyz z9BHe}J97v$M^Z50n(+|bZH=%~nE;=g90u|TMShw|AkbJIVGPwc3tAJDpAh0{yFp%n z=0n%x+u5f;5upLXjnR%;xD)_xN=OJCX47q`6K4~3Nsgbx&%t$tdVzomdM`lTH0nrS zdjpkSf(R-e6G41apejfJ%BmyBja%{Bg=qgBTU5Bg;}5pn&5Z}grwytL7@YZ_blEk| zFa~`q;i%`f4YC8Iaik*)U>RsQfO{ts@XB~#<-@SB0BsTJ{fin@n24tgc@Q&!`r$&P zpW^~prL;hwIJ(DDQyKyBgX=#Ry2nCGhL`mI<$X{i`Pk^l@d9Ok2nZPg@>_%DC0MEx z1{yKYUI5oF2SDizS}xXEG}2ZLGtRypMr(lofr_LgCBokY$SiQ@j(Py32ySTY3XZw= zuWCV4fN`QE2LZjk>{4{#E=xUm0Z_8NeR>C|IY>d2L|DELK-->=^mjA_Ao~-0Hk1Ys zcL7%~K<;BcAUF|Wnx-^^a12v-WhBb zFI7p4LEI2D74$qA>kefVl<95xy+o$N}OH zwpQkY0LI><5y4}^l{1HTTWZM(z=Q>t1`gN(a5eLf5zzFcM=d+xVh-Mq8Xf>Daubet zx;>zo833xC>NbI7xT!z)ft~~u3-+7WfQuVwyAP5-znzAvE4auLZ&2g)OR*sR&{5#0 z{Wj26Rd_xeDiS}$47Jylg3m>}%rvCo;i3ohTM1z>3f90Q#QVzAGa7pkOvlI6{&;x-W0|C?Cyjm10=n0VT;9X|xC0TKwDoTq0<?cHvq4l0{r5d6T{!}<%D0zgQZ6(0i6N}%O|25P@|HTA^) z9U!ORB#lF-!_Re4kHSn-s2?sg`Gg-RH6%dM(e?0p=IKCJP|EPoeCl}uT>*vq*y*b* z2T@ih$S~qV_E>FD5@MVgesLfCtiQ9lCRz!29()z_j@?#zN}|l)2cK78O#?xwsm2OU z^2Z+Z=oV-^c7y1SpY{{TNnn)tfP`T=xZw_S`@d;D(A^)QORydg@0z<9@msv& zT0s2Y{(|_GZmQqI#2VN>M%AnD01G6(_EM=3H+orBh!ULhg=M5dMVxw0_L4ma8NBp; zuaF9H1?SvwvI16+oCfh~QM{!?FHQwBAtkzSmM0ybVCzeqU(f_l8Mkfgs!<`IWIl^w zd7VKMzL0Rb)424fE&%UUp^5i8IEVA)YB>Gik2jXSrUDyN?E)+eDEtx#1^|V>!FmBW zZW{cAfFprk02@HUc)wO_e55nnkP_xNIfXCY2q#Q9dD^>Hg*e?y+d5|!SzGhN4u6t?<21zzjS!lN312-`)Is~u0AR+Qo3j#$*Y%Eg~;q9 zUm|rmLE1~BLiEC6d)ZZbWz*qseN2_ihg-k~}MoS@UkaQp7loUxJ zX^_^};%l76*Sxk0-!W(z@ZErx0hd6^fJ-1{zy_oY*npG)uLC6mE&*5uLuK`PM?Y8+ zS1Mj-_QDRYi(yT~=E0U0ya@q12dG62&^h3xAalS*wNeM0{ZofwN7AZW_)-=`4kWoU zyu@Q1A21s<4kQ&SEODq&A%nsJuX3c|G({M10O|&Om}TeqaH-j{6PFm`II-FI*c5L_ z9S!M{@_`J>2fPZD4|sd%%(w*o7#k2iz(V)tOqEFZ?+!u2@AA4C_fUHxs0LdrdvRkk;12-YZ+TLp_#H~J}oeC~Ju#a>JZi_;rK^%rQ zAZ}4OdED zBqoYH9Wm8U((a@4>J>@v?6G+K4F{}er8;F($R)kl?gB;`! z$POgfaEq~tnfW4QM~jqw5wiRE?(_2x2t0V`FOVHAP8U{V`$<7rtE)*>`W}n*w{I_cz6M_qeaTT1la*YtFBcCkoqTNM~jr* z#?F4b<4z|RS2y>6f$S(0fOSM<6yVnX3fT*;7F|OnW!G=qy!|hb9mw{Jj#t2Bd)}c% z%Kj&0pZ@W4?iWF#qF&N3@ckiR5D^srqdViI0baTmlFc*)ydthIn8bPOP@fF*rA=EQbj>)2jh zvOkur;gU_k_PROoVgZulN)Z1e=l4ghb|FU$k20xJc(Mr9_Y5bGf2I&w^GD_x^9Xhf z!Z|P!=fpWKoa4qh9-QOFIX;}@$2kF<6T~?ooD;@55u8IfC)&H7=+B7z%WEfU(*K30 zn|HY-7E1V}iRW%QmBM%6)U5w6ecR{D6|yDkc%;ttPoV(5auug8eb?~>tEb;DC~j@> zmAx^0ipOjyN+#*Xn*0`IIh-KsFcET1`(ug4MV)sXnZ|rYovsX<8_egzD&wl5>(*I+eV#T0AFB1ylZ4JnrIaMkDIEd5io@3IdV78Ph z=5tSFT0+Ej+%`Ik=C0cFc(xww()?2BUB_QEB3r24$>%|BRc7{X|6RU%HsmVyI%C$3 z^-VX zXH@bbg4L+chw;=shZ(72>zP9rr7O`ZZ}vNC{^LHeZ?;eD*w<}ha#N;soq9a;$`s)| zK^d>N=;P+<^;LZ)E#pe1I`vN!Yd&x5@*4QDvHGoQ^@gT*Ovg|uSMj!a?aLcaem^Pt zfNEX&Te%7wTFa`fVFSSoxjkxkQ2&Z@%TMA5XiScL2uDUSH0r0&6YndNk*%WD4uoN% zI0`Js#5mXN!R=$>HCJKQ`iruuqATui(^Z;t5a`YlsAn` zw$3W9@blWXeK%z51E}Om3=aK@<|>{DLu_V^t_&BdSKa;OOZOtR(_vo! z;cl+#Z^6P&L+3V6tT>658QeDi8dtZvU#r%Wltpp)sdm+L?#}03+&eph#K%;MkmW`C zrlbu?TvZ&waub;oyCjZ&x)G?A#@C~rr1>FZL>u)f`jc}kvPrjA=bJNRezTb{%C?-X z#kP0kAHuG(!C2j`vn`!jewc~U-PSc+VHkIwTtuc$l8 zu}7wy`EG>EYoTZR>klYT9j)2-%b4NeDfV56JNrxd5rbY``byEk!sz8kLnX&Q6&y38G3*PyX-(=p`WRV$(Rg0e+>pTtJlW zmW&!HlTXuqpSf%YdGCu0W^|FL#-@h7G0CoL-N&6J4%GJ*Jbt?0@e+CKS{2yGQoNn& zIO@Bo5vtmg#JP1Mph)$mWoezkwZXkSPjvT__h+cT4SSEs7sS@T3YEP&aYoXpBUtIi zV1jPkn&B(PQM{L%UC3pI2rxT+j+@L|zE~d9r)KjBch}x+SaDgaanx@3r%PYpJX)0_ zWl^{B(MG*fv|>jYVt>)P4u3RmcKJZ(!en0MNED!cD?-0g?~!9BTfJbcLf6@m?YxJc z`wQO}3}5N}XgBX|^l4oyx7%ctqDzta`JR2$7jATJOQi(z*3PPwd{=EbAc!2!34}HU zD!pADMCWx)ucuffNYXD_=!Sc%P_t=**llxkk5ovf`(WFwL%c%ri#_Dbv7d*#znzwN zy8e*(g{Cd_+9)=junMG$lL`!@jZUQvCOd?F^*-=3gv$3Yb7U&pB~?`TO#jwH)qI7N zV!pT>+HeL>&nFwbt2Jx%chqXw-r4#EIUITKcaGt_e0hyxQT_7Do!#HvKhq!NyzhQN z{Lx3ti3mim6iO?XAaKjrxpbX%j`WT7CNVTJCpj;yPzd~(B z=8QY{{p7G~W!n7MzUQY@aurXWG`jUk^VTRj$6L}tAMt?ky!0a~y^43ladJ#i!|G#K zl&g`&`L;b3efHf!<&TXoJ-2x6UH>uY-uJU=LmT&#?ZdhA(bnpj(0=wL@kc6t^t+Qc zOc!$NzHmLSJrezDI&>5Pt16bZeQgpX*kE_N&HUlW?)ju;>q^CRDppm?>tEwkxMz+g z{K|wAuZraBR`prrw`}SRndXjTQ#{X8zGm}Paf3=D^wKfBZ|9k1xvsxt(ggL(_1_#W zWlpJ)$y`xzpL{ZT)CfIy@V+UfcZMrfUL%ww9xjw8r{L5yqjgudvPY?`N1*|2A7yj@ zqMN1m_Gk$?uUn*8Z%}mSD{;@!J#)zT6^r=*@*`^^XSsG`HQtbI4rC2G~?)$ZR=xKdJ!-vr9l z>E0f%R?^|aP|Tq9a@+n7N7=$kw{^{)K&nG0+T&IoRD2mJ8_02YAap%jEo<&-&-Y=n z4{735P6?vA0~~vpN@kPR|F|}rXOb!TR1t~bNC z!D(d}+r!hE0aMvu53ZWbrI<0AJwm&9A2A={vMRHqy+It3Joz%Ur_;!p(O5dJ}5Zyyu zv8J{Ek@Y!Vdnl+-`Yt>k@ONM6F?-E_*>gqJNQl(osr()M<}Xh+yQvEd^PgLH1WhM9 zuvxYptTp&h=vKbGmG-#wyE1a9qoT-vqQJd+c|D4j>r3p=m|UM@{Jlyv zLdN&`UcT4s(=2L`{M%yhUfY!=vg22LtI0*|Hgr`%LmT@{uRHKR65lzs6(y{02%^Y7 z*NED}{no6+`GeKUv9i^%u0I{o(`4Bbn}(3dvey+F=ZwCa%|A|A?ksVOz9zzQ#FGE< zdb*C=jwPPr=%g9%Wa?Pr>N95CU141TYz|Xp$0S@BugzaMY$NE)UWFJc1I%VkhR=Vy z6117hgXu1N@ot$X%(>QC+RR7Pz&Wa|sRE8?pcB zd}GU*MlYW0-|YAfHE*K?KcYK}dY4}}qcu<4SoS`4)wshohbR0Ep4`C?wm)=NACxZ2fV_7>_eK&o2xY26jvQaD_YLIU}yIZ@+aL#VNHrDQ%)=%3H zH;-?)EHk-vBJY4+)+#i;tv_RrUYY8ISx=U)a;7qE;J_uP9HnqwffxI_FUiHN>(3G0PMa}R>M3@88F~>pe#K?Q zon?2u{tBr7|yX1oeOZWGI{m&8`vF>`r0LE+i~CS%wo3F|g-i32;*029 zGI$Z4OFqYC<9R9Re-~5!kJS4S@&6ho|r``o%u-DOe?FueLe1JFT zxrq?QwpmSz;}=Apm1o0Sczb2DS_;Ap(<84Qlx3p$!(R4=YsJ}# z@h*7Xp9t@tjn+x?QGcO4tAaPO2V9YbrmO%1uvUQn(Gc&at0c@kJJJ2WBFpB-UPGAZ*<(OX z)Zx|gCy)#vcdI%$5oZ$Ry@U4W=m zz&*lDKZc&y6sJT5L7X;{gY!^YtMZbM?{(OswgO^90e|4o=&kGF_5PQ)s0kY4xStbL z3n%$gZ}XkJq%hwdrkXPRKqj68DM6UcLqP6Fn##lU5D_(dItMXshA_%H}SL|)Pfpi1y={nt2-w2lsNwE*G*f```o z#i@b|ugs-@r@eUqQP{ya(w>X-p&P$HKj>VB0Js3O#*wNz09?M|P-om6n^ud$MZu>G z5GVn5n5>5{JBv>5h)L7-oEJ*|Wr$JZvuhiD-#{C)}OEP!4>1p+m@SCoDVI0Z;B z7^a7xBamkRh=Y$I@KJy2Z3THnbb!lN9gtxF>8dS-Fw#R1k`BBLKz)5l2GASpjY>jr zPC(|DVh-)G-Gr3k#>U}+^lo~HO!88KSj55laB3khD#C#?ZGP`YG*>d>^7@Qb5noqZMk2137tOd zX|rjK1jny2Aa*$k$M!lvtTiVP_@{ROxcNCFllAff(C<5HOD>%bhO1DOfRNv}&#DVk zq5@njbQBSU@AkLezXntt;;vVTfv%+c@$EcV>uRa1Aj0|!jdkL25C)J4kRLG#Vwe2n zIp5h0tS9xTW#^h*bpE4E$gIs=)MEED!X{< zuoowAbtx{YuY(=6Wm$0{dv`)lhH$cS&H0K+-uuL6>*SO;Jyi<(g6b0vCr#mt}aN4 zgh9GqhMVehUn|tp$w0Sl`m01)5gh4J1svDg!AJwbZ*lN51R8rm@H2Gs;f@BD(u>d$ ztT(`?2WCcI)ww)CRv>d?oT5NSg;?WV(9R-E--p|4u3Z3E0|Ed6Y6hT!^-gP%%|?j6 zogD*_#pQ%h-<@XQMCrc{wAYtjhzW!UY8eEl18xdUz7NQr6tLH~&+g`e`*1c{4t_> zp2rpW=fn3p8m@(!`v`TOcm5>YrLLkd%N+2!J18$H;(*&$Z5XUG!1l`FLm)nmCeWp* zKK8cO6sMiyIOt{#H_i&~@5$Z5ln4m))^#32Zyw&f0&WM$pS%btv|s|bk_gTarc55% zse!f{j%zTr?6%fZ7F+h~1JLRGq+|PCOF_lc*IIK8V!~Z4bd_;!b~fGy5AWw}s-=K{ zcca%2Z{{XP?04R(DKE@2JBn{DDKgN-Tt`lj>F3z1halfb2=|Aucm)BtV0;w`Vf&oS zG+=7{+}BoDl7Zq5Lnp#>)?`mpHE{YsR|`F5py%VzF$&U72B2LQ+E^Gg_@b^P^Gw*@ z?I8K#qX(4PP?ixN3gQB!A@gZI?9N{AZbW{E9_2t>{C}6im9lYXK@EfIBP_^J0BzdSmBB+tP z~Xf-rryH(bw%J-pl2fMM+lcKGf=Qx#BqDkQq> z$LOnu8#(8W`E1{!D#-(%gn*AgfFIsv4&EML5PlwthrwY24;-}L@H0eqLdf17W?Hg> zOw+@i=uyR$#0WoEt4*pBoZ!>i>dLdu9E0_`t3+6Sjde94XtAh+ZdUrLQsB7zT5GT8 zKu32n*Hw{VCxPJVJ}QI34f7IoJEocUFB@)LPCN#u(~uQn`7zqrc=HNMf(UJb6D*(E&j)t<#_^`e9hH4U=i2S*?sj>h@Hnf;5KMmAZZ810jH|tGnpmHa= z(bLMpJ$WH+;X@NaC=~G|JJydcj{;1Cma8Uw`Q-d#ROE1NTdT$4( z!Amzi(B4p%MU!y+fU}u)I0ZcbEeA9VxN#n8@HREztpnYx;9&`RF!M8;#qnVJ&9yJZX?ISP0**QK5zKeF*O_ zfE*6*_x#`w+oy{{{J%;?jS3m!|D8O-RLF&jH^MxeRH)z+0Y{y1X7wGdI=C=ckH05C zhwlM@N&w#zd_w?#1%Mg^2_Oc5i-fNYBnvuu&@6sy0BUUUD+4tiv#?%Ibul60a9#qE z;}ZRxr-|a6uQ?LOzvi3<&hPrQ{xm^y#f92UcnjNwB&P z$T^Ub44RIEp@PN|a6L^S;6nh!$?Ph~l0JfJ!bzpBilo;_;rK{cP^dprXsgKZJqHT4 z6;VRrv?7COghI9=Q%AF4W7up#k+&jK+{dz`oX3f)b&xK6d4NI|B}3IvNTL)?t08J` z=c!dhhwFBist^Gu+CZn?gWR7X@OA8rTE>ALJ+|eLX}miyENq zA%X{}dnnvdge8S43Ri#D0A~TIYz7JWR9B))Up*uibKrDlV zY}vUzQ{~t=g-djZ<_UZ$Vm?fzPLy~|p%IPzH9)Yur?w%92pFQ8tCME@gf{tuv!o-EK`h(uosn_nXO0Q47V@C^F#XW0CoM1KJgLy$iJ zeT2j2|0Mbg#2AA7m%`=&=%YV~{sKgXApfPX`QJbvosL_e$`IuLN7y_j`inpxI5S#^ z@?Q#@|C8u1g6ClX`e-R^{!gO6z@j0@|MwRO{v`SfJRE}jm%d1_B$i+YwS=WLAo7JE ze^)G)=#wxfu3@Y{hZAF*q~qj0PSkM{wZ!pXEm_GW8?a;>u$|v!PH+Oar*LEuE=#s- zkaYtt6HC?%+nrf`Kh24ZA=boxj?csdSd7KjgTVQ z_8?ds#NG&&!j(nvV5^=5P}`0m#4z4ojzPCL&Pm|h3Y?R~IVqf5iF498CxdgcI46g5 z@;Ik}bBZ{p)Vt$<7;|3b&HvgqkiGO8=fK>cZq> zYnBBf>dtD3?mI~7?fvs!o#7sH87G`K)$BBTci7cvUAYc_!47+)HK~IA$hE)pwA;6E z?`2nG_FFgYkbmU3UXUtIh3$&`Oid(pLE!jo9rdusdf(+CELeawVqv zwak>e+d3h`QBGjy}XfMPkBw72-U+p6zqh>gjef-VZOY$P)Pvpi~H#?eJnR3@c^o7&ed-n}IDLgBlg4|~ID$x%*R-9dVY7^Vwf%Xiw zqv9>;>iH1e`KvumE(%#N#@el2{#2f^O;3{4n?_sb_x4_1M&L5qHY)|h{?Zo7eDl;6 zr>&PBD--RxdTMKPjB|5@rQDE0NBmfdHQ)bX?#<(=`uhLxV;&kLl_VC?L>W40KpCQB zh)_yo9#W#BlsO_qDvHdSlxEG8p%P`PghZLgl1Nfnn=955=FiNpkK%0l*K+3w_ZMfZD+*Lgc99dTp7UsL?vfQdYr1GI&%Q-75;m;T zTAsVhqVABl z^&fm@In-KKWXsd-G2zFXJ7(W&BgDRT)Dze572Cg+W&{XjB`v#~8s_|Czd@s&k-FsF zr6M<^cs_KHSdoz%dJFl5p6SlGBF@gqc5m6tR~8xy3QcPlb(zV0DJPzvIx_fs8?SA7 z^WXs6`+`*ChY@QE&V__o_0+wvF}1ZJvNz-Q4|TBf{*3i?`|uzuc57eRd4(@c-#-T1 ztgXCOR6!nXTqu3_*dEJSQ7eTG>MWkg9icVvg1wduSFG&lZbcD3(!FoXR^EH3j{5)1 zeYi%Dk89wFvmIAqTwt(Zea&`Z-X>D4+I?TK^y1mGvd^>EaIt;iOn0&vy_xg0)L(VU z$F#UhBu%rmI&kVxbG1d&W9PP)x3cu#y@=M))-mP3aAmKYjsfvpGim(S)Qb<^W?B20 zPnjyUgf}V41#31{QL@@gIzIm-LT$le_9Ly&9t3~sd~9sEc%@j*`CU@Xm6itm?Ct&` z;Uv*}>J+X6zI*+x7(aV`wt64kGikzv%c-{>q%Yz4ut!IoXe*W7&RM(6aGN{#$hXtS zl5U(`GJSSoeAJQf@o!!`ERiQ7qle8bnjbuE8Hlqn=`AjKS!1s_>wf5dSL2qP5}(D= zL_xXz#!XkI@?zOXF(LB@*?9O*Z&?4Ws;-Co+J-GZpOlh{Di?8?=EUR2PBLGWgqyj? z=Q_{i&D&{dX*a3jWwL=G(O>)HY_#I__{c-*@84h8>JxC%u=bJ1&;IrgDatd-4J63d zH$gw&g^wF4KC?8*Wn|S@Wrj+kQPXO!Ds4=205OsmoZe>^{XNH@W7^6sTmxEr^uQaK+LeB>Z!?d=7l7V~<`G$xy$lIdR25Noep5MWjBPt2JDp-h2*xdSB^rrn7VzI)hSECsR zBr-YaSfVt~BvGCO50i@9B{jvGQ^%hm?%Q@4R-Mb3Gl5TV+~M_xA8+TptPS0wR&w=f zMP0yVr#@2pq`Y#AqD1OeJ0s!HMU%I4ynS(r|BUyK>xsdx&5^sf$o*@1Pff>h+}ydt zCsKZtaS1_lE?kf9ZeknkYY9|PXe0;rxNn_!C3Z<;eS4$-9J%+QZl-#D=TBSnOc*z- z!snnC>HpZ)oTz6!;Z$%yjQLl#m%DvVCT|)Vt=a8vZ8|Z|SAkqfIB~?Xt1flMhuWvX zLUY;9oH&_m|DigJ<3r68zrhzL$xOD^is-@IFd-qHDSdvn8qaQ=zj|6`>R3|rsmo_n zBzZ_gP{h$-o{lx3ElJVd^I<>XKI z4Vd`8_Sr?bhC(C0?~EyTQWJ?>e{0LThkZqlZ{kYo-l?urrVkxEm6~_jVD+3=J#6#GU$~#3JZrYZ&-BYA zVad{^8Ui;??`G%YW`AY3QK=-i<#WBihkp6RqnXa{(}`2>?eya|^=CY~F8Z2tyDs6~ zwpe&VtA%j)Mg=JehR*`RzGvw)j&_aubApAT_OpCu)T*`SB>DE9KRWkQ&v%X(Eh2l$ zY#z_BpO(tg{Z=bPlhsADE#``UaT6Z)nb}@nqESuKl^!MR-}20Cd6~Uf&po-q6p5>G z!4YB)&e)C{_}CaOMMP>tqfVc*8fClZ>}b7h*7anwAALh(H?>qsHtMc0=8Yl&i|asg zT)uy}X<_N$soh(DY9UWURg4JNLtE2TN}t`x=2`Ja4yW2w#H}gKJ|1S&Qk`owpK~b3 zP-FJ(8#k}`PawnZkAy~@3YVHN>GaX$^9h=&%bw+xHk7$I?3^RFE;iGUXzPC;;u6>W z($JTlcKhSdvA`HLP212w&bl`{w(LKh=StR^^uOqoTs4VTtmVa!q6zzmQ0e8|LHW+t z9kH%%2TrMxv2o{|tv7DrEP5QcN@KH&a93NF|DLeG`EAW1TFRQHd?Yh=odEA-smh30 zjh^P_!4!SNA8F?<=J|_GxS}R0B$!VOwLkgVtyOs&>ZMiPSo^@fzDN&yl`XAFJ{zk4$7QH&K}};jQ_??(KT&QZLCGdCuKti|2p$T0Jd# z&;G=~nLPcw%{0`fqy+C6YOj6&oEXiU`7p1v_SNon7Ls%4tC$@yDS>!YOOl z8fZTEb83$Fw9qJUF?fE^b!RkL-uH5wgve}?wcLFDU+DaoIg@|7!~a6(zs#BZ(;fa7 zI{#(PFzwrGpdnf;N$^V7#f7v_vr%V1XeE-Yd`Tn}( z|BaDPeUJb5OnVO~E=)Jm=|+TZW?(b+b!^5DD0J~pF}e|_8}j}O<3FPBvCU&%tN*_E zKmW$z|B9nEzXiH#p=t1dzmEAe$*ud56b^v8N| z?KmJH!=>{@_^_B+csb=TwW-1WHHiVJbuTOKz%GClIRKb0tXQ8u7T~^R?TQ5i=%TBx z1T2olj}`(AzXz{Ab#OO0kE|&F7t#!~S7HR1uC}~5paOu&>0_X4Yt`|$Lmf3mEAx1Wm%SKW2*-Ll?S)t%8T7(*F1ij5co5)jo&TPc-aQ)!24;*E?}?+}Tp%MM zpqeY>$@uTRbtU)6MPMiw^OeeTgt6XRm47vZK2e|cCYU^Q3gk#2-ge2HX@CrsAou{N z=7{A5V5DR!#%~*e;6NHS&^PGJSmX|`!g@B=@Som3e0($@)kSTI946ECe#141Bb(a+7yUlXd zVoEVvUs6)+L%GH16`q{N_Zna9EHy1_p_5Ju+UYK zp27)EV6%a^n5|qy1Q@VJ!Xp^A7tS+C0ls&?DW=cFVmnzn2f4iztQ`kF*H=X%_h56y zgUg5ub_T5`0_11+8_N2EJ**4>#JIn+fy}ehb8ck$W`_Y!nvAc^DxrFT_9y zqV~Js;uMFF1U!Z!WyoCSPG$ex{IUSC(d+4@2nzlT2qSbCPzr3P6n}x>1%X_uk%eFj zowazIxDZd9wQ37yPWTDH2htL{+twHgfEnZQ@hD*jc{5Oz73Lmn1=++|-)dSEdd5b1a?u*nWI5Fx2)AroHc0-i1Gos zoD#9u1^p;R!CHAPIQKpmXlkUVat}7Y$iJMz9TTv9lm61V_PeO7@Yn%p8Xzwafcyd7 zdJMu7KpPS6p|_)l*^b?r$o{$`bKmfZ!bi(tc z^;OZ;6#CKt`?&ZTp5M<-;1Amadb9|Tucy}5oIu~9EDgX}k1`p@f}o^<1spsJa4MkZ8+-bWOk?b%HKf~ul%!ES-8Ng(el@RWQQ94f;st{;$fSsMqF$wd6 zs}+(ov#bPL-!G6D#(iPd_|@kOFBn8PV}Sx@$`u zxyTlM82^Evs|#HI2kneKz3bxc{`xUkW&m<4mPk+G7$7a>xff4h@;B4KOXDu`{BBkP)pV>>lz^h> zT_tD1Wz4PU?Wx=YAj4GY1%-zO<}EmdpWTOs%*WXr<9;fEhy~X{dW@gDrQTAR8N5T7 ztbp?&6TnScY~l~n4TmrU2vkn9aOMQaj4(zMA|T9Jy?FLCo^Krj)lY7L_e1%H+xBGk zftFB5Hn&YSFG)Xc6_=7D_PJTEfslb6nTq3M18m}W7PNSCpx{A8f%EX!i*7MQ=KdJH>~ZP963nf;kFN8xVV7oX&x~>Rl5nWQLzdl&uMfs4hGpr!zXY?vR;P>#L|sVJjJ zcA(e!HY5wpnKpqNL?6r@x6t)EN)oOk&_l&jE{^#a+QnL2bTRw4Qo$kSJ@jjK0tusc zOnEUn&(MnnlL@*&h)!;I&OvuzOOPV~AxP(Mxc!?=|AyNXPZOGnO|#EwI68%BLEJD7 z|AN^p3Jr9|36?hQ2*tWA{)|VsD5p-91~{gWy4CeV8k2`IYM{Q`V8|fUlt2SD(~$Q( zEi@>hW)GuJ1)&CjrY*R^0if|q0BQheycz&C<6RVe(%Ai2g;9n{1eTf0OO;kKeiWV84M(UK*RuLccgl3WzEDMe`5&MRO#8g?^LM zn7qhlr|Ip^y?7!kzn!WE(EgWX00;C(E`US-r4*o_hNUccMOzRR0H5YFL0FsV78&uZ zUB7o4eN3fUUV>3sXl5tDpfI#qM}oarztYYuSb}YQYzE!E-jrdM-ph~8FL+o)J!=teqLVQ_qexx`+Qi5X_YwX#sT4_DC-ch!UU{ ze@1_T%`(CZCI0+0bD1$>3d4iV7#j=2?abIxq%yFh#`9q89W?E*ZROVlCq*3lX)iko zcAV!BuMkakoMi{ECbnMq+FLm%ust)jVY0ao*xvc|;F~SL%A7c{r{_0u^g4KDNO0nW z-dNJa*~{j~jxA$V6IU-B_GqoAEeW(*r8FOTrT0Ga3hHfTc%j#hV#i5OP7Up1n9EPj zOlS3KyBmP`G4%3PT-rI~okk18Iot<;nbB`} zvV&$bS2$h_2wW?;U6y7@3i_zW6<;BQpmG)|8nz85Wlr(dgQD44Y zI9}7NohP0#ubnHN@wr(@g2C3x;l&Pt2i@VVJK1k@&}+-3=U}|c0mdR51lVh$UpjdX zFvjBpGuuV&7+c#p?HE_`nFsAUxeqY3&c+|KV}v_o;V*G~Y@-KSdAypqds~IP!h0A` zPisEy3DN9i>)~RFBt|A`IN z2TjwEbXKDKztD6k`GcluNIDYT|0kLrA*_&eR-*g=il%A)Jo%4kn&!_V(VcqD$se=% zA2dz#=Y9SDdd>if)dsMqf1-sw{gYU_=S>GiM(t`v%99sSvdp9{CE8M^Efv~YOj}E6 zYbk9lqb*h1Qll+(+FDLqD`-pOec=Bgm7Z~Rwe-Z#L1cDKpx;ja`DI1^6DJByziqW* zG(Fa4MTad}*0@DoobQUNS{Nvg8X)4~1 zi%mE-x%Q3Cym=~1@`ByEZl-2sFC?F8Hwun$@I|dqEkCr|&0DmgA~AH4ck*W|f@S9-eU7cctsnVSX`HPIB{E+6L?Jrzvh>R^u0# zA9N8@7HQ#2V z7L&5lO9n>kY!+RO@f@nn&++B_TI1sxelB&n?97`R$d`)1G^VwU3_`6U+7rC+^z3yQWW$3Espc;ezaaYU^T(ux;`L$sws{lj zylWnlc{=)%Waoe0X-If(uU2T@utY*A4+$wVCk}lir$xVAmzz*}Z%6;r{omT2lx8oT z_}YHGg_TV1&CDh8D(lG7g1a#jx*FbIlo%}5nmE*uLD(8MF(>!jP!Vii!`0GEo|>!8 z%iOwp#aCm&Vb5jE6%to=TC`j_9`5VZU!0Hz}2#T@)+-E@Cx~Ke7Ba*i}3h# zCOk4^+RIlSn#Q&yP-ftZpXy>|?H7KYKeDboE;ku$jn>q2Jt+UCG0JMA191|0R=7u1 zReAPP=k>frkLy1s8d>r`d{o_dM1muG#XMm$<-Yd(ce}P2ZDv2VUEp|xyIzOWntppt zRi#Gz_2fcY1lhmv{w2@BisA>sQzkXK=*^aH-)1VEm6~yV4}15cwK_^fy3sOx4yr9{z}9=-gjHpE+cY6;hUCS_A*&9_q^BoMMuNX z#LQ)g8@-M}%x zJ*^-nZL_km=Rpnbp)?y4+dT`qKVR9og;<$#n=DX=8@i9b9=WStDgG%Mpnerd(F%DHJhv)c5m*jziqkMDa4cG(=$seyZxIw zOCN2wBIag_x8nCQUcZ28PG{MJaC`d=Z|JQbr~SJ(U|e5C%En8-NZM~157 zE|c@g$1PfGPEBJQ<9NJ$&evTowyW8^HMn#MdWMz0{>zwruO(o=lys;_IxxOTyM8j%pWXQ!ZiMrV{vg?f3}txtBB7U^7VB3_P%!PQ)pl~mUN^P#Lh4@;yyu2aYN$|;o z?9;lVY?;Q|S~{KkeV4RVRF*q)jgjlCnUlWyOc_g%lN92;BrkAIY#`rXAZp`Bn|Xqa za|^~u=Bz1WpEG1RuP)^3DfOzkXjb#&@>%nWOCJ1P75N^mmxyz1(g8b>K;sX(<4dpF z6$NPBJbGaNs)|hSzUP-Rw)K^e1kS=!KC`_PYndM&-w7M{ENbZP!QJ||!t7ekCLBH> zG)x8qZA{jhlpT+i9%J;kc+8uA7IhXEWZN6IojZ2iZ{P|!#&avobKR5u7PSFZYZWi9 zQ@Q2h;k|!aeZC2M%i~LO_er`$QM{-24U=Ur_U>`s@*&!H+PO&I2<5K>S6%HlTk~X) zM6KSoit<3oxdT_PTz?Y2N}KzB{-es1rUAC%3i|6M8c64r#gbe+_cRxOjn6ut>o2A# z^7K9TJf4DAm)Gg(tetX}L~iZx`!-tRW3Te^;q}Y=#$IL#eteRDays{$t=21*IpWBH zy==Xm-)ddgC>P(p`>e=bcmBnw-P>1`#s{$178a&UlJ-gmJdszKGNHGP~=CZ#8}N8vU4J%)XG3(`Ou*w9&DV0qXi}=Su?BnYmM>8}1O!Z_=@7KH$V6~nop3oOfwAY!@YbE|# z>BE!Dd*8-xdK?$vtd?!LEXQ3-inM8W7O8Qxzq+IN^_>NGbAIsm>qq&nhHTTnY$Ox2 zbYdg9I_q8W;olw--|Dxv<~TOo z4JSJo;X6d*_L!-^-K@_UeI=lgI3bzy zc^Lo4yoF+uB);u4*Q!2yoJ2ZwbY(#>RW=Xo4MK@A(BTYB6 z>1Gby%%z)obTglBs95%&sP}LC|3fT&Rs0v0K8gMR8!QboZW2HQO`eIVIA`jLv6w-u~bK)56O?S_Mc2VFz zlYgX#)R)|X-O1Yt{xE4FHmrIVUWI2H1O$AW7fgzv^5zkLHczxJ-)%5q;Uo^WzIX`ILVUJvS_NA# zViFM)n+)eJh2wAoHp2rBd+k=TNnc$SQiOKcu+ANaIm|?hDJd}a0W|OeT%-;r1YHlR zQx5~?2}mGJ)aAsm=3NWx8bF7o{?ge5{_=N}mV!vpY*~B^SoGizg#QDuCL=3fynH?KnS1tMqE9slo*j8yU7lO7wilYm() zoWW0J1kg%ASb=4EmNoGC-3y6f-p&>T<6Ve$b3R@UJaE+#Tv>1{!h;wSxZTP~gTUhb z6I_|17`z)ie85ZKDJ&Ff27j?Agc)=UgV@2lDl5uA(oSLLVH}IzQY4aBfuJAS>x%4S zX~D6+ca;w=CIJNF%9%?Q9<`<9?zxi(cRNzcCH-7gg*1wG+h+Qykwsfua-YDfz1L~O zswMNL0;mCLpT;Q!#e>*jr0aF@oeLx}9R0F-8Ti{sH^}q__(PrHHUvul+5yUbj-0?n z091_;1e8~J9emZphPn-5`NTDI;S@o~f}F_!XTdcI*+Dd}5}are1RdbgKNAnpf<3i| zPX=SDp!x=yd_XT}zgL&gMp5{wjTukJ%W z{pF+xTs$CzQ}KKF84(Mx(|(GXw&E`lSMgg8Dm z!Cnqq;dbk_8VX{Nz);>#;N7+})mDb7v;SRX!3~HCcGBxX8eiYgojIqet=Ps`bI~kl zbsEdzS&i}EvDt9BJY2kRf#zLKfsGy$&N5jFY@kFq0}yKq&W0-nZ0qKkIAn0s*avX| zBxRJtN*;wU0t3|rGrCV8ehy8a@pClZ*NX59B2`^+TBZyoBYG->?eiOU8$Wa?A>m z&x30h71Wq;3#wvNYR+d zKiu^O_H!8I;S8Ou1Ydh^L)im(s`t5UTmzFauO0pejo1t;h?2x0B7&yk%<(`f!N7Be zpzOE?P>Cyxx?6vHtmEJrhM9H-KZY|DF|k3Og#P(-5aQQV5)-t`0b>vMtUlZUFF|Ip z&6+^LAqMX>y!k8_syVnWnDE;&R zT-$X6NG~kQZLf-Q&f;QpFx6ZnO{Q@TAiw)+IyfX0RCH$Vq+VP&oPUvCNNH-G}`-r%%8zG z)JkvRh+d#T6LNzFq+P^^5)^i)*B#V2&`ROOoHne)g#i0{IVd&60R#spfh2I;InKw2 zA@xCVzW9rnR-Dasi1Hi|3mk3dPKPaw)bQUe49JvPO}3XM9YF@gq2gcc?JIZt^-> zP6Qd`xCzEk!mT`Ka`>R=31}8*1`PdR^qVB)jZmiGt007ZuGc}j5qFO7c7<0^p~H3K zY85HLu^yBip_)HSJt*v^v43tV!*ogS!a7Rm<-T{2*irQ&;wHol6E* z=Iv>}-P_0i&AeS_4TwyA+ti)S{5szkJv12LqWrzns^rp5alJ^*Ty0E{(~>vR~_bm<7sVmdAt!dUxRDsGr4H(^}EaQ3@(EahQ-<$Bt9c#CC@v zZyUleD7eG;cEGdXPNt^~lQD`v!_Kg2QV65`KwWwW+~D?gO^gs^rMrD<;s{|}YvKxF z+t0w3QA(S~McTNIw(xo~ZaY3{VN*x3?-q7Xt|$7aMQ`0C8PdY7-lQB7dK51adbEYX z=XkV*4VyML8En{P3htzbG%@ZR;KL>;1jl3>lEy3uVF(8@i})B#flNHFOnSEG)pY9~HK6sdoqqGr~e;9ELckG$Tx(F;EZ^ zrXMEEj)6({9CTQ+UY!yAXvki@mF>?4l>nNA?l3-eun9X9GM3vg3+}Lm@Z$H3hfTBZ zFy^H9W~vwTo-Qot4JmBp8p2p$^t)7I=P<-D&1W(gO+39_LQ6ue(|fzvx8ax@F>ty# zwzcrowQ*V7oWi-YIn}~eN6VlGaL}t2UVHmChBbP!gF~ND*UB-0k%&G8h<9BAyScM4 z*uCo#h8nsc>;{IL>#H`#QP)@a731}3{6he`==x&POLSApM`rdjCI|HAU9s_&;l=pZ|AeO_4Qu1ZG|OUzjzmnNGfZ{m;x= z1k9QuYr+ah|0lDiaqj=ho;Niof9%x1YNr1?v!=+Jg#D?R{;%x$f64)JZcVy<_x@i! z0F?tYu^BVoQ>-mdH~w@}#sb_kDbaSLn|pM_N1fJS*JEQdj2RZ7)@_ru8RzI;R=c@4 zy=jXvW9T=-*1?|s_Bh>d(WWNsmhSOk0LxPukUIb|Yf;#&Oy}3aEHtG z;X8LVK33*u5$5ZHwIbt1xnox{Z@aEDG4DmP8V^T*all|z|{Ff{YTn^bK4`L^D?(sFY6pH zr$Z`gZ&_HFIjFUKtoCqnB-?eKKRdNpR!)^SYPWVx{e$Z}x~onJHr>7&%3hZ##nVD6 zBLzP{w_ZMti z5L0rIzWt=GmP`kSOi)B|I`xm@w5*Ak)OyXs5W_iGWUkvodqFO26coc(2=xnfq3+g9TjsR3MH zhakaH;g54Aj$U5u_4TGwQ=}v=hB)_?Jg%^Vsb> znieH^gBEd=V@z-BIle~650|U<4q6IYr;@s!Yp11Zt zRo-&^>K!Nd&TeV@Q%J_M`dCxxZ_LaXSXKfD_ zb{7Vm*f4W}n(@zzHxI6|@;!G*&uGDCVPz}kPchP;cq6~|wtvaoO49NYTg4j67#km!qj` zn7ZEw#8z$mQA6GZSlaAAI-iX@eXqNJv^+o8F_&Ed$CbbHJ_yUa-FVoZgzLyDZ1z(V zGt z7^G_J8?4_?xXSnLJDL~2SX=CMdlzqw^A4qVJlvvhJbkQ%h?3^?Tja`7H4Bf3J+kUt z=Pq1-epy*=cK-c~w}Sb;HU);BD7|LxsVU~PTCx?j%d#U?(@9XXTfixJQ}B8ck|xn^D@+B-n%S3f z-g%K?>*}#fx~}=kzTmhdVj(>7=~f#kkS?ZM4o?u9a(RX7(9zg4H@s#F)$R$1$|7!) zMfxHSAH90k%*|rbiq$S|B8uYgh6Pk-mAriJ=^c_yGS@pSQN>g%@ z{U(1Oue9RRgz0zhRJW7HT~>x~^NV{14j%}b($o2^JJim+@osX;NtrSJ+^cW<$%MP9 zH@xg)ZkG5s21x$onZ$G4b}M_u^~1Zyvf@SF)zp$&w?#4qN5c*u5N>Kc=4fW5*M7JB zlwU~dWxc7h-z6udkyLd~#+|+U{kD%QD|8hVn<-fnm%Up_WvNzALZs@4aneLvsH!)A zr%zahB|mRcTnIbY_fgFbTkMd!5S z6g4EX;x@f1c}G6KaQC$P_`0YlQH1@yrO9%Q2`7%Mt9)?h_Td?yt6gn35;ud^;tPs` zoLqIL;v*qJhmXa|&YE)V+{HVW3>Qdccy1(yGKW{q$=sm1V(v9}{rfH&^S^q@PYIA2 zYIv;l_71c5DoKc)-QFIgGhcMvq4`4&KkIW+r)3|Rd?j@2@^f;Z);FFYuFB`-zdN;E z^3h42a!;`=egps3-XwN!g`sQmCpW!uSWRa0L~xWDm8Aw+z0;nYI(ss3zt z&8L!Il0>^mZsOdGSj}6pf&L55`Av`TbJ6S26MAbpr~9G9%X1dDorp-^k-n#a!Ya?Z5YtDYX60v4)KluzHjV2cTTB<7@f1IxCOqY{hvuAn$3u>I ze}8;-S~Gc7k}mzdKg4qL2Hn;>w^i6jxrTR0GJ-A54OcvHH!IvljFqNG8yZwZ`$tDk zd5|#k)x9%&TMijNv{e!8w`XfK?;*waE-7_2I8PH5pZg~L+&VTsf!R-doX1@V-R{hO zK7QU^ay%$<(zQ#Q20lJ1*!2D5DOvt+AC3r*oYU9SThAUIviuEsax+sc|M;>iCk_W| zRg}c5FIl;2;)U#e@V&i1BgcJRiA;R|BxJN9YavfpO{5fm=fSY(BaXYfF9iR~!1?|b1pg8*W-MmkMM^Ew$6+Fx!a>u=FUC{< z5?}}`xD)}?-dHDJ$-<&FScH#-Ye^60Wv)Ri0#hTWtHnwtk%D;BdOZ zh26LZ>%~ZYXW6V!@)yJl7A$y7;aSI7Az0y0Mu!3F{td@QrB=BaykFa^@=$6xl&spFZ@nB&LD zRz}CK!mLL#kZ+{Hb8U*`?1`w-O^`_(g~Ml=>U;6JnX!SMu=OIb_)8nL^^yo57jP2E zNyt~FW^QOK00d`_qv5>N3A&SPFi^tjkNT%#@E5~dpB6LGe=BhIJSq}^g*z!cy^DY+LQFvw5Y%D{99;E~F2KWAjMxJ3 zEJDu=yuv2x3s`aYzb&7JYH%gP@tJ>>Kzjm;t%NXL`s5=pXtT;XMSe+d_<%&YcFE zFV&ia?E-p+z+ku)=IFF2bQUarl(f`BmViKY7ET)N1?Q&32nq&C+8N{t62-x(v{Bq0 zg%xNfbAUUTI0PSvuD^F7kwomlY(lAJJ9Id}R0t)ei3N}`pk_ME!$cS71g?H$m>=4M z;_nL(LX67Mh!%#+5n0Y`qz}pV8Hb^GSOxJ0j;EN`M#rdKB*8!2ii~7Pfnd5^N((li z`!iz^&7jMa9FGBBn4N%v??wa_!ciK&_$DjtUkd}676M=?Krp2s<$|=lcmRBaVzw(} zgi%o$FeyIR(-Otqg)j$Tbg&%>OdBR2K-N-lac$IP!VBEhaD~MC4 zq9jC4e}rNHJ<=Z#e*G&o!dQdiKqyeaFvIo`1mZ8I0v7}W zUt_uVftwHp(FV^s&5*VNYo5;%<*P)is%;S8mT9SMi_IGQ0@ ze>@~PPi~}6hItrq57Nj4e_L{sr9;uTzac;!n)*k)+^HM2=&61p34Dh}-H%$be4MglYJUso13=_dGcX7zhtJ3kDWm z$C4W2IhayVYt`VYi1Br1TdxJ}1qfo=5p)c!%qXEut4h$qAk^qP3ZX|%I%gD0HfuRr z5jfB3Ty3feG)hZTTmnY7GlGN*LHAE_*kr#GEnC5lya|-Xi14yshwEV+t`16g_qmvA zOq53;d3$yKdYhS215H5p;ZEMQs2P0AZ!7pdZqf>k|4=lQXN)t}ek!w`(2 zf)h0vyI_t-*He$!jZA{9g65r5*&E`sal3Y5Qb`7m4NA00v^ofl;r4_j8Q&RDg#_W) z2MCo?$aD-04-Ov4nW{p!>8D=w9Ap4{1 z83ZZXG_78k3yL)eseUCgKv+iu86XbxVaI(LCAJ$7V4f2BM-c^#3{4VhnnP#=+xeH~ z7o^~F3T8%tTqtKS^WeD>d@0*|NsrcL*I|qz)!D zXh9aElzwkPga~SlKt_hjGIZ__z@+$U?|1D|95wqgW{|9JiwAz9xv(3NE+70Xl+x<(|^TDRk4M5Bev^OYI zr-i~fC{w47W+kLxgBjMtolE`EHqLh89JIfYTg^c`oAq2ai8Ev+uMsV{oN0*%n?$qXLbuzW87X zflRw_TIw7Ra7Wr9e*4$^FcR$~g6V;PsN*rX!RbFRB!Blwh!_1WN|fX6VY|-FkYKoH z8-j8R2Qp3|>34 zw#kAvr*IffJvOKC(dHm-Jk;lm4*uK9&SZzs06R`9Gk6;6lM&9C0BdmrTg~QX&Rp19 zr{uPo2r~NHI0b`0Q5?&#`vkW+ewt_HI{LJ+3F6Pr_=M^(=C@7OIUo&jKyYrRbnqv} zog(Q_!Dg;c^r&fy01oF9!x&-bZ9+cCPi8Qk6YVVyNVDTwYvc4`^mTBSx5@k9fV+dk zi!AU0Rh=B{jHBTyj+Z)x*%@vvY|@TC&0M*RvGXc;cO2ZrHXff2?sE2Qg`1(5*en_x z1sNl4>^>ZbO?c#Z$@E7H)A_I*)DhM2FBA4-g1Uy2VXe>l;-$1W_+_Ez8^gy6iSy>RQ{>j{U_raMrsPBzYLN8 z2Bl}B#5na)6IAW~pHN!oPeF7V@lAeZeE)*dZrk1e6h!|MpB_$Nr2bW7{C7e0e}~ey z?~;4}4yFI{ep+H21<@z<{3}0wgym6(2^$}yoMmMXQwSlS?j2S0> zSNLxK9Tcwo+ln3Xd;jwHeiq%!{(6ols5UiP=VMQe)&kUvjlK2n{iWagAHVnd*t1f} z6MyH0cm3X*yg$WYtfRczl=5mb+A^oD^|ZBtwk&9CBW-P>Elb+kOj}m8WldXKXv>DS zY~PFiA3C$Qttw3o9QU=esR%Oc?)*E?3O6hhKYKVTB#OWLgS(zCSuKA%`GT?LDnrf6 z^Ov+|OD$7=8k@Fmjk!Yr`PjN!Q;C?GeJFadbBX#o>z>TyWnVx2U?gnRYq*e}nke5` zAH7nZ$SahEc+Gh6w6Mf!GUugr^98t4HM9rPQ;)_d_x8k0=O+WNw@qNr($G>`^xk`m z@R^VxAKqj8#j+#KW|!JpNkYtcE_+i)X`mE8+s_*sG8IOevjr>7mi_cGRbSX_v!v5x z37I#)b+hW%y({=1*}uDLnd`qvsmW^go0T(qDt1-h^8a$3_`66X+Ac`4)777q?xA$T zUQ>qQDKp@#DlPP3i~RI7AF|8QqAJsU;L)WskwZmim4AL5{1|Mp?rnTf=q{nodOIdr z&YYbanYmn;?Z+oqnFVj}KYQJIz)+_(_uWrYckPyqB$*<*AkWL2eCp`sEjgbbW#$rn z!AVZ8EBAR>L%6;PR{<$)Y)ReXIQ!MB)(@E*Hc7t7&wqVTei6rw!Y8$f>#S71kk4O) zT8^D}ogguD`ZH7Y!Jwev0I8%StFfYyVbWN#yP4dJ3s!r4buZ_K7lo%}WX4~Jk4Xy> z{9LXlB{t!$&4zhr$f3grty?QHCBMIV9Q(aEac2AdGw!XA9@^*{?Dm~MuGyKaS-sA% zw<^zQq4*-v!yC*RFQlejT>hob7B%NJYVSXGAgk2W6!VUTPpiBYzrQEizGAoY`ZW(i z{rL)#5AGXE51veluBC+Wo;@gBaWyq?LQ(R(J12LnxvwV>HM1@M~x-!a?vddXBi%`e(EP4Ee^Qsx_XTT62|{)Cs?*o1+au!^_Rj_di4>@HhJMLSM9B=9l z8#Aqv@I#AvdB=-i+o)2x-)XBsV~{2J5N@TweQY@?&=MVK-uu1GsqL)Kx+(*Sd+Qdm zcX>2DAXZ;nUf1~vHfJaaj^j8jHFjD-UQxMe=XRa4`8!}FgZD3 zeAzX*H^on$8uEPzHC=CII#@|69hmdxDL!#rRT1FpW;b{tO-DdTa$$jk>AOR|UV9{~ zNRhkHxCuO$?YB?6mGhu@m&okq)YJ8r*WeG`zEbkxN}|Y)uk(B7C5D>xG}c!g5E5$gaI-U!I3Mr&x#a^}g$*%c zR@GL$J_><(QrzKV*7ezLZ|nl_K zRG4#&r!YaF{^jE&A<|jAoPVIbSnpf)MiGv0jjM+nEd)B>+?DIC)8*@ad2b=9y(?4y zFy67{fJ4WrZA-_z#Mym$U!ITnaonQG)kJ+oKC#gG93OKqXvUROi7Y~05B0ag*MF-X` ztJW9E5^TGEeAPMouWpNbuNdAsC>3fTKlKh-$d;?lR(*5*wH>07<_kqDrOTWP4;U_a zsoZ~V^p>C25LwIhNbch~-zA6D8MfSayo5|YW((G5?2+6lf_rp`wFucY@!9nAR`cJ@ zuTB-O%#5@skI~E6prarbsU~u05y!hrWXA2#?)W{{%VJ57a^*>P-Q+n#JNXCNs*SI(K7sxqr!@4h{IXFfc;vH9V{dql6U$dP}FnB2RA zCpHiF_l;z$EbL7`e>=-$u;a`cSMNCDz$w}l85J3&UXmZLYP!))`Pqwei)CdDRa$?3 zPQFsuM>^tfoHjJH_qF;t@FvjB(n+nd;+}(pTZr=*TZfPPjsRje{HboIwY|I5@W?=b zt*iU`()6$?2zDL`cia4-`c>Kg)!mtgQ@Q^Cel4?-j7f%D2~i>v5=ly_3{iwKQz?>W z6rqSTii$E+k|v=P%9u)0NfRV~^_jD%QtSb`Vn-VbqiAP((GaZ}%y6sD`b zyFV!_&_W|=p=_Rox{~bGHR`u5WLik(l;RueMd$oBbKbdZ%EvOmp1W&x=ONEktNN2- zly2X+LgG~muN&qbbG84lMZ3Uamh`l$Z5Ax&qR%8+G?#@+O_)riD>s;PUODCCKJj|E z`lS%(dF2M2QC)XVhE3XK*<&+DjC@U!_?|kh{a(!6m`#E^bjE(YuJScwO8walLe`9U zwrfsAIE^D|!C`YXvDZqkkNvoN)LSLOR_@rc@t+D7u#_(y$4dHNT1=HZ>0)j$DZ+7n zPUv<^!~8&tY}eVmtybfjP5X;UQb=EnSB>A&iLd8X=gfSY9_G~;ZdGg}*;jAflc!LA zi=6P~&P-X3KcQRJigd02Qj&B`;YsS^nn$T;)Egf;aW;`haZ*{)8ntB)a+WqcbscDZ znqoBY;fNTgpvYBC-3BigqC4Z2xp?L@-cN;#QbS~;eOE5-@$of!U7U1C?QxFRyKEBR z%y-y{&1(+N_ftHdkA1oBzjDxFTFXN2=IW4+{6oFjWWSr(ZoRLza%=-RN!_J@m9Whs5Ac@)cj?TiB3U{}3^jKsYIBqTg+a$EPog$ozjaMnN}f9P_C@#xVh zKKm}b2wCGI@{nA)lx#Il=3Q)B-V+)MZ@s(aMtqJZdC21f zq>WpJaqDrS)#ekq`=)F5M@C0S+4p|RvLoyF9J1zNf3wNS%vvV@W%l}Q>)d8PDNodx zuC`p|YJ_bAd44nPsO;DphlMjW_|6~OIoMS2@VesHvZa#*g?cyW^M{c|%4%HxI$R-o zqk8i+xT|gDg$L`*<++a=&(V_Dw|MFmvfXKoaaqy{fudViA_QNTuM}f#c(#o3c3D&A zVYjAWs~6-j91V)MZXZ>YmT}u=;`qxu_5}KJ-MPC#W}2RHUE*m2!eC{vC9QJc$h}{j zZ~fy-jG^&joyOxw*Dtd1JEGI}DTO$?dGA(x)s*14ZO_rAogeS6+j02nMgfV|Ls5sL zSNAm?B4Sf#8w=hj$y{yY=DFy@`|EmY^0Jjqi`b6@`nYSqsmUbz%9>ge?xzHfVfKH0 zyi7ys#k2b**Jp^g*(pz$Iwr(Ujimc+_tv|A)S)8U|KPe;(LtkY?%chp#nZjVO3%Wu zG$W6!P@J<+tMEji(32~tcYccZeSg4av4H>79-F?xo~_cN3*8&%XD=sY*z|hjXRvUdf*GWX9L|xd!Uno;Xzq?_X@Ithq&cHb&7K zYEO8c!le3C@HS*-qpA-%+e2~_j@?_3Xo=$a*XFW(NC|yGrBG*weP}738F1BUB$~GZ z9-7pp)12DRQlnsMoeQuDTW;#h3CUn$BsJ{SRi4N<&|XEU4lTVSI(Z@=@Wzlgvez1f zpHf*e@B%fVh0tgsWi229V3`|H9)>uq9qyPHDO|xuQ`Ag=uA-4l4O9!kD42NGB`BQ1 z^I&Vu4b1frxyF&f6c$2z%Lc|nOZR@8?TkT;c?V(XnM1C{gag5v!>OH5@1SRs7R14f6=ZYTrwJKRg5jyxT_V;zjnsRkBU9U8>FUd|Q< zRJHV}9_%un9P`^`51o`0m7G3<|1t=`8Zax6P^yJXgyhu=s!~-l7M1G~(1P$0)hQx9 zsmeNXAE60v#M zcyM9?sizP-RH_hpQ{(leNNt6v_Z4je2t+6?nWsc0b*~Gd`SpY0EYzQP|5$y)j;h)U z1fkuK%)>7lB1Kb#Uh@uMNx}LSd85!O%kUE>pdWRa1vxR$fYtdIm|~}$J5D*7)--4u z#{yap8|l(M3P@`0rN;)r2OVCv$U?3xrhv_^5KcW{b zd~DWL*iUa{ajmm@A7HpfnAOXgrC`sRs{efKq4$Dcq=r%#B)O=4H+vog$J5g znEsxIS-92G*?wb5@$JNu!BCeEnJJ_90;nTw7kTk-4I1DhR9 zA&(V+xEx3N>|rt#!a7wP(E~WN7f*r;f{ud;!E!w>CGKbd*> z9^8Sp*w@1r?#bw`NACzu20|_GjiI82?E+N(*TC5kI;=xiKeiHEjR#<}AxR&{j*g;7 znIKs{8&(-YW;=?ifNxy{oPrrIMC`N=2%>i=j$srqUsZpMUX&9_(~q)nsUOysL+Rf3`l0P@4zNzkhv!jV_TpYQJlxCQtzuJR;7JXd)h!IOdDnnD#i zmf2B-D;|;?@PVXBlN+#@bM`M( z+>PjQ>bjvybCqjp&hp{iupQBwMkGcJyH+C}!*wMbB*w9I*F)PKdt|qp4bEs$GO7>$ z=&6XipicU@oKSxhh-u;44Tg)h27K#vi+zz8g*M}4Jk+!tWrFw~0zTbJSt$h^@LH(OSiuzkh>z(*6@9w7Q2 zO&gNXw*uupZ7>_%*Gk^vI{}O;TvjeZz&C4G-SYw{&XHAZG*@u~4TL6zApHKD@H74O zRy3d?+#bCONbW#a50*R!nmw=+OoGRAS3bOx4CcSyYEdIg5TOAl=rrT%Yj{zB)&n#) zEusB@y~rF$MW2L+knK`f72v}dnw@hra~$^Il03mjM9>SdcF53%wHuKTH<0@P`mL=X z;-W*)N3#c~G*T=mFQyA^*Lt)gq4@wRa~v!J!+g+W{42hnz@GybjCsm9)X01vcHQP( ztS&785i`E}An3%=++mQ=6^qU>^zss=z0rw17k2_>_2D zBy6zWA?Bv(F7(hjo8vo%iy6sDi9fy$lQFG?+=T?hjrc{ z&UUJzzd8bD4m+Ly>IO3A;Hemaj8JRh9(7}8?=KHXN_+7JCejn;== zm?;*EM>uNZcUS@$x9QvykE$Q#$s8Y(a6Z731xGUAJTqJ{H;{QxAL3#LZ@r2(LmiI{ z&}Qs&^n{|B`C?TqTOg(^+(SPzZq;%G#%0uw4P;2=Yv-EOGqmGO=!E_c88wS|oNT_f zeIP6CdVq|$eiU~DXC9-go}HVSIhSs{=iYMM#FrzymxY^^MzQ18-UP3;w;al2rWM@G z>x9c0+I1Y|%rO4I@H%E31_p*)6NFEn3y(8l&VMlU(@_z6)4*jF7+%XTX^^vO;uNgq zG+}VpG0T}b3-Aw&GcE>r0tODsIa%n`F=cOHwPHhNyE#J0U{-Yl}#ltaW1gvHFe_-5&F8v}SF5>~i zKLaNRj)(9EMqn$WJ>)i{EUDf9Llc*Qi#J|9R(0KN7W9Oy!+jKy7dl8mi{8NTg;9lp zZqsA<0$ea)EU4wmAR4phXwUs`7;U|JjhzD(NRz)Sq$!LJ+_yg@^sl^W@*9l4bot6( zfzu-}y67PphS6o^FJHf{sQND$-PcdPegDB=va)gf44kH}-lAd@Mo*e7BPXvw{s5yF z87^7&SKc(SSz~Me3r2f-ZQZtGr}tkl`amcdfzgpCPeuQSVS3ulTet6Ik?eapc?E?< z4<7w)m|j&)VRTbVYg;?{8Rk6#qlY*@5ARrhL7{OXqR8^*BT@+SrjF^;rc=O2nXq4_ zqd_(%$<8P_GflqRTxp83(7|1nP1%=E+m_wl!}d1ocDMvCYKN&8)NNK|G>E{-F5X|1JAci zkrLvs42!avD8kx*PFtmu9NB;Bx+CMu)g?NrqBrI#Ho_4!#+kDxVKPteK)R;lbFyyD z%GELjx%;LlX&H-^l*Suaty!mE`S`Sqth9W$^E?r9`P#M2T^xh$5edn6Z`eASRF*#P zZQiqUeQ!(amyYlw-h?_nJ)HBs!qsxMr&hd&)29j7bd(%VE_<3RT2gi@T>ASUAMe!ziFQ)bN~YhQ ze9BIK@NvqAM_nZE?7e!Phq3Xirp#XHAv-8mcRjC0;7!W2%fZL8JB!XeA=ms9%fB~e zb@V)`7o0b#uJ?It*8PT4#?It^;lsD*SFa-mZ0hbO15I|Vl{8p)z(sPt>L*@BY1h6igcB=XN1SyjXzym@ydv$&~v%MO>x`aXHtmR`G*6&Lz!laV7z#cu{=CS^Zs zk4n1V+Hfo;*>!hZS;dL)KoJ2U@p1Rgk&|kZm30+zl5g3r^t@4ZDl&inkvmVD0=EXw zk`j}V%)dZlHAN=RGw15$uX@@nq|3>n*kAWUaAxD3dpoS%PGx&&l0^e`tm-amB1+$@ zT7`7q9Ssq$Z0i1Y-pOmu*ojI@88^t4waS{-UNe3S-uK(H@9ZX>6;f~DmHIKWHo9}a1HBYr}Tj0v>#;$?u`o^*u4@%$Nm~YN|?m+0V^*luIOVG&+1!rbW;k;Si z&BqoU?yI1tW<0I%^;?gvTf#SSkiouV-aGcJ=lao|aJP!J=ftTbOT*<(#ufQV+Bzod zHV6=Y$)fDS^840ma`{&-oebcqYVcd@;<1kL`1LkRt9_A3F0$hPDlpgK`@0Vz8*OZZ zCe(Huaq-x4K&q`SV40z%Z3nr2dAT6ZXq9KjE;?!H%+Y(5aCh@;4b6ppIXNy91&Q`( z(lf5~Y-(=J#h_?wQ7yX=D`iua&zv&zKAlZ+o}|9aVK(Uz=(+v$J-EHmQIeBS!4WXIa+cDL;}V#pNtD}04dgfQ zFEJUTqR=r~Y&Pq~)B~yu%(l&I`q6g!T2Ui;cJRaz%YfT$@2^+Z>`lDe&6096cEJkY z>sPH^_o~fz2qxi{Uhz94OZYXI;}?ADWD^w4$;@%{-gonf&z3`4@^GJD@%;-|=Is}K zqwZd=h}z+II?ZmL1$RpauVQV3RAc>sI9WV)P_n0qWrqIO(vK-g4;#i7T`vx_bPkSN zGkH#Lc`cLZi1KUmv8is(jPlF6aOerIqennSN^-wx{LvFHo;+olPtKp*U)%ap*yvb@ zTT0TYvLA|UrEPWTjeIH-+Soe^dZoy6E!K&Br_bkqZcKamIOO~@mbP;@FL^{i8!OZz zG@UVZB{_P^GwJ2+QQCe7^p~3rOfvWJ;1OU=IDNCBGwNElM?frj*%lvnex+-`gsJtysM+#9FK3?a`GATRatvlY73FG81+f~Q?1CHjXDx~ zY!%IH(xpkIM~znPJZ3RTzAwL$w1|AX{5Eyh@x-S|yU!dl+7Na#%p|~7Mt|KguX+BP z=58j}d*0{v|ELyU9v)~Fo$RtVy5Q)tb@Q7#rEN@wXOg`8YAYV zs%cPi{N3E!o*^kUmBD_S_z9W6eV^7svmY#?s`5FxPkqBPn)>1{B`;pQCOFw`$C=f3 zWaE*ObLGvyvQ9B{7ayloTQ^!~pZQ`pA+D(gcAWj6PX&-;MXk|W!xqdi-x+B%L$AMn zKw6KjZ*=9;)*gXmCl}@uQc#$;Zt9ehsFb3L{q}xK_$4*XM&~@(s6V$ekB;_rtG78rpbA>>z5K@zIGn zkt9!uQ!-+p`8BXpjG@)9ea!O4}CqmNWYql-lCO=6b|uqvtlVY3h<03m+9=tRnH}M}IpDap>}-LD zud6F%O&7tG#RTkLTO6T1=zuM#2tpkL+{@|g=ltXGNV&vq}h-j0Kjj8&Y zGu3!wFK*`-kyGvu3*Pbd{nOIWQ9UooaygFH^y#eCZnhh@uVpk8=|~C-b-HTt9<)?f zU-z zd3&FoBf#?gW1#D%aqVqvyyw@s61M!L=+ld(#a^AcbotDzj^_^j)i+aTzkRA(axv7G zD{e30kM^}&+8(<@JT2i=ly3dIu<4Ql!iAn5lk47;RmQkDkf}{Q;!7oK*qZj8JXd_$ z$V!i0RA!MBej_&sku^Zs53y;0^I#yAPGbOvYddJwFa2D#WrICCzsg!SxyiB>HEsMHaj;4hmzX$5^G;;A@W*!z4_!>m zd!H3NE_K(LUY?y(@We)ysN^0#b?ctBoZ{nzwAb$s+PU!5w+wtcA0BU_s-SH=s)}^q zjDFI>n!G1aUv`$muJO-5U){2K-ImdXx10n=am!W3ksH>6Q&iL&qaqBttBdoUCkoxM zQsn7N(BQqka3+GI50XQ3N*`Z{Uh?#={l}7fX>RYI@8uh8eZG4V>rFLLUgm98!kso# zMpU8aOj4AVu|A(M`Xyqu%n&*=>iz*Uw$M4d&{pv1heqB` zoc^6Xbz5L;h6mBIV0~2cbwXWwk-x?Q*HA;Q$xTURgE~^Z0v*pgIV3B{;r({PQw+B* z8LPx``(-2RtKI&~*(T}jHsh8PdBK>pNPv{Ky*hmL@uy;+g9AT)0oq@DmIw;{3DExH zvv8mK6QKRYXVGQ(Cs6&1QxmT(e*)FNI5jzT{Fl!87pVTlsYz)xS73 z_ovT4LG`ac3N8(@&Ye3~mr~++bkL*2d^+gUVF4W$(!qcZi|DYJ4u&-`|NkOoNPVb` z7B-8J-~bcegR?HwRM4bBlY~Mp$v98NKc>3MaJz(}Ed3l}Ms1B|L;gz3z{6p?tA7fs zAu$6Qwq62LR!-C%bFV`UMmAU?LsoFG9jS}df*5g_a4H>uqzyi~yMbg0l!o{N33kaN z)Oq4qz}^y=e*$)ce?rg;Rcj%nb5yi5XW9g62MgPAK&LfJDNOl6VDp%hdj5F8Rwpy? z7!h_jVS>j*(1cn&030>~Q{jU2#1ny_#|t$SCcuUG4NwSBA&R$iP~{~9?G-?xF(Jbc zasn4*wKS_5h<3%0^D60SDl34_7C71zG?0G)F2uK!P8|S9n6EMkx@z+72}P#xCpVl0 zB0`J(uq*e6=oo5LaLNi{ifloQpzVZI8hZoL!vIEpEd*hosIQ0aggq?^wiKQ~E(sO6 zqd6RzsYJ4&{C-+IAQ))yFh6~qoeaqzTw9@%gqDlo&7C_MFk~S-aV+Cw<&%5Riy`sU zWFAVt;Yj_rdNwc%`eu`vwnWGL#-9H#GV?sO@Bh{T|xONa>G4)bYXwo(0rGMWpKA=Hf; zTMkh+l#}$mI$$9omii-sOFD$UJJ(XPV@lnI%#Nw(OoJu}Lz6~Tio4uwpwUD!^$;q6 zUK;BT`-rMuFSIp;Z|K8C?n)7jYyVmqWG&eK|H3Vnz=F=jqA#ZRBgyP7K#o1~`ELqd;lT z0B2Y)C6wtRubRd%123B(s}ljUL#gI4iUs$i-ob4&eLVtFYhVFMIMctvIRnueh0)@? z1g}jKXG3Y?F@)M&{W!${EcR`80$rX2gMAt*3%Q;junuIiG|~GBdI0F*qlb&1l>jNh zfF4HLj?0I5bPtw1a5uUFmZNiok3kTKOZzH(JytJ;S?-tt#D``jpFH4Afq??pEjOgM z88njF+1%kg&6)-FfDgCh@_8zg_*r|JhuF>m5N+UfF&^>*{UgBpSTIh@^>Jcw0+<1t zoC5DhKDmMzp=TM+jOc^7Fc|AjCw%C8K<7r>(Lfyj`N|WC02mxjrE@3XlfDxBRG723 z@nsPLxA#*lrYeo7T|l-6H?e@c*MXBOh=Gw-Ak~+WOB=ATF=9AKZ;NjOS$nUwSOisX zJzfABM!ZD`*tuYqeIFsQRK=-3O9o!rP4J#b!+8Rd3@!2)pk+j+p9ThA4c3IK3>=mb zc@G<7#6F|B>I{}Y>O5i^cic3>`}oq zH-51e+IxW0AK@w(?!U?2Y$2{i^cw#F=Sv9Lfs4}|1^B(Aj{wfR2Yqm@fJhySw6?4u zHU60J-p!Q0;&KH>1{WA4-Z~>*I53S`!l0?gkwyl?n;j0)P_H65eHnzuXb^h+fDC2v zf@vZGx^JlU1AQ+*S<~T~0El)gkwgIpt{CprsV=1wQglQ>CycGblEL02Fz(lzLEQyt zo;&aqnxLlBOyx={>IW~UakW68FoM5<4hfX+)SShkL1#B4RitE zd>M-iJhYi-Ad0m!nLC3>3jzM&0SUM+JDAR$F$rw4mP)+=1J{6xlJW3cegR`*@IZPQ zM|L@S0Kf|Up}58A$vghXNBCV|8uHrEpGlqHVfb-9Hq6)E(T{K;S^&!~VlNtc(C|ae zuZPkC=(#>ee!?h%mKwNUqfuh*swMOebPR#HQrmeN*^G0o+{{R}ieQ0jXu$LzhtDD;YTxEbi~_vJyT&^GTyK{NZ8okvQ^#}TIs@~1 zoYm-ZLrdI1`#ZGpoZPpK1+g8@2MLlHuAn!|9Zxmf(gMeS^w!Yic0AL^YJwvJzKkv& zD5ycl5txvS&Ij7(fm7i7`wAh7Si=F8(Vs$_x!|Rbt~q-Ru^DK`!>4s#S{%BRY)$p) z^L65q2F1Idof4lEAKn)ZYaDt+R#P7Cbe3cxK?VNfAjPidT1xE$J8@H4rU z6t#Z`9-xKpsUIJ)YSPdG9jrK$35b<4K6#vVp%X9 zG1~?#;}|j92EiR+u$T>dZJ)=?bcnseYMXP|YCBB>(_yde-Smlu%(n9vJw~wfu-!Iw z(za72ns?{_43PdAH~lk1dMI$3yc(8dQ^oa9^X(CHZOb7CZqcnj6Qw654kb^Im}~=b zBe(iDKXXJ?QyuoOz#8;=S_gGFf^2DjKwt*-nFg{=7{1vE zC|t{$!Af@%rM4y@a&aa=j|@A2JcfyXMlFj8gA)(-H^Go=5(ociIxsNcvjLBwcVM7* zPy^qw_H@qrW=)+dew7V~dB#oL&qhhQAMRn#f>U}aJh@F+a18B0LCb+c8RcNEY(obN zlUg3IT<-WdY-b;y3^#d0&ksTEM9UfH zb3ttxpKIAoz=i$kU~kgE@^ipT`C~&HSY+)~1FhKTj<>W^b;3V0663Jnuw9aICX5B= zte6|S_m&q}F|vZH8Mck=)ht+-jjYwIbWJw0RQsDS-cscJIjB0XoOyS?Grb8O<6Mo6 zfo*mpYcflm%%wV(a+W5y&PJi+pz8Q?u;s$)I1@nXMrJjRAx9unx3H0cyD<3E!Ja~V z865uxh7~q7QMrLNoR!9{`)4#VlU<{*3|yn|ZkW|h{u$?!nMu=gGuVgx<-Ho1;r4+T z9Llj!7!Mk`0GSJ{Vu5Rwv9AKSF(fJ{XtN+6eaK;)VdNhepxw+=j>{;lhF7y1HzUVC z120-D9GIY8UL?S?&jlNz7U3=Q}9USC`)A`zTrd{LO zRve8+;cAAyooWk9dOZg>qwWE{k1;#A)sfrD3R}N6o$+REYXUbb_3+0pVAXzW=5S&d z7K9fm7vPY-=bX*Rro5i9|A0Gww~nivT%s}a8{9oSH*cZY(B8iPL6OPtKHG^&lps04-}bv>FWnY9{fj&On{O9fg&3XMMD$I|CBU)dTsfgB9os! z+s98(iX8Lz*lkc`0*p*4a{fP2WYXI9;h!k70Hw$T82P^_vc_z!zW}}PlAj*tmR6L| z+Yeiq11euLVH~I*T-04V=xf4w(=;e_oJyOgnlPp`S2t3!yuCGf7S1UUU`qyLGiAYE zlnQU5v#oTtjn1~y*$z6}NoTw0%$v@9=**YScGKA&I`gA5{~Fu>fhYq>|B7|H#2~I* zEECkn9*g#oms6cR>G6|vi!CA9r`4yAy^&tpR(U3ylmr9^1=)XWcxbU?-VBZ-9*Zg; zAc8@lIUt}e!bm{EH4kT zF`RqL*K5@KPn|XEb!TSydinb?lOx3nuO%eS`a(Lq=gG=R0O2-7hK0mxv@@>k_Vn4W z{Q2_%9eGi)lvQL-fyX+p5cPrHNHfdjR=g!8$86l49htWtoiOt``Ybb?+`VzOUU{2~ z)+XE8ThCQq-g?MG&eHqbPHih)4w=YU5D0|zrFXZsGOP*wR*!)$&={J_I zcK*@SG1%tgO^Bn$l3gck$V3CB-ml!!EvFLv)wJ#1r@VL+XSa4ooR@}; zE|;{`_T_{}VZz(SevyG&kJ6k&Z+`lC_eNHnp8oYx_y!2~9H-)3Q5yXKV2F zoxayP6v?~Rsaz6P(b;~XXG-Tf6dm=tF*Ty;coAX zw_}V$*KCUL+mZS~W{`c!R4K!KndErr{n!Hu<)h!-f1ThT_muIvsPDzi$^qqpD$dt; z8f5JVY3;rrdNif-cIfpJ?!j3-m3dv?8QP)E4aMT)+ZA>`BsmcUv94#zINB~27o2_c zO-Yk)t{SU~&x4}F7q9NV{B{gsORs#oHzxOcLqz`VEyuDxwV%0qYTgR>$juXE#^tA{ zJ|-DPvnJfk$ZvTY5E?W?MRkdO$A>z2aeG;RD88#LB|L%fUk(o><7Tc{`1;->=Ow1A zk>_2tcgFeZ}R+HwI}YyZdSr%tb68?Q%Uw%z)#{JzV(oDk_DqnPN$loy zadD>J$tq3IP-i=Tx40t9!)M{!md^IaTedEK^7IYqD&J+Rlb3q!dVqLu%eJll5l)}q z7I|4OvC*z9Okcdzl^i;1w%Vxg8|RFd^+dvzo=dmld|uip3@*+}r~tWWZFJhWwzTTtTmrB13$0j+J8Vzb6KvJzF-DV0_6 z%Pkze4ErTIZkF^)us!=)d#Q|5N4lM#zkLSTa4+{p6MN0XCEM4l+Bv8=#B_d2uWh+d z{CVcGNlpPP4v<1l>DFBSBnksFnaHAX3#?6KyP8B_X= zS4TLv_}UtezywbzH3@RJqe?_N5+<#NtyY zXUC;l&Cy!Es4+K*D1NSfdr#qgiH(W~Klc&qB_Gn`u4G!tFJzRLcQF$8?;)~X@7ul{ zbM>6q%RO1EtE4v4%`fuSHs>9tGpr6(C&!eLlKad#4%5bIPv{Yw=e1CTHA=vuTu_AW)O zaT%o_xl8OFjr+x>>nT60e(18{$ekcSlu+`FaiU3()EBKYj)mCs!HyYb7QE}r|)wgs0fm3?h``IsS^O7F; z!4$q9MUrf08pBeOvSKqKkcZ@P-vDI$|T2^?zo{bzC7g{7r(cJU_G6b8_{w zT8`Jzmp03&FEp2Y`8t06)+5Q=`H4vRuE6l*%{;>IqYg*JIDcifoQ_LL->;<0n^9g- zeS0kl4Yu+>_x8!U?cSOzgRdXfR#mC%Vwt^EP}yL#l%~x(0U{-w`~3YF*8B%ALIN%{ ze7$t1JnZ`;&D=6RcrZbuJ-oGh&%mKPYRoNZw*R( z5JEzum*`sTPPDQLGPVl5*I#tMF*!Q_{rIf#b8co9YizqnTA~3Fo1x#4d2Nl#+>K#s zgY4O1VbRxC3ry=NeDh7Al_X?e*yexcp{Ih{*Szu`fwCi~?FINJ87e$)&fa?bYH1MB zFjlPlI5@5)J~PsIXUJKn(VVY0ZQgalkyWTAA~GTKG%>T)d(+zZqcZ4xl56Ohw1l~~ z%lkR`wPv?}Zi+f|ID7-)7awyaw}O-X()ly<^-O(t5^0%=y7nph-`QoVn#Qf!N@QlQ z6q&O*HpS<_-L1P48yS}_-E(j|aHn#wU!2Q^=w}R4@TB4V-H*~sKevdyE@Cd*Jeg0X z@f{mk-0-m6$Ngk+A9-2KT6pml%e0ImxufmxCqwZ#wv1 zycKM&WS!@|$U4%?eB)auaQxe>dbNtJnOyAvf{e{qKg{+%ac9C9rMszTyg9#l>>9Cv*W^^#8!-^V%|M!qG`>0`+ay$3`j!IdD(fjkSBaaXU zANGn0r5n#vo+!u$r5k*&PloMrUxTA1EzH&1LXb;}eGMHaIFJ)ZUIS?IFBS!c?^ zK!-rml!cUBUyn7*=0YpPgyU$zP1MDAtp~wSk{m+@JFA}+f_@%Atr;{GI_&O-mk%N4 z4E1(LIeI1sTvGc#)I9rrQR)5WcL~S7q4dMyY(tb=QI;h&&hLMfT&dLLXUySH{d7w| z`3I@daF}7ZKqP@CBw6`q)wRkVMYP|FuG3P~$dE7Q@1~Z&)m>>>k68XHy`rdkMmq7Y z>g$k*$dmW43hc0u2*p~+E?S1AL@3byRnEqIbFU9`NKJ%dEg~g{%Gj~0T%jX!qEtUs zvf`(nh-Lq9(fel|+w`SXHA;A6M~d0Q7M3Vz)e6$wG!YZV$67fPh6B~0%&O%uVFc0H zD$JM{6>Hf{Sn%4ou@^^SF;1M7*4TeP6eBi%|6TJMDS46Mn%A2@Dko^ki~M8FOK!?g z$qV5_YO^#nwdUy1Y`FynR5>wR^BO66k>8aQf2(rRkIk3 zy|iW(K&w}QbQbjc18At+-!8t4uK&7+*0TQg7&3LCAe)Tk9_?8MtPiVMv`1Bay%(Ls zGJkxx$iP!}-MtP(-^*5a-T5z{pZ2yFep_%f$n{3<P4hFctzEwHjB!C#2;9mv#ocj`CP1i z5t&sS^Hjn!p18*qM5J|%}JK{wxuxB}mxP*dk4)vP2VuR3^td=cY8 z{>yz)sc%{DUMb$aCH@slT4KTG#dbl5h?epamJU8`%l;S5N1SXOy@k8_j_N4Q(yob* z(d8GOIEU1xzS?VSY^>jv_a@xN%+7w){i@6B>~bY>y_JB44|L{Ry8HJDe8*d5O9c$?JF zkx|8=L8SX+MO%fn-Ob0%(&JsYGxI^%-TQk}->ay$ODXiKZGTS$$C&w=N7gEth#GB~ zQNSFGm7v2_*Pu3XPWJmb3O%+(^=h0-#!ZN zt8Z~FHJL6UOg*Z0pqef=cQp8tD;AnEG< z)gbt%ub+fp;Me!hKYjfqsD%A%qh!sX%HVIi1>gA2Q!hFZ{Un%&}sxHF3<^^F4lZ($vtHk&cg!1pm>{kWi4Y ztR#PJmVf@)$dRs?e&v7dcmA1J-Ah6e`!iomwwfR%p(4Ticz)VheqLXgeAO`a({8=h z$cO}v{B`|bpFek#e5YJZ(fl&}=kxzpC17f#Z;2n+{^wKwYEO@UwzFS_5$F=?EE5Nv{v!2^YA?of8NNieyT^kvYX`A&;CkIqDP7k;i6jY)1j+h zzk1MrvxQ;---7>yNkUh@@@Ia<_>Y@E&QV%Dgh=oRuU_P3wF}Ocs~`1t6#u=H+^_Z}^Y&XT=%0te*I5{QA2eulk99*Y8()E1dfG@&A3lS6ZvK@EECCv!DO-dCLF(yubSTKRw_2e}CT3{{GM3r}FR5``PCiNPhWUMyR{L%hPxh zfq={N_dbvFzseP-@!vn6ujuqYJ74L4c)s%g@ci}v!}C@CFV6o}-&8B*Z@$&S4v(uW z;Mi7un;tIazsmhr|HSW`q$G6z<$d$C;L73uWh2GDY9bX{{lrf8_rI#u+aTfkx!;=e z_q`+})#j^tp`ZJg(4YI;{8sa|Klc~Q{JDQJZZ%*1bN|+#?eEu7MVD7o%Rl!o=>FQX z`nlur>SycE{TDa?x!-YgH6QtNzopHe`z5GWTkh4L?*sErf9@|{^JmNcwg0by=+DFd zllxBz{HFx|Qv&}ff&Y}i|0ha-jFgoJ|L(&7@jC+ktrr5HV)*Wfzuxx$_}l?M?S9*H z1zt-2$Rd9~A5S+|7v~+04%S;OEw-2%8R}_kZO~9wSSu|dCMt~hdAQhEn3))9s3=Gl z7kA2nA+Ja!RQD0YEW4)rBl*AfQAzmI17AAUHM6ta1efsl<$p&(uU@ooC!*zmx+-WN~XA3eC+P+MMBQka)>9%ZB^#-G4f2n`DK^Y(OicC_2J zWs|X?o~D|zJX`_^^Kr2=&{C3<&d+@LFg`le|K{cM_LfIY4;pH!u9p-Sp?r9%Q!&RQ zj~xsP*|*1Mx2N-t?Y3L3pu{#BXltmeAvGv683}PQF(Feq=YzpYG`oKK0j|SS0}X7(ay@;%-BFrS4&k{ zQC3P)LQIIChnlQO8AALP7byX#KStKpB z1_|)6u`trpkdrRW&3^mxd17?%ZSSiWU9FGq*Vm$3RZv1jxmlTKQxoD&96Nd_JY=80 zuZOGiE=SvKR)o!Hlc|xe7PL-z8A%Z#0WNk{W(H~svW2m~j9xe_x zMjC3eMKu3?X6pU;(7QLUUv@odd3dM(c5UU2>!rmPiwbh!zD~nqo{Ts0eg14 zI&X)5W4_sFqpqg9>UsrPDKR0$&&$ouNKZ|=v@k#O`NPCuf8U!|&$`+kJ-F9cS5lUasUF{7jif&{`h?|3zfd-e& z?AK2dqr-!JJ+Gj~S{^}-l|y4fMY-qFlj2XtL`NJ93*6)5<-W__+I*AoM*R({%JNd; zq6~-+u0Tajx;*!F`XgNNRd-kG@bhwU+UaOZ0mWcw z;MPha@7Bu4&A~zkU%oi=_4CBo$Pn@Mi>@clP4^pbRopm6!gBV^>G;@~5r4(cJl9`yA8K1u3x=Wa3ME4 z;|!EyT+E4MM-GKTh4}9V@30-&*=(~g+hnMxqm3(gt+bScxQGBB7aJ=J6LgB@dGvku z8`SYI@on$x=N(TT-)pSDT?JRXkdt*TJvs4I42q75JaQm(Ke$IPw_T3--UypE>T7GL zsj4VJ+Y_b|+5+5&bF()y|wxAV`v49*gxL9dii2L+;L_qbm5cHQO6<<9S95Fx7UBq zZg-cR_O{kr!Nuuour{B_u$9z?JLMiOG&Olg8W>Z z?Ci|g?NN}zm&|;@cRu184x>X$(;e^;H?Ealh9Wtenw$i_54W2GVNhQ_TwWgTP{0n` zEVd9f8$so(;)_a26b2!BTo6lhGf-2L6Jvw#-oAeEw6nbh4-PfpC$6E=;)47Oxmg+M zDW^}JjEy>aI1D`4p51OPJD~op2qsL1dK=VLRp1`Qg@pw9xsR_yqzlj>!5a<_yzP1U z6o#(H_wP19Q9`G@eDOlgd9rh7Q=AJBI9TXb;9uS0)~vT$n43Yz(ojF81e82Ls#GHr|r#m>pl0Q!kqIN>8T0v zu~8A&%>?**xH)4tV`ikUqouC24#`Qb5fgy{i=B~{niAY7xU0$W5#k%TLThtV<85@S z{QA|B%Y}L1uFj@GuRVDjt`r`;FTfjK8=SY>@^0I**~DlgG&1FN@=(zDp`b0muukc6 z7k&HkX>xpQbdcEB)BU`wvlZ&DuC}K7#?>oD(ACbLMJY+AVxZw34h!1r>+R*?vU3L% zx0NNq#7G~?NkauG$V)>(A%3_d4JFy~kFQhjhX?w4Uv#uKffu+{!CD6GDla!XGZn6M z{1}X>fzBCpP7Zd~me8P}nR>R<;5QjTejaWPFFpY>(&hQtZ=YeT7#kYshwcwvt)sp9 z@uQ}PjrA^JWLGa=a?V9CDkjFoL?4el9DX1;aNj<-Id6AYXQy2bw(u5Xwh4i6(S^3P zUU@A%vj`Fr;N^my>U?yhYyDA_4jde0hi+Pnpt~;8jOl-gyBh9ndm9W+|DByT!#kUy?WN! z{1Cg$8`r>P=A2JUJ{^nSrow{IK3^|*END;`n@x=kb)XX0%E?HH@bj`k1yYeLaL;}H z^Z}aX0I~Pw^QWDykD$=&>uy)wjFCjS=QFYEJ$d}d!H^Xv?!41}o0T~M%3O23;#xT* zDT?^H*jbop$w+?8e1UOrXt4iH4<729AKq!WU4yD_UN5~=RFDs&>Y2oZQ_!~HEhH=? zI1s$9hntHt+5tYy!hB1y{7tnw{U)Gs7)YhWYSu7I}$x60=^ztBC3v%Yu__@LX;=4?Hb(uA#nB(=?VHI&U2_x`kL}B zFq3cYuzn5ak=@pNNYp2g)DiUvWO6rDiQ1XuJlUd`h*|`4ijz#KL^T49-5Zw?-_ODG zM~Q0*4C(wA#ILNY&}i8Bc*|3wG=b6b#rAjZV0`27v+PiV=FtK?PDGJ;5`3Q2qP} z<;0M#3JE1qH*-iyB~N*1oyrK~#L(JEIG(%_iegBn1Cm73%yBx(5#4MuD2x&H>_N@* z1NwX;O4-PYf{L1!j)9Sxg^it)i-(tAKuB0bY>kAZw2YkmIt3-9tfH!}u|Z2mSKq+U z$Yj%I!WIk5t=nvD?HwF<>~eB(MeZJ6yM2831ndpm9~=^P;NYPnM~_7wkB*6ri%&S6 zl$?sv(lav8XXWJP{6KYaW=_2ny?nVp+oSX>5bMM*_NN6*N_!phFU#m&nnAc%xT#l(?> zl(eke+I5P`>s8e>G_|yK^$a!|8Jli0BbZxQZMC*Rb`INj>~wN=b@TAt?d|L5AFwYl zC^$4M{NUlE5s^{RCs6Fk_*177lT*&5oy|CRK0D_^UP0l-;>#ta*UE0(tf;I;w`ykd*^+Fdp>aQ>C7HL6ALK|_%D6v&iN=6o2 zEv>LMB=kTywq|ALUclCeP1rj5{^KWXWo6^w#8%A>*y`-!=8ml=Vot_kYuR;debN2u zHMag(SX#!`HR9O1*=&nBw(i}xKL}eh&tYrhoqPAOb$DcK99x-~v30$QnmV>_cig!P zTceI+>*Xt@SFyFD^XW5e{XU1SLP$guTcP=xV(T7%Y)w6reimDy71d+wz#z8L(9$zt zE3^eAY_+q;*2704j$tcQ=_PD^(uS?mU%t(N)k_PC7&i2OZT>H_#R>himSZFdP~CV- zYx)0b7$@uNr?ecWSlOR9Wr1Z*ITHRq<_k!s{>=LRkwrPKlF8a^v(Fu*zd13z zDh+ErWcE04i~Ou%OVZdWZH8A!{?&Qj-p1_1!)b2MgRJFAU8p+szTdrehUw82zB`%G z7U;H%Tr_8AhE@3{j^lij53Xh((bONrJ<)v~z)(Ia|48q5kzo8?9e-MYkwd|Sh1zM;Jj z!>M=jzs;3)<4Pf>ShvJ92+p(3I~K~7!kN>b`LWd*`7oTT zvz*A`GiXqA{E%#fh_WRn@!VbKP3oOF-M;5pjjS&-Ji3r;H7rqL5HNpQ1-+Hb)o>g; zVmKw4r*eYirW@s^+qSuEb;+C0Qe?KNygrV2HYN#}-*gloGwe7&8^+g`K(rUhTr*a7 z;JH3c1o_(s=(2Kq4tKV{iF_(~5vxD@v(z`z9ZCs&9Vf=rMqTgven)3V*{?FVQI$Is zJhm))8!pf!=r}5-Sf=z$&u7s-Mz{%u4Wl|a-AAz>8MUl($ z+rK0t;}*I~vv9HI6Cyrb)il+SRwM+n3WkP=jgLqQ^%EptqpOaWjHYx3Zp53UYrj?) zEcWILU;Ekma}HtJaIA`_@-*7bZr&OD%uD>s4X#UD@(B#D(}(v9ADSyUVwBF(NEM@u zUguLM5VBc{Z9j1w;dQvbi=jpAhR<_ziu&XkAHjoDrRcCxrNn24XU8c^hGUd14#aF9jZDhBDmlnWbb0T?n9n-P zm-oICc`WF{_$*iGxM2Kr5@|0A!G8p8j)HzmM(7RKHYYdUUTw-%Yfo|VD)yU zCbJ~nHYM~lQt!S%r_1FXyBXgJAs0EKhhi;@Z= zuckr?^1W#f31pt5#jYF{wVv0x8bUSWc{)XM9T@Jix>A~;i~566HB6~53`iP6+ofB1 z0$A&Vq44N9JFECELG65`+M7z$RpWYIl6mArES!%)zsI4dRm$IDK4zlMMq7=SIVdC!nXGUy3Wg2_62H#U3t@3a7 z+FWNwcQ0`^bt|e*d2rOARC?0BKO=0} z(Ve<|+++?#j5Q<%F!=M8^&XIDGds>-HgnZa>5kA{n__$Zp3s&fNHSdVRgS#tr?9Jf zqt`5I_wo5~bYI<)Ng&($zCO$9rYZVl^yzMnXG?@`>bj}MfO2c9T{QIxstJgwJ$WxX zlI|hOU>khhbdjg)_6E`~6ob|bvpbhxKfXj;mdMalav}PA5jw9+*7x|9=F2M8mP{Lg zqaQA@rQ1l18`T~B5~4uy&Y2R829kGO*!#wGc6am1t=xwu&ynxuex19ZKd|n!`qFhy zFT{Dg_oA(2Dc{>&O%cW{Y4g{3{nreYt7bU%I>^%wh4-R((R6QP@jI%V?Z!0E>H{Ec+!!jaIM zO<&q_w&ZMB+P2hsRy$psD1Kun^Tn=h-%ZCaI*?nUrl4A_xt@f+qej;)gh-xmm~0TL zjC9vYl^s{QbHbJ{2$5GXWjl$y)oV!{a-z6@elc1zi)Zj!3Rzb8S1(2SAsuw<&?j$> zw?+JCcXk?*Jj>)ywrDn_?JPBIJ&#g0FF)2n*MeTI8_C%7Mt#iv!6A(gyRJCASU*ze zLsXd9*OjwZ_cEHlV_xPaFtNSRwqNmm$ss0hu?mL!?%D$iUrxWv@!B|y48EohpE2QW zM7sZn~mN8lOz2%F=dRHKN?lTpudtF_k@JKYQ8w(z<5BER!!usNa#xXROd?-6`>R zdXM($a~veOFJI;2jyLEg7qOmHxIfg=eMJgLUt3?EPrTT0s9ic@LV_`Q(4ydbv(DOyq2n z_4`vF7&0bFVohRU*dsAm{jMHF|KUc-6RD&h{CllH6Aj{ zsBk<%$$R=AV*UNxK*Njj^I`l0S5AHiP`;r~Ie@B^ z+VZ&LEl3}$U-W$DfTpgKc?*XPpRrdjMg4@z5JR-=-|W_5{Nu(^${6?W9)jy$g=k=?pXnYle*n$lc8COSNGQ=^{_ASe1y)u+0Q z`-$a?PocWgOQBnzIm%M^n3sBuxr=?QmQHngqR^k~SVLMS5XOrh#=I4%lXs(ga-PSJ zC-tcx_4#>)PLr5*AF8)rwHZ?ELy6nk87q{-1n(cE)*5op(0CCuF7Z$+SfJc}lsTJa z!*dj@cwsP(-S}&cbr)-%y3f>6+4>QGF7y858qX+GRQ7YA_On}ZRbPu;-^Fs}jpp+o zehU}Xy*|Z1(s-S%>Nk_~&IySQp6hmAR;_a2db#GZ{dLJF=@$8t&lPh$c^^+1j)|ut z-7lHFHp^BI!Z%A~iT4;)II;C=-8)5oZjX~<0_PixXDDm0&JD#c*0(%_KU!v+Tw1IR zQBQsy`eMU$mc?c2o1AuNI?wV3<6W&d&5^`Oi8kFNg^p-OH?8+hf^DHwdL+mCQLOqx zF70!thGQGKvZiac)gRinaoU`}lc3{Q&3b=Uaz8x)YLUJH)v_= z=<4YkY&0}7HZk3_*^IEo+``go>o#i}TV!YNu-$RT&RtH1NJ7k?_@bYfC+O6r-kbaXZ&^W6EY?3~;S zdHDr}MHep>U%paOdi7e_^&2)hxAz!tNIR4DvggpFCnIVClqAsgDMQc`fim|Nb z#ded?(a}v-JB`H=S(wI- z{|{*_VUy9ul{ssGWm2L@P=Jq<4H(es)a=y959mE0E@JQNm)*|*zCF0x*Z@4~MoIC- z!n||oDG4WmTO2e*Q6;ff^lGZ+jF z4ZMXZP~buj8URmTD?yhpvZ<2H-O?;$p&r ze4OmebhK2IB=fUhK2DB}^!L8*?rcY`j~_JD;Yr?0`RC83#>XB%b~t1|Oc=Yn>;iC0 z*n~`t4YV{=VYX6ALPQ8A!P!`u;2B94f6UEHeSAMMgka*dXJumcNy~#f^|vZvD*W=r z!dyTdXJM{C`WQNL@WB3nJznkrp6s?+nZXP+JfxZu%w9^02=Z~TARrNVR{hKL=g;rQ zVFH>62n7I2TiX)=jrSYt(Cyk9JpTy5x(JB%*_7nO({aEh!oxxV@A`VVB4?OZwcZL- z_QrTFT7A8;lHyt!DRB{Dz|DZAm=GfaP)stCg&*^9+n?T#jSNFs0#AAdlmeqJ)KFg! zp!Mdp(h?|%3)vZG)6b+N!~>{_j5vA#CVtT#Umu_qyBzFnZLBS}m>C;xG|f8Zzjhg>s!>|X>G%^EckcD~+wJPK1L(ub{JfT?x|#~$D;i00F;PIo94yYJXc_p* z^b}_Bz*k;81>^@4&oxy*e=Zf~=jCQ1pb>GgCn67p1@8sC;s!IkmRmM&G6q15v6`45 zBQF;#+!BO>FMS6(>}-NyhW=SQaGE>yb+r{RVT_SadP*YZT}KZF2kt?;JzSl(+iZo< zg^hZeK<995fgleT=7!{OkxvL3IkD$?S1SYr+^#HxD*zQqg@}S9;h{kR-X6}tX0}=Y zI@MNHR*;hv7UX88r>0n%{qh0I7)V3QLj?6$4wL6OXHydsVj~Y9*av{y+1}c6v++hf zZMF4^2uf27@p7_a=D9TYZ5kN)KwmciTl}3BWu*v!X;wy3d`!f_(EWRNyE)kdpfrW9 z4xtd@B0wfF?OK|L&;#g>FS?-B*OUX z5x^+K7`z`J8HAH)J6oFWH9)s3&Wm`3qE?WKr|V7tAp{dWEj0xoPH=~q%98&0I!*dv zU!a|~+bm2C;f!^FpkW4|jS2YF46xVH{*9KFcTmIg2D*i=i}k*U}LpqlYx%rdIdSDHBkC2^nhy?zJDeCFrG)lh50Jf-Nk&M zK7asE#h!?cg3@AYXI+TA4Kvt-`@fO9fy2?F*1F@RZ%pQD+N;7Tm6> zF28!^BE*7ZoWYR=;6Q>QOu@}*hXWgKf`$Mzq4BJQlL&HixN0EG-LX>}!r;84t@ZJ} zhTBkDR{`J@0CYWvG9b$3y1KKsk^4z!2lVii=18=*Zxw@j-%9~d&7F@_Wi>na^fI#2fZacTz*=!}4 z8tQHW2VP(9CN^9fE2#Nu@cQq5AGo-+nW$7aj_uh99$$0!V(}Vz~9#! z!dx($-Hc2PbRe)`ot(5762M)Q7M=vW_2k$tV zHZA2;+=+-oKy(pC@Zi@Swpqfks0R-OtQq2EfLU@vObRVEB3oXZ{R**2ql5ju;J={h zJZP+i@drpdrgkZmfGgoro=kimE_i^zaRx9#=xYJfT`MOm0U--q>|Ta6Fe=P_|ML0c zgeN7*E0=!s_+I_(>YLZET`exi%LXKyjIRR^2E(Ek)RY6V-3p-}dOC0&T*N>Wp^#V^ z!4bl+h+V$W=l3HxlIZ119L1gb+FKC8gCl|<4CFLmW)BJkAQAAcqk|0$B`{EHYbdV+ zw*ms|O%66@T59q|mwEJI960qG=vXcA7K5t`x8Iy|sYwYCV+9vs z2=oUY?C!E-yB#n^Pay;$Kk!NXp%5zN<<1LHW7}2&o7ADMB(b}Of}tiSng8Z8hlmh)2EkGH8UfPcfiEu$ zDrtqghlK>~_xFKO2I||<*2-d&p}vkbJd>OxhTVXDp^hk)=jpzGg<%={s^=Y}B)aG? z(hDUE;X=^-_WOBzfV;7SG1$aF2We>nuZ1=Yfe9S}Ab-$+we3n3|2 z3NK{2WspPY(!s~$$kE0MVq^?-Hvp#v(hGHh!?KnZ=DK@tKvZf!MhT~F+i)IhrT>ENPNrQ+YOwz>HeLDnsOLy z3-fc&r=_@mK!+jf4PGieTyXm`CzzS&gRfVDh&OQA}fIms4ak1lBYz?Oq##2DKVDNPZ~l0JJIdm5J4m%@L{m~ zZ#Z$ka2t`IKyzOBCoLY}<|7Id2Kc>*0)zonLF6P1N|3@e`q#A$tgYa{?DbDF3*dH; zla#ks_ra$2ZLf9?c)i~@bC4vOF7X$xC2|lr30=0m&ci;Rg658p$1ty{-a# zcv~!_`Mie0ovPJ?W&Ql(w>mW)INSKG%1cux6%bUQ(`W@x|D@CY7)oOB`5T=^D|i|(V&*xFLV>mZ3!O&w7+yYX z0{r?fbb1ie%*prY<0rt=f6!^*k4(&%p#rBB5M)`w)4%96CU(ax$pB4bs0$n#L)~P| zd8=>LViRV`V4}h%pzqiOs2!UQViN##Yyw)2O`ov|AUK%FG4aM=)58;kO-!;e?!@34 zTYGx36@WAawqmr8trnKpijh6GVnC0r4<2GGChypaIXkv$Xksho=hzy3BK9PV`i8ySUd7`x+K*HgTm z{f=#d5SD;*#wIxD2jK?zJ5y5A(y{e+9k%vE)Bv_pLyQ2nDkx&BEwF!VJp`y9TZ=AY zYbzjpY@GsNkF5aJnSKMnq=3`^$OB0KBM%7uk37KhpZVVyaB;!_ZQlQDo>YG$5apjt z7&!3nX`saa#R6g8;UpxinCG%#p39C?9C*Wtvs^gEjZ-{0#fwvXIK_`s0yrgzQ$jd} za7vh{O){99^xL!vIqClf2AeJTDi5oUTnZLGEcJxzeh7iyS7Olg!?i$er zX8`|@*2mk)>KE*&t(F?&%`a-c*Lst=Z zVf{$ltoB@7Zwk^Gv@Rf2jzytLR@EvQ!)7~*kQ|lzAKBI<30+61EM`&bY2-$7=H+3@ z;rI`!nm1e}sPY#p_PmT|UMJQLL8*a6l zxTW6TQkpN4AN(ac&|c%AiEND(Qeh=M$<)1T`r#Shsl6&UB_{4B(*~d!SGH;Ki2H%%+-z#id za>ATx_vwCi)n@29J$Xj25~UyY=RWBnnrtV<_&~HLdblRml`BAzf-9Q>Ps7BQIsaS=Xf&JDNAQiv;UZ>GF4Lh!iN#JB*YZbZNGi$w7j>X;u{q zk=#850}Xb)x(9}X7V`^kH2uC ztTx{DHWLx|NlvCe-_pZ&gz2h!_;lXnNmHJYtwSlV1EojL4*H6sBb4nYH|8jfZtOZ? zPHW%hQ6~KQvg-x5hQ&k5Q+H*KN}`CK!~D0H{dhzBqg9E*2SmdM&unKo{3dC6*L<4B z$-Y3;#COfKPjJ_SoYO?y+Q&E%J<*yVbU z=w18BsMh?#P1)W1NmAq@N(9l~6Evr!Q_40J+>mYDO{9;SC-MDop!wy_c7`;SQNNmP zXiC0iuhOIWuzAU9N4os+NN$g}ezeJ#=o0EozvjuCKSYEm>U_fE7g~>cRBMb|dX*|$ zq^=lrjOw*~v3V0lC7Otsa@y~V$0;R#S(8IvBW&CEa}R{=mp_;@;t6Jd4lT_c~Zt0 z)0o5p#)4<~QSdP7?$6P)?6E@IU)w!(Ir1*P#keC%!oFi?)Q4z+%p$~czCz%xzpQ76 zYcAi@(>5i_(-zP3g49x2?>m5AlSqSM%c1(Rg$vpqgPa>qn-zN{_Nsv1O>KJjKM_6Q=&L0N~PKu+7!G@e(r zy1efF$mg{gjZ#c#+}WqxMuV4*F_5^bif2$Oxna^5I&?V}Kl z!d+{#w}>)4m26KQOWG>*1{n<9V!Gz3z!;^@nrxx2DV}diw(HyFK($PnANIt8eX8i# z(jCRumk({LS~H?svsa5baH2{md8gzT|M4QaP=kewXw+iKr8(A++&Duy;dPW+pHzf! zXr;;LJeEC-!EO8bYY>+Ulgot-)459<`V>6pQa4|pJWN!2b6hMSRpwOXHqr|S`E!I9 zD7Jev3`Y)AB&>PDso80Kl&L9Nc>9o3!KP2SNWz}IhN{Y2^(A+s-Vv(mfF+Ht(CTdq z6;|gJACu=fGNPP!2ZRrU8Nu$aNp?M~&+P zmQs*-Lg~gj>Iw(if{_zc8^7L;k&kRC3J5%-|W?RIBFogsG|=XFQU>>$^DwkwOL zIbPA9yHD;>yw<3EUBp{&c{JN7Sikp?17mAYoz4fP3LnWAVx^8(Bszj*gFeSSGZ{qj zYaE*VI(IVNOC9r5h$4BO>qR`gvD}2|X~KK2HPP?$(GKZzl$C3V^gMyKHWUnZ2Cp>>XvW052aoSq*>CGfAwH>>CJGF zJ@_@B)B{al+EXo7KE0uQ)4X1Z!*!B#+m^Q!JKYhw=^=h}=p<1d-L?3tb5iTHR zY{c3E+Q*4*{Wes=&rd48F-_6ykVB(6w%r0xt?OfKgo|gY6(cwPxI?%WI<;k{$o2)g zf^5-DRoJx3xPPv4Pd1}2(dX`V#u_ParrdAU;ak4ja$fm@GPY5leQG(lzWbQoONp1M z3pMe^bC%O3Bk^{uO*EHw6(PNeb6b+t2IQV*g(@aWb@QfM@!Vr6JJfqv^UKABlTruK zQt>v5O}7a9UhP--C>YAQvu*dc#M{yhl);KGqi!p-GNJtfts#x-Ev(UM@8Vh|%jWDj z+vBF3<~QZ)f4)q&7lk2+SCKoz+2h@txYZ zsy5MGauY7;4Ef&t{@nHr(;#DjM*nL7SdBa+eP5 zW#95P(qK;WK~N2_cKzV*=STO_T~;=_WQv?Rf+Xt3{DluM=UYrko>V(RRpY~GT(Vy}rWxfjR$3`k+p~a)w-6RK@(6PWgAI^^+%KQI~La0Jmp@&qL8i zArk5D(}vbPIjT{}`$RoOZ6xL6`aWb{=F0p?A$ZOC>0CSdLobs_9q1n)o-&%*^Wf|* z#Vb?Eh~ApxRlfLc?&!AP>FVEb75y_^{Tr^Lf2ON{!&UUpl=*MS3_pthi8B8UnbAK} z=D#5``e(}gH)KZtOqu_N%(Q<~=D&Y3Tv>*IQ!^ZJI)ejFVZ(?wOnAc#o8|CjaZ6$l zDg17MOzyJ8npN~GaS)^5oMnk;_>-_KDf`cKcE@tC>EGY>`!VkSPXO3`nXdGouebeQ z0We;20|Vft{~-XzxR(m1BxgbX37S8c7=I3`iw7{FcMC*&m-10=Hn1x^uNe)>qEHM8 zutv##o2B^{GYmlyP&vS}Yo)~3h>8gCa zN~&@!1O?8tB1Sw0f;>D)?&$(^tRYgb|Jz9cJUA$DpT9TEpn^;gYedi{6Jx+_ zKu467m4HhF^Z^YMC@|q>u`+ZS0T=)Y*~I7oaN9Sp0Ni$fbP+g3LtV|SN?1#5ek=V!iwzzGy}eQ(gq z6`|GryLGp!t152*`zS2P!?++d>2$)Wn5aku;i2Q3PKN-R->nOnULAW_38 z7_AWoLeI;^3G?*ybX1@PoB0MejkRUHJ+Gd(x5BJHaIfl{Wu+I33PJD*Dwk7nu`6U{ zg{6YP1rUwp77#mu3|UcLQVgq*S(#|40LXrynSMWxM*8~zDL?CIgBe+@{Jets%o&(y z#{xCrU&z(b78tbw;8QseUxD6+0n4NS@4)=}TbK<-tq(zdS5Yh z4jgwI#$LKeOHC1K7zD6vz!O0&`UQibKA4#YW>Hgl?IJ43Sy4~Jq`W`Kg|JH0WTP$s zMR`1@&&SD(7yvH(nEM6@9E3`MVA@(9fY22HW(lD6bLolbRO~TOIsue&-|4VQ7d2I2 z0v)8qSinn9fk4*<`k)a&^w8QtBwAhuGw|7&$q6TcFNOsA!R-4kz-pENX+fR3P7XjH z7D!Pn&Q8Avxc~MgdJ4j3nD?%NKmm}0Wu*g}1I-&&CV4}Uzz$oq)g167NNd;1f`E^g zlbMzh7~0f_QR18K4*1SG(#jhyRwxq`*08R+5}esClpQTFh~$-;eZzZv9C!u$>AJ))wVt zq$L8@iHP`&oE&W|%#7e`*2_zX;xz#1DVG;!L3sy}46k262ZZngKq1$zTq?W(4hgVk zG&s%upx(#8+QQTb0mXoZ2uxA{Pzp8phc6$Yo_c^M0Y<5>yvbfxT8tYa$d!*DJ`l7A zc&3xRYbAoBQGo9Ri8fF%65!lZ;H`VRaYwxi0_ie-U~`~Jgwvvr90>OJh7bj47$zXb zRa2C8Wkp=fbkwAaScy0}J_sJ}1#op(^8h5huslF!S~A6HD3@>-9@?Gw5kd2=zTV{| z!mW@3aL?@2$8iurzIqNl4Mgsh*8zBCfld^iijF)4p3v75dW!`C#J^Aj*e@U+E;bwv zfpD9LaEN}u#vM?9cj_Tzq!=*iN<;-TC8i^xuqXjk;SS*W5ZvHAhOkgoh=&7*Hh>fy z3J9c=qwwwB0L4Ka-vAQP)$k6ugVf~sSU{~1+!Ejef_6s-JJ9U&feu@11Gr*2Nr=o4 zf}j&tSWp7|F{qqfNWjIx$^@^UceOo!^l(K#d%f%`D0}k&BLjdtehknP1R?DKap-mc zco5|Q;VTg3A-M*^S0Mg~mI{&m0Nnp+WuXOF_u=uwJGHm4${sHskp%=S2_?h=rauY} z6a1IUPV9lefoUi~zyJgbaj`HUT5_PQ-=X1v>i-oCjo|uftD)T#L#Rk5=Rs7Y#tL$Oa6s#5b^P1b!HZ@Pfz*X!a?I z@zIehvdsWkH)01UTsJ}ESH)UlVO|ax;b2JxsGgyIL1;!lR(^}KxEg<2loy$#V8B@lE4v0(8K z^b)?`-*>l%({}r<5ZqwMrVR^cVBY|AnVyP*Ye_>m@f$c2DCOr^)>>N?LxECH$H9mQo)q^_>`4J#V{(o8H(uER zfyx>pdgh?tK+wdyp6(TLeH#|RfY%j>S4cxi&`}{|CS)%>q8kiSAaOS~fXJS8umFY_ z#DgGcXXKH%s zt;=Hxy&s2Rt{38Oo;2abR&dlGz}X7`XHUVM9}7n~*aBKN2=uW$-%tnFE!I7Avoqpg zzc~cja99Qc8hFo(r|>$}0`WA^Pb#mMLR1XARi-0oUMtZoA)v*ERaT&;tu4)A*#iix z(%PV@sfO^o8vMyXH^!l9z|UD3AZP-XZ-G!ki26dXZVJ2rKuk+l2dsnuA#n9SwX;7( zt>_=f>R)X4DWGDZRkiHDMa35gWI%~Qd`phmE72sAQTf&iG5)FF1@$fqls~oz2 ztup9c8Gnj_e`z08B-y0jCJWrG(43er4 zB?+WSobKKO)aRqHx_5}D3Pixe3go29w}urg2V}**xfOl+A zByx~Xg(yfE6p94;J1E^jq#z86bqsNM4@n9TNeP2;9e3LXnInhzy+_G%2RS>4RD?0w z6NB^}MC!~@<*nn2!V#I{a(jl>2{hM^icmffAkvPE%4`K-KS6Fi%9@Mz{0&!ss%ifc z)2^^pv$SJU1C(dJ)l^$GezO*`-tt^!;AC8kAKO^b!fzrj_0Oay-kl@Z82v841b zZvD4Vd6iqERd5a5`gfu7Z`>LsVV3xpQ2FAY+!}<+@GJfS7ApS>xBgqGjHXt=^l zG`3=yG`42vU@MkCz?IWq#@ z?hC{=EVjnEJ9lvohXCO3#By|ORZ+!OtTV?}tSZOWD<#;9)#BKS={vR}VQj^sZ*0X9 zZ)`o2hOJn-jjdRzjjgnF*b3@)Wt_8jz}q87u?;KKajvZ$Z@+xSHY_v8IfIROyW1PK zE1F@_>RZ_Gw(l>4oIE7Su{s{UZS|PEd~Cu3_rFYB+}N~&?0+7$A{btQ#jv5z`#lS? z0AC#EnPgV@v_%};)A8=he5)u(icttVm6~n1DI3C5uyX zI3;*+!dO z1BzyoRN4D<=+Oz?m+F%_Q+eKs&$!EcYd20-*`=!y6}s{c$evK9az!j7jTzJrW8a>9_N1GbVG+jYy`RoG{3hOg11^OjVtnl%A;jmhsFePZ}t<)Q;m2hBcOo7=YJ zr3)ThR;b2-;^KRI62na8uG52>&-qWT?a!LAXK<;sCA%k|pjwO=nvN(Yf3H}3&Q@?C zhITBHV(fXHUfDs`;`n{Oyf?#^(2=bIbk(}dRs6%Xaf)RJ>B2WlQk^G#zQ6lQpkbHN z)M+$-KWQ&IZt~t$Uk*LpEZU(>-F$Ix&snPnhqlQK8ZTc)UoU&F-3Gh_JYOog@-~NR7d51)H7Zz1 z_q;F4bcFm6O66BeU31Uz@M!pD@pkXUHHk=6JCiw$5oYP>J?2jEYoy7!_Qr@beK2Sn zx0pV}rJs+qcej{*%e>EUzJn`Ew0@8|?Y=;z7sJjwPWN<2kMP?xAkT^)>FZyznsti> z%%76*y1M>oz13`b2T%Dh%khr^X@y8F^|5xTW=f4pM1ex1Vr8^=0%w|8)GuvRgRK*lsQFnT=pE*94`9h0QjY)mK!TmAE_d&B%KHr!F7n23; z&DcY@fTggCR~B)VDz}ujqrhoi z=|YjpZ-VD$g|blf2bSc)rO@Sscl4l`pGLpu42ohZ)u|Lvqd{QA^c6aaKlUUJ#U@DzDBQUK*R1YKHI%= z=;#(nEt)&LxF@`SZ#G4?X4CYpDWQVR3q@$qhkHlQZuTcE$JHXp@=PXqW4SFGx6kKw z>-CAJNpz*74*fThMLXqZEN+FjYtB_2eW*O7nq@#<&$@F$^|bQSNYu2ZN$li?uNhCd zQhOw8c2Vyt*MsPf5Vb<8_ARY(JET$aKs>t#d;2Nc5VZ!87pL}-2Fn$H&9P$NQ?YYE zawx+F-CN4$_vUzfPPN!~aT|Tp89q1G3va{u>va#Y7W5qze~0$e9$<2$Di7u|ZnX*~ zNt7>bvl*4S8?@#j-Dzr>6oFzSZu8PNSGw&8uU0O{`egQEp^bT(=2fy4QbySvGI8Y5 z=);s)MCM`)V`k^ttg__{*~)I2x;N@gp35@1iq>T&eP~ZYpJPmm@k6~Gr>P`e2aX64 zVi&lqUwe>i$4k3h(d$5=xo`Gdor;xfVX&LhJ1bqXG3=(Q&n3x+n~oK6*+g;WBdueP z97@JQxw_SY$$c{PKIG18Oj>73)_6W)tkpXvcn<~jiqyyiOSA=aeBXDsJAf>QR-w}) zm-iZ>aXzTJmM-4)MbaivvwC?R;n|9}Mig;$3Y-x07cienhqhh`JYvv#-)k2-#y%%N=WltUB zy;4q{P&#`M(Ug8O`XG^}`zonP?ABLr?gvNPjpy|8H^23}u$=Njp#^y&zgu<}J{+XT z7+QOePQAvNL@!!;f2r|Xzw7Vp())c7_Z=4PIvR_~Osg8oGvC%^s`hURXL3rG=m>ko zQ_5>If&w_5q8MDGg-Z3~PIJaKc~E6e3*Y43`sKJ}215wF#}4&_QVlK@|xYnG-ba{H=t=mOSc7nC@4f`yuZo5HUhJrhWf}+|xkb;G6c{!Y^Ws z?=Gj&Dt87d-+U81xgNa?m&ot&AC`O_XWS>rSJ<`2vkWR&=(K-%_^bBEgugT zN>_JlKXCrecS_jp<1yas4yR?Ur#@oMU%~~=(LIadi0dYU97p-?Ih_?~VK{FvQ}M;0 z+jk&{rfk8B&I~odIuZ}p_i!bueJ$y>}VoHsa}s=W(n_ne=Cy zM@hE4rxq_u6`IlC;y9wysMMS2(4^RBjV@SHH2g2>-aHviAv zKg-(tJkRaid#|-N$NTtv4o)Yk@Xrri$X(0rTbN%)9DB)9rx>a@S-Lw~t{Bb6?wT+N z5ln2>ePLSM<3z4hi>FP2(WKY=*ZV6}LcS>dUcai0glshj+%rPB4sI;KtE zFFP+qkceiBAiJ;E_qKfxqS$(s`H}v)i9=4)kpiV3y{NUGp5$w#h*m?vj4!UJT_7zb z3=*HX`bx2K_AE#1=^TpdkGD5^%J@zPpa+bFhrS`_W!Ye|Xi2ljrZ>J_lkcW;r&fIXKf$qOtpYa33TbP>DTZz1gYAz@ zs(KHg+eN(5%po~KDfdN-3|_5V`65`k_nS{PL&Sq^PmhfX=OUhc&yIifxJl}px$U-L z&+@qiwo8n0RDyA=V%N7eITwkc$KOs6Bx%@vjCrL!lql~paq*eRYi|~-H<5kEDRK_n zBtfM_;bO0S?o-EAvfeSLRLM3>rmu0KGpqAzR(Rnqda?&azLo0_lnb7`F{-f~#p_(U z|K64oFJZ5HdgTO>^3zK3NIvdEtn}ng7gqnuLo?Us#NH~}&DsqzNS2TZW>I)HE}`IA zrhN731D@YatX?WSqT$ZnDDn8<%BgIHy5;Pnl06FO`{llHsilB>y1g_xa=rUc5uVQ4 z(HTm?aZ5zM36J#%4s zPIi(rjIuUp|5Kz#8N~AC@s*7i6|$Hb5*%Nev0R)h@Ka8wuN8~kNBMdOia*dO+IK@Y zpW@Zg_LNI@^Y*H*%v3oyRZ26yw6im@=0>?u2fGR{dy<`ypR*SISb3zvkL}_?{vSz; z)s{tmJIFH-=RyH(Q{olPiVKH~*179Cc13@xd)K+^I(9{Ws(aVD>pFHt|IDA)@iY2U z-Mh}8*YPv@Q{B7HpV#p-`cvh+&Y#!uGx}5Iyw0E3@iWD`%6a{N1i-|M{b@K!6}T`H zZkTbyf*V%cu;GRsHypU(#0~2IO0fP<$Dh6bjZ_#HTEJA841WGkOqv0%7DEsid>GTy z(oz#ZhZJ=M!pT8_;P(m4#u+S@t*xv;`U5_tdIvzVs;RD`tPCMQneCz?+l06BAzrK{ zX2!4${6!&7`~zaW*j*HKPVd2^@HGM#RO|%`QXDYD1Y^!?w@DcR8AW??Hk|APzOu(PRaJW|;tqs^{wxqZqH!BS!chTX&5FrIR zYzX0%58m3$TZonE4Vw~7` z+4>mhSoL(ZwSHqUYB^tOs(~fS>ln zcA%32KjHm*!POIc3G;!KFcyFTiChLPFIZ7y$3xJ6H9x~{qoqJtFe3+H8@d<*f;0$` zf-$TWfUZMeY7JCJP8#Cc0GipE(NBWEf@9lYM2#6u9l+2vU*ntj)}f#B!_!_aCv4#W z*cKZUZD6J`OIC&ESK@ni~FS9rS0K~69!`%15$vPz&0{P)#Ai6w@CEm6criZ~b zQgin%ITE`>w2RG@5hM&~10ml9 z>{k#|%1u-oNPyvDhA{#G`PamlHChy~WToTFk69{&w_Tm=u>=p)nqY-%>5jJXgNHXz zHwuuvV*MSYE4&6!S6@?Ah6Qu!C>6lNWlTN1fZu@Awegx1735c7T8gb98L7e9_uFRx z#~_<($3PLRXz%1%W}(Q?!1HG?H#>@jr5Y-`U?dCkbAb5qr%V>XC%|wQAYBCn@qr1( zh68>)>j`$4pxV~cQb)?N0ATq6TmgS2Tmc(R2%tmg8_dPmcz7FvT8G|*Eaa)|eXo%lfSoAzelFmO)bZ61FW;Dk4z5F0?fpvHv(Bq1Vz*nn|@ zjCvjr(n#OiS541qs>=#cZh8V}7(>8y8i4zegF2dOfDN~8T6zs4n_bmEAw*`@P%JCJ$+OPWcF4fij4}x_NvadmYA>ZQI?Ye&wbkXtcQR8GK$v^soQI1Y$f@=A0sat&LB)!|1R6N|$I-sGFJUNG7UyST zMiUkccDpBl2OUJ(dsF~83-bX(r2xwE87LYg0W>_VDk+3n6CZ;v2m6D?w1bWL;e(*N zRN5&iD#XpUWfL`6q<@*292;Wq>4IDNxT>rmH$4%=qd{jqUF|?Os=rTNSxz#b9AQKB zg*mvifW%->m){3vT53XU)TIlU`al}Qn*JDM&TDvy8+36$@eHBxUewNP$06E?=W6KB@K284Rs)`{z>_-T)qGbd^jIu1~#jE)D>l=w!s}>0e5al z^O*niVd(vvj^^iZwa`5f07Je6q=>)=9AQZ6V;v_*w?R?8nRWvqS_bqr-2VpPG^A$S z19|xMD>z{RZW$Qb8|dstDsXKDd0{9}f++Ue9L!1Jv@f1Nu7qTT%(TQ9gh%5^CmVBP zJxx``9oq%CSvOIEiX13=FSwo8Jw#>q^DBs zp|7)J13jMO!T#OEANCP z0tDwzMe<`|4ruwi4g}a6etC8(91?Wor`#Q^j{^46*d;Bt6|NKwIa>Yx8P@RLuGYrd ziU$RGw{FBn1c3(9>A2Y;z>xA1cw*5~5dWB;8tHxY;(2XV(VfgB$SVl+ISKCp=!n!5 zWZ=QV&P2O`Xz|m>u|Y^&sCxv~-jGKVeJRNA401nV4Kr%rZUvd`0su7WHV`g<`3Q*z zubQ91`yjsomQKiSIPU}UcryTs8oS_f11_Z{Tm24MBm=#WLh%ezUGC)FO1Kso3M1JQ z5Xa8Qf=i(y3J2{y)!^r>f{WC0(AS-Qp3PM@9Kq>K%02T<7n$gA90xsn~4Q0Um ze4O}85Q9`d$Qr?TdJKyDd{B?V(g?Hqw5PkXjfE-5l{F!SMiQ;*uwiKd7Z8}*13jH> z&3GNU2YS=QIPeEXXT98{jcbs^a`u#q zofW>PyA@@`!I}UTT{0pBIX#o(psRiH3`D|(x#>x1B|`{n#%H$LclR;$qB#1+upxveg34hFfSt+9>$?y zP~vt1=&~+Iuw}rz0VY1_k1robVSqM0tt@7{n~{9|>gB+*UXIo<kH{+wig3dt(gtghh{RsRTq{aC0*C+b1 z2=~1Y9+A%;!+M(qD}HoXF!FJj@%-~Dc*6^f?3f7T~)EiNk zgU@-Nv^j%xLB6{alEuKDpOylw941HlyE|K+J%K!zoLev(QE0%q)9wydFdgAmOK#(b zR2mB6@1LgdH+&8T5hy$LMoa`eKb#<$%Roy_QF0p}Co?QB-)6=Kdpa6xQN{h+S*dXm zA--O&Fjoz9)D^)*otK?)BRsV}PY%JzZLWV*UYMPBBP#5IFI+fN7_@3TVGOb}(NmMc zb(@3h_O`vT?qLaVYPfEfA)Cd^*~ZdDAHM!B>Fr1eM#*MsINls6!TX@H0Ju98-Tv); ztk;SDv_}4$bMh}mG5YTJub6m^I+LtfHuvw=Az5R@{n9r!{3Y7$ml&m3*YW~WrT#;+ z3naK-T!-wJm=_$MY5&yhVx2EYZ0Xljx__7Ug36fjZ(h`YNqYN{4$WV#)jv@%9Aoo8 ziIxEwGymmZ{h#*L{j@-VtrBUP*A1&N>F%e~8Df$FRy)K4us3lK5f1Pa4{+HHY+@ZC zw;Lwc8z5sHAhjFjO&%5`9@<_qWaco$&ptq5H$=xi$kv)xJW5A8Kwdn`WiWyqhf(`5 z3L55+9bji2+rssPb&S(_luv#HrPziHAl6Yr`4LWo%&8GBgK+|dC#)m12IHI;$JnRG zSjk5@D@Kv?C<9lz9)auxtjZcDIA_&3E6*59=NO|xXlFlF%h*PR4`lA>-z=bi zJ3u3VdjDtv{aa&;{>=jVx5gIzn+5c5u!{c80{Ssr_Bym4Ap8GrDoq4pWz2|GaAS)> zF(|p=2jPD)lLp@TU#z5I-+wWZhJ9-`(!`j^WAwUaBn^AlY@~^?ARHhlA=pSmyJjLy zj8)xu3rwV;U9*rT#>#BG1s2lKt{F%ZV|g{+0t0Di*X*N-vHTowfqgWfRV*vVzjI-6 zX&LVY`)Js!36vdgxw+#O%%fo+&O*RjBcr$l>uA`AGYRn45mVfPaWw41=>T}EwGFpm z8%?K2$cb=&w+4P4{LfwRR!l5z%Pa6cFfkv(TN@~FD<+Qj9XzyVNKNQ_9`8%fz{goL zqbB_H8NZJG*YPW=YPhwt$NR8K9e&zlGHf3KJQy14zc#7$2+y4T<7L>MnO)od{Z2w_ zo8Q|Q1XJkc{%WZH>fwEG^j|Mn>H*S)I*$?^qJ8(}H_vCO-9`|!%N3f0@*9{XSeaGbh^IMg#m7;HRV$Xce zKHu-E$^PAJv3B=VO=%kWO{Digd(%jjNOkaPjDv)-5O}L?8!lu`f*9|EfS^SX1 z<%K-kU4@Lziivwy9`gI5u#fpCwx=#o|YjLOzw& z_bjtof`nCxmo`Ku?r2)hY|MxBz-f-F&x|L}4-qHO$-fDdD(tm-$6IYfpWKKJzT;~3 z=1za@{*gPLvv&V=?(+Fyr5WF1^Hr&#wOzFzY<$=5M+tnnXt zAFB24bKh{Ge(!kF7VGaWv0FRhSXJ-FsOek}X$Ix9&tD^HJuW zwLIQ?B!96Pv<~ht^z1Nvc@S9_@@Qp=mucvy3#vwKh!irqw=<~On)vRj!IgQE`OfH;A9oIt^ z+Ba!1=+@k%7#Oy4Vw8Q8ed$A~EF|b=S<5OI!FHd7@K{la@ZH_F%h&>);<0K?&Yv}9y*WBLu1W0#ze)02na zZC^=mx39ZBtKYm^EqbG%OFL3Y2rni2O7HYSl1J#gcigH^ciXIi+YK`Bp6=PbyR{#ryeE)Hy7|P>SF>P2RaqclW8~#~Hr+8a> zeTSrpRgdKW8TFaFg$&56d8Tcngz%Ml>(HPP+Ev?5HACsJNBNY_!vbul9^4~DcCV>O z_Fr@_+322hd0ddyuWp;Qs(;<>O_#_|hKx$GJD@6FD~*dSEgV;2+Qo)E-zn@o-QSJ;R(wMH4E0kfFH*Al%zY4z{^5ILV7Cc4+m~vZ74XYC zc4$AZnAA`)&-kP(Z&h2=#qIxtPUC*dW)!;W9o=ng8!~70evZ~Np)`D*msBBjw)2^B=vYnp% z=Wnat83@??P}FHP=)0Q^6bbE@%5Rv~CL*@koYll129q5uUw97@kdknLFvu z>glivllj1Gim*(gv&+7|Pb8$!v8NLG_eKm&@9<?|rz z-y%wzCM~1OA6K@;ZH~>_X3%_C6xjOKz|Gj^=kJ5UH~qx`wRD51mEoh~WzLUIp>%*Z}N)o5KnhDGb=R3&lkyXN6_N9Ts$q`ChO>H zA9RY}4oMm8xyg6y!>Vc6`5sAEPlHI581hO!?eXmm;wq)=0r&1~Q0Di0xRIYo$HVm2 zpzLvZOXR-uWX31b>Z#TG4-%fqhfahXOB~%{r*3~<*XPbx?imiWU(h;zL432K{h`!3 z%d-48%wLfG(~Wamz1#{&T?-PQBl=lh>&J`)w8o-l_nB<>cz4yj+Zxf;N@#TO!kca9 z_gkalqIs7txjhbbr9%qW<_VcxZNfUmL;RxKYszPK=EUS8D!$#Zq)xUT5362?Uf96P zcOj`t^3L|XR+GH?b#m!17m-i?Gwo`{i$$W==axJ+i0VB5v|{x{%FJg*TBm|9zlRvb zeP;;nJ#gvT0Eb9Cg>QZ#*MYqm6qnzP?2gQ$4B_&*gy#2~J>S>1({f;^-b;hAz4~pt zEqbj8Jp9|YD;A2DFpHuz4%KXd5>}>DuU~JC-4qi2!P4W^fj$*+?@t?!wW!@pM(=gx zJezdt-^i!rEgFy69*rT`piwNE6w@godAO3@(-?&hH;)JhceRt+pGf+sk{0)jj(SJT z4|7wWr>{0Rbep=Pn_SYSEvn69Ea#(3I6`{|zw9wORL0=q<=EU@%@sKK18oTiiTk+s z{>#?_%6n74s5x91qS)k8va-@6qPZhve6Kxne>MA-=VVEJ>FSox!jAWz+$Pvcu6gEZ zd*1uGqW;ZR-bm+C>;tTob1Y(u-)mKcSx3_h?F8{mdg4Gdb6)tS-*8P4{Z4 zJ_%f!u|oS#zi(Qyeaf}@;DfYA{_`#OpE0v2L@ypyvM#%xIdR~(iWK?yp>rOLYEj#)8@NkykP%s9Toh$tkC(O#Z zuGdv_Iy<%OY1u&LR8dZ8@d(XLE86V|?3D9!DJLZ~h#BP<@_q4?%(#hQO2B1r*t5+@ zm0I@XPRryE;u{2v&~}faSD8;f7PXV^anrO+IcRjH4KaVA)8-em${Kmxx>SDq9pfQx z8gXiL=t^st_bs2m;$b9CMSoCU=fWE$r31kSj}^}E5tIrzVtM7+O6Oy;sa{eQ(+^+%0~Ar^iVM-QMiBw{DrE z_8if0I$lRgetrU3Uc59bBAzy)sI^M4&Ro}#D`MR8Pt0{4xgwbz|HNF^kt_OV_Pmas zk=LnzV$bX78Ok~R6MJ4q&*(wPy7qaUJ+Gr@)cN|K*z-DiruaJln?3)Dh#|!nV_$CE z@Zg3QH+;C^$IVvU2;fE#H$u1(#{Yu+VNU%gCH}`g)T{sh%QyPjDq14^yJ>U<=TAC( z3x57j=11LS4}WCyfw zc5-YD#ne`n0;5e$M%RFHfIiL*BE|>z@7n{MOI#3w=bNcW2o^9CMgT<`e_^KUSHODr zl#7EU03IEUU2+oeWm(Z?DzY^o)ekTPssxtZ%LB|50~Hjp?$rMHQDl5TOI-mM{N-i` z^&A<*?mtZe40zoJ;>{umk)oT|fCm8$aI?39c%+s(Fhe0Oh+6-OGL9gqg!iKU$;0wu zh;Cj7x9lLm7AL?}_b{+fkR5JE+aNX!j*tKkKH}(Wds9tSDFjuMFwj zM_mb2e7x*njr%K>--Cnx;GT_g(-N*lfV1Z*2)2WQdhc#UX>kbpGtiR%jGV)Dc+r3< zXF*OXCSAb*Z(QxI%uNpM-=iuo3HO4Boq-mj@?U2s`n%z%H4h(vp)V*2F9ra0BYxRj5L5z$c+F3gR;e*@RJ>|V~z>rt2dy@3pvK+t-Z9AGKXoYH^? z^T*NmZ`++5)%O7H;72*X8;_wg1cC%(5}K|8R+h4sCig=C-det&}RC2I@umIM*4t%q{T3uqaj;a zm>CD9YEw5(+x+ z;{n{rP*+oRCtzSO=iNxQ@(u1|Z&zFWpiUSS&9kD!>iYg#gxu z@xIptM<1vo(#N%muYcC7y$I4D1RQdN`>fH>-6;2BW40Ta;O zqYMiIMDZB`PotG@Gm}F-T>wiTVbTaj*-@8+V3`4gXL=Akh>;4YErF6V(o=z1JYeTx zn2Rl-ur0q2@IEd&9EAWOc7(#-hPt5dlmc5(4n`XC6)?Ak6{)rEQ3Wc7v%PjX`21-g zjYj|sEA4=b3Y>}X`|Je3xn@-VsH6Z`4nU@WvtCYsyASDTDDM!5+zvPrpgALymMsgVcnBufQ2Z3Z^*3IizfVX(N*jhsQWlkW;c)kOff#HBTX;*9gG4sa{->Rh%~3<` z-Me;(ZUvPw1@ZFS#NZoXun&v!({DtF;UhR4KdiS8zNyGo42nsXzD&a`d-(#!|6QP) zv6m4f0bpLHyL*?EFf4N$iI;&=_jbLgtA21BaxEfJkS`u`hqTocBm^NtVZ+L|>EYhj zZS{~G00=5F7@hTWgbSjr22+_6m>dzz^*;ETj~?93Oau&k4(O>REa+$1z0P;r6^e_p&PUELy10+;r-@Fcq7cjc4 z&DnsQ!SX7^!_EX$^c(mHylV#r2MG*Gv6s^ZkiND$SUC%E1NVaijQ0aDuP(a>_Xyu; zSe_98_+2~T4O`$1^Dug$y3V@C-O=40IY8F9J-ti3+dFVE@nz)c!Wq2#>uScn;reBi-Ff zXa_7EY?~=bmOsHt_PV7Wf1kAYD;NDdo$V})b@mV|Ns9^E_>w^8!BDr&W0aqn91{@? zEY#k@2(QUN2-z5@iGO^Xn*gl({0XXrJDLon(cj124o_Ha27sjw%nwnJ5HnDbtl(J<3v*LFaK<~?De*BdbRZSM;)vc})t%DY zcsUrT5zcOy9O-@ui9e;VKmd~u^nn`y2igM*9WN`MMX)>!!xOCmAS#^mjo9!Yyubr4 zx7>_ifYVVDEq;as7)*G97-uELMF#twK6%3Oh=G>6Z45oU0iIRUWA8g*u2#TZzH!wi z57~m(vNog#hyq=ury^SZ3Qh(+olSMsaM4oZBSJ{vs@Wd1EkPpuh?$0*V18y|@Ettt zO5kCa5ET{(!{oS`!9F$F&Ek-)KtuKe9zyS4Haw{)%t=eUc03)~95d0=+6^~Xke7Ay zMo6Q8KLy~?U0qt33->nYEb?@<2c)f`C?hJs!GNDo0D<8Mk0E~s@@&E{p!26s0%JGW zw_6E6bD6z_xQQO4&>BGPpMJ~swDmS9n(uKg) z!%%n6F55T+UjjxLe2G?AOYY^tw}b`T=cL1Ncmw=#APHy64s#C96dpWnjqv2pO}QR* z(H|z%VLdH1h3#;$nIIqFpX{N3xEpLAZ8uhB14Sd zKgcRG0QS-3Ej2O&8^`+zT8MGw;{k^8e)g6jCgMTDk^%C>AwuFoFp;Kg8QfHo+A_#e zGDz$&w4psMaY(s+h&TvdsBIsj2uf`UNE{+*A0iL3OdO(WCn$r%4G{(nk+%;MrVu2< z{s98kVbTk?9N|*rsBDFmaHkq@Sf_ zWQ+4HhXK08Q6dHSU85Tn`UzV`85BlHrw2DUjL=O7I*d_Mz`ikN3c_R_Xh%t^hA3r+ znMp@D)5d7K`uQL-KgQBEMsx{2PXs>PV>FlG=tJaX!<3}sbeaA9Eu$>1{md;yV9-5I zbr_CipE*u{IM9LU-LauzqLB15f*ROKjK$*<3?7554g^gjOlcEzTVd}2OX7!3s?Zr? zl^tYqfd5*5Syf}n@1IuHzZAcS6#EWCZQ{QQDgU;r{-yXue-={yCHeiO_{D{kt!>a+ zA?5#6Q~y;+ITlJf{bf~+)zp6!QvRi;MqpL_vo`Tx6#egNYP7IkNclh2)L5S@h<+AQ z{!caa??TGPhtawX_!^l0tB~?<7jX2`20Z4^Ldt(rQ`1#etrt>Wa{(V9(jyqf*cpVs z7(vV6#uV=W%`fif;XWvSaeomv2=4%~FYdeGCKm4ioiF?#{7d8uboD zu6T{N6nEhkoVejLm&0-Y-hJGH3peZ`AjJKx0=U2C@J;A-3hzlw!h6=-y$L@|;yrYm z@SZhiZ^C01xc`T%H{q*JyyySX(Hma>4?l0%^M{)^F)pl)f74%X-thYOCA{Y^FK^fb zPTsI*&BvPy2Xs2TAj9GRb znW55^N$W@G0qIfGY|iNS?dMsibF2HnBU$m|)pb8k41zMVTx3tc$D^(H->4nVV7$(ZOzYo}Sv`}Jw|m!3 zaHQ@4a=SsYd0X_XjazVYNy)TsX3EXaiyPc#Y~4fOO}yWg&V>|}(jPx%b9&v~#HVlb zQn&dFU0`mp{3FBI0zsFHo!jD2c@c@I;v=z#UrB7Q<#NY}oJppUmx|jI(@-O9zWe%@ zBPh)OHOux?ls?+TuCgO~TrJS|IpK(Usmyun$|Z8ISvOSEu5t7F7w0)`-N;wSBhBSyp2VWRlk+?c$q;()K z@6Mvdqi0=`YWs_rP{6e`5(&c|-N%j1qIMBP?qAT3`@@?;7o5YwKay;)ev0@H9xrWf zp|yWG*Dax|l|yslf_umOxI)DHsrTED9Z6q6wl`9igy@{w_FW2j`K^Wicx`tLnTn9l zfTF!xXi?^f7&@1e*h{-0dG2Xn=?C&rR?jz^h&1ASn}p*(e^d@~FPcFb!N%?hk?jQg zgWXC=-q0L>uvq(X+eS%;JLAP|EMKUM(fyg~d!4smkn2U8d$eXI_b{rF5Xv+0o1_fi z&+ko19YoUpc5X%SiESJAveOvL$+~5ZR~L=6KA9Ccr7doXmJ!nM5+#>h?WZ@0@wLm1 z@vm4?l$P>wG>Lq}wD@Jej`9W6#!k?@)LAgRvW>p)TidmQH`If7heoOi_a)>9HND82 zLLz4(Y*X@lk{-{F&?WtV9DCn; z1LK+b`R13)=2pvFx-)u_M0ia?J=HYVp_KZ-?7o6NA^R9Zi?e8}{L!;JWVOi1kbtbw z>gUCR{HFtRnc??si~|cgL(eAZsvPjiHnj=ey$$WO@KF>$Dy4GuCC}0iDVkM&j|X1% zspq5KZgbZ$O%BgNz2tCMJ-?{Q&Quz(A#Bw8+Rf8dk&sQcmBw5y8Jnr=twx6-{P z*prlK6Q5v>BHFl=cr8xr$G+m*Hp4AOs(9}CaOtZzN!1fFy4!S&7?45MoFFm3zUayO z3+SV;+u`_m^VG;^jGx%utKMZa5V#_hy8ozI}q47_DABS}~zRa`ATU_CSW+-lG&$Ze6x_8tlK5WmsK>F`V&qswdDvvTrH973DWvvhMz%9n0gho+gGN&CR`_6rl5 z11=f6OlYOORO69>_NdCdcXP1 z*kQG!cQaDoE2l)IeCV533TjA>s41%?(aB5Cm_lEu{JtDexvN#8wN8!lgsFK(1TGfi7#{Y5kiO&Mg+?l%q9J+Iw;ukPBZbNZ%3SY=Y}cV)BXMfTwp{-dX5gpu0n#5W$L#V>** z14u7W-{`87-*X<@UHWP9C0`{XZBC(wSFW{eF_V_GRa86@ROGIIR+UcDHp5!kn2$l@ zY*lIy$_lUy%xI22?y-Got8w6-O)8`f<3ybAuNQ?GoX>2(sD><5HYqw>bCNhLGrP>8 z#@N#T_vhAw9n?Pl9D*YV`Rqn+5cy-cn1$TKCiVfRDqe$csEo zbTtoNE|NZKY`Yj=^k&2znVyjlJ`|d6YV69q!eQvnNx!AK?A0`FW9?Xk)7eXj+DJjE zr=s%h(!J}?LVdH|GS-)NT?x52yt%t}raUI6c`OHAD)e`csv0VYd|lT`bMS_zN8$y$ znC7LryKVUqHDp>lk%+_5z5Y!bXR64SKJb{|y>=OLtt^m=i-m$y z6%qNoj0|!pTm5jirqazf@ohsyV{7C~k{v>5XysdV`zSMcRcEzRMCsgALe--)nH?n5 zJzKRn4kf)AM5&!qcivJOpEJ99?^4ComOXSls*Bv_Hy?(kRz^K1IqZuL1y^6moRmEw zr5#{so4z2=Y?2*TMPiidd+$rdJ5I-H6nB5{{==^_CnY)682GGGyYotCYddKb90df8 zw+Wgj^`h9TbvI()aGusXlIEB2Stt42`G>di#zZ{~4Prc8ZqIE;pF5UYvtDd8+Ar&A z>v>5>nGms=%DHye8jJ4bRestA@S7)U1Yq?##i0*_T2Y+TJD}@ zrSmZ+A*s#@jXxHjk+4kgIaZ+YXN1zEI~+3Zy9VEKx$t0~@;$}&MP?n}47cFob2mGf zkhP+3Nx+RRzQa<+QAY0feke1_hP$K@xjZTvkm3?`e}F!Q+~gb33eav5{nJMlmOGDl3$mHs8jIXCQy%?k4d5no+OUc8h zQ%gw~Lz!pC5sSpc)TWNE6}5Bf>J~z~ylY8%m-caqop~e4D11ar-W8RM6g`?Qx^}Pg zTSj1{o|1XqX!)(qU`ywaJntjvG3i4{z;Y|C==axiY(}!8HhL;yee9pwHq$d|hj+Bq zeD8fojSl37owyn0p4R!T_R8a;%we)adNTGWl$^7MTGKk7U9CbNAw80EE9owmvger} ziN5ZRpCuYmvQWP|WPDOHFynkSYG)ND($wE}JgxC<&f`jRzak?%o20snO7`!Sg@5y3 z^lz1gfAe4TZxJB*4%jxDmyT7;eOIvmG}QxRJz-6mFz(Bh#<-f1a+nl=COKPJy5Q6LP)$ z8G}TSUzOiq;|3ScpK|>f*4`;C43ZzTX#>I18hU7{fAruEzzG0Qr`;TYi0xIC6Bh#i zRUpg|-W}?D)l^qigjFlyL1)}fSeY5@Re>lmCt{)jxbShbA5%oka+6{%K}6HZ7EqX$ zs+`0&hrr8#_=M7~rht7wJ0%3H3U~}ezF)m~S_McGfNm%VpFNxb#{dn0qO3Sf zOF{GlM3xZu1|R^T{+I~R{J>>_m^Q?lC4>+oHAIs?jzPS-p%%i?w{FBxMqKnio+DQIQwl%C!a2Y#@X;48MB`0wzc$z``VeZjeTBQfoHLB-P-)%0Zk=2 z&>ONb;%tB~Q$szk8fzbxf|~CJin;{SK-Uw;k3g1#n!J<K_NoEKfbYIW%i&JFZbLu?itnT+t#NKpnjJleMNSyd0a7P{usj+CdE<+^ zho!)uuSda`2mWlNw?|19_!TpZ@$YCBqvOUJJaPcK1APE78i*g&71o0L^cxW=wz?kf z?reSv)IB#XDK-KW(onA#ri-4ox`GS>#nTl%UBI62_0?&c=3`g@7C58C_OOWCb58R^V zS#=32%m$zo8SLu~R2zsA=oYtw1P~*EMGyiH^{@dW1P@@C8USIU;5wX!1Pu#Q02F|y zEFK_YaI&5p0=e5$;4r`!ASK}3DQD}WMt~PEa$*obzp1I1FBeRdbawBQ7UAWv%0uvnc6aBCx<^22U_8Je2FanV1&GoCkVy!GaCiV=~9N1;oU#GINgicY&EMFw4C3WUSKl^LBx%%!k)9gCK(g z3J0%c&h$g>PGfB)08)@h!_^9eWFseA^TYaDyOEN-6u|i{;KWV107Ciqpz?>r2SBmO z*PwE_mn(vK3#lg{eFqM+T2sl)fz(XbeDguLpGkA>!D7YI|9RWz1S=0Sa zjrK#n&SOZKxtp5`nLQz(8wb=60DcdCctFk!9b6I^1_;V_1BI`EZy8$`54-~p^haP-pLYw=a3FK*w438`QvxH4kCgcGvBJm!5w9g9 zYJ!Vgd^;lrKT9s0!!QprrnJ?7)q#4K9g5sj5P(C$$C3VbP?j6U!TsAPHozP`;InZh+)J$p175$Z-ymE;cBDj0s3V zg2X0BG#Nx#$&ZD>I3eZ6HMs8Zn1Epla#dVmSW*PR+Pjtq1;XI*VOYf48o+iM5az8! z@CX1IEQ03}kZQ0KkbxnD(?tm2CXK`Wgr%&!DE}6MS&SE8TML|(pbUr^Z2_LT48RqV z#+qs?5WERSZkWH%Nw|@R^#Scdo(nguW2BG_GdcVYy@F*Lh8ZkmkeUO6Ye+RRHqeC? zAB4l4@cdwgkv0SF0q{Ho@?D5=@|xoJ#BrNUv?k-l%f{*gJXB%uH2);DSmq6e5|GKg zrhwf6w;Px<OoEqjK`QOmmtXi#u*r9;G`b- z^8(HmvV)+22jn4jHbXiNh=M`X4ua})IN!}2K{^8D?T87%Jb=`yCCE|12^;W6$Q;4x zV}xNrsRA5^`___sVDL~w9v8^-;jvWzsGw$c{C~(>EamRm*z(i4$6Q_bZ$^w#WF!7lFU{i;{!=VIxN}!xHWKx`glrQj+ z*M<8f1H~TTMr=e-O8(mn#tHuLVnvxDeu6%U)cwCFq4O0FPVvlOrPqQYAtGgge_aL&_cuYd9}#yRi}U=AR}9 z@!u1*7E1_{0$`>>kq4aU16hk2f7kxfp+D;S{)WdMe`l**m z2LG*AGCKL~+%H4z^;*fV{}4)}P5-2n{_gjexS8W0e6D|1FaiGarA*BATQS_mae2bdFyKsF68 zkgQ3c2N@m6?#ouG)@!#7(mRj=#>UticYjH@p-XZ{vQ+U&8tc$zSpv@|tV6W2Yk0b* z1n6#R3*n)6w@QX6pa%&1=TD;XA#&M%;ufIN!{nrxKSPI@6!r`g89XHg&OJm6 z0&jy!)TWkU0)vc~K%<|qS~(05CH51w)N#S7)^QPjaK+msEh8jcLtBCfHJj5|M;N#O zWslHt4G@nHvX>A+Ip>j$PzQH>gm4Q9l3d0;J zL{LN;-a1A?Q6n=(M=?OjIz~(ZpR$qxKHpD_QT{U{p!H*PMuP-0g!gug(HIR9%MeVA z)AK!HB}f|NlIbUH0meU0#0O_MMvLrRC$t!`WF01% zddfLLpgc$prhbk^fX8+oQkxXRk?-|E5GnxQ_6DsJx->Ghn@6_Sxv~ zrIc~W-m!69Zg}=rC1tey0~=BQhUrj%7-}4Y1M^>%l+oY(vsIv)@_Lo(HT!I2jtdoI z?228WfAMKt{TK5{tnx;YSEAR-RR5|{o%wfb^2&$kH=q6m)1ksKCbrl<`e&7Dx^-{z zU)JOpVgIF}CbG42z)%`%s0khnY|;bWE%ZomBaAlICC0U=@zzcS z+*(-T*VjbYgaw6o4@jk9&zc0AkdGgK0vFN7udGS132!9eeb}rW?^}~#6VlM)&-|+Z zo3P_0{>1>< zJ!9NEjC&@ycLeuLanB6*%yI81?j6HD3*58p=lpLfuFb?$PHWqzjfpOAQe#r|%IZqJ zk#Bu8`J*%qqY2u6?h*RY-YZN=qv7{BG^{lAT-w0azIM8v=1B%=ieb{$Cldnbn1-a8 z43&`PMLk)EeNsoWd!zU1a}QB+Yq7l_y5n;>3son&q+GPW+OXPlcj$3)w}6>CI%Xnr z^h$Zd{V(5}zwba^UIs~pp%vrYL~rQ$srOubR(17RPgdI&0}EkY^+gtW)$cue6m$J3vjzgn8Ss{K<-jh2ru_r0%P%b5hypLiN|{ zURU<*G7U;UVHakw-=}2oZdy}LDL_#_bI;0KC1l#gIi*XTaN>?id|E<0yN{hWP;$|f z@eIdI=fk}Lp8g!0#Jn!4 zC>;DY`i)ukj33MzUte)ZZN8*7&vRiQVVA|g|prXOWD-@Mtpq_Rq^#i4|JYd%-q zCmfrR^C`R*`>LW7+2sVEE06XqT+!I_iD~{dqF0SS<5ZXw|B};sucEhuQoMww3L{Whr?Z3hxnV+qDVGrXom!ogP%vMU~rV>jog6p1-b1MyLDtwKWE|^W8gs) z2Meji$#x%8@_)%KNoOB!p7NSkYKDw{f<-s3G;@#)wS7^^9j;yEU1dFT`)Nk^hNe}e zkaXA3R5Pzi8W{>H2PA)@iioN8-AdDY>V3A{B7j#clDlIv8WYl6>Gv;v=Rgh3b36yw z`Hyl7?ho!NeaSXSt9q_1?0RR>6B@HyZl}vpRx77vhU=+E1x>_;Ieu6B+9wQawh381 zY5A&CA->b33O$)J9y)T&d+aM~V4p1SRy#YJtDQW|EXL|`k#l?06y1@VO~Hg9Cz*yQ zv5;kEh;91KkcT8z?(VhY-CG@B^b`{zhA*Ng3d=5aPSy||@H@NL>$3BW-mN0c2i%Nq z%_toZ_d?gtK3q{hEa`A&Z%DJmv7P7cUTorXNjrV#X=3eXDSbXjU{P={Sfs4`MA}FlsoiSr7EW0mQ2aijg z)^SC*FC|ThyDEv9DD3ihK=xr)nNi3xd#?P>O!u&aP1-S26z*ve(?BuOMYEC4?C!$* z=I`%XH)tmWoxYov@>0Ow))Ez$=En8b5cONKhg#6ZO9`o!nQtMmgz51~(6vQ@h{LUs zgOux(N6148Gd?6AaUqk)=4r-V5+bRnDIIx(oXq@ByJ9ZJ4ZnEHWt;AatYV{Yj!T_1 zH;+w-d&6*OkIKb}v}Mz*sIxEH>KL3VZleMsF5$BidJczk3gYIJ9u9o7J?;5Q{8mMa z*d7tTQ8{&_Q#mxEoJ6xz=6YM3STd)nc?}hr%?tgokoTlNbZ;(zA)7~W=8Ss&M5~B{ z<70-vlDM9wnt4g*3z}gMg6gP_M4;oBUfdlP46L}2-c{Hy>3zc~#Z4Hjvx2_x@N z#OGazWv7?Pg+@lpy(da;)N=SV+-YK%rqjRKaw&bT_ls2A4C5f=if&))45&Fz2o2x`+NqK6d)U` z)*WfRUA*r0^}r#rF#C^GZV*5?EQgczaIJ7s z<~voBu+J{5^hcWBvn9}6PJ1f0({9)fedN|_oZ(BO505Wl7v{Y@V|MI(@6?aI2?Ug! zr#u6?+0gkwzrL{n3ID#KF{S0eIL!|IG)2oWP&CD&?JV{WxDbDyRi zkzVmHa$A@@nra6nSak29EvL*~AK4u`E-o_z*8Lfsy-TLrhKq!G^b(DWAtIJ&q^2wd@ zLKi=n`h+hdk#xj$t*q1FOzc;OSDz+4YhLq5ZV=cSasM8D$PY!G?4FlOsF+Pd#5X2< zTBH1J<#(Ee{V`v78BQc6eLdW`IIZAqmyd|8lj6sjR*qC72i>bZtxRX%P|u6nYiAOA zmt5~*`7Vzl#$?1iO2f*C4+WX0eg7;Twql}tajcWse^ilC_N<8~s%Ep=fBt#GwK{_R zY&tiu)iYjRoKt>OLwc-&kIT9`w-bp)jP*cLXK=r6eo7O=GLe23_1NqoqtlIoAcl)alr4g)rqOXN(>59pUQbntAG z2pKVTJNy0zt^df8^AXGHI;fm4`c5I^PPKasiLcsZy-zl6PahrI6P3Ua!ENb0rC^Hs ztj8shXHn5+)0*Wkx@Ro&s6(FSe&iY9avk2jCGbr*Qi`5JpZIL|rx6&ZqzsDE9`7>E zxmv?QbFe`*_UiBfBXpZ1olPucY>fNN+&=A83NE`w@i3>vZ@tvo`9Fpj-5pRiwRiK= zZx${OSZ-~h)2)B}@o2RupGr|0In@|BS}h$vA3g zT-QeblQbGld|1~;uS=uX$vFI9zpfGg1C4Y2w&g}b!s{CGf0Bq(Xzly05&s*(mg!H& zc25AMWpN{i8+qL9#Ek-O6mhc)H%hos?*IIMlHht3{tLo(++*IWs}}=&TpiGH(2Z&ULfp#rQyBzNP~hY^$eo!O8*u@oJP65(;b0{M;77s66KkOgvQi*kxrV*4K`+SY;7HtTz(_H^83N4nvcqD+lt0i9lSV21)%IA z9mUcLwFDIxCZu4~4B}`%K)0av($Q2wz@J1w5JmyYq;YVphD?A;ATprCiw1?EtDPAF zaG;^M33TV+bqP3a1n31YYs{2DcLowS1fG~Kuw&rEx)pOd@VcY{Bt6yxz_GEa^wwnr zl0AT%o}dXc)YDJ~IUyDnFMJv6d%J?zuVb55VC=p}9box+_jV<0iOUX-ot-owH=h8!UCN1l#8HE1r1z7H7dQGp9>iQA-AN)=6AO;Kx zBb4kL)|Fw`Rp7}<(ZIp&EsSyAgWLuoURG)l_>a7Q`4o(}Z(s##fRDQ)qzHhx5y78x zGLnO5Z*ON)ZQ0Gsfa6HVU9Ar2?^Kl$7ht1Bgt;j|)s5BnZeIlm1d=;jQ~e#vu-9A+ z#JP_HZ&6!Q?cJM~!DTeq*Tn{2gH#Jp;{h8Q?}KcBvRhzf3I}|`-QMEB9uTOD@pCd$ zgI2Yx{b^k#;K_6GC^Fa|vIzh+ZPEP?ozk}}~2?b<|a9Ck55+DU-mzumJ66WV(B%cSBI#42@T`B<7cJ*4u%MwEf333Atc+xh8}l20_a^$ZvC27Aj-EiW=@0!YGUjg{$3szn;3B zq!8@=_s^rf9Ze6*3a?y13E_T_P-Lj3x=D032R(6SqPL^DuHts?#e`5eXJ!USOBKZ5 zT=dH`V_h$s>nmrnHp$ib0fGjynFt* z@=kuv1<<`7wLP>?8-@eoWFVWL9C-Jv9)W#9X3A+2%R zUpfntcYjZN_!S#iV(xk%F>UVZwY?DnIzaq2(n?m!vg^vYUQ1)nQ(58AGJMbutO0#ft`Bk^AO>E5BUf(*`ux? zEy4%W;&@L-^TU$-tfa^wA6E+_9aT7;oHS^0dJsgwRkyEPj1NBnr)8fuB+>|SGmw4z zfN!=S4Z052%;AA>M}ymy!bV}34kdLbs%y+6Xq5^Ex-)BC+X{jl> zo^w7n#25NrPg4O&3UlMxWbn=N`U=P;N{J5kakf6VM?+p}?J7o!g(=kkrscuCTURc` zh5JLsilLVBCh^tmbi}V?y&WK=zmBw&p+;~bU$;K0EV`No}B0(-V$Dl@TYp5!^nw}gJ?s~-O`uw{#Q>Q-UHoq(y0?3b_d~V zkrfl*p#J`49QCv{R>J5`jtcO!Ke%Uy^2W71Opt%q{~G?F0QL!m`nXtwpNKMCDQr}5 z^XhGXQVl2TOw)8#;18XS=M}YBrjMse#U>n$m)d#8ZCG zmiu*7Hwv$!TmFK^-nZ74!jL`X?_zDVQ)QDFFC*E^$om(K_itT3A43Aeg~R$9a$@{! zG>el1ubxyF=cmVq`8w@sKiCBV=}$fjh(!7C>Sl!gpwd5C@6STaKP1kmTBA`9mwEnQ zRi2S~zrzQQufJ@%f7^logxqK;g!(jw+`@TMcN8ks8~!5g$3WB@$(XbX{VDnGJltzH z?mpwc{L6=1rj%T{X2+APX3FW~i@{VeK!2&${Pg2yZKnNgx81|iO#dfs?_qAH{86bo zm>1dL zz#sLnk8TXVi*1YycB6-e)JHMa&v`E--ICN#cdv(lx3$i_l&$^5d;Jv7y@b}FKbAz7_pBcY?yeO_{waU^>jb6t&cK{?2UPU zA5+)}6=N?kWQ2vW&Zv(jte=^3gb>$D6*9sQM~+X~2us`$v#=vGL34z#y_YOxl#&^G zb(EQTnD}Lg@-iXOHj;3whn6%-me4~%8f8o%dd`kACmf+2potu#U>ziO4l`Yi{5nR( zI!dUkEq7uaWvJ_?C`YPWxBUgjad~9y=KMD`G{VKDalvG$#{8EY`Y$y!t}UI8GN3?n z?td4Tet_#LKZe@UsP!3kum0akbF@q(qxcJs|C7=jtrVBWHJO3rt84DSw%2<42772#$a(e4PRDmmD18J9gsuFF80w$}4aLBJ-p|hUif=2rr|iq#32rXbBKdL9O4$1eZ*{l)W-<~YmBRH zFu1nGts}T)hgPJV4zLaM=$ zdwoNdkEC=ArdPF{t6UXWM7sLoQZxPDu&t&pGAiiMiL-m1?&Wt$`B$826XmxrS==UJ z5M_M4Q8C^%x;m{2eb@6dbj@-Msbbr^n%_$EK<>O2J)5z-PR4@P#_gp2`%%FC>8iTf zx;lF8Sgma?dtJ)7T-_p{-+#d4|Gu?VjE)lR@TA~?2iYJ~veI>XC zc~tVQ;WM+fMd@7|rFhgNMBN{-^3f=9k4ubraXI_bH=CHjK)4t*Oxnr5tQ4Jgi z9JYDqc%ZCtk!=gSa`UUq?tgqVM%FBJdRb35Yv4JHSuLTPX9qvBtzt3VdhB@>!~V+? zkLOf3?mykf{kn&7m{#M|i-e>bp(wRtT4MaGSZ6bfTIdcblhtdL9ka}K*a~wgnw_^d z3zi&RsJG-ju;V6yNOzZn}|LEe0yD<;5kVnc}j>Rbvo@F_Y>XQ6# z({DI*5|3)ST5ZwuEz1hK4=IN&EJm`6VywfWM~aHm2j=_bL!J#aGjV@f=iND8GB}3@ zCA>gNQ97U(OU%yNsq?;ZB@h`s;VL|qe2j!e?= z*A})1dZ*O1*zdHZ8fI6~8*5)EID7TUM0s&LiVDc<_=U>+ub@)Yp`c9o$vB z$H~5zsBT2$X~BNSw}W1KtnOl_*Hl}E=wk0cp{laT<9jX5*ObK3f{)c+1A((%+o~^L zh&?jh-Fi@3Mp@$SIro{jqjR0sl87b7+x3|B^2A#!T_qK|^X?wss_Gh_S#47vxO2O> zRGtlS=0}7T6zyNblx(7Zz-0~9+V1_-L8>2{nm_Qpu6P{alK%8l zL2!aPpS+caD1mG6eiNDWL@nyM;p*mf(S%oO^3gD>9O1>M`obQn^<$S))ux`cyu0O! zf_6{}YuHH*FLfq_g~ut?m&9$~=NP(kk>y=R;gffzw#Z50?enhjT*Us`!NSU2y{o&# z&h2=5hFfyf!Pt8rCyP*N-eIrA#^)hd(o7=@U%d$pKebNFDl~YLmLAJyL!Ur?B(S=y z;>BRI*_ghN2^V2m&Yp)#O2dLFMeX*; z*;}c)9|<+Vxq$};MgiPv&0CsJz8G`cb!T>MiHrQA=f59-l(pO$!nq$YMU3OQHmO1enS-g z#G-bp1_ftTx}0dFVt>(3cH_aQz&;MyLtMhULyAJ8?uVv5r{9R)bGq{2occb^43XKIA8q z5mXRW-$G4J`74T^N7J=wCEZ^uBQw1{Zwejk_5>Zx~CBCm_LEPq%)L#{g& ziu}DiBG?C84#`Rhi9S4iR(fLM>*8s%Lz5LZOHsk<%6zS*j)rQrj{{C*?_M`)EewSz zNo`zPeQ5VUI8WoPJ;v*wZQ~kz=Kbo9Lsv;k z!pqBMjZBlr7p+U(7n_PtH|cV0xSw?lM&z%iLKS=lk($EPG4Y%`*&* zl^SHzXu4|`*<`4O$a;Y*`!^RHmJ;|DAtQR*MO%~M@$k&7hj$Ah%fwjzjze85J4>Zronb(>2a&7UQPg+;S; zwOH{|3^(a~Zr@Ff#;SrooQ|fx?(gZ=AL%p}@8#?~nRZU3HYeO=I@z(q4IPjq598`K zZ9C&(+U>dPsrwGj&mmm%Bnf)rm_Pgc+4YERq<&lFdC5YLy&LbVGei?!TtBlW>m=V< zSMAL;QY=k|NREdphwpnDYj1UlaZQxa30*Pa$)omb&$=oIG_RXThkI6*-^R26ejme) z47aG7Jlk??HuWjYT_b-Ke`LXY{j3|(T+8uPgQ?$o{qjH_fASa4}S*znhj`v+W(U%?VW73*(au6k(E3lnp|9D{X@#T%9PFPN-pp}OZPl7b0vx#6-N6WnLO)9U6~f(F@GUm_HA2xp+rSAeL=XnLa`cq zJYs!Wk-`?O-L6OVFrFenCWC9N{+4v6!)I?@398toWt#7@z# zR%~w+`cwCFcrz5Exdg)veF{wuIr0;UCK)^xWPyr=O6IE`JyB*lo09oD(V8@^>U4uk$+QUsIv7>82L9vM*ob{f75j2b?l#T`fr+!&Lsa6PXA5Q(VgOd zcN#}+?f-<+f75i@&tLw+>A(L|kiSf>rlz(Hv+V77qmDNkc%z9oJMd;F-e}>?F1*pk zo83L>|L>``n*@H~?>q4G{|0|s8tZJ87U7{M%)pvr-M#!QSXlS8Kcu^Ti-Z6R#oQ?B zYJXgLE9YEP&@m^Ay;>@;+zrc;pN7F8wW|1P8qD?p4$SswDoY6iQ291B(9u+L_eN$) z^hpnUvwfP1(n1K9q37}X>B=f}!YM#_W=4QJ*8xGH{PuD99eVNP!QK3ZMNuZ(0xl9(Yy_^Mys!75u?TPxfuHr=tldL-tuX zHe_#RsJ&H2Y&A11=?=eXtt~0YIvah`)9!%ocKHoJ3eeK$k?!_K_X~3`Bt)EWvD&Y_ z6}SgG?RQwMZ+~2V`!YHg8{!Ap1M?6eZo1`}k?xmG4~p|LlTN`Zy19`K+6qcZ;33~8 z`ar*4ar;_YQWVJtc67IzytF7UGZg~s+wRV$2W1!uMh6~qu{74zREFMQrU1z_^aiRd z0u6Z#$;WAB>3_r8Rd5s^2VOs`1H6@;0{rv1i!DG#)y?Yxeo@X(4!wI02C@ZNsj}^@2Q%0D-}Q_eph89xNdTdOO16JD_q{Nnb`^0GHw2&cMs^u-gDE)~x|s z-q~?b!#7kEp{o}X0pPmY9x~8U1IED1M)m#c^fRa6kZktrA`N*k;%1{{Nb7LSNG9a2qz%!=D`a#kO zHpjW?fa`t0qt|%1ngXP1umgfZANt_Msyo-fARs)z2SAuUXsadGa4~`b@K9Gr;ocH z0YmZaiqdQOSShh#FpTy4sJI~K0=VA-CEKsNO-=%M2pNpHH=yh<&Pz{@28;)wU3;6n z1lYG?!ho@L#jVR}@!==EoXiY&Z^hmNyl`k>K-~Tm#wi9rC*6-6($`d$1uDU?jAkYV z-nG_M+yqvA%KxYx&}Z}KvH(f=}`Zp zHi!1&eOSXmw~VGo;i{-Az6Pj_bPN+g@H-cTW1WFxeO3qOA5i(}5bqVnqqGr|4mejm zV3ZEXGVRO?%CRs8E+q~8g?jlbus;~kMfn*iK&;&CULUI2tcVE@>Nn}V6eg2(gALSEz>E!O${Sp`n45c*A0Jo(%T6R zSpx%EPJH@@yI($eh`{e2a3>@;fHj1{PL<8;*Kk8}4zQYzmPY`vvJn`=pYR0eq^-JH zLTD8|IX;zbkE=@ZGgE;QyW1nM-3INr7~%vbI}O~m1+0McGS5T@d%N118|_kCy#+H_ z+NGI^9&n?t!qW(JrMs=Efu_=?b=l%%Z(r0~iKDo1Uw8Wz{|e}MW;n2M$TE2VDH55` zzc36DU^m>2thD$_X$N3mc=Kz5)Pv2e{cOK!cWs+G_X(`tM(eqwgXpxs$^D zj>1USP?Qvck@*eY-2!9j^2J2bDx|I;u?7;bW+(bEj|5g19}2e=i+#H=_U2}xV24zR zckPfMa`OssZ<4QzH5|T8KVkw>iUD>lm=$E4g|r|yo5MzW>hjXUAYvt-pF$Y?1HR46 zPK}KKrwVIRgB_}nr^UlU1yWErN>m3Q327`rkj4URek+h!FqByQ4A%zO8vw3G@nLvR zjPX?sSyHrM`45=4zT(!E49t05tN;LOD9Hf0rzZnK2-ipXZOGP$3WBM?5DZDA;q0-3 z<@kre*UuU&LHvC&Ap)ab6Ff#l0m72aaE|wNLjG3qwT!dTK`?PbZUavA3FSp_$Y9$H z6H+7wx(5JhZUzWTyZjYq^%qa7icvuh{SqXnkh*ZCCtu4g1i1tM(3 ztOuhDoX^2IeF`i_00dsYlo}5*dPhrRZG>}%Ag=&!E^w7TybIPM3E{qOHjw|IBn?LG zWC-rgaIgJ=Lr;1-z?`{Ve!Ur#*dbnl->dpcVnAqi%q5Pw&g2=Hvg z&qllS39jZw!2MUD=0Oq)Q0c?byRc$moVB7|-?p&C6Gm}G) z4PfAXCoc=E*8M%8mhwun12;VBz|_?7gc6=;vd<^lS0nIFzyq8Ma#%3DuPcX4p2Ua% zNYF6Wg%|jt@&;iJW(znJNScED2q=z$AjeiW_nrbRew&KePRS-nDmO*x>9eCkx1e&{UKZ=4FC=93)x6_uRUg z1}R@K;^BU(BqOpKaWKHR9(VMKM;qk`R<^Y@kxLd*l=p=j)d_z_$-)V63hwB4gfRP6Kg)>wjrz0&P%-_?Y2Ejbe z%S8Td66=$VSE%Ek$oHl!l*@Yv_S-N2P+0#KSY!5#_P_mECHj}b`u3JTRo>_i_*}5D zM5+2WVfIzxEBbT*#=%>tvBx+TXXCdPD^~pm^*!Vy zx<6Fo@I6#N9nXQ8l1qZTyO&T3-}j&teg`uvCKBGJiCxFPti9H=o@6Z#02d3y znTXcpy-XqG&`Dao^h7XprVn`-)=L}GM+oa50FO=kWoXI0PFi}7l4GqsbTJvcahN&|j;NoC5>6=m$CQZ#y)i=1984UcWv(Ih(?n#EMyQzkc*yH% z`)J5Vm=gNgC_#757S_)aF+!QpPoN}l_DBMorymteB3$cdr5s?UCW_=o&_gE=Qo~V& z3=e=XrX#F?o*50kFx5r?gq47`6`k$oR)63h(*YCv1z&|zX zE2JB^{C{iK@0OKAZRiK+VclP)$^WcO-v3Lpj-G~;rO=gI3AyX<4{W+;$7W1PC<#| zhDJE<=a1u;a&WxyF^>O|z(d>)DjQ^D2|UDqN#7y9UINEK`VR45)yE+|IfdgOd51X2 z=i&1~><;mwJ2(#Y#vu-}d5D9^9pXO6aU5jx5ML3@6QQ~|#FdnB9OUv4|GQ8g$3Z9$ z@xKJ}!H~7kV?-c$Vg~JnY4kDN^2RM6+&Ye1zPROwTmHCp0=G`$Rse1V;#LrDk#H-x z$NK+3vH^wvE?}2WKLxG4*-%OU?Jjmi|E#P`}JLF(%h~I40^>YDa%?-v#h8 zC>{2vjA<^+dm_$pmhEm4s|pr9RlZSY~p= zi5t0Y9KLUqyMIxa<5{|d&6ku9S6$Smw@#%m7Ka&s5PIT)nw&-EE-e+9mwE0Kc)F@I zq(9GX>%1ZL9fslv3)yt*4d}f2j?GIJt3Fx@FTJW&dEaQjJpMA9a5I$|Op`KLt5Xp( z_`a2H{XTj~l!I?=n(e`^P{>tpJ)&Nt$3qx!=OstMJOkC4>l@Pq9%r90XtCW=CdWZ& z*8k9EGe14~IeZ--x(#9pg=bDC40o~Eh9-tCj6cn#yL!n1qY?;!1(*nR2~+GH1&nlGH(7=K{Ni0|X;93k5B zE$q}^tE6er12MwL$aCkz8ZR5}J-jT*N`L8e>=}2;iD4g?6B##mNFdgXNDrGW=XcTh zt8sFDI(1NO(*{XX9aq?ZxK8iha@X_7A-&g^BG@Jd zCO$_wppcC;41!w*8_FCtH1@mmkIkGlvhebtsc#G2w^K>3{y6dxYQJ;);)Yj!>DG>Z ziL#&jV^ub+mwg%K%UEw(Pac*`aQZ zGVSO=@J8tk$~;e6N{;!5-AUTKWhTZy^lIn^C7~z16Elw-Kw~#P_ieURQJN}(L`w2z zrl>LAufCH$++VLTKR(5>4V_nv)RyM$GL>bDwKLvL?rp+u!#>auOW9tuO+sJ;O9a{_ z5r0@`x8xmvlZPj@mDvMTxYL|>E3Eca63B8fv(~Fc5jF$45#EN4Zjw1>T8g5%7Q3tb ztPU8}+HJYGWxlnD1KmDNS#-+RxY5nHgLF_|tL2#CYtnu>!D0uCrRvg>GBxzJa`!~{ z)52ZjFOIU#zita$^EHC^OaG7ub??#TXZLe9p{iWHo{F2Po664{&J4bP6^hs&*y?Oq z-)6IWKK_J(<{%0(B19Og@Rw?B{b0Rqy};w$BKL&n8dGJ?7<6m!%{@MVYGUMWpY_t4 zIIKn!ZX%A}SZ<<=0|5-Hx9YN^DnIR8q_^s|7B4$fx8*jTAQyLY#l~!}!5iTx4?MdW zPk`*?B*gGISAsyfdd;PiKD14jvQq`==n^d~yn`A02RvkjB*ajv!_KM``}ZoOs&XZ1 zN{A|URu6}n8y|8YyPqTSvhH3Xk{N&LA}t}S*mdcWGQ}#zy|b0|wrj;@HM`H{xajS( z4nS*PJ@eDl*`rwN;>Pg+4B|XRMFuLK^bN#|t^b@``nU&O*lWtwJ~;IG=61CYF_9Oq z9Gd@hd9Q|oXv<#384rW4!l*)iL${eS|GN3}fkFj&>1o<6HE~NetzuWuZ9X&MGUip0-CCE!ld*EzfHWhFg4?=SO>!*sH- z$;-`qd3z%_<(A4=uGy~oFp74s`e@^g%PtCwo`>YueRbKsraUPexyhBpI`x*GIj&mb zt6g|ZL#R)E`Qhq@)6NnxI?GlI)hJ4@_z;H~Syk}n#I01W^zW-v=8~Pe&sZpOoRt2$ z=R+|H+LkQTE!7-uqf%fX9MAu*CXKu4hSJQ-hC4znSGQ7)qQ2L5j1(7)%v`;`W~V4j z54Ar&z(mY8){>Wd8k@Llehz(IKBFey2L&9XHhmsTSJksU&Q@G}h=RC!6GP2|R1;U^ z5urFbRYr=xQ0^rl@G3YYKG$ffJxY*Ia?6y@frWFC$isa@S&84$_^Zw{BHZI{&X%U@ zF8l9#n4KE1tvt_ex)W90^&$4%kQEXZ`5JZXaC7EKmo1f-Pcyx}lWZ~a?3OY&S{$s{ zF3ESvMV+@pm#goPDCMV$wF8xMWD~u$n(UOx9>_P?kJ$CnMNdyh?9=evhKud&d_OuwP)Z4z-m>6$IJblz+ zUmwX)>^XYzuqi~4{lRWYUXEI;1A;}jFJE(=?~RyiDXnuKAI+pkpW6B|#Tm-i(@eZ_ zd7h|r&PScCfN%N|^JG(Hz-0N+VN?)q{Mmx%*$xSgg;KGZ47M+AH6e2klE_-i>u;-x z5<`&n0axvJ1yS_x?q&M+-11*;t+^RLlOIN`&m&mC@|8n-|Eg`HY0>3e)**TAgdULxRbN>$B6>`n-r&_FEqy zI|p;qo&cNii~a^i-M&t2l^1+$Ka#8#PJvY;+08Un^zKn{4*#8W`P!mHlECF)RCOgJ zfbU9_RL!MOFE)}rBTA38ot<8;P%x@wpw!ZdW_)369Oo~ey{2$ zcMWQ%ZEFR3OeGtnW*=VInr*-O^Z{w=be6$f#5LJkcXI5O%hU82PySPTxdSx?ncf(1 zwhDFRdkVS>j3Fl(4nn0Id5@6@<+nPSr9ngK)qKPt6{(Ul;Q>zXk^|6eUEz&%+NJ`T z`Kfu@6zg5>Y3Gwuq$ro-b(Gf3JFt!+JI=8?r)RH*&F4k?YhRLH3=mo9e=2n^mZm5@ z-fu3^2T@$G*dt$dXxB3zQ}yjN##*m@ZEW|x@e5g7_o(`*12YA>?+o@z9W+Pl>NVZ`}>VH?&!7so%bFi`3M&J^6JZ-bA)M)Wa{Bt|U*Q<=W|EghF&(cIx%hN|iSc6*@0EZ@XuuT(Els zQ~$o+Eb1@~s$s)7sAkvOo6%mAkvnSj7`qGjvm9p={q1ZQ{SR~<5aXddBZgS(47Uk# zWUM15UA^|34F3khsIuy}(E6Wr*64lLzgv)_e;_FAD%lMp&@cR9#$< z0U+qOqlNJSq*z(RgL$RERwyI3Q<9xElt zNe|l7o=#YE%>()s0_(J(@RgSoc3+kHU(TNy%rX!K~328;!$NG81tScdBII-FYMNOes-EgFgJzuS-h~H7y

{Hg8lVxTouDzyJQGFoJ!)f$Kujhpu@;EH{71a(y|PA} z6a_f}7#o9V3vogJe;5E-aTivP6T|UR`Cd%;K?Dh!#lB9|g2lq=i4lPya5gd6p(G>9 z$4X21G6IKs|4x2JB0A*{Kwu9rGT@ekxry$VkM9=&p@W@ z+_~5=|Dyn=cd5YQI`F2s2?RL_wdJ?3oR1GX4%7j(d>asa)XebvHn5-1&rU`$_*9yJ zWKDt}w3)MG@ExF-yMpno2NXfoLC~F06qXXiUchHD#YsK|N?pvZWB_{5e@8fr0km}2 zKu`9Aerqa#ei(Cm7#DzXpb~Nx)EI|le+wf(&N86B1QP*pVQ+s57V1~wp#$hQs6Y*O zVaYQu8;HLqdS2qbzLK5<0!%k+U{IR4FfTidbdc0Nt}4pQ09`kxy8Cv59j*u+0IvlY z30UzK{0%TQXjC-7i5g52zi=umXNN0+bs->^cNxxS?qE)WEy8Mt~PrE+$0> zdBa-&-kn_+Z6I6x0O)4d>oTrac=>gUdZ1^bJ}iXzA)!5;?`0Oo_B3toZ#2%jFHNNI3E z14%oy4?qqm=wU>IJhcrw8Q;vk5FY`#9Txks4i``kBjD4CL5yQz^qfyX;U~NxTVN05 zx~x!Fm8AqVpVU}GSQQ4^$ijACX2HObR3)o}r=rwz(q(7iHJE`eD5 z1&Ew)3o8TbLfVZh}d4rv2$g@N`LtR~18rpHjv%a%Ie z@0U_fgJKkCJ%9oo4)F&J$IcN&m(!BqC_vMwyB!z`4>K7s7YuVj(};6G=wK?ljLZ9r%2_Cp_!vnnRAnyYX2MiU6b7-Oq&kffylTQVD z+JOca=lS3cA9@XcaQ)JGJ`i1ECxabIki^7D4jOF0({~}+0@#(i_4lk*2;{Bx_ijS= z1(5k8P{wyVjB<7wK#hP$%i*k`1Yqx0`*ktP=As2W*wYTE6GWlWpeZ&tgro;0=yfIv z%>UaSId(nuh z?py{vw;w2(b+$usB>0$5!*4;PdL!p-ET~#-*g%e|APMg$|2EOviDCTZa{%b^Tn;6N zK@|>04NXv6`w}RI;Rav^#fZUffE~f-kAq16VcB(ngu&QGVec*#z~WqZUIyyR2=a44 ztKedQr@7r>?X>U$*m`ud)RkLbKyZ)6X)Ee*16qxV<0MY~fsBT15bOuSg@8=-!M#Ep zr#nC*%-d(6K8I5i2{$J*NOq8ufb1^@z~X~%q5S$S4D?B$ONR^@Rhe~g{^0@{q=}rO^Rib+EtaK&UVJS#SDQ`oMYl^XA9a1208kRC-#4$tJlF@h19+g980Ptu$YZ>WkfL8+#obu@*NDRP( z2l6wVK^ne&GZN!xf#Em&26B49wje$f5Hdh_Mcnn@aVH~~bD@BFY_PX8=)$)_0vZ>L z5sbWlXploGA^E^aTg|=!Er5>tIix^9Hc^-#9w1mM&q}obo!C+ff^^!XNO7lFy8Gzks`a0o>8ytU<<$ zsx>Vu+1Hg3P<9Jawt_)^e`t>tIbx$-{5bRmF8?C9F^1uB0RslI*5J7SvIHP4zUP3R=dFTHOb$PB)Y^nWPaTg&kkyZMzi6}(LYO7vye)ilrN?}xJX%L6^?o^RkQC?3CB0Q?|ArUYLu6KBP z+bGHnXB8fx+Ths%uD#P7q43ND2{u5vArlQwMb~qf@Sr>-mfP#9D{jD7@#itnf>-@e zn|r%hVPLrsj~K}a?+ERyoaJ^_&?1Lt>Z1EA6b-y^gbG!HV+ zk^_!T!$0vM0GB3_2pNO4v^69`?jSX74}nAw0SHZj-z5kQ0+mO&^O1A_~G5LgFUq6c@<;&a0WB5pzwd=hAM8Hs&_dqdRp{bY(mqINmC$>w4uJItWnGRU+1hK8BUE+$+~uQ;JBW-)qry8z=;|o(zBzmt zp{*k{IX)VpuOox*9$~B_>>6RJBUWpUh}VrUY7q0J@OI7RUh>jW@<-4{|K;di1^(54 zXqM5R;CWFC?f(&K;W!GD8iL0Hce z6G!WRmLC5bc>Y5Vj!gdsp4~mXj`drDwqORb~@VR)Q&p(4=4-ZheNsGhcC70Eabq z;P9Db94;%zOtufC|Jg(uS{y%Mg5v>!IDX|Sjz4Y2alX|!zFi&1ot$wzArZ%6l?UQr z-3@UmX&g5)#_^n790$v8h);jUac&+Qw|Btt*f<<7y^G^u{|)iK+kWFX*nUHN#qOKP z$%Ws)RSm~&kKp*7V*EL;-{3eIIgW$hH^h(o;&^%njz4O^@re&OuB?K$(J?r3yAZdR z33#i&2S<*1<92Nw-mZ>xh&hLD8JMbvIw^pw{b7Qt} zlFhW0H04{bfjc_rxI zMB%DJ(~~@R#iS_CV*8jTQ_cFB(XL$TI$zY26L4I$z*_3GGUJDQvb)FnV%&AkIzj9$V7$qn|97;rcX~#SZJwlkZ=iXM67V=6Z+&f7D*Y zk)!Ei`oMk3ioZ?IO z!Pabn6mv!P#936pdrFURn?Kp6cMt18qv1WD@xwxswaV9=KONJO;JPD=h?xS*FUoe8 z$B3sM+b`dH)K(<_nBk_5JzG8pI2ou8nj+aTdo}vO=#heb*L=2dmaS^GzGcbV<6Pz> z9-*^g?Su`I>fa^sp(X5jtnw*UvX7+=pNXHt$^%0?j>W-rzk7o59J*^g%0>uz73s-n`$gNWiol#;06`j;667A(A6 zJXJ^ZhI}n;_J2(Z6RN+F1yr%A$hhxmMV3^;k#A@0H&UfAkDnFdU}q>(-@%X`7jfPh zPHwJ@q!3@rF8(JPJj-J#e2njX{f?OPWW*jE8u>W)9NGHl^*n89sj#;-VHMeZaL0J> zeTyTJcPpKb2k&%^zmHGCd4ta{KV|*)z-S|9eGzWuAprU^!eeg&MuQz5>`Dd&CK9_|7Zs_ zfr29W(9W0VPJ{#_%8~?$ftTaMCpT~Hyqa~rem@(1k-epX#;ZUFLUw$l9qKtMe_vOM zIOR?~9KKPQm{5ejXjEwTe?mbkOQ*YM>QV(BIlVqhW zlB6x=8_GJ0D39w8?O8)Vo5S|4KuLg(rfTbku6?^V3btvA4ISPl$b`z2c!vW|kTW~AMaeGnukI9{U%fnhc$4Z@rZ^Lk{J0Z#st=Q0 zm-^mLpoI$&*A27}`Ngb$TPaJwFg+NkrQQ&CzP!oLEoANY#RWvT926rp@@$aetcxRC z|1`JEtE=~%w`@^V|4?#8n~v-o`WmuH;GVyyr6YY!;o$nw zxvDoj-gJSUjiF(;*lTS$akNvcq9UA!c5d{p(#GXjXKfuizk|$)A?5}wzPjJ-RoKvS zv0!)da_g-u_mQfIxyE!Kp)hsA5d|TJ&I4j(K02F_2+?=P>P&wdvvsM~%GW|Yj;iNb z%j5+eu~4iGwt15gi-Js=LXHex&d5&_Y%Sl;x467;Qd{j=VxW)Hm-6a^l6;6ys4mu% zvAXm@gNH2tjl%~I?0XmE$<=oM=BZB!o{O0=C_ZxOVz5AcVfwjEwXw{3r#y{@LS5&B z9CnIV?chk4;DOxiLY37wWLHgfc~kW!@_rxgjba=<_3eGtrL9#->#imuk~dG7i+I-2 zy*nq&l-_GAkU!SXa3MEj=(ug(5GfRIjRZfRow&8{vYp6m@=T6Glpf2(C5GmJiHbNU zz9`dGu99f>dBo5ey?jqysW~I&CW*P{w@q^n@OjBEzduJT4}2Gc%(k<+t)q_65oVk) z_|~wgJJ(6<81XLOjYFdSYR}IS5|J*)YuOhGA0zbX2eb$8@)f!45XsdPO4zcBjpl?9 zXO0ol)>kW(8FeEs^V^*#HnIQJd2`_tWY2fM&WkxVer&@94pizUmCZlu+L2`~p2hN5 zv-G$&|GBjv!lz0@w40=tgZof%($OzRLnEYH)mFM~Qz^iJ{F-E%do8Vl3in*0OBqHkJEXa*Xq zcFl~RRNbMv=j*+P_K1!6WjR5|gud}<595>6>_a?^%uEG_mhvAz^u8vc)lZPy0dsS@ zb4hZ~YwGWtQ<4v6rlu5He|m9-Ww~!SS5~|Wnd)s->~YySY`C7hhqTZe*!Q^N(zYA% zyX$gd!Zfc&8lbxDP+#?@X$Rlem);Gg_}Z+qMNs6Ur@mmx`S?it`R+m_G}+N|MS_Fo zVwAUoN^NE$XYZ@JSmyZ|dpRD)r6datblcl_k9uo@-SDO8P~W#9rZ3M1IB&gerdWE^ zU^{P?%QloG^L@B^+s9@zH2fg(<4L2pQEs|ht6Vj!4@)tP>i1qj++|tu0i(fgUt%ov zRN5m?Qb!%p70KZ1HhdawDpj<;7ir|%t^aOUf3$zs>d_jJ2;-KW6z|H_ZilVS^;21N z=*dPB*+;kYsWTi8f1aqnzfor_f z-fiwmwU|*FitSH#(H>VBL4GX(TC1z)&uxv99mrBW!P&(1EKHBEZz`xr^4|haDHOfR^pSO6aIBj>;hUcYr zgj6|eqodHdPpEod>2YzE@ zZDLnj%ABtvbL+O|i(G{P#*kXzsym*2J~M4?aVSS=df1-Uedy{I&gsY73c{FU48>-n z5Jj~?bE@U~k}brr?{DvC3TGbsZo7RQ-Km)dyT$w7Y8^;vDS4^&PB@DpW%5*szg8{d zQ=hg>U8?A30c`eSqd6+*ieaC4SF8<#=gz(R{z?9f>>YmVpZ`hzj7~-V)<6G~{2Bc- z<^B!1QA6WDQSRT68x4>A)<6H2KmUf@h??fN4*WOe{tda2nD}oU_&>?OX|;C!r33#P zj5hAMjH?*|Up|00CU|oYZ%py#5Z)Zd8#BBy#~X{DPXq$t_lNw>cl{r&MgpPckGJO& z2(SM3>;L$(e+oA&qXi;?Kt^Qc{;y@uvg?3pgZ*6r-xs+)R*7NPKok& z1BuhN&1fAjNPedP2jSJnGZFqr!2?uN8MJf2$0i^N2UXq9J)aN(ZwI4D1!+N0Bz+ow z_X1X#!T%F9#pcLxCwwO#6G&8hI-Wi(0XZ*L;2qe#?We6I8hi!5k~cDwB2T)*()TX# z%LI+mB7j#Af97SKi9jda!6_NE)oZ!w!2S}OT!N@6H5TMfmarZUnrkq9U534R2_FxO z*s&xZXHYJ|3t(pnJYxU|F6_@`>~IUYqo7a%whI(_7M9(y{^wd|5{e80OX2-kFulT; z0eXUnxFF{&)|XlzG|;3|kOpNW4FQzbZGY=wrk^W*Td-uJ<*)U}6NJC4A<@dx;jf~O z;CK1ga^T8R;`T=fFHPbFsK0B3RwP2Oy4P)sM%_N+g}fDi$`!B3|L~_IMlzB}EVur% z45THAW%Un#%-`xD5{dagmt3<*%!F`Qi9G*X80s@6L#HxJus7RmMMi7#2`i2!tfOlyasg@Nd%qf$u*j2EwQRia@6Se@q^W zM!X$a8rl0ks*RQ>{D1S9Z~rOa@8X0vdRLq8cRB$(;y2~n-c%WW=)2pboy)tg(zIV; zAM4UgmEAA0UrI0X{5k!UkNiv3jgg$2-c_4+h#YUR?%-Shep;@VMg#vPtJ70M1fuTB zPrT^){me|BrO8_y*{-kppDA7OYjd<*>ch!@3lyHtVFb1`d%rD=)1D@JKjHD*IEDHb zGyg>~ugg0qd);MsipVwh-SVY3%^xkvJS2QI?%a%c+mklT{ZAHGdLL0ZZap>N|7qLF z^8RNvUe8Vcnr3)j<>A8O-$7ElwSHXOp7UT!;mxg034cQ5f6w{0y(dk&Jwc|-;M>`p zQ#}^*suDcj1~RV~zrS~K#3A{Pz_SY)bMaQKi#hX@6)|a z>hg~^YQ5gmA95g9vs(1Zx|Yu~l5f;yxoh-$NY@zhe!uU{_3T)@z{{uS?C!15K#nr` z-+vHwBDN|~URnlGCt|A-<*jY-FZ_~^j={h1OTM}W|H3ceWt)hFU)Y)k^1JsS+6LH~ z2J*M>Ale4lng$P?{v!tqYdi=ffGX$22R0}v8L6U$S93TRCmBK2v=akDI{rWRXHZ>@ On#@Ts@;D=~vIYQh;M?2) diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb index add77f7..2cb1ef1 100644 --- a/aidatlu/test.ipynb +++ b/aidatlu/test.ipynb @@ -2,7 +2,7 @@ "cells": [ { "cell_type": "code", - "execution_count": 6, + "execution_count": 5, "metadata": {}, "outputs": [], "source": [ @@ -13,7 +13,8 @@ "import logger\n", "import pandas as pd\n", "import numpy as np\n", - "import tables as tb" + "import tables as tb\n", + "import yaml" ] }, { @@ -25,30 +26,30 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-22 14:38:35,296 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-22 14:38:35,409 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-22 14:38:35,410 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-22 14:38:35,411 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-22 14:38:36,746 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-22 14:38:36,746 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-22 14:38:36,791 [Voltage Controller] - INFO Initializing DAC Control\n", - "2023-05-22 14:38:36,794 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-22 14:38:36,797 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-22 14:38:36,799 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-22 14:38:36,822 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-22 14:38:36,844 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-22 14:38:36,860 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-22 14:38:36,872 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-22 14:38:36,887 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-22 14:38:36,899 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-22 14:38:36,901 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-22 14:38:36,906 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-22 14:38:36,908 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-22 14:38:36,911 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-22 14:38:36,913 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-22 14:38:36,914 [AidaTLU ] - INFO Run active: False\n", - "2023-05-22 14:38:36,915 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-22 14:38:36,916 [AidaTLU ] - SUCCESS Done\n" + "2023-05-24 14:47:35,877 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", + "2023-05-24 14:47:35,975 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", + "2023-05-24 14:47:35,976 [Clock Controller ] - INFO Initializing Clock Chip\n", + "2023-05-24 14:47:35,982 [Clock Controller ] - INFO Writing clock configuration\n", + "2023-05-24 14:47:37,214 [Clock Controller ] - SUCCESS Done writing clock configuration \n", + "2023-05-24 14:47:37,215 [IO Expander ] - INFO Initializing IO expander\n", + "2023-05-24 14:47:37,259 [Voltage Controller] - INFO Initializing DAC Control\n", + "2023-05-24 14:47:37,260 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-24 14:47:37,262 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-24 14:47:37,264 [Voltage Controller] - INFO Set external DAC reference\n", + "2023-05-24 14:47:37,279 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-24 14:47:37,297 [IO Expander ] - INFO HDMI Channel 1 disabled\n", + "2023-05-24 14:47:37,311 [IO Expander ] - INFO HDMI Channel 2 disabled\n", + "2023-05-24 14:47:37,333 [IO Expander ] - INFO HDMI Channel 3 disabled\n", + "2023-05-24 14:47:37,352 [IO Expander ] - INFO HDMI Channel 4 disabled\n", + "2023-05-24 14:47:37,364 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", + "2023-05-24 14:47:37,368 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", + "2023-05-24 14:47:37,375 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", + "2023-05-24 14:47:37,377 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", + "2023-05-24 14:47:37,380 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", + "2023-05-24 14:47:37,383 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", + "2023-05-24 14:47:37,384 [AidaTLU ] - INFO Run active: False\n", + "2023-05-24 14:47:37,391 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-24 14:47:37,392 [AidaTLU ] - SUCCESS TLU initialized\n" ] } ], @@ -77,62 +78,47 @@ "name": "stderr", "output_type": "stream", "text": [ - "2023-05-22 14:38:37,745 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-22 14:38:37,761 [IO Expander ] - INFO HDMI Channel 2 enabled\n", - "2023-05-22 14:38:37,777 [IO Expander ] - INFO HDMI Channel 3 enabled\n", - "2023-05-22 14:38:37,791 [IO Expander ] - INFO HDMI Channel 4 enabled\n", - "2023-05-22 14:38:37,795 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-22 14:38:37,799 [IO Expander ] - INFO Clock source of HDMI Channel 2 set to off.\n", - "2023-05-22 14:38:37,804 [IO Expander ] - INFO Clock source of HDMI Channel 3 set to off.\n", - "2023-05-22 14:38:37,808 [IO Expander ] - INFO Clock source of HDMI Channel 4 set to off.\n", - "2023-05-22 14:38:37,825 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-22 14:38:37,827 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-22 14:38:37,830 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-22 14:38:37,832 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-22 14:38:37,835 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-22 14:38:37,841 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-22 14:38:37,846 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", - "2023-05-22 14:38:37,847 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-22 14:38:37,848 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-22 14:38:37,849 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-22 14:38:37,850 [Trigger Controller] - INFO Trigger on falling edge\n", - "2023-05-22 14:38:37,850 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-22 14:38:37,851 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-22 14:38:37,853 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-22 14:38:37,854 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-22 14:38:37,856 [Trigger Controller] - INFO Set internal trigger frequency to: 0 Hz\n" + "2023-05-24 14:47:40,774 [AidaTLU ] - INFO Configure DUT 1 in EUDET mode\n", + "2023-05-24 14:47:40,790 [IO Expander ] - INFO HDMI Channel 1 enabled\n", + "2023-05-24 14:47:40,794 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", + "2023-05-24 14:47:40,795 [DUT Logic ] - INFO DUT mask set to 1\n", + "2023-05-24 14:47:40,796 [DUT Logic ] - INFO DUT mask mode is set to 0\n", + "2023-05-24 14:47:40,796 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", + "2023-05-24 14:47:40,797 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", + "2023-05-24 14:47:40,802 [Trigger Controller] - INFO Trigger mask: 2\n", + "2023-05-24 14:47:40,806 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", + "2023-05-24 14:47:40,808 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", + "2023-05-24 14:47:40,813 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", + "2023-05-24 14:47:40,825 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", + "2023-05-24 14:47:40,827 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", + "2023-05-24 14:47:40,831 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", + "2023-05-24 14:47:40,833 [Trigger Controller] - INFO Trigger on rising edge\n", + "2023-05-24 14:47:40,835 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", + "2023-05-24 14:47:40,836 [Trigger Controller] - INFO Pulse Delay is set to 0\n", + "2023-05-24 14:47:40,850 [IO Expander ] - INFO Clock LEMO output disabled\n", + "2023-05-24 14:47:40,850 [TLUConfigure ] - SUCCESS TLU configured\n" ] } ], "source": [ - "tlu.default_configuration()" + "tlu.configure()" ] }, { "cell_type": "code", - "execution_count": 7, + "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ - "2023-05-22 14:49:31,394 [AidaTLU ] - INFO Run active: True\n", - "2023-05-22 14:49:31,401 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-22 14:49:31,419 [AidaTLU ] - INFO Run time: 0.010 s, Total trigger number: 13, Trigger frequency: 1002.74 Hz\n", - "2023-05-22 14:49:36,884 [AidaTLU ] - INFO Run time: 5.479 s, Total trigger number: 2208, Trigger frequency: 402.44 Hz\n", - "2023-05-22 14:49:42,150 [AidaTLU ] - INFO Run time: 10.745 s, Total trigger number: 4323, Trigger frequency: 402.05 Hz\n", - "2023-05-22 14:49:47,309 [AidaTLU ] - INFO Run time: 15.904 s, Total trigger number: 6396, Trigger frequency: 401.98 Hz\n", - "2023-05-22 14:49:52,494 [AidaTLU ] - INFO Run time: 21.088 s, Total trigger number: 8478, Trigger frequency: 401.88 Hz\n", - "2023-05-22 14:49:57,705 [AidaTLU ] - INFO Run time: 26.299 s, Total trigger number: 10571, Trigger frequency: 401.83 Hz\n", - "2023-05-22 14:50:03,043 [AidaTLU ] - INFO Run time: 31.638 s, Total trigger number: 12716, Trigger frequency: 401.83 Hz\n", - "2023-05-22 14:50:08,306 [AidaTLU ] - INFO Run time: 36.900 s, Total trigger number: 14830, Trigger frequency: 401.82 Hz\n", - "2023-05-22 14:50:13,604 [AidaTLU ] - INFO Run time: 42.198 s, Total trigger number: 16958, Trigger frequency: 401.79 Hz\n", - "2023-05-22 14:50:18,845 [AidaTLU ] - INFO Run time: 47.440 s, Total trigger number: 19063, Trigger frequency: 401.79 Hz\n", - "2023-05-22 14:50:24,039 [AidaTLU ] - INFO Run time: 52.633 s, Total trigger number: 21150, Trigger frequency: 401.78 Hz\n", - "2023-05-22 14:50:29,337 [AidaTLU ] - INFO Run time: 57.932 s, Total trigger number: 23278, Trigger frequency: 401.77 Hz\n", - "2023-05-22 14:50:33,386 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-22 14:50:33,387 [AidaTLU ] - INFO Run active: False\n" + "2023-05-24 14:47:43,108 [AidaTLU ] - INFO Run active: True\n", + "2023-05-24 14:47:43,110 [Trigger Controller] - INFO Trigger Veto set to: False\n", + "2023-05-24 14:47:43,133 [AidaTLU ] - INFO Run time: 0.021 s, Total trigger number: 0, Trigger frequency: 0.00 Hz\n", + "2023-05-24 14:48:55,577 [AidaTLU ] - INFO Run time: 72.466 s, Total trigger number: 133632, Trigger frequency: 1844.06 Hz\n", + "2023-05-24 14:49:04,814 [Trigger Controller] - INFO Trigger Veto set to: True\n", + "2023-05-24 14:49:04,815 [AidaTLU ] - INFO Run active: False\n" ] } ], @@ -140,23 +126,6 @@ "tlu.run()" ] }, - { - "cell_type": "code", - "execution_count": 10, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-22 14:38:25,922 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n" - ] - } - ], - "source": [ - "tlu.io_controller.clock_hdmi_output(1,\"off\")" - ] - }, { "cell_type": "code", "execution_count": null, diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 937bb28..9ee2add 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -4,13 +4,14 @@ import numpy as np import tables as tb -from i2c import I2CCore, i2c_addr +from i2c import I2CCore from clock_controller import ClockControl from ioexpander_controller import IOControl from voltage_controller import VoltageControl from trigger_controller import TriggerLogic from dut_controller import DUTLogic +from config_parser import TLUConfigure class AidaTLU(object): @@ -23,6 +24,7 @@ def __init__(self, hw) -> None: if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) + #TODO some configuration also sends out ~70 triggers. self.clock_controller = ClockControl(self.i2c) self.io_controller = IOControl(self.i2c) self.voltage_controller = VoltageControl(self.i2c) @@ -31,9 +33,12 @@ def __init__(self, hw) -> None: self.reset_configuration() - self.log.success("Done") + self.log.success("TLU initialized") # if present, init display + def configure(self) -> None: + TLUConfigure(self) + def reset_configuration(self) -> None: """Switch off all outputs, reset all counters and set threshold to 1.2V """ @@ -51,6 +56,10 @@ def reset_configuration(self) -> None: self.reset_fifo() self.reset_timestamp() self.run_number = 0 + try: + self.h5_file.close() + except: + pass def get_device_id(self) -> int: """Read back board id. Consists of six blocks of hex data @@ -279,10 +288,16 @@ def run(self) -> None: try: last_time = self.get_timestamp() current_time = (last_time-start_time) - current_event = self.pull_fifo_event() - if np.size(current_event) > 1: - for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - self.data_table.append(event_vec) + current_event = self.pull_fifo_event() + + try: #TODO Sometimes current events consists of incomplete arrays. This needs some fixing. + # It is prob. a timing issue with the FIFO. + if np.size(current_event) > 1: + for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + self.data_table.append(event_vec) + except: + #self.log.warning('Recieved incomplete event') + pass if loop_number %10000 == 0: self.status(current_time) loop_number += 1 From 0afe28cfc13fd7c8777bf8d19a3cd6a4791dffeb Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 26 May 2023 15:52:43 +0200 Subject: [PATCH 20/68] included trigger logic in config --- aidatlu/conf.yaml | 31 ++++++-- aidatlu/config_parser.py | 35 ++++++++- aidatlu/test.ipynb | 159 --------------------------------------- 3 files changed, 57 insertions(+), 168 deletions(-) delete mode 100644 aidatlu/test.ipynb diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 7e3d4ba..029b753 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -1,15 +1,15 @@ internal_trigger: - internal_trigger_rate: 0 #Generate TLU internal trigger with given rate in Hz + internal_trigger_rate: 400 #Generate TLU internal trigger with given rate in Hz dut_module: dut_1: mode: 'eudet' # 'aida', 'eudet', 'any' dut_2: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'eudet', 'any' dut_3: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'eudet', 'any' dut_4: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. @@ -22,8 +22,27 @@ trigger_inputs: threshold_6: -0.2 trigger_inputs_logic: - mask_high: 0 - mask_low: 2 + #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH. + #A 0 corresponds to a VETO and a -1 to DO NOT CARE. + #TODO the way I understood this. The elements in words e.q trig_1 and trig_2 + # have a AND between them. Different words e.q. trigger_logic_1 and trigger_logic_1 have OR between them. + #TODO this is not tested. + #TODO Also my code will most prob. have edge cases where it will break. + trigger_logic_1: + trig_1: 1 + trig_2: 0 + trig_3: 0 + trig_4: 0 + trig_5: 0 + trig_6: 0 + + # trigger_logic_2: + # trig_1: 1 + # trig_2: 0 + # trig_3: 0 + # trig_4: 0 + # trig_5: 1 + # trig_6: 0 trigger_polarity: #TLU triggers on rising (0) or falling (1) edge diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 6bc243f..14901cf 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -97,11 +97,40 @@ def conf_trigger_logic(self) -> None: def conf_trigger_inputs(self)-> None: - self.tlu.trigger_logic.set_trigger_mask(mask_high=self.conf['trigger_inputs']['trigger_inputs_logic']['mask_high'], mask_low=self.conf['trigger_inputs']['trigger_inputs_logic']['mask_low'],) - + self.tlu.voltage_controller.set_threshold(1, self.conf['trigger_inputs']['threshold']['threshold_1']) self.tlu.voltage_controller.set_threshold(2, self.conf['trigger_inputs']['threshold']['threshold_2']) self.tlu.voltage_controller.set_threshold(3, self.conf['trigger_inputs']['threshold']['threshold_3']) self.tlu.voltage_controller.set_threshold(4, self.conf['trigger_inputs']['threshold']['threshold_4']) self.tlu.voltage_controller.set_threshold(5, self.conf['trigger_inputs']['threshold']['threshold_5']) - self.tlu.voltage_controller.set_threshold(6, self.conf['trigger_inputs']['threshold']['threshold_6']) \ No newline at end of file + self.tlu.voltage_controller.set_threshold(6, self.conf['trigger_inputs']['threshold']['threshold_6']) + + #TODO Test this logc magic with the function generator + trigger_word = 0 + for i in (self.conf['trigger_inputs']['trigger_inputs_logic']): + logic_array = [] + for j in self.conf['trigger_inputs']['trigger_inputs_logic'][i]: + logic_array.append(self.conf['trigger_inputs']['trigger_inputs_logic'][i][j]) + trigger_word += self.find_mask_word(logic_array) + + mask_low, mask_high = self.mask_words(trigger_word) + + self.log.info('mask high: %s, mask low: %s' %(hex(mask_high),hex(mask_low))) + + self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) + + + def find_mask_word(self, logic_array: list) -> int: + long_word = 0x0 + for combination in range(64): + pattern_list = [(combination >> element) & 0x1 for element in range(6)] #Transform a given integer in binary in reverse order to a list. + logic_array = [pattern_list[i] if logic_array[i] == -1 else logic_array[i] for i in range(len(logic_array))] + valid = (logic_array == pattern_list) + long_word = (valid << combination) | long_word + return long_word + + + def mask_words(self, word: int) -> tuple: + mask_low = 0xFFFFFFFF & word + mask_high = word >> 32 + return (mask_low, mask_high) \ No newline at end of file diff --git a/aidatlu/test.ipynb b/aidatlu/test.ipynb deleted file mode 100644 index 2cb1ef1..0000000 --- a/aidatlu/test.ipynb +++ /dev/null @@ -1,159 +0,0 @@ -{ - "cells": [ - { - "cell_type": "code", - "execution_count": 5, - "metadata": {}, - "outputs": [], - "source": [ - "import uhal\n", - "\n", - "from tlu import AidaTLU\n", - "import time\n", - "import logger\n", - "import pandas as pd\n", - "import numpy as np\n", - "import tables as tb\n", - "import yaml" - ] - }, - { - "cell_type": "code", - "execution_count": 1, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-24 14:47:35,877 [I2CCore ] - WARNING Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU.\n", - "2023-05-24 14:47:35,975 [AidaTLU ] - INFO Found device with ID 0x801f12f1c81b\n", - "2023-05-24 14:47:35,976 [Clock Controller ] - INFO Initializing Clock Chip\n", - "2023-05-24 14:47:35,982 [Clock Controller ] - INFO Writing clock configuration\n", - "2023-05-24 14:47:37,214 [Clock Controller ] - SUCCESS Done writing clock configuration \n", - "2023-05-24 14:47:37,215 [IO Expander ] - INFO Initializing IO expander\n", - "2023-05-24 14:47:37,259 [Voltage Controller] - INFO Initializing DAC Control\n", - "2023-05-24 14:47:37,260 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-24 14:47:37,262 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-24 14:47:37,264 [Voltage Controller] - INFO Set external DAC reference\n", - "2023-05-24 14:47:37,279 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-24 14:47:37,297 [IO Expander ] - INFO HDMI Channel 1 disabled\n", - "2023-05-24 14:47:37,311 [IO Expander ] - INFO HDMI Channel 2 disabled\n", - "2023-05-24 14:47:37,333 [IO Expander ] - INFO HDMI Channel 3 disabled\n", - "2023-05-24 14:47:37,352 [IO Expander ] - INFO HDMI Channel 4 disabled\n", - "2023-05-24 14:47:37,364 [Voltage Controller] - INFO Threshold of input 1 set to 1.2 V\n", - "2023-05-24 14:47:37,368 [Voltage Controller] - INFO Threshold of input 2 set to 1.2 V\n", - "2023-05-24 14:47:37,375 [Voltage Controller] - INFO Threshold of input 3 set to 1.2 V\n", - "2023-05-24 14:47:37,377 [Voltage Controller] - INFO Threshold of input 4 set to 1.2 V\n", - "2023-05-24 14:47:37,380 [Voltage Controller] - INFO Threshold of input 5 set to 1.2 V\n", - "2023-05-24 14:47:37,383 [Voltage Controller] - INFO Threshold of input 6 set to 1.2 V\n", - "2023-05-24 14:47:37,384 [AidaTLU ] - INFO Run active: False\n", - "2023-05-24 14:47:37,391 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-24 14:47:37,392 [AidaTLU ] - SUCCESS TLU initialized\n" - ] - } - ], - "source": [ - "import uhal\n", - "\n", - "from tlu import AidaTLU\n", - "import time\n", - "import logger\n", - "import pandas as pd \n", - "import numpy as np\n", - "\n", - "uhal.setLogLevelTo(uhal.LogLevel.NOTICE)\n", - "manager = uhal.ConnectionManager(\"file://./misc/aida_tlu_connection.xml\")\n", - "hw = uhal.HwInterface(manager.getDevice(\"aida_tlu.controlhub\"))\n", - "\n", - "tlu = AidaTLU(hw)\n" - ] - }, - { - "cell_type": "code", - "execution_count": 2, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-24 14:47:40,774 [AidaTLU ] - INFO Configure DUT 1 in EUDET mode\n", - "2023-05-24 14:47:40,790 [IO Expander ] - INFO HDMI Channel 1 enabled\n", - "2023-05-24 14:47:40,794 [IO Expander ] - INFO Clock source of HDMI Channel 1 set to off.\n", - "2023-05-24 14:47:40,795 [DUT Logic ] - INFO DUT mask set to 1\n", - "2023-05-24 14:47:40,796 [DUT Logic ] - INFO DUT mask mode is set to 0\n", - "2023-05-24 14:47:40,796 [DUT Logic ] - INFO DUT mask mode modifier is set to 0\n", - "2023-05-24 14:47:40,797 [DUT Logic ] - INFO DUT ignore busy is set to 0\n", - "2023-05-24 14:47:40,802 [Trigger Controller] - INFO Trigger mask: 2\n", - "2023-05-24 14:47:40,806 [Voltage Controller] - INFO Threshold of input 1 set to -0.04 V\n", - "2023-05-24 14:47:40,808 [Voltage Controller] - INFO Threshold of input 2 set to -0.04 V\n", - "2023-05-24 14:47:40,813 [Voltage Controller] - INFO Threshold of input 3 set to -0.04 V\n", - "2023-05-24 14:47:40,825 [Voltage Controller] - INFO Threshold of input 4 set to -0.04 V\n", - "2023-05-24 14:47:40,827 [Voltage Controller] - INFO Threshold of input 5 set to -0.2 V\n", - "2023-05-24 14:47:40,831 [Voltage Controller] - INFO Threshold of input 6 set to -0.2 V\n", - "2023-05-24 14:47:40,833 [Trigger Controller] - INFO Trigger on rising edge\n", - "2023-05-24 14:47:40,835 [Trigger Controller] - INFO Pulse stretch is set to 34636833\n", - "2023-05-24 14:47:40,836 [Trigger Controller] - INFO Pulse Delay is set to 0\n", - "2023-05-24 14:47:40,850 [IO Expander ] - INFO Clock LEMO output disabled\n", - "2023-05-24 14:47:40,850 [TLUConfigure ] - SUCCESS TLU configured\n" - ] - } - ], - "source": [ - "tlu.configure()" - ] - }, - { - "cell_type": "code", - "execution_count": 3, - "metadata": {}, - "outputs": [ - { - "name": "stderr", - "output_type": "stream", - "text": [ - "2023-05-24 14:47:43,108 [AidaTLU ] - INFO Run active: True\n", - "2023-05-24 14:47:43,110 [Trigger Controller] - INFO Trigger Veto set to: False\n", - "2023-05-24 14:47:43,133 [AidaTLU ] - INFO Run time: 0.021 s, Total trigger number: 0, Trigger frequency: 0.00 Hz\n", - "2023-05-24 14:48:55,577 [AidaTLU ] - INFO Run time: 72.466 s, Total trigger number: 133632, Trigger frequency: 1844.06 Hz\n", - "2023-05-24 14:49:04,814 [Trigger Controller] - INFO Trigger Veto set to: True\n", - "2023-05-24 14:49:04,815 [AidaTLU ] - INFO Run active: False\n" - ] - } - ], - "source": [ - "tlu.run()" - ] - }, - { - "cell_type": "code", - "execution_count": null, - "metadata": {}, - "outputs": [], - "source": [] - } - ], - "metadata": { - "kernelspec": { - "display_name": "aidatlu", - "language": "python", - "name": "python3" - }, - "language_info": { - "codemirror_mode": { - "name": "ipython", - "version": 3 - }, - "file_extension": ".py", - "mimetype": "text/x-python", - "name": "python", - "nbconvert_exporter": "python", - "pygments_lexer": "ipython3", - "version": "3.10.10" - }, - "orig_nbformat": 4 - }, - "nbformat": 4, - "nbformat_minor": 2 -} From 828fab6f52c8e32362801a78bdb05b5360463661 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 30 May 2023 10:17:35 +0200 Subject: [PATCH 21/68] some doc. --- .gitignore | 4 ++++ aidatlu/conf.yaml | 3 ++- aidatlu/config_parser.py | 43 +++++++++++++++++++++++++++++++--------- aidatlu/data/README.md | 1 + aidatlu/tlu.py | 5 +++-- 5 files changed, 44 insertions(+), 12 deletions(-) create mode 100644 aidatlu/data/README.md diff --git a/.gitignore b/.gitignore index b6e4761..4ba5ccc 100644 --- a/.gitignore +++ b/.gitignore @@ -1,3 +1,7 @@ +#debugging and data files +test.ipynb +*.h5 + # Byte-compiled / optimized / DLL files __pycache__/ *.py[cod] diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 029b753..f6a3116 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -25,7 +25,8 @@ trigger_inputs: #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH. #A 0 corresponds to a VETO and a -1 to DO NOT CARE. #TODO the way I understood this. The elements in words e.q trig_1 and trig_2 - # have a AND between them. Different words e.q. trigger_logic_1 and trigger_logic_1 have OR between them. + # have a AND between them. Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. + # So element-wise AND and dictionary-wise OR. #TODO this is not tested. #TODO Also my code will most prob. have edge cases where it will break. trigger_logic_1: diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 14901cf..b0d5df2 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -21,6 +21,9 @@ def __init__(self, TLU) -> None: self.log.success("TLU configured") def conf_dut(self) -> None: + """ Parse the configuration for the DUT interface to the AIDATLU. + Each DUT interface can run in EUDET or AIDA mode. The function takes are of the required pin configurations. + """ dut_1 = 0 dut_2 = 0 dut_3 = 0 @@ -30,7 +33,7 @@ def conf_dut(self) -> None: dut_mode_2 = 0 dut_mode_3 = 0 dut_mode_4 = 0 -# EUDET mode + # EUDET mode if self.conf['dut_module']['dut_1']['mode'] == 'eudet': self.tlu.log.info("Configure DUT 1 in EUDET mode") self.tlu.io_controller.configure_hdmi(1, '0111') @@ -51,7 +54,7 @@ def conf_dut(self) -> None: self.tlu.io_controller.configure_hdmi(4, '0111') self.tlu.io_controller.clock_hdmi_output(4, 'off') dut_1 = 0b1000 -# AIDA mode + # AIDA mode if self.conf['dut_module']['dut_1']['mode'] == 'aida': self.tlu.log.info("Configure DUT 1 in AIDA mode") self.tlu.io_controller.configure_hdmi(1, '0111') #TODO what pin configuration is needed for AIDA mode?? @@ -85,7 +88,8 @@ def conf_dut(self) -> None: self.tlu.dut_logic.set_dut_ignore_shutter(0x1) def conf_trigger_logic(self) -> None: - + """ Configures the trigger logic. So the trigger polarity and the trigger pulse length and stretch. + """ self.tlu.trigger_logic.set_trigger_polarity(self.conf['trigger_inputs']['trigger_polarity']['polarity']) @@ -97,7 +101,9 @@ def conf_trigger_logic(self) -> None: def conf_trigger_inputs(self)-> None: - + """Configures the trigger inputs. Each input can have a different threshold. + The two trigger words mask_low and mask_high are generated with the use of two support functions. + """ self.tlu.voltage_controller.set_threshold(1, self.conf['trigger_inputs']['threshold']['threshold_1']) self.tlu.voltage_controller.set_threshold(2, self.conf['trigger_inputs']['threshold']['threshold_2']) self.tlu.voltage_controller.set_threshold(3, self.conf['trigger_inputs']['threshold']['threshold_3']) @@ -111,26 +117,45 @@ def conf_trigger_inputs(self)-> None: logic_array = [] for j in self.conf['trigger_inputs']['trigger_inputs_logic'][i]: logic_array.append(self.conf['trigger_inputs']['trigger_inputs_logic'][i][j]) - trigger_word += self.find_mask_word(logic_array) + trigger_word += self._find_mask_word(logic_array) - mask_low, mask_high = self.mask_words(trigger_word) + mask_low, mask_high = self._mask_words(trigger_word) self.log.info('mask high: %s, mask low: %s' %(hex(mask_high),hex(mask_low))) self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) - def find_mask_word(self, logic_array: list) -> int: + def _find_mask_word(self, logic_array: list) -> int: + """This function creates all combination of trigger words and compares them to the one from the configuration file. + When they match the word is returned. + + Args: + logic_array (list): The combinations are compared to the logic array. + + Returns: + int: Returns the long word variant of the trigger word. + """ long_word = 0x0 for combination in range(64): - pattern_list = [(combination >> element) & 0x1 for element in range(6)] #Transform a given integer in binary in reverse order to a list. + #Transform a given integer in binary in reverse order to a list. + pattern_list = [(combination >> element) & 0x1 for element in range(6)] + #Ignore DO NOT CARE input -1 logic_array = [pattern_list[i] if logic_array[i] == -1 else logic_array[i] for i in range(len(logic_array))] valid = (logic_array == pattern_list) long_word = (valid << combination) | long_word return long_word - def mask_words(self, word: int) -> tuple: + def _mask_words(self, word: int) -> tuple: + """ Transforms the long word variant of the trigger word to the mask_low mask_high variant. + + Args: + word (int): Long word variant of the trigger word. + + Returns: + tuple: mask_low and mask_high trigger words + """ mask_low = 0xFFFFFFFF & word mask_high = word >> 32 return (mask_low, mask_high) \ No newline at end of file diff --git a/aidatlu/data/README.md b/aidatlu/data/README.md new file mode 100644 index 0000000..e41f79b --- /dev/null +++ b/aidatlu/data/README.md @@ -0,0 +1 @@ +Data folder to collect raw output data. diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 9ee2add..b09221e 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -272,7 +272,7 @@ def pull_fifo_event(self) -> list: def init_raw_data_table(self): self.data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) self.filter_data = tb.Filters(complib='blosc', complevel=5) - self.h5_file = tb.open_file('data/raw_data_run%s.h5' %self.run_number, mode='w', title='TLU') + self.h5_file = tb.open_file('data/raw_data_run%s.h5' %(self.run_number), mode='w', title='TLU') self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) @@ -315,5 +315,6 @@ def run(self) -> None: tlu = AidaTLU(hw) - tlu.default_configuration() + tlu.configure() + tlu.run() \ No newline at end of file From e7bc8f7f7761928ed129cc5fececd50ecefdb3e7 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 1 Jun 2023 16:35:02 +0200 Subject: [PATCH 22/68] some TODOs and refactoring --- aidatlu/__init__.py | 0 aidatlu/conf.yaml | 32 ++++++++----- aidatlu/config_parser.py | 27 ++++------- aidatlu/event_handler.py | 22 --------- aidatlu/{ => hardware}/clock_controller.py | 4 +- aidatlu/{ => hardware}/dut_controller.py | 8 +++- aidatlu/{ => hardware}/i2c.py | 1 - .../{ => hardware}/ioexpander_controller.py | 4 +- aidatlu/{ => hardware}/trigger_controller.py | 45 +++++++++---------- aidatlu/{ => hardware}/utils.py | 3 -- aidatlu/{ => hardware}/voltage_controller.py | 11 +++-- aidatlu/tlu.py | 45 +++++++++++-------- 12 files changed, 92 insertions(+), 110 deletions(-) create mode 100644 aidatlu/__init__.py delete mode 100644 aidatlu/event_handler.py rename aidatlu/{ => hardware}/clock_controller.py (97%) rename aidatlu/{ => hardware}/dut_controller.py (94%) rename aidatlu/{ => hardware}/i2c.py (99%) rename aidatlu/{ => hardware}/ioexpander_controller.py (99%) rename aidatlu/{ => hardware}/trigger_controller.py (78%) rename aidatlu/{ => hardware}/utils.py (99%) rename aidatlu/{ => hardware}/voltage_controller.py (94%) diff --git a/aidatlu/__init__.py b/aidatlu/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index f6a3116..4aa0cf7 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -1,5 +1,5 @@ internal_trigger: - internal_trigger_rate: 400 #Generate TLU internal trigger with given rate in Hz + internal_trigger_rate: 0 #Generate TLU internal trigger with given rate in Hz dut_module: dut_1: @@ -22,13 +22,14 @@ trigger_inputs: threshold_6: -0.2 trigger_inputs_logic: - #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH. - #A 0 corresponds to a VETO and a -1 to DO NOT CARE. - #TODO the way I understood this. The elements in words e.q trig_1 and trig_2 - # have a AND between them. Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. - # So element-wise AND and dictionary-wise OR. - #TODO this is not tested. - #TODO Also my code will most prob. have edge cases where it will break. + #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, + #a 0 corresponds to a VETO and a -1 to DO NOT CARE. + #The elements in words e.q trig_1 and trig_2 have a AND between them. + #Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. + #So element-wise AND and dictionary-wise OR. + #TODO The signal length of the trigger inputs should be analyzed + # with the osziloscope. For mor complicated OR and VETO trigger words this seems to have an effect. + #TODO the code will most prob. have edge cases where it will break. trigger_logic_1: trig_1: 1 trig_2: 0 @@ -38,16 +39,23 @@ trigger_inputs: trig_6: 0 # trigger_logic_2: - # trig_1: 1 + # trig_1: 0 # trig_2: 0 - # trig_3: 0 + # trig_3: 1 # trig_4: 0 - # trig_5: 1 + # trig_5: 0 # trig_6: 0 trigger_polarity: #TLU triggers on rising (0) or falling (1) edge polarity: 0 + trigger_signal_shape: + #Stretches and delays each trigger input signal for an number of clock cycles, + #this could be important depending on your hardware trigger setup. + stretch: [1, 1, 1, 1, 1, 1] + delay: [0, 0, 0, 0, 0, 0] + clock_lemo: - enable_clock_lemo_output: False \ No newline at end of file + enable_clock_lemo_output: False + diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index b0d5df2..6a0dc45 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -80,11 +80,11 @@ def conf_dut(self) -> None: dut_1 = 0b1000 dut_mode_1 = 0b11000000 - self.tlu.dut_logic.set_dut_mask(dut_1 + dut_2 + dut_3 + dut_4) - self.tlu.dut_logic.set_dut_mask_mode(dut_mode_1 + dut_mode_2 + dut_mode_3 + dut_mode_4) + self.tlu.dut_logic.set_dut_mask(dut_1 | dut_2 | dut_3 | dut_4) + self.tlu.dut_logic.set_dut_mask_mode(dut_mode_1 | dut_mode_2 | dut_mode_3 | dut_mode_4) #special configs self.tlu.dut_logic.set_dut_mask_mode_modifier(0) #TODO Does this have to change for AIDA mode?? - self.tlu.dut_logic.set_dut_ignore_busy(0) + self.tlu.dut_logic.set_dut_ignore_busy(0) #TODO this seems interesting check with the documentation self.tlu.dut_logic.set_dut_ignore_shutter(0x1) def conf_trigger_logic(self) -> None: @@ -93,25 +93,17 @@ def conf_trigger_logic(self) -> None: self.tlu.trigger_logic.set_trigger_polarity(self.conf['trigger_inputs']['trigger_polarity']['polarity']) - test_stretch = [1,1,1,1,1,1] - test_delay = [0,0,0,0,0,0] - - self.tlu.trigger_logic.set_pulse_stretch_pack(test_stretch) - self.tlu.trigger_logic.set_pulse_delay_pack(test_delay) - + self.tlu.trigger_logic.set_pulse_stretch_pack(self.conf['trigger_inputs']['trigger_signal_shape']['stretch']) + self.tlu.trigger_logic.set_pulse_delay_pack(self.conf['trigger_inputs']['trigger_signal_shape']['delay']) + self.tlu.trigger_logic.set_internal_trigger_frequency(self.conf['internal_trigger']['internal_trigger_rate']) def conf_trigger_inputs(self)-> None: """Configures the trigger inputs. Each input can have a different threshold. The two trigger words mask_low and mask_high are generated with the use of two support functions. """ - self.tlu.voltage_controller.set_threshold(1, self.conf['trigger_inputs']['threshold']['threshold_1']) - self.tlu.voltage_controller.set_threshold(2, self.conf['trigger_inputs']['threshold']['threshold_2']) - self.tlu.voltage_controller.set_threshold(3, self.conf['trigger_inputs']['threshold']['threshold_3']) - self.tlu.voltage_controller.set_threshold(4, self.conf['trigger_inputs']['threshold']['threshold_4']) - self.tlu.voltage_controller.set_threshold(5, self.conf['trigger_inputs']['threshold']['threshold_5']) - self.tlu.voltage_controller.set_threshold(6, self.conf['trigger_inputs']['threshold']['threshold_6']) - - #TODO Test this logc magic with the function generator + + [self.tlu.voltage_controller.set_threshold(i+1, self.conf['trigger_inputs']['threshold']['threshold_%s' %(i+1)]) for i in range(6)] + trigger_word = 0 for i in (self.conf['trigger_inputs']['trigger_inputs_logic']): logic_array = [] @@ -125,7 +117,6 @@ def conf_trigger_inputs(self)-> None: self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) - def _find_mask_word(self, logic_array: list) -> int: """This function creates all combination of trigger words and compares them to the one from the configuration file. When they match the word is returned. diff --git a/aidatlu/event_handler.py b/aidatlu/event_handler.py deleted file mode 100644 index 2554dc1..0000000 --- a/aidatlu/event_handler.py +++ /dev/null @@ -1,22 +0,0 @@ -def event_handler(self, raw_data: list) -> list: - """ #TODO data format for now array with size 7 first 6 entries are from fifo and last is timestamp. - #TODO Except for status updates during run all calculations should be after the run to minimize calculation time in while true loop. - but pob. not so important I dont have huge np arrays. - Args: - raw_data (list): _description_ - - Returns: - list: _description_ - """ - self.log.info("Event handler") - - #loop/slice through data - - raw_data[:,6] = raw_data[:,6]*25/1000000000 # Transform timestamp to seconds. - self.log.success("Done") - return raw_data - -def run_header(self, stuff) -> list: - #creates makro list of run number.. - #timestamp - pass \ No newline at end of file diff --git a/aidatlu/clock_controller.py b/aidatlu/hardware/clock_controller.py similarity index 97% rename from aidatlu/clock_controller.py rename to aidatlu/hardware/clock_controller.py index 846eb2e..56f8b3c 100644 --- a/aidatlu/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -1,4 +1,4 @@ -from i2c import I2CCore +from hardware.i2c import I2CCore import logger """ @@ -19,7 +19,7 @@ def get_device_version(self) -> int: """Get Chip information. Returns: - int: The Chip ID. #TODO what is this chip id what format should this be?? + int: The Chip ID. """ my_adress = 0x02 chip_id = 0x0 diff --git a/aidatlu/dut_controller.py b/aidatlu/hardware/dut_controller.py similarity index 94% rename from aidatlu/dut_controller.py rename to aidatlu/hardware/dut_controller.py index a8bea18..a48ee55 100644 --- a/aidatlu/dut_controller.py +++ b/aidatlu/hardware/dut_controller.py @@ -1,5 +1,5 @@ import logger -from i2c import I2CCore +from hardware.i2c import I2CCore class DUTLogic(object): def __init__(self, i2c: I2CCore): @@ -104,4 +104,8 @@ def get_dut_ignore_busy(self) -> int: return self.i2c.read_register("DUTInterfaces.IgnoreDUTBusyR") def set_dut_ignore_shutter(self, value: int) -> None: - self.i2c.write_register("DUTInterfaces.IgnoreShutterVetoW", value) \ No newline at end of file + self.i2c.write_register("DUTInterfaces.IgnoreShutterVetoW", value) + self.log.info('DUT ignore shutter set to %s' %self.get_dut_ignore_shutter()) + + def get_dut_ignore_shutter(self): + return self.i2c.read_register("DUTInterfaces.IgnoreShutterVetoR") \ No newline at end of file diff --git a/aidatlu/i2c.py b/aidatlu/hardware/i2c.py similarity index 99% rename from aidatlu/i2c.py rename to aidatlu/hardware/i2c.py index 1090185..f72185e 100644 --- a/aidatlu/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -18,7 +18,6 @@ "display": 0x3A, # Display } - class I2CCore(object): def __init__(self, hw_int): """hw_int: IPBus HwInterface instance""" diff --git a/aidatlu/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py similarity index 99% rename from aidatlu/ioexpander_controller.py rename to aidatlu/hardware/ioexpander_controller.py index 97cc7be..3049647 100644 --- a/aidatlu/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -1,7 +1,7 @@ import logger -from i2c import I2CCore +from hardware.i2c import I2CCore import time -from utils import _set_bit +from hardware.utils import _set_bit """ diff --git a/aidatlu/trigger_controller.py b/aidatlu/hardware/trigger_controller.py similarity index 78% rename from aidatlu/trigger_controller.py rename to aidatlu/hardware/trigger_controller.py index db90a05..2f3a813 100644 --- a/aidatlu/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -1,6 +1,6 @@ -from i2c import I2CCore +from hardware.i2c import I2CCore import logger -import utils +from hardware.utils import _pack_bits class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: @@ -15,26 +15,26 @@ def __init__(self, i2c: I2CCore) -> None: def set_internal_trigger_frequency(self, frequency: int) -> None: """ Sets the internal trigger frequency. - The maximum allowed Frequency is 160 MHz. #TODO This should generate internal triggers with frequency > 0 + The maximum allowed Frequency is 160 MHz. Args: - frequency (int): Frequency in Hz #TODO is this Hz? + frequency (int): Frequency in Hz """ self.log.info("Set internal trigger frequency to: %i Hz" %frequency) max_freq = 160000000 if frequency < 0: - raise ValueError("Frequency smaller than 0 does not work") + raise ValueError("Frequency smaller 0 does not work") if frequency > max_freq: - raise ValueError("Frequency larger than 160MHz does not work") + raise ValueError("Frequency larger 160MHz not allowed") if frequency == 0: interval = frequency else: - interval = int(160000000/frequency) #TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. + interval = int(160000000/frequency) #TODO here is a rounding error that comes from the interval calculations at ~10kHz. self._set_internal_trigger_interval(interval) new_freq = self.get_internal_trigger_frequency() if new_freq != frequency: - self.log.warn("Frequency is set to different value. Internal Trigger frequency is %i Hz" %self.get_internal_trigger_frequency()) + self.log.warn("Frequency set to different value. Internal Trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) def get_internal_trigger_frequency(self) -> int: """Reads the internal trigger frequency from the register. @@ -66,7 +66,6 @@ def _set_internal_trigger_interval(self, interval: int) -> None: def set_trigger_veto(self, veto: bool) -> None: """ Enables or disables new trigger. This can be used to reset the procession of new triggers. - #TODO there seems to be a bug here. After repatedly setting this to False it changes sometimes to True Args: veto (bool): Sets a veto to the trigger logic of the tlu. """ @@ -88,14 +87,12 @@ def set_trigger_polarity(self, value: int) -> int: self.log.info("Trigger on %s edge" %("falling" if value == 1 else "rising")) #TODO NOT TESTED # def set_trigger_mask(self, value: int) -> None: - def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: #TODO EUDAQ uses both functions with same name + def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: """ Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. - #TODO To set a specific trigger logic one must find right two words in the TLU. doc p. 30 - #TODO CAREFUL LOGIC TABLE IN DOC IS WRONG! The inputs are connected differently see DAC connections for threshold. - The trigger inputs are connected in the wrong way + Args: - mask_high (int): _description_ #TODO - mask_low (int): _description_ #TODO + mask_high (int): The most significant 32-bit word generated from the trigger configuration. + mask_low (int): The least significant 32-bit word generated from the trigger configuration. """ #mask_high = (value >> 32) & 0xFF #mask_low = value & 0xFF @@ -133,7 +130,7 @@ def get_pre_veto_trigger(self) -> int: """ - Trigger Pulse Length and Delay #TODO prob. to account for cable length and so on + Trigger Pulse Length and Delay """ @@ -143,9 +140,9 @@ def set_pulse_stretch_pack(self, vector: list) -> None: The vector is packed into a single word. Args: - vector (list): _description_ #TODO + vector (list): A vector containing six integers. Each trigger input is stretched by the integer number of clock cycles. """ - packed = utils._pack_bits(vector) + packed = _pack_bits(vector) self._set_pulse_stretch(packed) self.log.info("Pulse stretch is set to %s" %self.get_pulse_stretch_pack()) @@ -154,20 +151,20 @@ def set_pulse_delay_pack(self, vector: list) -> None: The vector is packed into a single word. Args: - vector (list): _description_ + vector (list): A vector containing six integers. Each trigger input is delayed by the integer number of clock cycles. """ - packed = utils._pack_bits(vector) + packed = _pack_bits(vector) self._set_pulse_delay(packed) self.log.info("Pulse Delay is set to %s" %self.get_pulse_delay_pack()) def get_pulse_stretch_pack(self) -> int: - """ Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. + """ Get packed word describing the input pulse stretch. """ return self.i2c.read_register("triggerLogic.PulseStretchR") def get_pulse_delay_pack(self) -> int: - """ Get packed word describing the input pulse stretch. #TODO a unpack function could be usefull. + """ Get packed word describing the input pulse stretch. """ return self.i2c.read_register("triggerLogic.PulseDelayR") @@ -176,7 +173,7 @@ def _set_pulse_stretch(self, value: int) -> None: """ Writes the packed word into the pulse stretch register. Args: - value (int): _description_ + value (int): The input vector packed to a single integer. """ self.i2c.write_register("triggerLogic.PulseStretchW", value) @@ -184,6 +181,6 @@ def _set_pulse_delay(self, value: int) -> None: """ Writes the packed word into the pulse delay register. Args: - value (int): _description_ + value (int): The input vector packed to a single integer. """ self.i2c.write_register("triggerLogic.PulseDelayW", value) diff --git a/aidatlu/utils.py b/aidatlu/hardware/utils.py similarity index 99% rename from aidatlu/utils.py rename to aidatlu/hardware/utils.py index 2149deb..27a4ac2 100644 --- a/aidatlu/utils.py +++ b/aidatlu/hardware/utils.py @@ -1,6 +1,3 @@ - - - def _set_bit(value: int, index: int, set: bool=True) -> int: """sets bit at given index of given value to bool set diff --git a/aidatlu/voltage_controller.py b/aidatlu/hardware/voltage_controller.py similarity index 94% rename from aidatlu/voltage_controller.py rename to aidatlu/hardware/voltage_controller.py index 3464502..36e8cbc 100644 --- a/aidatlu/voltage_controller.py +++ b/aidatlu/hardware/voltage_controller.py @@ -1,4 +1,4 @@ -from i2c import I2CCore +from hardware.i2c import I2CCore import logger """ @@ -47,13 +47,12 @@ def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: flo if channel == 6: self._set_dac_value(channel+1, dac_value, 1) self._set_dac_value(channel+1, dac_value, 2) - # print("channel = 6") + #The DAC channels are connected in reverse order. The first two channels sit on DAC 1 in reverse order. if channel < 2: - self._set_dac_value(1-channel, dac_value, 1) #The ADC channels are connected in reverse order - # print("channel < 2") + self._set_dac_value(1-channel, dac_value, 1) + #The last 4 channels sit on DAC 2 in reverse order. if channel > 1 and channel < 7: - self._set_dac_value(3-(channel-2), dac_value, 2) #No Idea what happend to these channels - # print("1 < channel < 7") + self._set_dac_value(3-(channel-2), dac_value, 2) self.log.info("Threshold of input %s set to %s V" %(trigger_input,threshold_voltage)) def set_all_voltage(self, voltage: float) -> None: diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index b09221e..c648368 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -4,13 +4,13 @@ import numpy as np import tables as tb -from i2c import I2CCore +from hardware.i2c import I2CCore -from clock_controller import ClockControl -from ioexpander_controller import IOControl -from voltage_controller import VoltageControl -from trigger_controller import TriggerLogic -from dut_controller import DUTLogic +from hardware.clock_controller import ClockControl +from hardware.ioexpander_controller import IOControl +from hardware.voltage_controller import VoltageControl +from hardware.trigger_controller import TriggerLogic +from hardware.dut_controller import DUTLogic from config_parser import TLUConfigure @@ -76,7 +76,7 @@ def get_fw_version(self) -> int: return self.i2c.read_register("version") # def reset_board(self) -> None: - # #TODO THIS FUNCTION CRASHES THE TLU. This does not work at all... + # #THIS FUNCTION CRASHES THE TLU. TLU needs a power cycle afterwards. This does not work at all... # self.i2c.write_register("logic_clocks.LogicRst", 1) def reset_timestamp(self) -> None: @@ -91,7 +91,7 @@ def reset_counters(self) -> None: self.write_status(0x0) def reset_status(self) -> None: - """ Resets the complete status and all counters #TODO I think. + """ Resets the complete status and all counters. """ self.write_status(0x3) self.write_status(0x0) @@ -142,6 +142,7 @@ def get_run_active(self) -> bool: def test_configuration(self) -> None: """ Configure DUT 1 to run in a default test configuration. Runs in EUDET mode with internal generated triggers. + This is just for testing and bugfixing. """ self.log.info("Configure DUT 1 in EUDET test mode") @@ -160,8 +161,7 @@ def test_configuration(self) -> None: def default_configuration(self) -> None: """Default configuration. Configures DUT 1 to run in EUDET mode. - #TODO set dut mask does not work with multiple DUTS - #TODO this needs a better solution, some kind of config file. But its now useful for bugfixing. + This is just for testing and bugfixing. """ test_stretch = [1,1,1,1,1,1] test_delay = [0,0,0,0,0,0] @@ -185,7 +185,7 @@ def default_configuration(self) -> None: self.trigger_logic.set_pulse_delay_pack(test_delay) self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) self.trigger_logic.set_trigger_polarity(1) - self.dut_logic.set_dut_mask('0001') #TODO the mask does not work with multiple DUTs only with single + self.dut_logic.set_dut_mask('0001') self.dut_logic.set_dut_mask_mode('00000000') self.dut_logic.set_dut_mask_mode_modifier(0) self.dut_logic.set_dut_ignore_busy(0) @@ -207,9 +207,17 @@ def stop_run(self) -> None: self.set_run_active(False) self.run_number += 1 - def status(self, time) -> None: + def status(self, time: int) -> None: + """Returns the status of the TLU run with trigger number, runtime usw. + + Args: + time (int): current runtime of the TLU + """ run_time = time*25/1000000000 - self.log.info("Run time: %.3f s, Total trigger number: %s, Trigger frequency: %.2f Hz" %(run_time, self.trigger_logic.get_pre_veto_trigger(),self.trigger_logic.get_post_veto_trigger()/run_time)) + self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Mean trigger freq.: %.f Hz" + %(run_time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),self.trigger_logic.get_post_veto_trigger()/run_time)) + # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) + # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) # self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) # self.log.info("time stamp: %s" %(self.get_timestamp())) @@ -263,8 +271,10 @@ def pull_fifo_event(self) -> list: list: _description_#TODO this is nonsense for now. """ event_numb = self.get_event_fifo_fill_level() - if event_numb: - fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb & 0xFF) #TODO check 0xFF + if event_numb*6 == 0xFEA: + self.log.warning('FIFO is full') + if event_numb and event_numb % 6 == 0: + fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb) self.i2c_hw.dispatch() return np.array(fifo_content) pass @@ -290,13 +300,12 @@ def run(self) -> None: current_time = (last_time-start_time) current_event = self.pull_fifo_event() - try: #TODO Sometimes current events consists of incomplete arrays. This needs some fixing. - # It is prob. a timing issue with the FIFO. + try: if np.size(current_event) > 1: for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. self.data_table.append(event_vec) except: - #self.log.warning('Recieved incomplete event') + self.log.warning('Recieved incomplete event') pass if loop_number %10000 == 0: self.status(current_time) From 30f682f4e90781cdf6a5a1d14d33a857ceaf9e38 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 2 Jun 2023 14:43:37 +0200 Subject: [PATCH 23/68] aida mode with trigger number --- aidatlu/conf.yaml | 19 +++++++++++------ aidatlu/config_parser.py | 29 +++++++++++++++++++++++++- aidatlu/hardware/clock_controller.py | 13 +++++++++--- aidatlu/hardware/voltage_controller.py | 3 ++- aidatlu/tlu.py | 3 ++- 5 files changed, 55 insertions(+), 12 deletions(-) diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 4aa0cf7..ae3ce15 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -1,15 +1,16 @@ internal_trigger: - internal_trigger_rate: 0 #Generate TLU internal trigger with given rate in Hz +#Generate TLU internal trigger with given rate in Hz + internal_trigger_rate: 400 dut_module: dut_1: - mode: 'eudet' # 'aida', 'eudet', 'any' + mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_3: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_4: - mode: 'off' # 'aida', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. @@ -52,10 +53,16 @@ trigger_inputs: trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, - #this could be important depending on your hardware trigger setup. + #this could be important depending on the hardware trigger setup. stretch: [1, 1, 1, 1, 1, 1] delay: [0, 0, 0, 0, 0, 0] clock_lemo: enable_clock_lemo_output: False +pmt_control: +#PMT control voltages in V + pmt_1: 0 + pmt_2: 0 + pmt_3: 0 + pmt_4: 0 \ No newline at end of file diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 6a0dc45..358d577 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -17,7 +17,7 @@ def __init__(self, TLU) -> None: self.conf_trigger_inputs() self.conf_trigger_logic() self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) - + [self.tlu.voltage_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] self.log.success("TLU configured") def conf_dut(self) -> None: @@ -79,6 +79,33 @@ def conf_dut(self) -> None: self.tlu.io_controller.clock_hdmi_output(4, 'off') dut_1 = 0b1000 dut_mode_1 = 0b11000000 + #AIDA mode with trigger number + if self.conf['dut_module']['dut_1']['mode'] == 'aidatrig': + self.tlu.log.info("Configure DUT 1 in AIDA mode with trigger number") + self.tlu.io_controller.configure_hdmi(1, '0111') #TODO what pin configuration is needed for AIDA mode?? + self.tlu.io_controller.clock_hdmi_output(1, 'off') + dut_1 = 0b0001 + dut_mode_1 = 0b00000001 + if self.conf['dut_module']['dut_2']['mode'] == 'aidatrig': + self.tlu.log.info("Configure DUT 2 in AIDA mode with trigger number") + self.tlu.io_controller.configure_hdmi(2, '0111') + self.tlu.io_controller.clock_hdmi_output(2, 'off') + dut_2 = 0b0010 + dut_mode_1 = 0b00000100 + if self.conf['dut_module']['dut_3']['mode'] == 'aidatrig': + self.tlu.log.info("Configure DUT 3 in AIDA mode with trigger number") + self.tlu.io_controller.configure_hdmi(3, '0111') + self.tlu.io_controller.clock_hdmi_output(3, 'off') + dut_1 = 0b0100 + dut_mode_1 = 0b00010000 + if self.conf['dut_module']['dut_4']['mode'] == 'aidatrig': + self.tlu.log.info("Configure DUT 4 in AIDA mode with trigger number") + self.tlu.io_controller.configure_hdmi(4, '0111') + self.tlu.io_controller.clock_hdmi_output(4, 'off') + dut_1 = 0b1000 + dut_mode_1 = 0b01000000 + + self.tlu.dut_logic.set_dut_mask(dut_1 | dut_2 | dut_3 | dut_4) self.tlu.dut_logic.set_dut_mask_mode(dut_mode_1 | dut_mode_2 | dut_mode_3 | dut_mode_4) diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index 56f8b3c..b6b33ff 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -1,4 +1,5 @@ from hardware.i2c import I2CCore +from hardware.ioexpander_controller import IOControl import logger """ @@ -8,11 +9,11 @@ """ class ClockControl(object): - def __init__(self, i2c: I2CCore) -> None: + def __init__(self, i2c: I2CCore, io_control: IOControl) -> None: self.log = logger.setup_derived_logger("Clock Controller") self.log.info("Initializing Clock Chip") self.i2c = i2c - + self.io_control = io_control self.write_clock_conf("misc/aida_tlu_clk_config.txt") def get_device_version(self) -> int: @@ -102,9 +103,15 @@ def write_clock_conf(self, file_path: str) -> None: """ clock_conf = self.parse_clock_conf(file_path) self.log.info("Writing clock configuration") - for row in clock_conf: + self.io_control.all_on('r') + for index,row in enumerate(clock_conf): self.write_clock_register(int(row[0], 16), int(row[1], 16)) + #This is just fancy, show progress of clock configuration with LEDs. + if index % 10 == 0 and int((index/len(clock_conf)*10+1)) != 5: + self.io_control.switch_led(int((index/len(clock_conf)*10+1)),'b') + self.log.success("Done writing clock configuration ") + self.io_control.all_off() def _set_page(self, page: int) -> None: """Configures chip to perform operations on specific address page. diff --git a/aidatlu/hardware/voltage_controller.py b/aidatlu/hardware/voltage_controller.py index 36e8cbc..fb0015b 100644 --- a/aidatlu/hardware/voltage_controller.py +++ b/aidatlu/hardware/voltage_controller.py @@ -98,7 +98,8 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: channel_map = 2 #0xFFFF is max DAC value - self._set_dac_value(channel_map,int(voltage*0xFFFF)) + self._set_dac_value(channel_map, int(voltage*0xFFFF)) + self.log.info('PMT channel %s set to %s V' %(pmt_channel, voltage)) def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: """Choose internal or external DAC reference diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index c648368..50b21a9 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -25,8 +25,9 @@ def __init__(self, hw) -> None: self.log.info("Found device with ID %s" % hex(self.get_device_id())) #TODO some configuration also sends out ~70 triggers. - self.clock_controller = ClockControl(self.i2c) + self.io_controller = IOControl(self.i2c) + self.clock_controller = ClockControl(self.i2c, self.io_controller) self.voltage_controller = VoltageControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) From fd7d4a0f8f256762e9a597d1372b36541bdae525 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 7 Jun 2023 14:39:01 +0200 Subject: [PATCH 24/68] Data interpreter --- aidatlu/conf.yaml | 4 +- aidatlu/data/README.md | 1 + aidatlu/data_parser.py | 89 ++++++++++++++++++++++++++++++++++++++++++ aidatlu/start_tlu.sh | 3 ++ aidatlu/tlu.py | 3 +- 5 files changed, 97 insertions(+), 3 deletions(-) create mode 100644 aidatlu/data_parser.py create mode 100755 aidatlu/start_tlu.sh diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index ae3ce15..0e0c0c3 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -4,7 +4,7 @@ internal_trigger: dut_module: dut_1: - mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_3: @@ -65,4 +65,4 @@ pmt_control: pmt_1: 0 pmt_2: 0 pmt_3: 0 - pmt_4: 0 \ No newline at end of file + pmt_4: 0 diff --git a/aidatlu/data/README.md b/aidatlu/data/README.md index e41f79b..26cdb9f 100644 --- a/aidatlu/data/README.md +++ b/aidatlu/data/README.md @@ -1 +1,2 @@ Data folder to collect raw output data. +The data format is: raw_data_runnumber_date diff --git a/aidatlu/data_parser.py b/aidatlu/data_parser.py new file mode 100644 index 0000000..a6d32ba --- /dev/null +++ b/aidatlu/data_parser.py @@ -0,0 +1,89 @@ +import numpy as np +import tables as tb +import logger +import logging + +class DataParser(object): + + def __init__(self) -> None: + self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + + def parse(self, filepath_in: str, filepath_out: str) -> None: + """ Parse the data from filepath in readable form to filepath out + + Args: + filepath_in (str): Raw data file from TLU. + filepath_out (str): New interpreted data file. + """ + table = self.read_file(filepath_in) + self.write_data(filepath_out, table) + + def read_file(self, filepath: str) -> tb: + """Reads raw data file of the TLU + + Args: + filepath (str): filepath to the data file + + Returns: + table: pytable of the raw data + """ + data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) + with tb.open_file(filepath, 'r') as file: + table = file.root.raw_data + raw_data = np.array(table[:], dtype=data) + return raw_data + + def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w4: np.array, w5: np.array) -> np.array: + """Transforms raw data to a readable dataformat + + Args: + w0 (np.array): raw data from FIFO + w1 (np.array): raw data from FIFO + w2 (np.array): raw data from FIFO + w3 (np.array): raw data from FIFO + w4 (np.array): raw data from FIFO + w5 (np.array): raw data from FIFO + + Returns: + np.array: array with coloumns + """ + features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), + ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4')]) + + event_number = w3 + timestamp = ((w0 & 0x0000FFFF << 32) + w1) + #TODO not sure what this is per. mode? + event_type = (w0 >> 28) & 0xF + #Which trigger input produced the event. + input_1 = (w0 >> 16) & 0x1 + input_2 = (w0 >> 17) & 0x1 + input_3 = (w0 >> 18) & 0x1 + input_4 = (w0 >> 19) & 0x1 + input_5 = (w0 >> 20) & 0x1 + input_6 = (w0 >> 21) & 0x1 + #TODO not sure what these are prob. something from the DACs + sc_1 = (w2 >> 24) & 0xFF + sc_2 = (w2 >> 16) & 0xFF + sc_3 = (w2 >> 8) & 0xFF + sc_4 = w2 & 0xFF + sc_5 = (w4 >> 24) & 0xFF + sc_6 = (w4 >> 16) & 0xFF + return np.array([event_number, timestamp, event_type, input_1, input_2, input_3, input_4, input_5, input_6, sc_1, sc_2, sc_3, sc_4, sc_5, sc_6]) + + def write_data(self, filepath: str, raw_data: tb) -> None: + """Analyzes the raw data table and writes it into a new .h5 file + + Args: + filepath (str): Path to the new .h5 file. + raw_data (table): raw data table + """ + features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), + ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) + + filter_data = tb.Filters(complib='blosc', complevel=5) + + data = np.rec.fromarrays(self.transform_data(raw_data['w0'], raw_data['w1'], raw_data['w2'], raw_data['w3'], raw_data['w4'], raw_data['w5']), dtype=features) + + with tb.open_file(filepath, mode='w', title='TLU_interpreted') as h5_file: + data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) + data_table.append(data) \ No newline at end of file diff --git a/aidatlu/start_tlu.sh b/aidatlu/start_tlu.sh new file mode 100755 index 0000000..c7ef95e --- /dev/null +++ b/aidatlu/start_tlu.sh @@ -0,0 +1,3 @@ +#!/bin/sh +export LD_LIBRARY_PATH=/opt/cactus/lib +python -i tlu.py diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 50b21a9..b564ec7 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -3,6 +3,7 @@ import logger import numpy as np import tables as tb +from datetime import datetime from hardware.i2c import I2CCore @@ -283,7 +284,7 @@ def pull_fifo_event(self) -> list: def init_raw_data_table(self): self.data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) self.filter_data = tb.Filters(complib='blosc', complevel=5) - self.h5_file = tb.open_file('data/raw_data_run%s.h5' %(self.run_number), mode='w', title='TLU') + self.h5_file = tb.open_file('data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')), mode='w', title='TLU') self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) From dee42b3bba6308f52b66b7f22f1b9be2530b4751 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 9 Jun 2023 14:58:37 +0200 Subject: [PATCH 25/68] small QoL --- aidatlu/config_parser.py | 3 +++ aidatlu/data_parser.py | 24 ++++++++++++------------ aidatlu/tlu.py | 19 +++++++++++++++---- 3 files changed, 30 insertions(+), 16 deletions(-) diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 358d577..71ae755 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -13,12 +13,15 @@ def __init__(self, TLU) -> None: with open(config_path, 'r') as file: self.conf = yaml.full_load(file) + + def configure(self) -> None: self.conf_dut() self.conf_trigger_inputs() self.conf_trigger_logic() self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) [self.tlu.voltage_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] self.log.success("TLU configured") + def conf_dut(self) -> None: """ Parse the configuration for the DUT interface to the AIDATLU. diff --git a/aidatlu/data_parser.py b/aidatlu/data_parser.py index a6d32ba..b4e781b 100644 --- a/aidatlu/data_parser.py +++ b/aidatlu/data_parser.py @@ -7,6 +7,7 @@ class DataParser(object): def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + def parse(self, filepath_in: str, filepath_out: str) -> None: """ Parse the data from filepath in readable form to filepath out @@ -16,7 +17,12 @@ def parse(self, filepath_in: str, filepath_out: str) -> None: filepath_out (str): New interpreted data file. """ table = self.read_file(filepath_in) - self.write_data(filepath_out, table) + features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), + ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) + data = np.rec.fromarrays(self.transform_data(table['w0'], table['w1'], table['w2'], table['w3'], table['w4'], table['w5']), dtype=features) + self.write_data(filepath_out, data, features) + + self.log.info('Data parsed from "%s" to "%s"' %(filepath_in, filepath_out)) def read_file(self, filepath: str) -> tb: """Reads raw data file of the TLU @@ -31,6 +37,7 @@ def read_file(self, filepath: str) -> tb: with tb.open_file(filepath, 'r') as file: table = file.root.raw_data raw_data = np.array(table[:], dtype=data) + self.config = str(file.root.configuration).split(' ', 2)[2] return raw_data def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w4: np.array, w5: np.array) -> np.array: @@ -47,9 +54,6 @@ def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, Returns: np.array: array with coloumns """ - features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), - ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4')]) - event_number = w3 timestamp = ((w0 & 0x0000FFFF << 32) + w1) #TODO not sure what this is per. mode? @@ -70,20 +74,16 @@ def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, sc_6 = (w4 >> 16) & 0xFF return np.array([event_number, timestamp, event_type, input_1, input_2, input_3, input_4, input_5, input_6, sc_1, sc_2, sc_3, sc_4, sc_5, sc_6]) - def write_data(self, filepath: str, raw_data: tb) -> None: + def write_data(self, filepath: str, data: np.array, features: np.dtype) -> None: """Analyzes the raw data table and writes it into a new .h5 file Args: filepath (str): Path to the new .h5 file. - raw_data (table): raw data table + data (table): raw data """ - features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), - ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) - filter_data = tb.Filters(complib='blosc', complevel=5) - data = np.rec.fromarrays(self.transform_data(raw_data['w0'], raw_data['w1'], raw_data['w2'], raw_data['w3'], raw_data['w4'], raw_data['w5']), dtype=features) - with tb.open_file(filepath, mode='w', title='TLU_interpreted') as h5_file: data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) - data_table.append(data) \ No newline at end of file + data_table.append(data) + h5_file.create_group(h5_file.root, 'configuration', self.config) \ No newline at end of file diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index b564ec7..932a1ff 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -14,6 +14,7 @@ from hardware.dut_controller import DUTLogic from config_parser import TLUConfigure +from data_parser import DataParser class AidaTLU(object): def __init__(self, hw) -> None: @@ -26,7 +27,6 @@ def __init__(self, hw) -> None: self.log.info("Found device with ID %s" % hex(self.get_device_id())) #TODO some configuration also sends out ~70 triggers. - self.io_controller = IOControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) self.voltage_controller = VoltageControl(self.i2c) @@ -34,12 +34,16 @@ def __init__(self, hw) -> None: self.dut_logic = DUTLogic(self.i2c) self.reset_configuration() + self.config_parser = TLUConfigure(self) + self.data_parser = DataParser() self.log.success("TLU initialized") # if present, init display def configure(self) -> None: - TLUConfigure(self) + """loads the conf.yaml and configures the TLU accordingly. + """ + self.config_parser.configure() def reset_configuration(self) -> None: """Switch off all outputs, reset all counters and set threshold to 1.2V @@ -282,11 +286,13 @@ def pull_fifo_event(self) -> list: pass def init_raw_data_table(self): + """ Initializes the raw data table, where the raw FIFO data is found. + """ self.data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) self.filter_data = tb.Filters(complib='blosc', complevel=5) - self.h5_file = tb.open_file('data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')), mode='w', title='TLU') + self.h5_file = tb.open_file(self.raw_data_path, mode='w', title='TLU') self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) - + self.h5_file.create_group(self.h5_file.root , 'configuration', self.config_parser.conf) def run(self) -> None: """ Start run of the TLU. @@ -295,7 +301,10 @@ def run(self) -> None: loop_number = 0 run_active = True start_time = self.get_timestamp() + self.raw_data_path = 'data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.interpreted_data_path = 'data/interpreted_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) self.init_raw_data_table() + while run_active: try: last_time = self.get_timestamp() @@ -317,6 +326,8 @@ def run(self) -> None: run_active = False self.stop_run() self.h5_file.close() + self.data_parser.parse(self.raw_data_path, self.interpreted_data_path) + self.log.success('Run finished') if __name__ == "__main__": From 4a9ccc338545d1a9fd77c22d680ace5ff52180f7 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 13 Jun 2023 16:13:10 +0200 Subject: [PATCH 26/68] LEDs reflect config + EUDET mode bugfix triggernumber --- aidatlu/conf.yaml | 10 +- aidatlu/config_parser.py | 135 +++++++--------------- aidatlu/data_parser.py | 14 +-- aidatlu/hardware/ioexpander_controller.py | 6 +- aidatlu/hardware/voltage_controller.py | 4 +- aidatlu/tlu.py | 43 ++++--- 6 files changed, 85 insertions(+), 127 deletions(-) diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 0e0c0c3..8f8c24c 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -1,6 +1,6 @@ internal_trigger: #Generate TLU internal trigger with given rate in Hz - internal_trigger_rate: 400 + internal_trigger_rate: 40 dut_module: dut_1: @@ -49,7 +49,7 @@ trigger_inputs: trigger_polarity: #TLU triggers on rising (0) or falling (1) edge - polarity: 0 + polarity: 1 trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, @@ -58,7 +58,7 @@ trigger_inputs: delay: [0, 0, 0, 0, 0, 0] clock_lemo: - enable_clock_lemo_output: False + enable_clock_lemo_output: True pmt_control: #PMT control voltages in V @@ -66,3 +66,7 @@ pmt_control: pmt_2: 0 pmt_3: 0 pmt_4: 0 + +#Save data and generate an interpreted .h5 file. Set to 'True' or 'False'. +save_raw_data: True +interpret_data: True \ No newline at end of file diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 71ae755..30f717a 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -4,119 +4,66 @@ import logger class TLUConfigure(object): - def __init__(self, TLU) -> None: + def __init__(self, TLU, io_control) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.tlu = TLU + self.io_control = io_control config_path = 'conf.yaml' with open(config_path, 'r') as file: self.conf = yaml.full_load(file) - def configure(self) -> None: + """Loads configuration file and configures the TLU accordingly. + """ self.conf_dut() self.conf_trigger_inputs() self.conf_trigger_logic() self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) [self.tlu.voltage_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] + self.tlu.set_enable_record_data(1) self.log.success("TLU configured") + def get_data_handling(self) -> tuple: + """ Information about data handling. + + Returns: + tuple: two bools, save and interpret data. + """ + return self.conf['save_raw_data'], self.conf['interpret_data'] def conf_dut(self) -> None: """ Parse the configuration for the DUT interface to the AIDATLU. - Each DUT interface can run in EUDET or AIDA mode. The function takes are of the required pin configurations. """ - dut_1 = 0 - dut_2 = 0 - dut_3 = 0 - dut_4 = 0 - - dut_mode_1 = 0 - dut_mode_2 = 0 - dut_mode_3 = 0 - dut_mode_4 = 0 - # EUDET mode - if self.conf['dut_module']['dut_1']['mode'] == 'eudet': - self.tlu.log.info("Configure DUT 1 in EUDET mode") - self.tlu.io_controller.configure_hdmi(1, '0111') - self.tlu.io_controller.clock_hdmi_output(1, 'off') - dut_1 = 0b0001 - if self.conf['dut_module']['dut_2']['mode'] == 'eudet': - self.tlu.log.info("Configure DUT 2 in EUDET mode") - self.tlu.io_controller.configure_hdmi(2, '0111') - self.tlu.io_controller.clock_hdmi_output(2, 'off') - dut_2 = 0b0010 - if self.conf['dut_module']['dut_3']['mode'] == 'eudet': - self.tlu.log.info("Configure DUT 3 in EUDET mode") - self.tlu.io_controller.configure_hdmi(3, '0111') - self.tlu.io_controller.clock_hdmi_output(3, 'off') - dut_1 = 0b0100 - if self.conf['dut_module']['dut_4']['mode'] == 'eudet': - self.tlu.log.info("Configure DUT 4 in EUDET mode") - self.tlu.io_controller.configure_hdmi(4, '0111') - self.tlu.io_controller.clock_hdmi_output(4, 'off') - dut_1 = 0b1000 - # AIDA mode - if self.conf['dut_module']['dut_1']['mode'] == 'aida': - self.tlu.log.info("Configure DUT 1 in AIDA mode") - self.tlu.io_controller.configure_hdmi(1, '0111') #TODO what pin configuration is needed for AIDA mode?? - self.tlu.io_controller.clock_hdmi_output(1, 'off') - dut_1 = 0b0001 - dut_mode_1 = 0b00000011 - if self.conf['dut_module']['dut_2']['mode'] == 'aida': - self.tlu.log.info("Configure DUT 2 in AIDA mode") - self.tlu.io_controller.configure_hdmi(2, '0111') - self.tlu.io_controller.clock_hdmi_output(2, 'off') - dut_2 = 0b0010 - dut_mode_1 = 0b00001100 - if self.conf['dut_module']['dut_3']['mode'] == 'aida': - self.tlu.log.info("Configure DUT 3 in AIDA mode") - self.tlu.io_controller.configure_hdmi(3, '0111') - self.tlu.io_controller.clock_hdmi_output(3, 'off') - dut_1 = 0b0100 - dut_mode_1 = 0b00110000 - if self.conf['dut_module']['dut_4']['mode'] == 'aida': - self.tlu.log.info("Configure DUT 4 in AIDA mode") - self.tlu.io_controller.configure_hdmi(4, '0111') - self.tlu.io_controller.clock_hdmi_output(4, 'off') - dut_1 = 0b1000 - dut_mode_1 = 0b11000000 - #AIDA mode with trigger number - if self.conf['dut_module']['dut_1']['mode'] == 'aidatrig': - self.tlu.log.info("Configure DUT 1 in AIDA mode with trigger number") - self.tlu.io_controller.configure_hdmi(1, '0111') #TODO what pin configuration is needed for AIDA mode?? - self.tlu.io_controller.clock_hdmi_output(1, 'off') - dut_1 = 0b0001 - dut_mode_1 = 0b00000001 - if self.conf['dut_module']['dut_2']['mode'] == 'aidatrig': - self.tlu.log.info("Configure DUT 2 in AIDA mode with trigger number") - self.tlu.io_controller.configure_hdmi(2, '0111') - self.tlu.io_controller.clock_hdmi_output(2, 'off') - dut_2 = 0b0010 - dut_mode_1 = 0b00000100 - if self.conf['dut_module']['dut_3']['mode'] == 'aidatrig': - self.tlu.log.info("Configure DUT 3 in AIDA mode with trigger number") - self.tlu.io_controller.configure_hdmi(3, '0111') - self.tlu.io_controller.clock_hdmi_output(3, 'off') - dut_1 = 0b0100 - dut_mode_1 = 0b00010000 - if self.conf['dut_module']['dut_4']['mode'] == 'aidatrig': - self.tlu.log.info("Configure DUT 4 in AIDA mode with trigger number") - self.tlu.io_controller.configure_hdmi(4, '0111') - self.tlu.io_controller.clock_hdmi_output(4, 'off') - dut_1 = 0b1000 - dut_mode_1 = 0b01000000 - - - - self.tlu.dut_logic.set_dut_mask(dut_1 | dut_2 | dut_3 | dut_4) - self.tlu.dut_logic.set_dut_mask_mode(dut_mode_1 | dut_mode_2 | dut_mode_3 | dut_mode_4) - #special configs - self.tlu.dut_logic.set_dut_mask_mode_modifier(0) #TODO Does this have to change for AIDA mode?? - self.tlu.dut_logic.set_dut_ignore_busy(0) #TODO this seems interesting check with the documentation + dut = [0, 0, 0, 0] + dut_mode = [0, 0, 0, 0] + for i in range(4): + if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'eudet': + self.tlu.io_controller.switch_led(i+1, 'g') + dut[i] = 2**i + if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aidatrig': + self.tlu.io_controller.switch_led(i+1, 'w') + dut[i] = 2**i + dut_mode[i] = 2**(2*i) + if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aida': + self.tlu.io_controller.switch_led(i+1, 'b') + dut[i] = 2**i + dut_mode[i] = 3*(2)**(2*i) + self.tlu.io_controller.configure_hdmi(i+1, '0111') + #The clock output needs to be enabled. If not the trigger number is not sent out in EUDET Mode with trigger number. + self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') + + #This sets the right bits to the set dut mask registers according to the configuration parameter. + self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) + self.tlu.dut_logic.set_dut_mask_mode(dut_mode[0] | dut_mode[1] | dut_mode[2] | dut_mode[3] ) + + #Special configs + self.tlu.dut_logic.set_dut_mask_mode_modifier(0) + self.tlu.dut_logic.set_dut_ignore_busy(0) self.tlu.dut_logic.set_dut_ignore_shutter(0x1) + def conf_trigger_logic(self) -> None: """ Configures the trigger logic. So the trigger polarity and the trigger pulse length and stretch. """ @@ -137,8 +84,12 @@ def conf_trigger_inputs(self)-> None: trigger_word = 0 for i in (self.conf['trigger_inputs']['trigger_inputs_logic']): logic_array = [] - for j in self.conf['trigger_inputs']['trigger_inputs_logic'][i]: + for index,j in enumerate(self.conf['trigger_inputs']['trigger_inputs_logic'][i]): logic_array.append(self.conf['trigger_inputs']['trigger_inputs_logic'][i][j]) + if self.conf['trigger_inputs']['trigger_inputs_logic'][i][j] == 1: + self.io_control.switch_led(index+6, 'g') + if self.conf['trigger_inputs']['trigger_inputs_logic'][i][j] == 0: + self.io_control.switch_led(index+6, 'r') trigger_word += self._find_mask_word(logic_array) mask_low, mask_high = self._mask_words(trigger_word) diff --git a/aidatlu/data_parser.py b/aidatlu/data_parser.py index b4e781b..5845fe0 100644 --- a/aidatlu/data_parser.py +++ b/aidatlu/data_parser.py @@ -41,15 +41,15 @@ def read_file(self, filepath: str) -> tb: return raw_data def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w4: np.array, w5: np.array) -> np.array: - """Transforms raw data to a readable dataformat + """Transforms raw data from the FIFO to a readable dataformat Args: - w0 (np.array): raw data from FIFO - w1 (np.array): raw data from FIFO - w2 (np.array): raw data from FIFO - w3 (np.array): raw data from FIFO - w4 (np.array): raw data from FIFO - w5 (np.array): raw data from FIFO + w0 (np.array): contains information which trigger input fired + w1 (np.array): contains timestamp information + w2 (np.array): trigger input information + w3 (np.array): eventnumber + w4 (np.array): trigger input information + w5 (np.array): this should always be 0. Returns: np.array: array with coloumns diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 3049647..d056be0 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -274,11 +274,7 @@ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: new_status = (old_status & (~mask)) | (new_nibble & mask) self._set_ioexpander_output(2, expander_id, bank, new_status) - if enable: #TODO move these LEDS to DUT mode blue AIDA and green EUDET or so? - self.switch_led(hdmi_channel+1, "g") - else: - self.switch_led(hdmi_channel+1, "off") - self.log.info("HDMI Channel %i %s" %(hdmi_channel+1, ("enabled" if enable else "disabled"))) + self.log.info("HDMI Channel %i set to %s" %(hdmi_channel+1, str(enable))) def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: """Enables the Clock output for one HDMI channel. diff --git a/aidatlu/hardware/voltage_controller.py b/aidatlu/hardware/voltage_controller.py index fb0015b..d248a5b 100644 --- a/aidatlu/hardware/voltage_controller.py +++ b/aidatlu/hardware/voltage_controller.py @@ -111,7 +111,6 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: #There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger!! if internal: chr = [0x00, 0x01] - else: chr = [0x00, 0x00] @@ -123,8 +122,7 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: self.i2c.write_array(self.i2c.modules["dac_2"], 0x38, chr) #self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) self.log.info( - "Set %s DAC reference" % ("internal" if internal else "external") - ) + "Set %s DAC reference of DAC %s" %(("internal" if internal else "external"), dac)) def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: """Set the output value of the power DAC diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 932a1ff..5cc25c7 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -34,7 +34,7 @@ def __init__(self, hw) -> None: self.dut_logic = DUTLogic(self.i2c) self.reset_configuration() - self.config_parser = TLUConfigure(self) + self.config_parser = TLUConfigure(self, self.io_controller) self.data_parser = DataParser() self.log.success("TLU initialized") @@ -50,8 +50,9 @@ def reset_configuration(self) -> None: """ #Disable all outputs self.io_controller.clock_lemo_output(False) - for i in range(4): self.io_controller.configure_hdmi(i+1, False) + for i in range(4): self.io_controller.configure_hdmi(i+1, 0) self.voltage_controller.set_all_voltage(0) + self.io_controller.all_off() #sets all thresholds to 1.2 V for i in range(6): self.voltage_controller.set_threshold(i+1, 1.2) #Resets all internal counters and raise the trigger veto. @@ -301,32 +302,40 @@ def run(self) -> None: loop_number = 0 run_active = True start_time = self.get_timestamp() - self.raw_data_path = 'data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) - self.interpreted_data_path = 'data/interpreted_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) - self.init_raw_data_table() - + save_data, interpret_data = self.config_parser.get_data_handling() + if save_data: + self.raw_data_path = 'data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.interpreted_data_path = 'data/interpreted_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.init_raw_data_table() + while run_active: try: last_time = self.get_timestamp() current_time = (last_time-start_time) current_event = self.pull_fifo_event() - - try: - if np.size(current_event) > 1: - for event_vec in np.split(current_event,len(current_event)/6): #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - self.data_table.append(event_vec) - except: - self.log.warning('Recieved incomplete event') - pass - if loop_number %10000 == 0: + if save_data: + try: + if np.size(current_event) > 1: + #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + for event_vec in np.split(current_event,len(current_event)/6): + self.data_table.append(event_vec) + except: + self.log.warning('Recieved incomplete event') + pass + if loop_number%10000 == 0: self.status(current_time) loop_number += 1 except: KeyboardInterrupt run_active = False self.stop_run() - self.h5_file.close() - self.data_parser.parse(self.raw_data_path, self.interpreted_data_path) + if save_data: + self.h5_file.close() + if interpret_data: + try: + self.data_parser.parse(self.raw_data_path, self.interpreted_data_path) + except: + self.log.warning("Cannot interpret data.") self.log.success('Run finished') if __name__ == "__main__": From f29df86886b4e4e310bbf760ec5054947c9e048c Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Sat, 1 Jul 2023 16:48:55 +0200 Subject: [PATCH 27/68] cleaner data parser --- aidatlu/data_parser.py | 65 ++++++++++++++++++++++++++---------------- 1 file changed, 40 insertions(+), 25 deletions(-) diff --git a/aidatlu/data_parser.py b/aidatlu/data_parser.py index 5845fe0..eb7e36a 100644 --- a/aidatlu/data_parser.py +++ b/aidatlu/data_parser.py @@ -7,8 +7,9 @@ class DataParser(object): def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + self.features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u8'), ('overflow', 'u8'), ('eventtype', 'u4'), ('input1', 'bool'), ('input2', 'bool'), ('input3', 'bool'), + ('input4', 'bool'), ('input5', 'bool'), ('input6', 'bool'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) - def parse(self, filepath_in: str, filepath_out: str) -> None: """ Parse the data from filepath in readable form to filepath out @@ -17,10 +18,8 @@ def parse(self, filepath_in: str, filepath_out: str) -> None: filepath_out (str): New interpreted data file. """ table = self.read_file(filepath_in) - features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), - ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) - data = np.rec.fromarrays(self.transform_data(table['w0'], table['w1'], table['w2'], table['w3'], table['w4'], table['w5']), dtype=features) - self.write_data(filepath_out, data, features) + data = self.transform_data(table['w0'], table['w1'], table['w2'], table['w3'], table['w4'], table['w5']) + self.write_data(filepath_out, data) self.log.info('Data parsed from "%s" to "%s"' %(filepath_in, filepath_out)) @@ -40,6 +39,20 @@ def read_file(self, filepath: str) -> tb: self.config = str(file.root.configuration).split(' ', 2)[2] return raw_data + def _create_table(self, out_file, name, title, dtype): + ''' Create hit table node for storage in out_file. + Copy configuration nodes from raw data file. + ''' + table = out_file.create_table(out_file.root, name=name, + description=dtype, + title=title, + # expectedrows=self.chunk_size, + filters=tb.Filters(complib='blosc', + complevel=5, + fletcher32=False)) + + return table + def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w4: np.array, w5: np.array) -> np.array: """Transforms raw data from the FIFO to a readable dataformat @@ -54,36 +67,38 @@ def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, Returns: np.array: array with coloumns """ - event_number = w3 - timestamp = ((w0 & 0x0000FFFF << 32) + w1) + out_array = np.zeros(len(w3), dtype=self.features) + out_array['eventnumber'] = w3 + out_array['timestamp'] = ((w0 & 0x0000FFFF << 32) + w1) + out_array['overflow'] = ((w0 & 0xFFFF)) #TODO not sure what this is per. mode? - event_type = (w0 >> 28) & 0xF + out_array['eventtype'] = (w0 >> 28) & 0xF #Which trigger input produced the event. - input_1 = (w0 >> 16) & 0x1 - input_2 = (w0 >> 17) & 0x1 - input_3 = (w0 >> 18) & 0x1 - input_4 = (w0 >> 19) & 0x1 - input_5 = (w0 >> 20) & 0x1 - input_6 = (w0 >> 21) & 0x1 + out_array['input1'] = (w0 >> 16) & 0x1 + out_array['input2'] = (w0 >> 17) & 0x1 + out_array['input3'] = (w0 >> 18) & 0x1 + out_array['input4'] = (w0 >> 19) & 0x1 + out_array['input5'] = (w0 >> 20) & 0x1 + out_array['input6'] = (w0 >> 21) & 0x1 #TODO not sure what these are prob. something from the DACs - sc_1 = (w2 >> 24) & 0xFF - sc_2 = (w2 >> 16) & 0xFF - sc_3 = (w2 >> 8) & 0xFF - sc_4 = w2 & 0xFF - sc_5 = (w4 >> 24) & 0xFF - sc_6 = (w4 >> 16) & 0xFF - return np.array([event_number, timestamp, event_type, input_1, input_2, input_3, input_4, input_5, input_6, sc_1, sc_2, sc_3, sc_4, sc_5, sc_6]) + out_array['sc1'] = (w2 >> 24) & 0xFF + out_array['sc2'] = (w2 >> 16) & 0xFF + out_array['sc3'] = (w2 >> 8) & 0xFF + out_array['sc4'] = w2 & 0xFF + out_array['sc5'] = (w4 >> 24) & 0xFF + out_array['sc6'] = (w4 >> 16) & 0xFF + return out_array - def write_data(self, filepath: str, data: np.array, features: np.dtype) -> None: + def write_data(self, filepath: str, data: np.array) -> None: """Analyzes the raw data table and writes it into a new .h5 file Args: filepath (str): Path to the new .h5 file. data (table): raw data """ - filter_data = tb.Filters(complib='blosc', complevel=5) - + #filter_data = tb.Filters(complib='blosc', complevel=5) with tb.open_file(filepath, mode='w', title='TLU_interpreted') as h5_file: - data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) + data_table = self._create_table(h5_file, name='interpreted_data', title='data', dtype=self.features) + # data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) data_table.append(data) h5_file.create_group(h5_file.root, 'configuration', self.config) \ No newline at end of file From f3c7d249b13497891378194a8f4e010bc21a8e9e Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 4 Jul 2023 15:17:27 +0200 Subject: [PATCH 28/68] improved logger + other enh. from testbeam --- aidatlu/conf.yaml | 54 ++++++++++---------- aidatlu/data/README.md | 2 - aidatlu/scripts/full_start.sh | 11 ++++ aidatlu/scripts/restart_infrastructure.sh | 8 +++ aidatlu/{ => scripts}/start_tlu.sh | 1 + aidatlu/tlu.py | 62 +++++++++++++++++++---- aidatlu/tlu_data/README.md | 3 ++ 7 files changed, 104 insertions(+), 37 deletions(-) delete mode 100644 aidatlu/data/README.md create mode 100755 aidatlu/scripts/full_start.sh create mode 100755 aidatlu/scripts/restart_infrastructure.sh rename aidatlu/{ => scripts}/start_tlu.sh (91%) create mode 100644 aidatlu/tlu_data/README.md diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 8f8c24c..2e34509 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -1,26 +1,26 @@ internal_trigger: #Generate TLU internal trigger with given rate in Hz - internal_trigger_rate: 40 + internal_trigger_rate: 0 dut_module: - dut_1: + dut_1: #BDAQ DUT mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' - dut_2: + dut_2: #BDAQ MOCK mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_3: - mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_4: + dut_3: #DATURA TELESCOPE + mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' + dut_4: #FEI4 mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: - threshold_1: -0.04 - threshold_2: -0.04 - threshold_3: -0.04 - threshold_4: -0.04 - threshold_5: -0.2 - threshold_6: -0.2 + threshold_1: -0.07 + threshold_2: -0.07 + threshold_3: -0.07 + threshold_4: -0.07 + threshold_5: -0.07 + threshold_6: -0.07 trigger_inputs_logic: #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, @@ -30,22 +30,24 @@ trigger_inputs: #So element-wise AND and dictionary-wise OR. #TODO The signal length of the trigger inputs should be analyzed # with the osziloscope. For mor complicated OR and VETO trigger words this seems to have an effect. - #TODO the code will most prob. have edge cases where it will break. + #TODO the code will most prob. have edge cases where it will break. + # all veto seems to break an all do not care + trigger_logic_1: - trig_1: 1 + trig_1: 0 trig_2: 0 - trig_3: 0 + trig_3: 1 trig_4: 0 trig_5: 0 trig_6: 0 - # trigger_logic_2: - # trig_1: 0 - # trig_2: 0 - # trig_3: 1 - # trig_4: 0 - # trig_5: 0 - # trig_6: 0 + # trigger_logic_2: + # trig_1: 0 + # trig_2: 0 + # trig_3: 0 +# trig_4: 0 +# trig_5: 0 +# trig_6: 0 trigger_polarity: #TLU triggers on rising (0) or falling (1) edge @@ -62,11 +64,11 @@ clock_lemo: pmt_control: #PMT control voltages in V - pmt_1: 0 - pmt_2: 0 + pmt_1: 0.8 + pmt_2: 0.8 pmt_3: 0 pmt_4: 0 -#Save data and generate an interpreted .h5 file. Set to 'True' or 'False'. +#Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. save_raw_data: True -interpret_data: True \ No newline at end of file +interpret_data: True diff --git a/aidatlu/data/README.md b/aidatlu/data/README.md deleted file mode 100644 index 26cdb9f..0000000 --- a/aidatlu/data/README.md +++ /dev/null @@ -1,2 +0,0 @@ -Data folder to collect raw output data. -The data format is: raw_data_runnumber_date diff --git a/aidatlu/scripts/full_start.sh b/aidatlu/scripts/full_start.sh new file mode 100755 index 0000000..c3698fa --- /dev/null +++ b/aidatlu/scripts/full_start.sh @@ -0,0 +1,11 @@ +#!/bin/sh + +CUR_DIR=$(pwd) +source ~/anaconda3/etc/profile.d/conda.sh +conda activate aidatlu +export LD_LIBRARY_PATH=/opt/cactus/lib + +cd +./git/ipbus-software/controlhub/scripts/controlhub_start +cd $CUR_DIR +cd .. diff --git a/aidatlu/scripts/restart_infrastructure.sh b/aidatlu/scripts/restart_infrastructure.sh new file mode 100755 index 0000000..eb3f22b --- /dev/null +++ b/aidatlu/scripts/restart_infrastructure.sh @@ -0,0 +1,8 @@ +#!/bin/sh + +export LD_LIBRARY_PATH=/opt/cactus/lib + +cd +./git/ipbus-software/controlhub/scripts/controlhub_stop +sleep 1 +./git/ipbus-software/controlhub/scripts/controlhub_start diff --git a/aidatlu/start_tlu.sh b/aidatlu/scripts/start_tlu.sh similarity index 91% rename from aidatlu/start_tlu.sh rename to aidatlu/scripts/start_tlu.sh index c7ef95e..095025e 100755 --- a/aidatlu/start_tlu.sh +++ b/aidatlu/scripts/start_tlu.sh @@ -1,3 +1,4 @@ #!/bin/sh export LD_LIBRARY_PATH=/opt/cactus/lib +cd .. python -i tlu.py diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 5cc25c7..6b26e1b 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -214,15 +214,19 @@ def stop_run(self) -> None: self.set_run_active(False) self.run_number += 1 - def status(self, time: int) -> None: - """Returns the status of the TLU run with trigger number, runtime usw. + def log_status(self, time: int) -> None: + """Logs the status of the TLU run with trigger number, runtime usw. + Also calculates the mean trigger frequency between function calls. Args: time (int): current runtime of the TLU """ - run_time = time*25/1000000000 - self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Mean trigger freq.: %.f Hz" - %(run_time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),self.trigger_logic.get_post_veto_trigger()/run_time)) + self.run_time = time*25/1000000000 + self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" + %(self.run_time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),(self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(self.run_time-self.time))) + self.time = self.run_time + self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() + # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) @@ -295,17 +299,39 @@ def init_raw_data_table(self): self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) self.h5_file.create_group(self.h5_file.root , 'configuration', self.config_parser.conf) + def log_trigger_inputs(self, event_vector: list) -> None: + """Logs which inputs triggered the event corresponding to the event vector. + + Args: + event_vector (list): 6 data long event vector from the FIFO. + """ + w0 = event_vector[0] + input_1 = (w0 >> 16) & 0x1 + input_2 = (w0 >> 17) & 0x1 + input_3 = (w0 >> 18) & 0x1 + input_4 = (w0 >> 19) & 0x1 + input_5 = (w0 >> 20) & 0x1 + input_6 = (w0 >> 21) & 0x1 + self.log.info('Event triggered:') + self.log.info('Input 1: %s, Input 2: %s, Input 3: %s, Input 4: %s, Input 5: %s, Input 6: %s' %(input_1, input_2, input_3, input_4, input_5, input_6)) + + def run(self) -> None: """ Start run of the TLU. """ self.start_run() - loop_number = 0 run_active = True + #reset starting parameter + loop_number = 0 start_time = self.get_timestamp() + self.time = 0 + self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() + first_event = True + #prepare data handling save_data, interpret_data = self.config_parser.get_data_handling() if save_data: - self.raw_data_path = 'data/raw_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) - self.interpreted_data_path = 'data/interpreted_data_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.raw_data_path = 'tlu_data/tlu_raw_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.interpreted_data_path = 'tlu_data/tlu_interpreted_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) self.init_raw_data_table() while run_active: @@ -322,13 +348,31 @@ def run(self) -> None: except: self.log.warning('Recieved incomplete event') pass + #TODO this should be in second not in loop numbers. At high hitrate (~10k) the loop gets slower and status logs are rare. if loop_number%10000 == 0: - self.status(current_time) + if loop_number > 0: + self.log_status(current_time) + #This loop sents which inputs produced the trigger signal of the first event. + if (np.size(current_event) > 1) and first_event: #TODO only first event? + self.log_trigger_inputs(current_event) + first_event = False loop_number += 1 + #Stops the TLU after some time in seconds. + #if current_time*25/1000000000 > 600: + # run_active = False except: KeyboardInterrupt run_active = False + self.stop_run() + #Cleanup of FIFO + try: + while np.size(current_event) > 1: + current_event = self.pull_fifo_event() + except: + KeyboardInterrupt + self.log.warning('Interupted FIFO cleanup') + if save_data: self.h5_file.close() if interpret_data: diff --git a/aidatlu/tlu_data/README.md b/aidatlu/tlu_data/README.md new file mode 100644 index 0000000..cdd3299 --- /dev/null +++ b/aidatlu/tlu_data/README.md @@ -0,0 +1,3 @@ +Data folder to collect raw output data and interpreted data. +The data format is: tlu_raw_runnumber_date or tlu_interpreted_runnumber_date. + From 155ded1ef59a9338f8b1c757967b5d7c06ffcb5e Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 21 Jul 2023 13:54:49 +0200 Subject: [PATCH 29/68] small QoL --- aidatlu/conf.yaml | 28 +++++------ aidatlu/config_parser.py | 4 +- ...oltage_controller.py => dac_controller.py} | 3 +- aidatlu/hardware/ioexpander_controller.py | 1 - aidatlu/tlu.py | 48 +++++++++---------- 5 files changed, 39 insertions(+), 45 deletions(-) rename aidatlu/hardware/{voltage_controller.py => dac_controller.py} (99%) diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 2e34509..61c6a48 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -8,19 +8,19 @@ dut_module: dut_2: #BDAQ MOCK mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_3: #DATURA TELESCOPE - mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_4: #FEI4 mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: - threshold_1: -0.07 - threshold_2: -0.07 - threshold_3: -0.07 - threshold_4: -0.07 - threshold_5: -0.07 - threshold_6: -0.07 + threshold_1: -0.04 + threshold_2: -0.1 + threshold_3: -0.04 + threshold_4: -0.1 + threshold_5: -0.1 + threshold_6: -0.1 trigger_inputs_logic: #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, @@ -34,12 +34,12 @@ trigger_inputs: # all veto seems to break an all do not care trigger_logic_1: - trig_1: 0 - trig_2: 0 - trig_3: 1 - trig_4: 0 - trig_5: 0 - trig_6: 0 + trig_1: 1 + trig_2: -1 + trig_3: -1 + trig_4: -1 + trig_5: -1 + trig_6: -1 # trigger_logic_2: # trig_1: 0 @@ -56,7 +56,7 @@ trigger_inputs: trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, #this could be important depending on the hardware trigger setup. - stretch: [1, 1, 1, 1, 1, 1] + stretch: [1, 1, 2, 1, 1, 1] delay: [0, 0, 0, 0, 0, 0] clock_lemo: diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 30f717a..cb98370 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -21,7 +21,7 @@ def configure(self) -> None: self.conf_trigger_inputs() self.conf_trigger_logic() self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) - [self.tlu.voltage_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] + [self.tlu.dac_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] self.tlu.set_enable_record_data(1) self.log.success("TLU configured") @@ -79,7 +79,7 @@ def conf_trigger_inputs(self)-> None: The two trigger words mask_low and mask_high are generated with the use of two support functions. """ - [self.tlu.voltage_controller.set_threshold(i+1, self.conf['trigger_inputs']['threshold']['threshold_%s' %(i+1)]) for i in range(6)] + [self.tlu.dac_controller.set_threshold(i+1, self.conf['trigger_inputs']['threshold']['threshold_%s' %(i+1)]) for i in range(6)] trigger_word = 0 for i in (self.conf['trigger_inputs']['trigger_inputs_logic']): diff --git a/aidatlu/hardware/voltage_controller.py b/aidatlu/hardware/dac_controller.py similarity index 99% rename from aidatlu/hardware/voltage_controller.py rename to aidatlu/hardware/dac_controller.py index d248a5b..3c7bdcd 100644 --- a/aidatlu/hardware/voltage_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -7,7 +7,7 @@ """ -class VoltageControl(object): +class DacControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") @@ -18,7 +18,6 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_dac_reference(int_ref, 1) self._set_dac_reference(int_ref, 2) - def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: float = 1.3) -> None: """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index d056be0..9361f55 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -9,7 +9,6 @@ """ - class IOControl(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("IO Expander") diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 6b26e1b..51d6822 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -9,7 +9,7 @@ from hardware.clock_controller import ClockControl from hardware.ioexpander_controller import IOControl -from hardware.voltage_controller import VoltageControl +from hardware.dac_controller import DacControl from hardware.trigger_controller import TriggerLogic from hardware.dut_controller import DUTLogic from config_parser import TLUConfigure @@ -29,7 +29,7 @@ def __init__(self, hw) -> None: #TODO some configuration also sends out ~70 triggers. self.io_controller = IOControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) - self.voltage_controller = VoltageControl(self.i2c) + self.dac_controller = DacControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) @@ -51,10 +51,10 @@ def reset_configuration(self) -> None: #Disable all outputs self.io_controller.clock_lemo_output(False) for i in range(4): self.io_controller.configure_hdmi(i+1, 0) - self.voltage_controller.set_all_voltage(0) + self.dac_controller.set_all_voltage(0) self.io_controller.all_off() #sets all thresholds to 1.2 V - for i in range(6): self.voltage_controller.set_threshold(i+1, 1.2) + for i in range(6): self.dac_controller.set_threshold(i+1, 1.2) #Resets all internal counters and raise the trigger veto. self.set_run_active(False) self.reset_status() @@ -182,12 +182,12 @@ def default_configuration(self) -> None: self.io_controller.clock_hdmi_output(3, 'off') self.io_controller.clock_hdmi_output(4, 'off') self.io_controller.clock_lemo_output(False) - self.voltage_controller.set_threshold(1, -0.04) - self.voltage_controller.set_threshold(2, -0.04) - self.voltage_controller.set_threshold(3, -0.04) - self.voltage_controller.set_threshold(4, -0.04) - self.voltage_controller.set_threshold(5, -0.2) - self.voltage_controller.set_threshold(6, -0.2) + self.dac_controller.set_threshold(1, -0.04) + self.dac_controller.set_threshold(2, -0.04) + self.dac_controller.set_threshold(3, -0.04) + self.dac_controller.set_threshold(4, -0.04) + self.dac_controller.set_threshold(5, -0.2) + self.dac_controller.set_threshold(6, -0.2) self.trigger_logic.set_pulse_stretch_pack(test_stretch) self.trigger_logic.set_pulse_delay_pack(test_delay) self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) @@ -221,10 +221,9 @@ def log_status(self, time: int) -> None: Args: time (int): current runtime of the TLU """ - self.run_time = time*25/1000000000 self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" - %(self.run_time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),(self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(self.run_time-self.time))) - self.time = self.run_time + %(time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),(self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(time-self.last_time))) + self.last_time = time self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) @@ -237,7 +236,7 @@ def set_enable_record_data(self, value: int) -> None: """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. Args: - value (int): _description_ + value (int): #TODO I think this does not work """ self.i2c.write_register("Event_Formatter.Enable_Record_Data", value) @@ -245,7 +244,7 @@ def get_event_fifo_csr(self) -> int: """ Reads value from 'EventFifoCSR' Returns: - int: _description_#TODO + int: number of events """ return self.i2c.read_register("eventBuffer.EventFifoCSR") @@ -253,7 +252,7 @@ def get_event_fifo_fill_level(self) -> int: """Reads value from 'EventFifoFillLevel' Returns: - int: _description_ #TODO + int: buffer level of the fifi """ return self.i2c.read_register("eventBuffer.EventFifoFillLevel") @@ -279,7 +278,7 @@ def pull_fifo_event(self) -> list: #TODO check here if the FIFO is full and reset it if needed would prob. make sense. Returns: - list: _description_#TODO this is nonsense for now. + list: 6 element long vector containing bitwords of the data. """ event_numb = self.get_event_fifo_fill_level() if event_numb*6 == 0xFEA: @@ -322,9 +321,8 @@ def run(self) -> None: self.start_run() run_active = True #reset starting parameter - loop_number = 0 start_time = self.get_timestamp() - self.time = 0 + self.last_time = 0 self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() first_event = True #prepare data handling @@ -337,7 +335,7 @@ def run(self) -> None: while run_active: try: last_time = self.get_timestamp() - current_time = (last_time-start_time) + current_time = (last_time-start_time)*25/1000000000 current_event = self.pull_fifo_event() if save_data: try: @@ -348,17 +346,15 @@ def run(self) -> None: except: self.log.warning('Recieved incomplete event') pass - #TODO this should be in second not in loop numbers. At high hitrate (~10k) the loop gets slower and status logs are rare. - if loop_number%10000 == 0: - if loop_number > 0: - self.log_status(current_time) + #Logs status every 2s. + if current_time - self.last_time > 2: + self.log_status(current_time) #This loop sents which inputs produced the trigger signal of the first event. if (np.size(current_event) > 1) and first_event: #TODO only first event? self.log_trigger_inputs(current_event) first_event = False - loop_number += 1 #Stops the TLU after some time in seconds. - #if current_time*25/1000000000 > 600: + #if current_time* > 600: # run_active = False except: KeyboardInterrupt From 372bfc777f19d908e74975bf91d8526228632c87 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 31 Jul 2023 15:49:02 +0200 Subject: [PATCH 30/68] small fixes + producer skeleton + hardware tests --- aidatlu/TLUPyProducer.py | 94 ++++++++++++ aidatlu/conf.yaml | 14 +- aidatlu/hardware/clock_controller.py | 1 - aidatlu/hardware/dac_controller.py | 4 +- aidatlu/test.py | 218 +++++++++++++++++++++++++++ aidatlu/tlu.py | 1 + 6 files changed, 322 insertions(+), 10 deletions(-) create mode 100644 aidatlu/TLUPyProducer.py create mode 100644 aidatlu/test.py diff --git a/aidatlu/TLUPyProducer.py b/aidatlu/TLUPyProducer.py new file mode 100644 index 0000000..b98d802 --- /dev/null +++ b/aidatlu/TLUPyProducer.py @@ -0,0 +1,94 @@ +#! /usr/bin/env python3 +# load binary lib/pyeudaq.so +import pyeudaq +from pyeudaq import EUDAQ_INFO, EUDAQ_ERROR +import time +import tlu +import uhal + +""" +Example Producer from EUDAQ +This is not well tested. But something like this should work. +Prob. one needs to work a bit on the run loop. + +""" + +def exception_handler(method): + def inner(*args, **kwargs): + try: + return method(*args, **kwargs) + except Exception as e: + EUDAQ_ERROR(str(e)) + raise e + return inner + +class TLUPyProducer(pyeudaq.Producer): + def __init__(self, name, runctrl): + pyeudaq.Producer.__init__(self, name, runctrl) + + self.is_running = 0 + EUDAQ_INFO('New instance of TLUPyProducer') + + @exception_handler + def DoInitialise(self): + EUDAQ_INFO('DoInitialise') + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + self.tlu = tlu.AidaTLU(hw) + #print 'key_a(init) = ', self.GetInitItem("key_a") + + @exception_handler + def DoConfigure(self): + EUDAQ_INFO('DoConfigure') + self.tlu.configure() + #print 'key_b(conf) = ', self.GetConfigItem("key_b") + + @exception_handler + def DoStartRun(self): + EUDAQ_INFO('DoStartRun') + self.tlu.run() + self.is_running = 1 + + @exception_handler + def DoStopRun(self): + EUDAQ_INFO('DoStopRun') + self.tlu.stop_run() + self.is_running = 0 + + @exception_handler + def DoReset(self): + EUDAQ_INFO('DoReset') + self.tlu.reset_configuration() + self.is_running = 0 + + @exception_handler + def RunLoop(self): + EUDAQ_INFO("Start of RunLoop in TLUPyProducer") + trigger_n = 0 + #TODO here the Run loop from the tlu is probably needed + while(self.is_running): + ev = pyeudaq.Event("RawEvent", "sub_name") + ev.SetTriggerN(trigger_n) + #block = bytes(r'raw_data_string') + #ev.AddBlock(0, block) + #print ev + # Mengqing: + datastr = 'raw_data_string' + block = bytes(datastr, 'utf-8') + ev.AddBlock(0, block) + #print(ev) + + self.SendEvent(ev) + trigger_n += 1 + time.sleep(1) + EUDAQ_INFO("End of RunLoop in TLUPyProducer") + +if __name__ == "__main__": + myproducer = TLUPyProducer("AIDA_TLU", "tcp://localhost:44000") + print ("connecting to runcontrol in localhost:44000", ) + myproducer.Connect() + time.sleep(2) + while(myproducer.IsConnected()): + time.sleep(1) \ No newline at end of file diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 61c6a48..2d9fb2e 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -16,11 +16,11 @@ trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: threshold_1: -0.04 - threshold_2: -0.1 - threshold_3: -0.04 - threshold_4: -0.1 - threshold_5: -0.1 - threshold_6: -0.1 + threshold_2: -0.04 + threshold_3: -0.06 + threshold_4: -0.04 + threshold_5: -0.04 + threshold_6: -0.04 trigger_inputs_logic: #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, @@ -34,9 +34,9 @@ trigger_inputs: # all veto seems to break an all do not care trigger_logic_1: - trig_1: 1 + trig_1: -1 trig_2: -1 - trig_3: -1 + trig_3: 1 trig_4: -1 trig_5: -1 trig_6: -1 diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index b6b33ff..618c581 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -14,7 +14,6 @@ def __init__(self, i2c: I2CCore, io_control: IOControl) -> None: self.log.info("Initializing Clock Chip") self.i2c = i2c self.io_control = io_control - self.write_clock_conf("misc/aida_tlu_clk_config.txt") def get_device_version(self) -> int: """Get Chip information. diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 3c7bdcd..43c0a57 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -50,7 +50,7 @@ def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: flo if channel < 2: self._set_dac_value(1-channel, dac_value, 1) #The last 4 channels sit on DAC 2 in reverse order. - if channel > 1 and channel < 7: + if channel > 1 and channel < 6: self._set_dac_value(3-(channel-2), dac_value, 2) self.log.info("Threshold of input %s set to %s V" %(trigger_input,threshold_voltage)) @@ -67,7 +67,7 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: """Sets given PMT DAC to given output voltage. Args: - pmt_channel (int): DAC channel for the PMT + pmt_channel (int): DAC channel for the PMT from 1 to 4. voltage (float): DAC output voltage """ diff --git a/aidatlu/test.py b/aidatlu/test.py new file mode 100644 index 0000000..e6b432e --- /dev/null +++ b/aidatlu/test.py @@ -0,0 +1,218 @@ +from hardware.i2c import I2CCore +from hardware.utils import _set_bit +from hardware.ioexpander_controller import IOControl +from hardware.dac_controller import DacControl +from hardware.clock_controller import ClockControl +from hardware.dut_controller import DUTLogic +from hardware.trigger_controller import TriggerLogic +from tlu import AidaTLU + +import time +import numpy as np +import uhal +import logger +import logging + +class Test_IOCControl(object): + def __init__(self, i2c: I2CCore) -> None: + self.i2c = i2c + self.ioexpander = IOControl(i2c) + + def test_ioexpander(self): + log.info('Testing IO Expander') + self.test_clock_lemo_output() + self.test_configure_hdmi() + self.test_ioexpander_led() + log.success('IO Expander tested') + + def test_ioexpander_led(self) -> None: + self.ioexpander.all_off() + self.ioexpander.test_leds(single = True) + self.ioexpander.all_off() + time.sleep(1) + self.ioexpander.all_on() + time.sleep(2) + self.ioexpander.all_off() + + def test_configure_hdmi(self) -> None: + for i in range(4): + self.ioexpander.configure_hdmi(i+1, '1111') + self.ioexpander.clock_hdmi_output(i+1, 'chip') + time.sleep(1) + self.ioexpander.configure_hdmi(i+1, '0000') + self.ioexpander.clock_hdmi_output(i+1, 'off') + + def test_clock_lemo_output(self): + self.ioexpander.clock_lemo_output(True) + time.sleep(1) + self.ioexpander.clock_lemo_output(False) + +class Test_DacControl(object): + def __init__(self, i2c: I2CCore) -> None: + self.i2c = i2c + self.dac_true = DacControl(i2c, True) + self.dac_false = DacControl(i2c, False) + + def test_dac(self): + log.info('Testing DAC') + self.test_set_threshold() + self.test_set_voltage() + log.success('DAC tested') + + def test_set_threshold(self) -> None: + for i in range(7): + for volts in np.arange(-1.3, 1.3, 0.2): + self.dac_true.set_threshold(i+1, volts) + time.sleep(0.2) + self.dac_true.set_threshold(i+1, 0) + time.sleep(0.5) + for i in range(7): + for volts in np.arange(-1.3, 1.3, 0.2): + self.dac_false.set_threshold(i+1, volts) + time.sleep(0.2) + self.dac_false.set_threshold(i+1, 0) + + def test_set_voltage(self) -> None: + for i in range(4): + for volts in np.arange(0, 1, 0.1): + self.dac_true.set_voltage(i+1, volts) + time.sleep(0.2) + self.dac_true.set_all_voltage(0) + +class Test_ClockControl(object): + def __init__(self, i2c: I2CCore) -> None: + self.i2c = i2c + self.ioexpander = IOControl(i2c) + self.clock = ClockControl(i2c, self.ioexpander) + + def test_clock(self): + log.info('Testing Clock Chip') + + clock.test_device_info() + clock.test_write_clock_register() + log.success('Clock Chip tested') + + def test_device_info(self) -> None: + self.clock.log.info('Device Version: %i' %self.clock.get_device_version()) + self.clock.log.info('Design ID: %s' %self.clock.check_design_id()) + + def test_write_clock_register(self): + self.clock.write_clock_conf('misc/aida_tlu_clk_config.txt') + +class Test_DUTLogic(object): + def __init__(self, i2c: I2CCore) -> None: + self.i2c = i2c + self.dut = DUTLogic(i2c) + + def test_dut(self): + log.info('Testing DUT Logic') + time.sleep(1) + self.test_set_dut_ignore_busy() + self.test_set_dut_mask() + self.test_set_dut_mask_mode() + self.test_set_dut_mask_modifier() + log.success('DUT Logic tested') + + def test_set_dut_mask(self) -> None: + self.dut.set_dut_mask('1111') + time.sleep(1) + self.dut.set_dut_mask('0000') + + def test_set_dut_mask_mode(self): + self.dut.set_dut_mask_mode('00000000') + time.sleep(1) + self.dut.set_dut_mask_mode('11111111') + time.sleep(1) + self.dut.set_dut_mask_mode('01010101') + + def test_set_dut_mask_modifier(self) -> None: + #TODO What input here? + self.dut.set_dut_mask_mode_modifier(1) + time.sleep(1) + self.dut.set_dut_mask_mode_modifier(0) + + def test_set_dut_ignore_busy(self): + self.dut.set_dut_ignore_busy('1111') + time.sleep(1) + self.dut.set_dut_ignore_busy('0000') + + def test_set_dut_ignore_busy(self) -> None: + self.dut.set_dut_ignore_shutter(0) + +class Test_TriggerLogic(object): + def __init__(self, i2c: I2CCore) -> None: + self.i2c = i2c + self.trigger = TriggerLogic(i2c) + + def test_trigger(self): + log.info('Testing Trigger Logic') + self.test_set_internal_trigger_frequency() + self.test_set_pulse_delay_pack() + self.test_set_pulse_stretch_pack() + self.test_set_trigger_mask() + self.test_set_trigger_polarity() + self.test_set_trigger_veto() + log.success('Trigger Logic tested') + + def test_set_internal_trigger_frequency(self) -> None: + self.trigger.set_internal_trigger_frequency(0) + + def test_set_trigger_veto(self) -> None: + self.trigger.set_trigger_veto(True) + time.sleep(1) + self.trigger.set_trigger_veto(False) + + def test_set_trigger_polarity(self): + self.trigger.set_trigger_polarity(1) + time.sleep(1) + self.trigger.set_trigger_polarity(0) + + def test_set_trigger_mask(self): + self.trigger.set_trigger_mask(0b0, 0b1) + time.sleep(1) + self.trigger.set_trigger_mask(0b0, 0b0) + + def test_set_pulse_stretch_pack(self) -> None: + self.trigger.set_pulse_stretch_pack([1, 1, 1, 1, 1, 1]) + time.sleep(1) + self.trigger.set_pulse_stretch_pack([2, 2, 2, 2, 2, 2]) + + def test_set_pulse_delay_pack(self) -> None: + self.trigger.set_pulse_delay_pack([0, 0, 0, 0, 0, 0]) + time.sleep(1) + self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) + +if __name__ == "__main__": + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + log = logger.setup_main_logger('Test AidaTLU', logging.DEBUG) + + log.info('Init I2C Core') + i2c = I2CCore(hw) + i2c.init() + + expander = Test_IOCControl(i2c) + expander.test_ioexpander() + + dac = Test_DacControl(i2c) + dac.test_dac() + + clock = Test_ClockControl(i2c) + clock.test_clock() + + dut = Test_DUTLogic(i2c) + dut.test_dut() + + trigger = Test_TriggerLogic(i2c) + trigger.test_trigger() + + log.info('Testing TLU') + tlu = AidaTLU(hw) + log.info('TLU Device ID: %s' %tlu.get_device_id()) + log.info('TLU FW Version: %s' %tlu.get_fw_version()) + log.success('TLU ID found') + tlu.test_configuration() + tlu.default_configuration() + log.success('TLU Test Configured') \ No newline at end of file diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 51d6822..98aef0b 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -29,6 +29,7 @@ def __init__(self, hw) -> None: #TODO some configuration also sends out ~70 triggers. self.io_controller = IOControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) + self.clock_controller.write_clock_conf('misc/aida_tlu_clk_config.txt') self.dac_controller = DacControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) From 33c70976ba4d17157684897c564796994aac353e Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 4 Aug 2023 15:49:02 +0200 Subject: [PATCH 31/68] online monitor for status --- aidatlu/conf.yaml | 22 +++-- aidatlu/config_parser.py | 8 ++ aidatlu/online_monitor/configuration.yaml | 22 +++++ aidatlu/online_monitor/tlu_converter.py | 27 ++++++ aidatlu/online_monitor/tlu_receiver.py | 103 ++++++++++++++++++++++ aidatlu/tlu.py | 92 ++++++++++++------- 6 files changed, 232 insertions(+), 42 deletions(-) create mode 100644 aidatlu/online_monitor/configuration.yaml create mode 100644 aidatlu/online_monitor/tlu_converter.py create mode 100644 aidatlu/online_monitor/tlu_receiver.py diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 2d9fb2e..b898c00 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -15,12 +15,12 @@ dut_module: trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: - threshold_1: -0.04 - threshold_2: -0.04 + threshold_1: -0.06 + threshold_2: -0.06 threshold_3: -0.06 - threshold_4: -0.04 - threshold_5: -0.04 - threshold_6: -0.04 + threshold_4: -0.06 + threshold_5: -0.06 + threshold_6: -0.06 trigger_inputs_logic: #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, @@ -56,8 +56,8 @@ trigger_inputs: trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, #this could be important depending on the hardware trigger setup. - stretch: [1, 1, 2, 1, 1, 1] - delay: [0, 0, 0, 0, 0, 0] + stretch: [5, 5, 5, 5, 5, 5] + delay: [1, 1, 1, 1, 1, 1] clock_lemo: enable_clock_lemo_output: True @@ -70,5 +70,9 @@ pmt_control: pmt_4: 0 #Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. -save_raw_data: True -interpret_data: True +save_raw_data: False +interpret_data: False + +#zmq connection leave it blank or set to 'off' if not needed +zmq_connection: "tcp://127.0.0.1:6500" + diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index cb98370..476e020 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -33,6 +33,14 @@ def get_data_handling(self) -> tuple: """ return self.conf['save_raw_data'], self.conf['interpret_data'] + def get_zmq_connection(self) -> str: + """ Information about the zmq Address + + Returns: + str: ZMQ Address + """ + return self.conf['zmq_connection'] + def conf_dut(self) -> None: """ Parse the configuration for the DUT interface to the AIDATLU. """ diff --git a/aidatlu/online_monitor/configuration.yaml b/aidatlu/online_monitor/configuration.yaml new file mode 100644 index 0000000..dd7c46c --- /dev/null +++ b/aidatlu/online_monitor/configuration.yaml @@ -0,0 +1,22 @@ +# producer_sim : +# DAQ0 : +# kind : example_producer_sim +# delay : 0.02 +# backend : tcp://127.0.0.1:5500 + +# DAQ1 : +# kind : example_producer_sim +# delay : 0.02 +# backend : tcp://127.0.0.1:5501 + +converter : + AIDA_TLU_Converter : + kind : tlu_converter + frontend : tcp://127.0.0.1:6500 + backend : tcp://127.0.0.1:7501 + theshold: 10 +receiver : + AIDA_TLU : + kind : tlu_receiver + frontend : tcp://127.0.0.1:7501 + \ No newline at end of file diff --git a/aidatlu/online_monitor/tlu_converter.py b/aidatlu/online_monitor/tlu_converter.py new file mode 100644 index 0000000..a958ed3 --- /dev/null +++ b/aidatlu/online_monitor/tlu_converter.py @@ -0,0 +1,27 @@ + +from online_monitor.converter.transceiver import Transceiver +import zmq + + +class AIDATLUConverter(Transceiver): + + def deserialize_data(self, data): + m = data.decode() + m = ''.join([i for i in m if i not in ['[' ,']', ' ']]) + m = m.split(' ') + m = list(filter(None, m)) + for i in range(len(m)): + m[i] = m[i].replace(',', '') + m = [float(i) for i in m] + return m + + def interpret_data(self, data): + return data + + def serialize_data(self, data): + return data + #return jsonapi.dumps(data, cls=utils.NumpyEncoder) + + def send_data(self, data): + for actual_backend in self.backends: + actual_backend[1].send_string(str(data), flags=zmq.NOBLOCK) \ No newline at end of file diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py new file mode 100644 index 0000000..7f1d7dd --- /dev/null +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -0,0 +1,103 @@ +import time +from online_monitor.receiver.receiver import Receiver + +import pyqtgraph as pg +from pyqtgraph.dockarea import DockArea, Dock +from PyQt5 import QtWidgets +import pyqtgraph as pg +from pyqtgraph.dockarea import DockArea, Dock + +class AIDATLUReciever(Receiver): + + def setup_receiver(self): + #self.set_bidirectional_communication() # We want to change converter settings + self.hitrate_data = [] + self.runtime = [] + + def setup_widgets(self, parent, name): + dock_area = DockArea() + parent.addTab(dock_area, name) + # Docks + dock_rate = Dock("Particle rate (Trigger rate)", size=(400, 400)) + dock_status = Dock("Status", size=(800, 40)) + dock_area.addDock(dock_rate, 'above') + dock_area.addDock(dock_status, 'top') + + # Status dock on top + cw = QtWidgets.QWidget() + cw.setStyleSheet("QWidget {background-color:white}") + layout = QtWidgets.QGridLayout() + cw.setLayout(layout) + + self.hit_rate_label = QtWidgets.QLabel("Trigger Frequency\n0 Hz") + self.timestamp_label = QtWidgets.QLabel("Run Time\n0 s") + self.event_numb_label = QtWidgets.QLabel("Event Number\n0") + self.total_trig_numb = QtWidgets.QLabel("Total Trigger Number\n0") + self.reset_button = QtWidgets.QPushButton('Reset') + layout.addWidget(self.timestamp_label, 0, 0, 0, 1) + layout.addWidget(self.event_numb_label, 0, 1, 0, 1) + layout.addWidget(self.hit_rate_label, 0, 6, 0, 1) + layout.addWidget(self.total_trig_numb, 0, 3, 0, 1) + layout.addWidget(self.reset_button, 0, 7, 0, 1) + dock_status.addWidget(cw) + + self.reset_button.clicked.connect(lambda: self._reset()) + + # # particle rate dock + trigger_rate_graphics = pg.GraphicsLayoutWidget() + trigger_rate_graphics.show() + plot_trigger_rate = pg.PlotItem(labels={'left': 'Trigger Rate / Hz', 'bottom': 'Run Time / s'}) + self.trigger_rate_acc_curve = pg.PlotCurveItem(pen='#B00B13') + + # # add legend + legend_acc = pg.LegendItem(offset=(80, 10)) + legend_acc.setParentItem(plot_trigger_rate) + legend_acc.addItem(self.trigger_rate_acc_curve, 'Trigger Rate') + + # # add items to plots and customize plots viewboxes + plot_trigger_rate.addItem(self.trigger_rate_acc_curve) + plot_trigger_rate.vb.setBackgroundColor('#E6E5F4') + #plot_trigger_rate.setXRange(0, 200) + plot_trigger_rate.getAxis('left').setZValue(0) + plot_trigger_rate.getAxis('left').setGrid(155) + + # # add plots to graphicslayout and layout to dock + trigger_rate_graphics.addItem(plot_trigger_rate, row=0, col=1, rowspan=1, colspan=2) + dock_rate.addWidget(trigger_rate_graphics) + + # # add dict of all used plotcurveitems for individual handling of each plot + self.plots = {'trigger_rate_acc': self.trigger_rate_acc_curve} + self.plot_delay = 0 + + def deserialize_data(self, data): + #Ok alot of string decoding dont panic it works + m = data.decode("utf-8") + m = ''.join([i for i in m if i not in ['[' ,']', ' ']]) + m = m.split(' ') + address = m[0].replace(',','') + address = m[0].replace('(','') + data_array = m[1:5] + data_array = list(filter(None, data_array)) + for i in range(len(data_array)): + data_array[i] = data_array[i].replace(',', '') + data_array[i] = data_array[i].replace(')', '') + data_array = [float(i) for i in data_array] + array = {'address': address, 'data': data_array} + return array + #res = jsonapi.loads(data, object_hook=utils.json_numpy_obj_hook) + + def refresh_data(self): + if len(self.hitrate_data) > 0: + self.trigger_rate_acc_curve.setData(x=self.runtime, y=self.hitrate_data) + + def handle_data(self, data): + self.hitrate_data.append(data['data'][3]) + self.runtime.append(data['data'][0]) + self.timestamp_label.setText("Run Time\n%0.2f s" %data['data'][0]) + self.event_numb_label.setText("Event Number\n%i" %data['data'][1]) + self.total_trig_numb.setText("Total Trigger Number\n%i" %data['data'][2]) + self.hit_rate_label.setText("Trigger Frequency\n%0.2f Hz" %data['data'][3]) + + def _reset(self): + self.hitrate_data = [] + self.runtime = [] \ No newline at end of file diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 98aef0b..7f0b7c9 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -4,6 +4,7 @@ import numpy as np import tables as tb from datetime import datetime +import zmq from hardware.i2c import I2CCore @@ -215,24 +216,6 @@ def stop_run(self) -> None: self.set_run_active(False) self.run_number += 1 - def log_status(self, time: int) -> None: - """Logs the status of the TLU run with trigger number, runtime usw. - Also calculates the mean trigger frequency between function calls. - - Args: - time (int): current runtime of the TLU - """ - self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" - %(time, self.trigger_logic.get_post_veto_trigger(), self.trigger_logic.get_pre_veto_trigger(),(self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(time-self.last_time))) - self.last_time = time - self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() - - # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) - # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) - # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) - # self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) - # self.log.info("time stamp: %s" %(self.get_timestamp())) - def set_enable_record_data(self, value: int) -> None: """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. @@ -299,6 +282,33 @@ def init_raw_data_table(self): self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) self.h5_file.create_group(self.h5_file.root , 'configuration', self.config_parser.conf) + def log_sent_status(self, time: int) -> None: + """Logs the status of the TLU run with trigger number, runtime usw. + Also calculates the mean trigger frequency between function calls. + + Args: + time (int): current runtime of the TLU + """ + self.hit_rate = (self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(time-self.last_time) + self.run_time = time + self.event_number = self.trigger_logic.get_post_veto_trigger() + self.total_trigger_number = self.trigger_logic.get_pre_veto_trigger() + + if self.zmq_address not in [None, 'off']: + self.socket.send_string(str([self.run_time, self.event_number, self.total_trigger_number,self.hit_rate]), flags=zmq.NOBLOCK) + + self.last_time = time + self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() + + self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" + %(self.run_time, self.event_number, self.total_trigger_number,self.hit_rate)) + + # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) + # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) + # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) + # self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) + # self.log.info("time stamp: %s" %(self.get_timestamp())) + def log_trigger_inputs(self, event_vector: list) -> None: """Logs which inputs triggered the event corresponding to the event vector. @@ -314,7 +324,12 @@ def log_trigger_inputs(self, event_vector: list) -> None: input_6 = (w0 >> 21) & 0x1 self.log.info('Event triggered:') self.log.info('Input 1: %s, Input 2: %s, Input 3: %s, Input 4: %s, Input 5: %s, Input 6: %s' %(input_1, input_2, input_3, input_4, input_5, input_6)) - + + def setup_zmq(self) -> None: + self.context = zmq.Context() + self.socket = self.context.socket(zmq.PUB) + self.socket.bind(self.zmq_address) + self.log.info('Connected ZMQ socket with address: %s' %self.zmq_address) def run(self) -> None: """ Start run of the TLU. @@ -326,36 +341,44 @@ def run(self) -> None: self.last_time = 0 self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() first_event = True - #prepare data handling + #prepare data handling and zmq connection save_data, interpret_data = self.config_parser.get_data_handling() + self.zmq_address = self.config_parser.get_zmq_connection() + if save_data: self.raw_data_path = 'tlu_data/tlu_raw_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) self.interpreted_data_path = 'tlu_data/tlu_interpreted_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) self.init_raw_data_table() + if self.zmq_address not in [None, 'off']: + self.setup_zmq() + while run_active: try: last_time = self.get_timestamp() current_time = (last_time-start_time)*25/1000000000 current_event = self.pull_fifo_event() - if save_data: - try: - if np.size(current_event) > 1: - #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - for event_vec in np.split(current_event,len(current_event)/6): + try: + if np.size(current_event) > 1: + #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + for event_vec in np.split(current_event,len(current_event)/6): + if save_data: self.data_table.append(event_vec) - except: - self.log.warning('Recieved incomplete event') - pass - #Logs status every 2s. - if current_time - self.last_time > 2: - self.log_status(current_time) - #This loop sents which inputs produced the trigger signal of the first event. + except: + self.log.warning('Incomplete Event handling...') + pass + + #Logs and poss. sends status every 1s. + if current_time - self.last_time > 1: + self.log_sent_status(current_time) + # self.log.warning(str(current_event)) + + #This loop sents which inputs produced the trigger signal for the first event. if (np.size(current_event) > 1) and first_event: #TODO only first event? self.log_trigger_inputs(current_event) first_event = False #Stops the TLU after some time in seconds. - #if current_time* > 600: + #if current_time*25/1000000000 > 600: # run_active = False except: KeyboardInterrupt @@ -370,6 +393,9 @@ def run(self) -> None: KeyboardInterrupt self.log.warning('Interupted FIFO cleanup') + if self.zmq_address not in [None, 'off']: + self.socket.close() + if save_data: self.h5_file.close() if interpret_data: From 1ed389e3bc771c6cef072787615332b6f11a99e8 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 14 Aug 2023 15:38:57 +0200 Subject: [PATCH 32/68] started pytest --- .gitignore | 2 + aidatlu/conf.yaml | 28 ++-- aidatlu/config_parser.py | 4 +- aidatlu/hardware/dac_controller.py | 2 +- aidatlu/hardware/i2c.py | 11 +- aidatlu/hardware/trigger_controller.py | 2 +- aidatlu/hardware/utils.py | 15 +- aidatlu/online_monitor/tlu_converter.py | 1 - aidatlu/online_monitor/tlu_receiver.py | 1 - aidatlu/test/hardware_test.py | 187 ++++++++++++++++++++++++ aidatlu/test/interpreted_data.h5 | Bin 0 -> 911987 bytes aidatlu/test/raw_data_test.h5 | Bin 0 -> 834868 bytes aidatlu/{ => test}/test.py | 10 +- aidatlu/tlu.py | 17 ++- 14 files changed, 247 insertions(+), 33 deletions(-) create mode 100644 aidatlu/test/hardware_test.py create mode 100644 aidatlu/test/interpreted_data.h5 create mode 100644 aidatlu/test/raw_data_test.h5 rename aidatlu/{ => test}/test.py (97%) diff --git a/.gitignore b/.gitignore index 4ba5ccc..c47484c 100644 --- a/.gitignore +++ b/.gitignore @@ -1,6 +1,8 @@ #debugging and data files test.ipynb *.h5 +!/aidatlu/test/interpreted_data.h5 +!/aidatlu/test/raw_data_test.h5 # Byte-compiled / optimized / DLL files __pycache__/ diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index b898c00..436a98d 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -3,7 +3,7 @@ internal_trigger: internal_trigger_rate: 0 dut_module: - dut_1: #BDAQ DUT + dut_1: #aida DUT mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: #BDAQ MOCK mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' @@ -23,15 +23,15 @@ trigger_inputs: threshold_6: -0.06 trigger_inputs_logic: - #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, - #a 0 corresponds to a VETO and a -1 to DO NOT CARE. - #The elements in words e.q trig_1 and trig_2 have a AND between them. - #Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. - #So element-wise AND and dictionary-wise OR. - #TODO The signal length of the trigger inputs should be analyzed - # with the osziloscope. For mor complicated OR and VETO trigger words this seems to have an effect. - #TODO the code will most prob. have edge cases where it will break. - # all veto seems to break an all do not care + #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, + #a 0 corresponds to a VETO and a -1 to DO NOT CARE. + #The elements in words e.q trig_1 and trig_2 have a AND between them. + #Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. + #So element-wise AND and dictionary-wise OR. + #TODO The signal length of the trigger inputs should be analyzed + # with the osziloscope. For mor complicated OR and VETO trigger words this seems to have an effect. + #TODO the code will most prob. have edge cases where it will break. + # all veto seems to break an all do not care trigger_logic_1: trig_1: -1 @@ -56,7 +56,7 @@ trigger_inputs: trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, #this could be important depending on the hardware trigger setup. - stretch: [5, 5, 5, 5, 5, 5] + stretch: [2, 2, 2, 2, 2, 2] delay: [1, 1, 1, 1, 1, 1] clock_lemo: @@ -70,9 +70,9 @@ pmt_control: pmt_4: 0 #Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. -save_raw_data: False -interpret_data: False +save_raw_data: True +interpret_data: True #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: "tcp://127.0.0.1:6500" +zmq_connection: 'off' #"tcp://127.0.0.1:6500" diff --git a/aidatlu/config_parser.py b/aidatlu/config_parser.py index 476e020..3629dc7 100644 --- a/aidatlu/config_parser.py +++ b/aidatlu/config_parser.py @@ -4,13 +4,13 @@ import logger class TLUConfigure(object): - def __init__(self, TLU, io_control) -> None: + def __init__(self, TLU, io_control, config_path) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.tlu = TLU self.io_control = io_control - config_path = 'conf.yaml' + config_path = config_path with open(config_path, 'r') as file: self.conf = yaml.full_load(file) diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 43c0a57..73b6a22 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -52,7 +52,7 @@ def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: flo #The last 4 channels sit on DAC 2 in reverse order. if channel > 1 and channel < 6: self._set_dac_value(3-(channel-2), dac_value, 2) - self.log.info("Threshold of input %s set to %s V" %(trigger_input,threshold_voltage)) + self.log.info("Threshold of input %s set to %s V" %(trigger_input, threshold_voltage)) def set_all_voltage(self, voltage: float) -> None: """Sets the same Voltage for all PMT DACs. diff --git a/aidatlu/hardware/i2c.py b/aidatlu/hardware/i2c.py index f72185e..4f11346 100644 --- a/aidatlu/hardware/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -32,7 +32,7 @@ def init(self): self.write(i2c_addr["core"], 0x01, 0x7F) if self.read(i2c_addr["core"], 0x01) & 0x80 != 0: #TODO What is this why is this always happening? - self.log.warn( + self.log.warning( "Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU." ) @@ -126,6 +126,7 @@ def write(self, device_addr: int, mem_addr: int, value: int) -> None: self.set_i2c_command(0x10) self.set_i2c_tx(value & 0xFF) self.set_i2c_command(0x50) + self._compare_value_read_write(value, self.read(device_addr, mem_addr), device_addr) def read(self, device_addr: int, mem_addr: int) -> int: self.set_i2c_tx((device_addr << 1) | 0x0) @@ -140,7 +141,7 @@ def read(self, device_addr: int, mem_addr: int) -> int: return self.read_register("i2c_master.i2c_rxtx") - def write_array(self,device_addr: int, mem_addr: int, values: list) -> None: + def write_array(self, device_addr: int, mem_addr: int, values: list) -> None: self.set_i2c_tx((device_addr << 1) | 0x0) self.set_i2c_command(0x90) @@ -161,3 +162,9 @@ def write_array(self,device_addr: int, mem_addr: int, values: list) -> None: self.set_i2c_tx(values[-1] & 0xFF) self.set_i2c_command(0x50) + + def _compare_value_read_write(self, written: int, read: int, function: str) -> None: + if written != read: + self.log.warning('Mismatch in register function %s. written value %s, recieved value: %s.' %(function, written, read)) + else: + pass \ No newline at end of file diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 2f3a813..88907c3 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -34,7 +34,7 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: self._set_internal_trigger_interval(interval) new_freq = self.get_internal_trigger_frequency() if new_freq != frequency: - self.log.warn("Frequency set to different value. Internal Trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) + self.log.warning("Frequency set to different value. Internal Trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) def get_internal_trigger_frequency(self) -> int: """Reads the internal trigger frequency from the register. diff --git a/aidatlu/hardware/utils.py b/aidatlu/hardware/utils.py index 27a4ac2..e0bd2a1 100644 --- a/aidatlu/hardware/utils.py +++ b/aidatlu/hardware/utils.py @@ -24,10 +24,21 @@ def _pack_bits(vector: list) -> int: Returns: int: 32-bit word representation of the input vector. """ - #TODO Numpy would prob. be more elegant for this. packed_bits = 0x0 temp_int = 0x0 for channel in range(len(vector)): temp_int = int(vector[channel]) << channel*5 packed_bits = packed_bits | temp_int - return packed_bits \ No newline at end of file + return packed_bits + +from pathlib import Path +def find_latest_file(path: str, index: str): + """Find latest file that includes a given subset of strings called index in directory. + Args: + path (str): Path to directory. For same directory as python script use for e.q. './target_dir'. + index (str): (Optional) Find if specific characters are in Pathfile + Returns: + path: Path to file in target Director. Use str(find_path(.)) to obtain path as string. + """ + p = Path(path) + return max([x for x in p.iterdir() if x.is_file() and index in str(x)], key=lambda item: item.stat().st_ctime) \ No newline at end of file diff --git a/aidatlu/online_monitor/tlu_converter.py b/aidatlu/online_monitor/tlu_converter.py index a958ed3..959367f 100644 --- a/aidatlu/online_monitor/tlu_converter.py +++ b/aidatlu/online_monitor/tlu_converter.py @@ -1,4 +1,3 @@ - from online_monitor.converter.transceiver import Transceiver import zmq diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py index 7f1d7dd..2bf2b2f 100644 --- a/aidatlu/online_monitor/tlu_receiver.py +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -1,4 +1,3 @@ -import time from online_monitor.receiver.receiver import Receiver import pyqtgraph as pg diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py new file mode 100644 index 0000000..fd5260a --- /dev/null +++ b/aidatlu/test/hardware_test.py @@ -0,0 +1,187 @@ + +import sys +sys.path.insert(1, '..') +sys.path.insert(1, '../hardware') + +from tlu import AidaTLU +from hardware.i2c import I2CCore +from hardware.ioexpander_controller import IOControl +from hardware.dac_controller import DacControl +from hardware.clock_controller import ClockControl +from hardware.dut_controller import DUTLogic +from hardware.trigger_controller import TriggerLogic +from data_parser import DataParser + +import uhal +import time +import numpy as np +import uhal + +class Test_IOCControl(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + i2c = I2CCore(hw) + i2c.init() + ioexpander = IOControl(i2c) + + def test_ioexpander_led(self) -> None: + self.ioexpander.all_off() + # self.ioexpander.test_leds(single = True) + # self.ioexpander.all_off() + time.sleep(1) + self.ioexpander.all_on() + time.sleep(2) + self.ioexpander.all_off() + + def test_configure_hdmi(self) -> None: + for i in range(4): + self.ioexpander.configure_hdmi(i+1, '1111') + self.ioexpander.clock_hdmi_output(i+1, 'chip') + time.sleep(1) + self.ioexpander.configure_hdmi(i+1, '0000') + self.ioexpander.clock_hdmi_output(i+1, 'off') + + def test_clock_lemo_output(self): + self.ioexpander.clock_lemo_output(True) + time.sleep(1) + self.ioexpander.clock_lemo_output(False) + +class Test_DacControl(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + i2c = I2CCore(hw) + i2c.init() + dac_true = DacControl(i2c, True) + dac_false = DacControl(i2c, False) + + def test_set_threshold(self) -> None: + for i in range(7): + for volts in np.arange(-1.3, 1.3, 1.3): + self.dac_true.set_threshold(i+1, volts) + time.sleep(0.2) + self.dac_true.set_threshold(i+1, 0) + time.sleep(0.5) + for i in range(7): + for volts in np.arange(-1.3, 1.3, 1.3): + self.dac_false.set_threshold(i+1, volts) + time.sleep(0.2) + self.dac_false.set_threshold(i+1, 0) + + def test_set_voltage(self) -> None: + for i in range(4): + for volts in np.arange(0, 1, 0.5): + self.dac_true.set_voltage(i+1, volts) + time.sleep(0.2) + self.dac_true.set_all_voltage(0) + +class Test_ClockControl(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + i2c = I2CCore(hw) + i2c.init() + ioexpander = IOControl(i2c) + clock = ClockControl(i2c, ioexpander) + + def test_device_info(self) -> None: + self.clock.log.info('Device Version: %i' %self.clock.get_device_version()) + self.clock.log.info('Design ID: %s' %self.clock.check_design_id()) + + def test_write_clock_register(self): + self.clock.write_clock_conf('../misc/aida_tlu_clk_config.txt') + +class Test_DUTLogic(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + i2c = I2CCore(hw) + i2c.init() + dut = DUTLogic(i2c) + + def test_set_dut_mask(self) -> None: + self.dut.set_dut_mask('1111') + time.sleep(1) + self.dut.set_dut_mask('0000') + + def test_set_dut_mask_mode(self): + self.dut.set_dut_mask_mode('00000000') + time.sleep(1) + self.dut.set_dut_mask_mode('11111111') + time.sleep(1) + self.dut.set_dut_mask_mode('01010101') + + def test_set_dut_mask_modifier(self) -> None: + #TODO What input here? + self.dut.set_dut_mask_mode_modifier(1) + time.sleep(1) + self.dut.set_dut_mask_mode_modifier(0) + + def test_set_dut_ignore_busy(self): + self.dut.set_dut_ignore_busy('1111') + time.sleep(1) + self.dut.set_dut_ignore_busy('0000') + + def test_set_dut_ignore_busy(self) -> None: + self.dut.set_dut_ignore_shutter(0) + +class Test_TriggerLogic(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + i2c = I2CCore(hw) + trigger = TriggerLogic(i2c) + + def test_set_internal_trigger_frequency(self) -> None: + self.trigger.set_internal_trigger_frequency(0) + self.trigger.set_internal_trigger_frequency(10000) + self.trigger.set_internal_trigger_frequency(0) + + def test_set_trigger_veto(self) -> None: + self.trigger.set_trigger_veto(True) + time.sleep(1) + self.trigger.set_trigger_veto(False) + + def test_set_trigger_polarity(self): + self.trigger.set_trigger_polarity(1) + time.sleep(1) + self.trigger.set_trigger_polarity(0) + + def test_set_trigger_mask(self): + self.trigger.set_trigger_mask(0b0, 0b1) + time.sleep(1) + self.trigger.set_trigger_mask(0b0, 0b0) + + def test_set_pulse_stretch_pack(self) -> None: + self.trigger.set_pulse_stretch_pack([1, 1, 1, 1, 1, 1]) + time.sleep(1) + self.trigger.set_pulse_stretch_pack([2, 2, 2, 2, 2, 2]) + + def test_set_pulse_delay_pack(self) -> None: + self.trigger.set_pulse_delay_pack([0, 0, 0, 0, 0, 0]) + time.sleep(1) + self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) + +def test_tlu(): + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + clock_path = '../misc/aida_tlu_clk_config.txt' + config_path = '../conf.yaml' + + tlu = AidaTLU(hw, config_path, clock_path) + + tlu.configure() + + tlu.get_device_id() + tlu.get_fw_version() + +def test_data_interpreter(): + data_parser = DataParser() + data_parser.parse('raw_data_test.h5', 'interpreted_data_test.h5') diff --git a/aidatlu/test/interpreted_data.h5 b/aidatlu/test/interpreted_data.h5 new file mode 100644 index 0000000000000000000000000000000000000000..0a9dd38de362fcb2542eaa867f9d698b7d33f67b GIT binary patch literal 911987 zcmeFZ1zZ=~+BQ6NBP}Ah06{_}C8WEgTaa!^C6#U!q&oyLKtMp0QW~Ti36*XH1t~#^ zcLvn6w|l#v=R40A@ArGpr8ECoaj%+tR$S{|+?JD)A;6`=g%Dt4L+B9E;p30n!FM=+ zAUO2;;rUSE#yzb)VO?7I`Pad5G??sVP4#lqak+{DG? zhrgMlgQbm?>va+nGlT;Mf(D*Kg@dr6sbrmh7!vBhocPo&R33bYANEE3DG%eTUe*+sP?Xj%l2K7t64x~PojeyC=XbethiPJ?A3EXM!xe(z zgmdKf`~CraPR;mg>imlg{PJmjjr5EAk220?M|yVZmpH#V|Jc^fW^kjyp#L?}FYZ6fz>Nmu%r9|% zb^cifZZsIIzef7S{bw1t(O|Is66aUvpJk9Q27-=i^=r(3x&J7G0c$*>N2q8=>VyaP zKZqOUNVy;sZzLQOGPQGbHbdflzmS~94j|}9--7*JW6@AiSBc>;7*+81c2pqPLGt~} zLXs7{muZefK%k+lB838{N_*&nAlmN=`8|6`?z`Liy8?ahCLp5!>SO+%-v22-NWY8# z<@<}vJ8?7-`Z3@6SATyruKaF%IPBHobp93ppT`F-Hon7r{}unQ;}-I&e!R~gW9Prd z69QN>upt?FX+v{$7sn zpXK0g?(gOJ|5*<1>i%Bt;y=s5z01Gk2>+l*oIe!_nK%7$KJ#Oo_>J681^TPpQLp+N zxu1&jSGl9{;x}?X73Z&VM`OlswhTE{U`bV>*c@jQ~r;0j{ko7dH#p; zy#GUazW<>-|Nl__;=dtJ244R34e^VN0Gf8j=~qdhqF@uc|pE-=7?H4iVZ=Rjvvi;eoi=l1pMdsKP~W| z7WhvK{HF!}(*pl#f&Xn<00m}_kP-g}&-sH7p7_r5L>&$1(9!-4^p|C3e+qK6wEP*u{o9ZWe+@yB`(8qL!wKGKa6XLVVtw7h+1k<0{Ld~Uq(J96*fdcXG5dxo+cf0}k+H`h$b>kCB{htdLjkY;EFn z^b(z2;5|h%YgkAh&h=0K4UkuEVP}G*s1IlSr~d|rd7Ifen%NrJS=c)s=4#Mf z14+c$#LWWMO!vc`P&fjTIPCp%Kk|?M-bWwYul(@u_~(Cje-agim>1cPKrUp>i7Xon z;hQK5DjGTlCKfghE*?Gs;V~j&5>hg9isO_gs7_MToC36fj{YVDEDJm(esH&-JXliNe=w8;-zhYo$WDHD9 z&CD$H%YRx>SoEy;`HPa$vhs?TuPUpmYidDVeM95x zrskH`w)T$BuI`@RzWz4@gG0k_-@P9h9UGqjlT*_(vvczciyxMjKYm(S{k*omvAOkS zd*|!7-M#$-Xae2{D1+N(ViWFzCbr;>9OQce5C; zK7E@M)$i{f8S>!VE;Dt1g+vN;?RYJ?gTkV#T<0{M!$jue*F4DW>RE8-%aV(gUqXzC zQ|9rfU|g|IoJZ-jD8mb$x~Z5~IpJ5yijU*@HPNASon%lddjP7L8H*cooN>lomd2mo zw7pYpXpBm_U>Nbld4M$YW0f{gie*3k=xcaJ<|CTAm(#Ypxv#OVClw1k6S!)AhS`w7 z5-{j9gDgC44!TliE*$aKFHiTP%r?(oVNo2K-uLOJ6$I=gflW7**ooUEu=u?n#y(`6 zxctRvo*^qV^$Nr0?khJ?2t4uZ94iRF)@|Tk`%JS&mhQ?dNS?W0)|`3DL#%5YTv%3% zrzpZWo`Y&;p<5KDbL}Rx3e_1Ri6VAK>+z_Aw;%=Ugr^PlU53iHo&9NI?^<}x&F;>~ zxYuloWOGVMn3aCIljnOuc6*RJI>5Mm#t`0z35 z$D4h18dn}YkJ!Un!NkZ#eS5N;E#r%OM++F;STkS69lvzL+|ql5q@X#kpQlU=)4cT? z0cD_enmRk6U?U(qKZUiW^xy4CRz`Z4eXBnl~O(rXK zPtRMwvwywmB$I=GYoEQt{%4mE7LeGxV-j>Rr?#|M@is%EO)uxm>zLib47WZFd?kKp zNU93Fznt-89Fo%1x;1;%r6M}QepsO7D~BX&&YfM~o0SWCKvINtYM3KbB#V1n#AC{c zUwFnc&EZ5PS^+1vBcp5U8L+m|=}1O1qtD81pR+)MK6ok}RZJ`DjC$dn!R$J_slThZ%;@f*|E( zb$M5-NpXvQk+W_%^=Efelvjf*dzGoe*U&%#?RW}P9KjZO7mcTfCywP4H$|EEua3p; zN8dQxIlI>ei1Y#+_^_pKU*z^4h4eqcGEtcdH2`eS`(wRlpiqIt-SBLQ`u8Dl*9RS zT79Yls4wj2c)CIN>b?|yYHe3hwPD!=+Ib$ zt3z+9&O>K2gSRIk@%`sEZz2H)Ws4{82gxuNMmd`JcbN^El=5@y`Btw8o$s*F%d9Q} z6|Q}Kg;0Y`qx&;b64-LvJ@m0pi!uEx#ia$UQ+M68z}1Il4UHokRE66?tWk=~g!W9a z7d%G?1!B;?yf+vjmj^k==wHZJ*`*fr`VvZh$!C%7FdVVHEZ}&%sr#Gi+5=XQL~TXS zZWOHMDxUVaL0Z}(s0fo-fnzTuC8V`$rP|5{eA)k?9m16)60&9Jk0(v(AA8YlxwH}Q z{_)heCJwp|ih%b$*P3f*)}8m>j|2`_xQgTot&gLt-4QWdAEGFq?nMI%)vii2!~0H` z7V(u|p52%K|aXP%NxI-|H{eC8tXD`7A^9`2c{WNXYUHOSS_!EL=PeUGVeN(hDMo_72` zI9?w<=9yRj1}Ef9d~#YH^F`yU&K^EVc~xB-QmUB`-+)ClY7zH=k!>pNrrwFA&rG5g zx7u}6GiydySYva&WA20Y)7<9mBa}I%J3UDRk~ELwYw5E`xT6MVHz>*zzlBGD^!NPb z;ha*+VI}XUas6_;Cl}UK@7Imesi@mJIQP*pfD0m~=02hM-_V`!#MDpipJd<@lbifX zC##g&YE?BvehOH;P_#@?$)10($)DXr&oqMiq?O{lWT)-m0wKeBiO;OS4qpl=?er^{ zQ9JlQt{Pk?B;^p%v`JlBg=je?r4=Iqqolg7)xAnIY9TqtjG+ku8eEJ%{dfyCqWeBUsrfd@*msWB9Ad=EMcX0l)@r|IcITc+mzl6#< zz!#ZY{;GCj2~Sj9?Mh5e_3$>KY;oDZ7^%bcJh7~qD$ux0gxPkW9eEe$KAK@e z+PMV1ZhNzgd5nUA+3ZHh2Hx?L{OXr&?~h{3djP+XW0&ptgdRo_6)Be&MP%d_txbt& z#N}+!$ggamZJr00?j(I+7jbozb-jDmN44w3pss#&!p`1BF=-Pw&*1d?pmd8uK&++X z;JA!SSkdzj>@tQ{k6wJRyOEss%e)ce(d{C4SunGuy_l4fZx%MkL zhqQs2!`+nUjXksyIwh666pX6su^>KaaS5GJQr6-51SY>tXxM^m`qb4MLD3bXl;b26 zxJ*DQcF+a&fZ6(Lzy3opgSs;cos#d5$v>YEwf4M=LeNVB-dXg5M4P3n%~WUkmCPIi z3M%_oAZ$SuYwx($xy1u4AaFUmb9|EeobbckqUVDrt?S+Z4gn>f=#26?ul)XrZLoKu zYOZTk$Snw!{ic9X25MjWqegrQ(-&=@#0#Fkx|skr^29i0%gUE+?xF!zvUBYV=4E&(f_O6jtE@^{1PwHL|$yj@W^sIRlUParal-mBq zZRO&L#jiX`qw~Ay$Hc7@(i@#`0MD{UgsX+7uKrPVaaXG>Tbf$_cE8WwFd5@U|x z^&sY)$w+m#^n>#{dQtgJQu=gm?epuLB62BNW1B1jQVt-zWbw3!%4PR}*y5VkEuE`- zc=Vh+O4?UED+rFk6I}}EcqW8R3F8C|stHsG{Ui)(W7xcE0tG@lb?Owzga9oqEgc;_ zJu(kwm6MZ`mzP&iP`GqSQ4yZ;Dl4n1lBuezYiPK`@bI{S;3f>uObGIV6Y}{F$Dbm{R3bGA_#(U>q#CmuPrDnEG$BR_v~45@rxHP%3i&C`wkX1%(g@*Fum8oQx=ZiDg2N_yj!Dm4G1$KVV29kcM-Rk&%&=l~YhqvbKZg z?hX!)j!p=!UAuN2hO-Nqi;F8fmv?vfk4{TVPfx#xAj25K$V7184}!Ab>p><2J)D3b z=n-7|$1t+9vvYECp5*60Z-l4o-90dRdwcu(`uh9($@&Kn3=Y8<9vR)*hiBao~92{I+Ts#7}zVHf$ofBT9Ah-a7j0aw=z_=(NAS@;> zE~%+!WNd6=3_+$yDrWG5xgTst3161*vsESpS;O_VfpHavt*sqgT{rje$mr=l2g;t?v<9;)YaA1!)So(-H6~dl5`WCTyrf1wfMo?c*YP~TU%Q@ zT>XxY&dx3baIN3G8T`BkuS7OBH#fK7)yVet&d#wNcun$cclQ7V9pm(A1_nkXtux4t z2~LPP6GCT!!3rmQ))*o^ckUb;8#_A>zp$3JwziIruCDH7V~9u(hQ7W&y!&0 zZGVM7HL6A>6dIaFX>9nTq7xO9l9e-t%tcV)FUk*s6yZy00v&>sYav+64=|zKgD+ka zZ1Bf8aR8uQ*>^JsnEzUheP5LQcYk(K4z>=c?;wl0a+Kc*)f`ZVeM4e6Ol+a-{yCPA z{Q>HM+Wo`T;8T>fUjo-5zr&C+=r19_(LJ>3`D2|kez<-IgPm;aWp(kAs-|Na9A8MO zJ3c*m4^Bg9?_xf@qN7g!km4{FCk!nuy-sqN|`#Vd=mxP`2ojx%UTL z5~(>25;N>FzUgFi4Kgmx;8$EAyxr%N^q>sN6BDT#>0?}Y$+0bj9COL{zX;n3bqBb{ z0bAzEI(vNc^1f@=SBYdql;}Jvh)1y*R`5tnGX|nOQ-LMtNaHctxY@O&Nm}$ZYdTp> ztkBAQ{cZJHwu~^@89~s@Ad|M`TYxS`Q!o^uDPb7UvryPrZb?|cWvJ&M&lv|^RkBHF zHeoy@UeaRP{NhEg=I_|bW;-62)6|zS^**Ks7@2=_OC9rl*?vaKy|tI8af52+6~p~5 zg4y!i_vJG$w*W;Rs>laL7bW=vdr8G%lGaCtT=qKm8mj#|=TQpYZU=FE5^Hi+4du!Uovx$;MYeqW!#*>Rm}cGJ`uxJEY>=c=?HmqZj-Ue?!TB8RY;1C{Je zkcasJT@@M|eTZ$kXk7CN1&xM0uI##2Te=eynsFx26!6c##o>@+q3Jqp15B2`#XF7? zg`6CfCTL@lFF9s1iw(GL<6(CXRE2|!^I6@eb0$I+(={KitIH%W4(9~=t=|Q>n*KTJ z{wh2~Jf2-6bQ-CTT`1c8N8-q;X;X)l@m$wIEpvBaX`Rw6olCH3z@?P!3q?cs!zhI2 z(_hd}p@}~20d8V@q1@f=bhKM;&3$fteK#%=a9ULxV7mK6C3tF5m;r^HB?kMExM;ph z&u59qw~M{(ZLS&z4utreyQ<0pDtf_Z;$+K61-f0Q>JN+8SZ?#hu96GrgP6%6`Gvcu z6c{8y*7&Pq)q25Mc42m6a}pn7QIi$YdAN$1)0RI;JK8z{(2B<$O`WuvDB|Z4Et5te zp%s(u!ljpb<3o+uw3|)(J}6n8I6DB?GF&>?0$#jWFo@ng&wR73p3Qe)_36jLVt(MJ zFPm&Dz0b5ii?K8?^-^7~Oel@oXl}J(eBG6ggbWklwj}n8rA$6RD}U}kEaPoXD{e2E zj~dj;J1TSQqlC;^@HH933g6|kzT-w|P8z3kGQ?M;FT`>aLuT@8jMT2`GceRU!IgPJ zk{9FpoJ}x62fy0jPK<#1i}T^)L~BIJoeQ9X@)j3m}7Lsc|P5;2H7qDC?V%7LDmLbSY}yw|jj-Su*ez9WL8TX|&i#c_* zF%Km^qp|DcZ$smWVo_om z!)v~QoO_J%=_hA062hWB3I@Hw=QV2ApgCXos9KGlpy zGTBx4=DGei%DXWvuj7XKw`IVYw4hMM_T*^}RP{(IVXZAM4)SG*26kfX@v{;d`5*PE znL9;Fm93Y&FL{!MCpJ~TtP(bhOaI!=TFMdRLHd_I4?5~6|E4#DWPjIB!ks_Tss2Sq zU>zQ<{zzlK{Z3=nAv7jDF#RJ32w)QMA2~n(lYsxog#wri{YNeoz+~t@a-jewL;sNr z1uz-t@Zx_IK?>g~sC4Z@2J8~AZzn&u)YdbokiWLWFPx<*?SAy*%^f!rHcN9C`H-U~=c zJ~8MRZkX8(Y@Rr)+sPal>^14{41q*Nn_<5%%=ys?LC!^Y9|Z67$&BACOw`E=DLp4Z zhb0bFgKBqe3@}1OSPGHLOibc2Yh2&zPf1gqBbT=6nF z4sOmt4uw(SdCe=@cGT{A(bW}Psr3{)2~dMx1e z2-io}%&;cWF(nc-Uw->;q(qs>gUVXA(-iEqfAiHM&%(OHuXFc-k^ieeV5Y#segC6e zQ>l2j(P=txaINu$=~m+IvQFO z24RkCX4#I>dDhXFo;eO-GlgR-qkxZZJ`+pVE1PM0xNN#hzLGpx_MHl}e2>PnStb98 zw$B^%y`s}7@Xen(Q{gfl;f<V?-&@tqyiHs-p%w$Rfe1B}}|XI!5rTaoJH!Db(}joJ0xgN(>k%PMF9Xj^Dtw zer&*0H`M6+Dr8CG8z+Sw#a+sqH$wWs?3GXa%B&rtemRSt6sV^vnP(jdpd!h#3RIb0 zV}aCdpi*arr*lr>PU(U4g|3r%Jy~lbvFKxA>5mJ#Rnx=8@-)n&SI>sPwLX zBVr#C_+=Gslk~Ilb(Uw3wrD}<_Sv~(+)S7yWRK7^uCKgDd6`P5BkR`LRH3`r(zf{k zXxr=1T$8Ok{{G`N4*QtxuI_^9Y9&R(zk=bU4~}118uk;t|PInbYI9v>s1o2nm*gi_7zG zNya$>oYQUip3+@>HsEPKL)$s-J|B!$jqXGC4D=n(<746!q|dqm=uSKvRoNoPahuWe z)H-kqIU_(#F$(&2HR-Ca_mB+EF{}oRt<_>)KFvnnh#9)7#kYO%HUt&yUX|vZeuA5V zYx3l*0_me?DpB=Kq^NjRdVcgRl0n>*;LKUFJ4FgufzhAeCN`$WDsC!U1#Z?3b-DD@ z_tf=%st4^`l<`j+<~EshUcTRRek>jREEHZE^S%6Foo%(4`Th=gILo-S+qlPY87-Pf zBUSM$f9FRr{j8dSx6_<94@(z~K=J^Ztg7LSz^v+#*@e@5ccM}xo434|ihD$t0Yu2kt?pFZ zHNz*OU}PSgR=&&-Ho_xmV(t-_29fIYfMuJ&N57G9ibw+A*w=b?jtV+<(HZyi zp0lfJ7+ecY&1maGVK}Sr=<0t9B(JLb-AbPPeBr8n;N#B%innv>7O&o4JW=em73AvA z8UK*t=<T#iG%- zEbjdbk)BsFv$O^~2b9KsDO^%FS_v6#+yvIKPg$ny@C9o4iS5pa=Jra1Ji=UC@~wiY zQ#zq}ttARQsLDOl+stSeRO?X1rGgrF03JJc#{3ovC6|b5?^xCAuIY3B!BJ1@+DA}0 zqCZ32$VKE>sg=h*vw9u${DEuROU&gCUDYDRqENZX>v3VZ@qc8OX`q}jI#`J{Jg z_{|b>JBFyw$zBV8kW)53g>#At@H=^gWIf7zRyw{!%A*$l;QjRchdneJ1@Gwce$ull zmcZI2wHTXCF)$XDfIK9us2}%=ckJ^4w#M~i=d|v>o8bb@9wdJ8bEg!9^z7eK38~+E zR>rO-%Qfg{7E|6{G`o5eq(9>;eaoYH*~B$AxwK(mmy1U@uCa9q#XViv-X*iP9(2u9 z*^EvuuVyddQ)qRpe06w`~85>`| zF`U=bwe*NfY#JV!;ga<38$87>q^KFh1ezxnKAm8qEF3DV{)oZAQ$#IX@r7Cb>6b)& zA=9L_`BV!xe zyJkP^?BSAe3yDf7I0l4v>|mef0^$l+Zu%E>VRGKcYaXAWIxC^98&Ocz)INSn+vg*v zs2*^MDOjM1X&RZBIcbV{LMUKh7l-~hZ7pM-oU#NlU{lq#R7QD%;r`LzplNiO`U> z5OfVr3SlAPlDZ&pMc@VlA!1=B76wek`uO;#+@s1s=*at7S+FI+!$*%01~Rvyt^JUE zgiF%v2caSCBV4{W6A%OqAh$td2pWQ~VYpr2zIzAT5q$jyTL+-P%Y;J~5(5JR6S16t zfro*|&cVUK=?9-K&4eIsm{dc!N1j@Unim1gKk_5E2(yrag2JL=5?Usvrlw|o5Qe$A zxrK#g`bT_Qm}|7Nx4(7=v44QGje`@4hkq0NASe;Ol4>E43?l_bDvU$E6=7T-=Dw_h z%^zMjH8oQD-Q7JH z`}_L`XlNLWXU;G&F*76f9%m79k%Jc#)+QL*aCDss2>x3D2s?KfdD8QPAbnV2t{^ag z9fmN^X#{hQ#t?y-l?}o--nw=BHf+}cQkTGm{o;o)rN-GuUk9kVV-djHr`lTUS9tA@#81bBYJUlbrqo& z*Vd0C48AdhLj?mN@Q)aLKL{1(@8NRM!o)rXOg7Td(Zh+KM!>)TQ~5_kKCD`YT>c@M z4}VJN@W-U8YEDCdd`LP7rGH50!|8azm-hr3%;&=iLcS9a1o^>U{zyFn%yo=Wpdh#k z!NDPLJA`HB=FLDlBnYct3>&PxC_!pmGx+ZP`-dFR=q|tAgaK^kIdO0d+TB{5AVArj z-QQhX{ukeP!|re;{9~>5-}t?a0voR&@yejz#jZIZqdm-`%ntf1w+T^w7ys*0{`bTt zFH39g6eS(=l>m-;J+Dt7w}aK{wqb7HM)o808Q+vV*t^T9Ner0QPX=#n z*k!5iWY8BU|xT)>v%mosy61$9(L9eiS5A4h z`oRgI2j4vE{HmQcL@%gU^i=YuTm*?xFE3TEL) zZ5jaDP($K7a|1)#9`et*Irnv|2LnDIRL_r*v#FEnN;61+(zobu55^w^eIl29#(|%j z`hX;|wVrXRU^MN<94UG~J}|VqC#^Ejeq%=W6d9M|ef@B#{ro2@`#^rK&X?yN5$A&V z+JL@6?#`=>Idv)|gCA>3{Te^7;;B2twvI5iR$J49FRYw-{!WBd$2uB=&*fooi9D0S zc4a=eIhPf+)lkL10`iyD^vSd9G!3g5p$|fKM1Jx3^i39h#L8+ceEbrZ3&Cn~kbM8y z+vTbCrvhaQ$$4rk)+9$4#6Q2t8-Hcc6^;sQ^q02-$#0!k@3ZnL6S%-rrdx~8bDx*j z!^wRqbCl2tkaDcBJ!)1slYnU{6k|_Pe2bMc%tJB>o1AO|QgKiU2fLNW9;7ApEGuMa zkjxCLaru1It*-viZG~n%+K{vcp#pMUp2j_!jVl9}m<&ut2P|c0v1iT|j9y;D1LdDw zUS0$_WG)ypUj@@@%?2kvld3V&6!AXhq_p~Qsh8}jX=Q6I;0XC-OwsA5v+}qJIk7^JiuP4(yjyi zbC_z{36uJgu6oB-gdRD~6+&L8PzNJ`tV9d+m<2wg+=@{s{~n zbpubF$ZR<4X9Fk#%s@a-XrvcM1jYWB#<|L%7uUJa6&7B0dNlDc#F9ADG*g1$lL>Ez z-np7$w?zcKUM$fr6Umgo7DY`r2y+?+Ymw|AR5@xqiNNA@``|ur6lJ`5uT!4Q*t|gH z20@H`7lB9%s6j2|d#XlGn_g_An{bYOi~wJOQ!`53`$1}PyFc5MIcPAGXYs~yrBuAl zsP2WMli--ZQ9X9nnGZ9C3=PFMz7^Dr$z<0FRowDY3S}0fs%6>1llfHtG&Lj~y?v?c zOfWA<@hS?$tXr>?3HUZ1KsA?8`55cbb+vmJR5Ap~!)_jz2Xg$kSZ3)MmhKjYik~)$ zN{pisS+6&AnACC}zDlg8$_gkmck3Nnle^YC z3F;)`KE+*Gsn~M20s*nS7TP1K$rIs`YFz|jMDOFVIO5#oB8uldE5~!T5=enMVNf>5 zIxz*#w@t~DJTCinEflFTr_YDbcGC?Ds!6=1juTcq(TUx}_HFA+t!dy{qZ{!hG9hhw zv4(=Q6KH?!4ga4cHeY-vHq#Jd^Tf(6RTJ)ra@BI#6<>V6MHyKTQG=btSJZkl zU?l}hWZ<0;-$jhCW74lzOc=v(i(1lRs4@y8J#AmQjexyHi#6YM)fF-&qh#D|saN-x zrSxpcN>Xzh3EsY&!;J-9et7G0C$V+=M+4@IxQ5=l=ijMF;2WdVem{KufxmtqP^nT~ z=AjHhBifh}n#Q_hl-TX6YN+DHq@B{65oNq_4Kxv>QPl=`R?jRivlMZBi_W0I{{*d0 zv@H9vT!UV91%MY&QMJAfRGX7$WAYTGJh8RGyV(7{HcOk7uqJ+kI4*d?|AjM3yV7c3nOP&|d4VR`c{lTmX4=T&E3jrhkR7ClvQzUduMQ>sy zFtX#f-JA}@=f;7{0QKp@g^N@^60O;n-d@M5?qTV9zL-t#z8#Y$FWf=|>I|ot_7h4L zCF)N1&u_Q8y0f_x*%IYg37^=Awd~KQ1Jz2ZHs}pGRyW(Ph7@95n!o$XwyKf?m-q?Q z9y?Z0?W=HqQzXez;alSVl2KINS2sav5 zf~gwWnk7_Tj$UMC=5*0eCb(kBgl=cjrwvjsrzyrLW@J4*iC4AmD>YZ8^^gYlvEpR9 zVntoTQjQFGKh`Ee!|C?viDSPI;ZXGm5#>q_%j&mm+cz!Ip)xI@Aj52X^#mcMn7;9* z_SdoLO9mtESx2$@86C6w2YBN?N*$JdKC8s?isq51 z4AHM%?qZR6q~l1R29;GOc(sU+pD)-h$TG3*o%qD&m7b$ujUghVV(ET2^CfsQ$0d6E zUQTgk&9st{XE0MKuY$j&ZkcqA8zjVPrk4Sk;8(3!79Q;o=I?QEU;BnITXQZ7i^ggu-1 zu%lpNpWN)~7xghDI-z!OH0)kc)B7(#_tyCIC$ujus4c^_w3BSA&rX<)(Dgw=&Vl9C zO%~C|!KqGTTdJI~J53q<&@(Ze&Sfu9F z^n51Z6c$su?CKx2Pe53d@*MGmN2lt==mtT2$?Gs zqj4Uhl{9+VjWY=eTKIL%Z2Rt<*MCCG;4RaD1N=91;%mEi2m|idb&5%;Svj>0kFR5q zC|}NLn}v?)-UV47wnlfXXTrKQ70qNLl=Jicqz4X z;K?AV15XN`twTfpw znPkn@wAe?@p_IPm=fbS6#4#qHijppx?mo%DFaE(W8Rl6GlogWA9dx|p0@1V>n8@eVB$ z8*pyue2dTG5|X=%$8kyJig{%0lc5b%Qc1nRVN5&W=JJ(SJhZ-v128s% z`kG=^_qa#W+F?nVL-;^K$<8yba)e*N+^3^^5PYD&8JkhjGyZ{$oli(!QAHy%Iw3u$ zy0-5O3%8_aRw)<~lhQNHncIMH61QsKQcKzJtpI&ssrf*a*pa=j?LpXOvX2 zql9*~oP9)+g~v!mM0FjXedKcj#qP0H0V5t2S%tHy87qzfsg)v%wyvHTge+T`)cl~bJ7g&h;5$`ykX)4(;Z6jxZnIU*NAHH%LhrDirx zDWCfEEfFW5@s3I5O+E0^ZO!u2KHWJ1hno+I7Et*;gBx2*<{f)>eE~)s4U_|JZ37BV@%!HsR#4!y!W+osAeHO-?9|U02 zNBCsV^2Zfi#O@I>dOWmvM2JRGGT6?O4B;3lPo4TnFd`JA7?PBDEd)vULAa6#j_e+d zAxfBWgbg2MVOvi**!uC3qUu#g1WwnCAqs?Ybap->9NpYtcF5NkIi_R`VI6XeFw2+; z;XFX_5C&}WNd42|5w>}Jl8>BU>hAtw@A$?KB0V&A93CEi3)74vqqAQim}WGFAXGmH zLW6(N5ylB)0z$U)Q6U^G7)Mr*FxLc|J-X*$;uDaOb8%fr7d&=IFbauD8JHjhqp6wk zA;SoBjG5mJ9Q|Me#|a36Y~Y8h#t>xd2OURvN7%a4(IXf+cm-SjCBcB1MmPxJ8Pn1; zAJ)|)c8v&{5H#0*H*ADcX@jr!ObF_jfd9r~Ct}^$4Og$Pe{dMFYD8=rVSI&|MqHR_ zgsnNL0UZOQF>KTbUre>IO(QIaj~F$wup)qI$4m%14;P#b0Xt0Cali(hT--2;DyXJ; zWafAo25jMY#n2EjafFQ%t*ipzBV-6}A-L@a+cz4+=8ecJ4u{jaiy#6{IC27lpeSRA z>d>GQHt9sFd&q9XrjD;F5x_Jg2~0yGfJw;u`mve$d6;~JlUu5VZ5%UU8%Oy1G=T|0 zEAYdrA4GsyIKn(64A{(Z!}l&8e6kEVLwAyznwkbCKVduKQve)Vkep_cfX~W(H+F=@ zmHc2^#|a36RA7f{Ed;30U8#zUk%T;{zKI!(9H6{V+LVK^znm z6dVjQjj#prA(8*Izz-(!;S`D{Fd*m|{7?*E&tX0iq4D9zQur!^6%^s}tE!vdkB*xA z1-YBwAell;iDwY%9)gbo9$F6j!IVARfEdIGuqUJ;v!scB6nFu4a28pC9bAC+Hg4@v z1poh!vmCx)qa_OXtjsifY55^+b(QB?-X6D@m5vL403T##BOq zaVkOk*~Qmi`J<{vY73Ja@!N{Ql(Vs#wKQXwEspBKLXJuY&pzu~z#8Fv@r|u|5v7wB zpy5>IZ9iaHvfxgUa@{s>Kak_(^q3AkS;OmkL1vtXTEOPB8*QmjOYtSwhR+m3^2}>` za-^JcUSbS#SHDn1lCpzGJ<{hpq}!&MeW^=^nVv9LDG_|WTOT)@aIu$!drO!KH2L&d zx%ECgH>6IgDNw~z@ubFW{NYoxf>a8un$9kjbr8KGO4x!U5@&9xxH{1)uvzV{9{z5o z_pR2z_Qz@QY-*s|^)8J&Z)9{z@Z*}>;#|qHkR$1{-3JG!4BRwT`7w`y%{O(FCq%L0 zUS0}w>a||KX?5N!QGFQIr*w^6a_0o?ZIGvo=0C)KnRs9_;+}VHdp)gLiAT@c+I5|g ziw=0+Te2WYu@q2ryC&!^8QGASJbknDzWkV6kN_3Ysp1!8r!xkCt?s2%hrG;(#G7W_ zYUf5Hcj;=1F9?f2Fp*Kh5!D$V00LS>+4)Ao)0xDlth`JFw_es>4JJEzbI_xlT=Sk3 zkqd}$xsYeV*LcYdP-49Fk)ciyzg#XReq;diL3)%hGg`BnC5(4%{?@k{q z<2yX9l*hOTZi;YL_0YMRXgald`P<|8`Q@pW$t<+%WR}YgR?=C-0sT>u(GCHcDWZ@% z((}U`)~)ptd=wb8oA2jDzim+5i2=;7F3)l1D57XTg-R-p^GCI1jT&25Lq^*4n>5!7 zB%Q&t6a5jv_{Q^wUqdM=-H7bXSIsxIm;KAS0v>P1tbR!a3lDLhD^Zm1;WKZa`*ypJ z<6f9TH%Hfj zz$e>J{V=h368m|IQlq^F`KN)})!S|}tW&F(zOpvx{Bm<7JpB=IJ! zFP-sJq6*1tPI>K|?q9sjn-O4@lw+=XaPl$W-!fOkk*gx|W#)2VE;dXL3^opCxMQ>& zqP>O@%h8h#q#o1Yy6rtq->+mdPb!SD9GEHVSeZXpEU3}DS8{i(7L=Zw8~OUUt5ay) zGXnqCSxFzOW^(^g6V6XXjr>XuXdB@1di7~ddk zl#Su$H807w>T`uP9q{P=zsp(v=}h_0v*EunhXg;*hX01}13%A(|AzPkKhK8$hWG?O z&xZeo_yj-ChX0261V7J)|AzPkKhMbjhWPx>SrSfE!@J)INlAp;t~#1ixiU5V*u zv~Hf*oRy&B*Eui0G9C13<*SkM^MpKCO4suJYI<<%+5C*xi$_ z>wkkH>wL{Fm{s65Xb{_&&;Imc-pZFHA)rrQrd&-Q(<8uSV|nex7AT|#7f-&a^WTh& zHE|iF5l+m$>E5Vr6vJP2TZ(LLDFA%=WSH{UOxNpbTQ=sI+)Me)!q4t&bKfwUTHzep z<4tV_fzD1P<^>_UR1Mj953OgeCFg|nDm-_$@eAXlI;R`f0Ja}Vu#Sq)C2`I=T2Gf6 zaDA1R;l@tGK+&r07Y%3F`38LMQjOUQpwiXqG$v#jb6h()d^K(L@&h+9H_Mm{wFQIV zwKPAYUtms!P|4F!{3_SF$=!@cg~blu%M_*PF`vUXz`)1W$hW|?vr?6R}o>xd`qoJa;^) zLZ=e$La|}JvZ@vw++Ls;jbh>gslWOVl+y^?YyZuD$~6MH|_>r^mjPrBISOim7nny76ccf_QBhZ6y>YKo>x+G zrs*zW=j7({$&`-eYjehq>7SkV>ox|u`sxjg^$cs+%gk>;%@XWrN@~0YC9_lvWx+?9V5Yqujc!N?r41ZV*?BxsI<-h!TVO z8P?r-wBuH1c5&xNQeKd}>P~2vJ$=o;>zhDuo;9^EhWIr27zXAPkQ;||T9 z?a4?InLPbjuIDrK%#z21y*`FFg7&kli5}ew>l@2H9p`tI(rhQH#_*HN zz!{ud7S(a%>e{jHFMcx(4DKiQ;emC{?Z__EML844@R)&ji=WT%%Y>Fx(MlU-ylCj@ACWMy0WR+M=09y= z7;1clqNVa^wVFPlhh#WjKbtv*z`)CQ*0HE(!3x_U{uwZaV@YxVxb>G;QCQhjV+!8Ejo|rcf~QORL*uft=#124V9Dg^e3K z3?dSNja~CB99)8Vg%x$Hd^`bR#h`NxCE@t#>ET9u{!{e&_2?pv2e;@oOm3!T6n3I2 zM6>|;ZK89AZ)sk0(M*3nYw|R!eV~X>Cw%MiJJ#UTS0C^$fXf-Br`a?Divl7a_RSug z6qiq{ZESAqd^2@`OL9WH@+0_6&!8~Gtd>~S(nqCFc$$?*FOuvPvjxwlcaZnP>}~^) z)Xl)6YrcI-kBs|TR@aqEUJX&k7#b1xFOo881+RLhz>_b9acICbq&;^I?vadSCj_247QyP`gk`Q<}2%zO$-4_gN(mrvfWo!im( z4K1jj;*Kil1>>9B7)n}E_p{}11l)}(ZRnlH*k{|=qhpd^==cy)ejB{TWwZ55f6%2; z!!7qn)VzIilOU7t_0k@D!o>6@A-V~W^b+~%d+@3X>lA~8n)%2aVNLT0$tle%4sPky z?W5Cc0G(PlX_3}H{c+nQhOmsTeR%xSXC31o2#8Oya!X#)Hv)mvJD9pxU6(fURyRG0 zHP-QH%53j?vFqJEC-ddHlFiNkMcrG6MY-<%+e1pHq`(CTC`hR&N{5Kjpma+~ch}G* zq7u>#0wO7`lypkB5=tXTEA?K3>v`V2_u9XGyw5++d;G3r%?-m^TyxJjYb`kM^Yi(F zN`zcJ6|-zoGw$9ENi|`?N1pL$q%wsx+`*Hv$HEhofUkA<%i5WUxV}fg`=&VtZbR?j z_uX{DZpipImCc98bb#@ew3$s#{>YKA#H-jsOhOV?Nh4E-(1@&tLH64ZA8Ue7I~Y#i z2)8b?-_hXC9J=@VkgSx@_o`I0Z#SumD~TT!5DBj%QL|2?HU7XgaYe9()5f*#^C%{r zTjc3AUbmpoND#FyuVQNbyzf{udU}h1{tbeR(#5x&a{|V8 z-ai7vz^l^ko&8_$xPa_9Q$hr;+(}QqK4i;1QCyv?={b?3iA_B5vyk z!U?R9edN9QXlG~wj~v~iE8BKUmoG9XyzV8vsh*Tk1qLUXv;r0hX|9Xvq!kud4Q~nS zS~>;RG>osL)?Q%Las{86gfwG5|bO-MbzdF8OQUS$pV{Lqf` z=7+|S84PW{Z7f|E3gbpWOamIC4MN`y9Y0J;Kv#btbWf*$RnXGCcxHYZ;TTZL=wCUF zt7z8K$HT9o0|L^U+DBwxWm&{F_fg*9dyr_{H<>U&REqh|>6gUQss(W7AD<$Y)oZp- z@etRs8uO0e;~6w2j%RP0)jC>jx1l&D1oF%~LhE`;KaVlap-fm-LxQ3@qEqF5syOqN6QOw$~q zxLE`o{(^*#BfL2nAP|nIU7g?^XLxgIM4-94K}vNVH1`ZifokKq+z9Q)bOgwO3uQQ> zLe?-^{N zFQB2J!*#Aa!ssOtw5Zr=E>p!j|v>h7}h`aDi1>o+2 z=?HolI2RT{J#VS|hOiCF#1ys6%*>uXMdhr$`><^yVd+y$Ed0mg;O+G+0)d5sknsud zULq2KNP-`e8xh1QsEB!5T3TjSZXU{cgq#f4K6OIv5tXk#Hy2~lJ^0>J>!5DJzE z1S7n|gu26wM3DSZB3WSG915Upva<5p^LrHQdL&AQ8bFmmANi|Jv15dAX#08XC zj6#y|)rC+J`A33mM1XTB83?f?T+jeTDWEW4{xUlcYR0IN1KhuA4xnRffO3q_^aWvQmOd)iF!YL6U$d0XuYsOtvK}v0YHL9N z=euOqs$%g=EILo*2h*%Kzxbymfw33dgAH`=7!bnG8pUJC~5=C zdps_Q5MiIbp+~mJ05@FeK%UQXg@vg`6@_KknN-9l8C}1xCiN0vsVfr6M1V5d52peO zdn?XOLKsH5zE3~Y;xww!w6T7-T-Q>@6(IqiB2!qRI0u!82|mU}P~Fu3Vt%xqb!)2* zzhbq@BJoBk5W3$NEE5)MKrPhHDL-z6c{-bNeDO}<@d95Bquz{&DCl-&AaPxbpG9-1 zpSqpl0f-O6@Av81{WhQEt=2U<RDi+^Gvw&icBx|&CI?RX~gP1 z&m({raxP?n>&uyfQ%ISav)%5xUJJ7EN>YeY+)GvPLq-wU*&j7vRk^vEev83m6096B zyh&=N_Ihtm`39G2w@woRC@@v`M0v6|gb~*sWj|r6G%^cOyT{o!MAKbLrW=iH7~wQha8CK@Rc%Fs{VNR-ALGOdf)OS&}V6a z=o8;3vY4!k45B(f@Ie}S(hEyc{`-EWdlB`=_&&f9nu^=ATW5dQe3VGJk`dT<)+KMI!pV?LQQ|92pvNe>a+`&j%2Blc z%BbG*2|?S}`Jk+O;gK4~O7xVcZ-FB@^9sMvi{{BF(-oYhpv=>9*mR$(=UA z^OM>@rgP+SYKx@-m^0#37(7X@OyyCr*}jc&%PfN?xg(~#XZ0X8e4&9`ABf);sMY25 z!?(2}yK%{APueRHu}tPIcJnRIY;|D#t^>GEP1C9#Z(*q@p}5UC`?E{sqor<%#p7O4 zjhjm5O8UBh=fT$fhZ(dkE^Hq%T4;>hug)GnK}%;48i{1$$D}My0%0w?Z|+oOGx%Wo zx?aDRN11tB((WR^4p9$pz4t?ZBSpY*pSEoLsnGja5-wwdV%Zt)_V4V2ym#8jz2-0Y z7C7319~$vVG7sEe%-2<0E=4{URLwMaEWOm1f8;HeSHOdg2cigNYqCoF0~H_KpUd(Z z=&JgW6t7xXnnfP=d*&9t2@kMGKlp7(!190-@iXay_>=8Eq8!Q=x%>=kYGT!s4$R+x zgBHE*S%P)jQd?VT$1n4a7yKkINm%L0=`MW@-I0qdDAH0VyBxz{<}{q` zVgB{?FB_67p8VwhU5vR1l}q>+q7NQK|FIwP-!VY&k3E_Hjsb#y?8*FhEEN1>Pv*a4 zq2M2TGXEV51^?KS`R`aL_{W~if5$?>KlWt)I~MvE#{BbREec*(wl2TIg|YBmqP zV*jLGhB7tdjHH-2^2}btuN%I+laa|{Alj4qK}|$IW&O};0%Y#trJ`5pY@DV{U1?i0 z=9)HI_x&~6sT(1a;MMu+eE}HqZfm!d;*pbtz`aWeLXE&v@7m1O;{)^m`N|m+^tPc-dZM3`Vrq{elWKGaxkt?58 zV_&6H{rkOvt6ShEHrT|NbSLxVIxJ>emue4o-248O>i2#8r!xA3S?WJAzodYG>%#&! z>()&8N{sJeiE}Yd;m1*^@I|fX8iskTMeDi%*S5t;cMbyXqsPy@@{Ef^dMYWWE%mK61&P7DocCiV#}~5nR7W!cnltwl_=0`*DA?;o;*1_% zos`eWQ;%<#`G{tjdsg}%TY9Z*m%vDT+&Pw%{*rh3>z`*h=*PQ2f+H}giTy-w;44fGvL-CYqa7DCB&CTwP8GUrllvIZ z7EB~>i4I3QA2hzHi4n41%eY_rT-@X!<2lYO{=8x?*oN`j>`$#fKfhmy;FI(I`Q-V; zuCt62>Do)B1|z-+62SjqX!WF=#dv@ZQOcvh$4IKNMY5xMLJ>7ywk31-9wzV^KnSI_ zB;2ZqPIRTzLYiz38Q1&xenY!)^i$^PBb7AZ(>`mG(&rm?^-G1GkJvY@-Iz(7D8;~w z6W6Lex8K}50ud75Z~13;YR5+W7+-tXFe@JFLvWghE1IyZ{LN4OjSyICrNEaO{q`t? z*-^76^Be1-eU3oAn;RlG|UD>e#h0(=`{V0Ym)^}^JO&p-2p?EDu zDe5<+`3=uEH%zHMe+*4-LW{s0TuGMaX+_F_akFc$=9p=m&$+9Mb{bu?Xb_1@ zM=C&~FKPV{J2aSp(v6kZ^=#%hT&0n)wh^CDRs{rLAUWY)um`B*#-P$l{)@T ze6GrS*1f_8<#c9JmO$|miWRrotqe^q~8Fx13rIoJ;Q!t7%XQKl% z1LHA@9Qne5#~k|Wf(irX(QhT~uHEITp2p1KpVOoUepRU@+EL7l-;S1plFw$YP3gJj znYez_iMedcx;ru_4D4Nerk3_d=}r8)eqZ)@RynnH?G8as?b!vA=PK3oZtPGPtQ#WX z_ZJ@h8FoXUL*WOB)kRw;Qh|03MgGr~7((qp_HoWB8o8vdnMYC%y7&Xl^uqp`)om=_ z2oeUa>+;5Sz`18`{koXN>&&-kl$SrW%IEiLnGFU^abpt1>mt%CHUY~cGq*6&=)sdm zw&~@iopwKi!pJGpyGi75rbwAKFO`Aaf*PZsXK5>FN{?de`@d5@)Jvb5#j?pL-^aTn zC=y!)I!9LoB*HQmu*6KC1|>1%kL5h=ro+;oc#I?V$MQ@@onsB*zP15 z+Dxs;U&WESr;Ew02i{0lju4ak#AX-PH6Pe!-9aWobbUt3z{hXspIf*<2~6H~_wV8W z7C|RhWJo^3vt3BTC?xCW0TJy(-X)C!eXvmw_WR93i>pj@O2KCHB8~My2mD_q0~!tUBk@$n$O$h!s-#F zlXL6a%7Tc2BQyyJqY&OFO!Hl%oV*spyC;}9W)1~QzcDBQznyRC z!Efu(h_nK}7dclsb0>NDPcKL}-0Z4cl~MG}0GHT>mCA7i-;~u%=^1->8#o3zG`-Vs z2pberj2*SB7y?uCxL3tpJu?Qr35%J#r{@=TPM!$aI7B7pj{jCvw{Qfxggm!JOj9v& z`2AktQgEsnm~>2hy)G0OyL-jJ#kaK|OcN(7z4>T3&m+brCh_3Yq?dh zwG+nz4q#7A%Wc}g9!(#ll+FKofHs$JPPEPIxdS3ut(F$>f-O%|VUh?oRXUQ$$v ztX0)|RRGiI3Qi@JwbO^EbO0DSL{04o=#;9t(5gBmD{in)+*W%M4BTdg(b#$K+|L3X zJqX*%wqs1cq8V(g&t%%J%>;!5%ZD8tIQtwHIJcgHvX-f(Ek)~^Zo&{WW(U8}sDzx7 zQEDbZHUC%DjR#PBq`NKW7aU!_ghy+(i^IruUqRi#$h_S%FgR-Fl7dU)G+3j)+Cf&) z=o$CqNnZZbs*bg;N402pw@a$qN9lx}ZKy%UD4o+H@-kJJ_Q>}i_wx$t#&<8vYIuid z&ivRubbWbV# zhp?xFD_J<`P_VQUXh zpWx`k6jabUGb{a1>mx=E#E~d``3CL-YadY(}7*8RJgqvF!Ah6Gq*r=>IIFFh` z?bGo^^tl&_YJEhRk*H>RRQ{U$97m?6Wn|{$pWBg;$+b5k&^tOh;a**hP>eKyVkF!i zg(TsZ`qL4J&jtt*NMTVwcTY|IseL^B1<(37Tt`Q+`VkezhAAcl#F4Orp8-W7;kU6j z!V$^vb`5^c*@(d6LM?720t@0u9v)r;1mZdpap4@u!sbgK4In5C>mX5{oSlt}|avU4hF^wdA#JfjV9WOxMJ{~5eR!rh)Dp)8q>04O+lPA6YMSuz?z+L+j~ znmSkp`4L4XQE6=|m@Y$6$<~gp-c{(~p7TglP&*xENg~cINh}!EhFlW<(V-;C?H%|8 zc2R2&iNHO8*>f~nI(m8rC~~4K$@98ZCRn%%W7<%UWo5gHs#=9*tEdGFS7AmQZi}s? zqzsn|+!LyjkW8W;N)?Hq_^&2RsF@fT!Ttjjr}XtpM_hs(2>$1_s}N5938zCgnT;AM z@UAEwfen+|uzLc*~==}?u0?=MtV9scKxkqLE0 z0ChzZ0T=bXaa+Y?O|MaEE7us(3&xFSxwD z{WDS0@hl=|HVW+o4T4TOGz9tV880Frb#@Q!8+!6=%KwFwv+w7{uU77X((NPHMWwa4 zc$S%U=j-*N6<^0!+bAw+V*y4drU-H?b;}5Mv4&Jp18Z4!?ZTa~LswzaBQ-QqQzmfN zGA)B&D<0{+k2o0|y}(W$ci%OC!_ugeOuK5G?4dTG(>3Ou3l;qxJRFGOV)j(*>4N}t zmx+77Hja6&*EumKf`>}mi_=5L9a$7D&posi$l?Ru@9vhFj8T|=$s03iiwC9BZ2N@w zoW?5SOoAX3viB-(r;fch&De73ZqYB}7mh$=PxbO0Np`yjJqpH72fkl!3qP}GGs%0L zjk#mQ&uf_kgnd5smeNT-mK3*3aV5O1h$bF4(TGiQbX4*bTZv2g1CY+_^>psT6ZXd; zq;wZrk7z77DEm}JMk;>F)j&5N{u?Sn4A*iSX7Ah9SznwhUJ?Jq?-OYI8AqAr8v*Ik zOa5X&_So~0D#!3$tJWJnm(k5-o~gXb33A(yj!wCU*2zrj0npcq=C%grb9M^W$U<+D zUW$81Ess~SEE+PKcyGNjwGwRh;FowNblbBuw)Pl2FkXFgy4t?&T}g7~=Lc#APGfuU zvshw~GUDCVD@LIxUREFM{=QQk(zZMA;vZzG-+dNt3|JYrl*aQPi`h3#R2^t2noIu7 zC5Sk4DL&4a?6^i>l?`a)Z>c|Gx83en;JT))X@yRabSPuRtcxMCK2^#42%`hE$_lYM ziyLYzYka|MjDIq^(YZKv*V)28Z=7_95KVJ?ye9YGk9v$MxlUKRKwu$=`ShL=c0aLvdpm9%UML+G2|O3i+ZX2NeL|E!XzL7EKl}A^%e3g|?Jl?AY-_j` zS<EWe03NmA_SD(>?PX`ACDh2;CQs{4}6#UCbp}(P0@Gm2U{)S4y zzl;?68!G*aa#GFSga3C%VNDv;ffjYRggVg8njrp#a+Y+NbK*yQqOT&eQ^n?)SiIsC zdShKM^diNbTW6&wOF3ZU+YK?3+^!$U;&-?t=>;OQEfOqun$oWMP$sz#u$lG)>YL^R z`?5AeO&BT|0<^KD`-3=JkM&c2g?}&z@H3*n1=^0Qx4%+d6l7NUej0hBPg#9eG*8kJ z-Q}#@$g_Z7H4j9SwHgRE9s8|zP`qTVGAqp{-ZZ}K{^OvJ_eNU>r8F^^KwBNSvH8B) ziQDByeaf=T8{!DvE?vs7M^q&%c4|fKV1L|!iwduS{*1NM<(mBk3EdpG>vAMt-|0Gk zMN@Q?%K!q?bHWq_ntH1ZOjPE9E*PA1 z*HX1eJ8C4FTS;7g+^st^up{LO7LVR~#9nEvD14_;|50LHXiNjzOu>sKseAj&h1QH>Z=ZhU2WT=I znoY)?Lr-swP14?+=k7*IbmOPGc4n|z6203gxB*Jq_iG+%Sks%2m>xgaOTLZufWq>? zNlhOgF^ljElobU;A6KaFwqlzfZR%Xizit1s{P6VFX>ilo8aDzTPxGQVaAB){TJ_1( z%lFsqt6-#(Y>9?TnMa;rokrY7*<#q{8~7UFfcN~PYK)fW-ff25tWm}5j|qo147>|f zqf>xFZ7Oi1JaFgCJrUR-ka@}3hs8fo^5{TsaTV=~;?=?TxYv5XaY-GQ2j!Em$s-&t_z^GcG2pM-vnL!qi<-SWGM`AQ#%~9lD8UVZ z#$~VQM37Z)+vaDC=SQJj_uI;Yj_+=bBCCYmrzpVh$zXzUT#o+{Ng6F|tLXysLGhdgl9&vcU3GK!y8_t-hQ( zxasKnz9>6Q=&cU$NSma=OTf2NSKbh*2bgT@0uyRP!V}LbOM+jy9Z1z1T{zA-5m9vC zH3=k2s0BBu3}0WRd@S$JI%%p{c<{QF`>O3Kqu0ffcOPY3xVi^{Wa@IiGS$JcV&gXP zz}MNSd-;10fwWor!S%eD!q@1Z!HpLGF^(Af>{`>H$YitU8jxhTegR7(XRkKm23uItT`Z1|C{UcXB+iszx$nD}7i*Vr|D4=8uU z);N}>)2H5yd`q$)-X4D-qeEF$^_ zEo;Z9PdxonXUUC{DOGXi)A=wm4j6R|LO{*&)te&0d25HH&K|x|xrL>@vy=*|KGBER zi~?fjspVjF=jN^ZmJS8&-?@anlN(3pY=R;a@+r*Bv+}OS71eftDK-U7-w1r8ExXMI zpM^NqFUYX|J)DQna_RL5#CMJ90QqBwkLWnY#0q(xGep-PB{sDz5|F~0c|*s>^%IOz%ad*Uw|!O)eC8S-rub07?YnR)1A$ ziiMlHP>y2 z=rb|r3Jed>+%dm;cuO$7?kl>W3&s_x3ZmJsbN+1#cl@X3_mx6U0jqXe-FVz){tpax z@t|#9>WxbxGQS*WaVxJmC$dQSOo9{gOUxqrCKmaX0~;JR4*nT=<)_#*H$;On5m@pr z(Qm%=UbViumrf}VXsDGhg!HN`JvnScz9E&pWd{W60@1A)g+WAf>Y?>VQ3Um;|sBsu}< z&7UW6Ar!O9+&^QLeXQ-~A3VLx>=ECy$tfTUOzM7O;ISGbe-ShDO50U5{x~Gyv+!;F z;Tj&9nbXB-2V@l3kv9~xe&=-BWe*)Dd|^}Lw+x69^AC@uV)9N9@dxb5eak;^n(3Y_ z3zut}Ce+jq&;1gUws!Z7i*M_lIAa7{k919*hIVXUl9e}4-Z~f%4M350|exMkMF)*oM8>A$N>H$JLJD&I0=J?|4MA%O&mA0z$7_ z`4>iZmj0~DW_7u#@*;I^3%G-ZeHD|JDcQY)!z|VgZ~MQAimTX1rj$<4iOHw#GD~@Z zXU|iLKlINZ;&CWHaZB&om$IvEnL1+iDxrKgOZZBt9;l?~p1m$4vni(&`s6U+ruNW) zgegtN#QDvDoX3m8kuk7-%)~Cbj;&$n8BnI_FP5B`PqrZGC-_&WCBkLSGo9-8N}%Yd2Q-D<6RV)`#*NsruA$j=)~mg(zXG2*S*Jj#r2=SvVsi-jzGn|6^Bl0aK@z)Q+bm;W67O>WO#zo zBt88cze<<(twrc*6i}$W%V8KU*>tf+KO<-nOQ};#c%Ejx}$b_W4 z>a9DXasfXtTpz-xJ9!!-@_`22eyE~1u=q4&YWGqL&ETO#Xin!oX%8Bn&N~>;hKmFy zwEqmH`2!~3zVi&h=!cr50W5@s)MaEA{_r;n?~FCVKFD+gVgiW(lc+U?S}17^rLDpB zb#`$D9RoH);^18RFEk0sBq0&S`81lp9Ld}KNCe^zWTIGiQA+@&3JT655C|a%++YEw zFs#6YOB60KF*sXO*1*X4+?PZdlk_N5`DZ@OU!>9+HJS&4*q3l@%WJrEya57{0B?!# z5in3yk+TQ{A_a+{f$rqFJ(&jUFrhJ;m5Y)HA*}4|f{)t`7pgcCqRRgo$}==FI<>XE zk8&pu57A+i8wqP84G@?RQyv||O|c-Vgs>8cK!?2YeKZl&C(m_Jlr4&bhwohsuEE90 z$@vFX!bvqS%6%O*orjO__H6+HMGc%kfD$4~c&-SYbOb`z0QN}2kNO4(EQl!~sDuxM z3A5}^jNm6Ee}P-ns{zM_o)4};JU2kl!?`u6!8PZo@_bScic}`RNcVrclyJ}bMg$gg zDgUx54G@UtMg-y${McfE0MI6FYwzkASYA2zDWOn_QYrsirrah%MY~bRa&Mo48dhGV zqg+aO(g5zkh(x)R7zj*exGqB@5}K5#kUM}fB^yd4g&yfO?(2W>C3JlM$doYLjjaYp zr9wOjU(|Dn5^m~mfItK^A}|Bt3PL?VF#KW&+zXX!M`@!G5SzmefwDj4tQBxd4}6*p zUuQ9)FF8Axj=($@CL3Wz0uq4*xg;c$sBM;z2(;xDn0$wf_Ag4iv9Yj>u>QZ) zWzxU;Ki6f#Swd##jhWT|qe(zrgd2*2-0bG%Y{TwhB^Q#Kbw86Rh<-73?{j~<^RzS= zSQ}IIILDJl^t`j$8(6@N7*wR!UD|Gt$W3BD!Onb90LJfNtYmOKlQ_G@!b?t%+zzLm z?=WujK)a+pFR{$yc})ubIDpA2+?^2DD0w0_MS8LjQ&P zs|I_-odJ9Ht(9o9)gK?--i|0r@59fXimGe@zkZVLG1VL38^ndPF&?AwOzwQ&f zci&S2yb!)c;!!wYZQ|3L=D?<=8J>26=a=OtWuKq0{VU{n2$*NsCvAVVhy0r^CC9EFk>Q3)utpTJeEQ_q-Fb&vd@2!!u$v1& zRQh$^$$_`zGY?*T1~6YAyYp+w#$zieQD@XfGsB|8Q~I5J^n1>+#RW7o@ed$70Q<|& zr>U#L@01^HW?>z%FJ=b53_WY4Uo_(6sBmTWYSX zZ~-e~4d^E7gJP~rAS%$+ghkTr`OB0W&p#ch`bpdN`lxx`w<->$cm>9iN;lOK{aLI{ zX}9H~{hCiE1Pjul7lA0$)#bxR+p_X@hw#$9Q9=#;wssDAoesla5>^U#)DV_P*< zf9jn_pfS(m7#UYq*vT&Rrn;ul^{~E6C22u%v7j91=mPuaQJ@*h@cl@)QL28*IfW;= zPi?7g+D~S$bx$s)>byCbWmi={M$+m-!A;ihk^Wc3!N%D*ClV z2Df<46}dGS3J%I74R=m6Sa8ZCI$u*P6$ZVQ%zO0PHm-JCJy;>`hydVzLT~+&^~fK` zk}dtCQCp+?tD$_t5q+a+4wg8WoqZUt4qHk0^ay$gR=JDj#Q@I-~06a zb2m9~)DY3JR+5WWx^@q|T0zt8f$OVVtECQXUqY4oPM?$AP8eL;)}qN#z({g5kl5v?;UP(PSlNztv|Yowt%aq0rtPxtO^k>nLm@Vyf>9b^kJZa z?ZZI0t9Ku;VF`mz6jd1Hr{Rx=HW@}Nc8h;5etJO?-9Dw;N*Qn7M=0k=CmUmY zWSVttCO}?%6HCIWJKqPvIp^5U)KSNRQ_c`nT3`PIqnRK%us7aO( zU^1W%0CiwQ9hhdB5&yz7cWDEX7pI=Bt!?E}H;(qRI4yi4@&2b=WDB`oS*QH_cqL;mCx8!tYo-A(K zDU#ZJxpZY>uIX(RxEl6*!$VD?j8QybAu~Z4Q+Fu-^s`IG?6A+^%1~rQ2WTh0!dhbJ z`{d?IPMB4cFPYr)A8VZ-{i3;SH9~tQt*ZgS*7fa?ShtO7dE>O=;cui-RKNDA!wuxE zD3w24dKIn>!~zzl)7XlH?>kMr=;So~ef;VnKS#$EmnAx+{-&5286XxI_qUFtk+H=I znb$Epp`^r)A)z8oXp)FXtx#{9cnpL%%W?4+hS1JvI&SS)&V;G4NL2u`q=%zT)m#^PEj>$N* z7)oq^a@Ve_fHlAF3J7TxY685OxA!+@obwh5c8@<{x@r=>>-GY)e;9s9%uCg`b~n2``8NK8LbvUr|PWjf;@FGGGi%_d%#89%PFck z@Rs~Y1xBk9i{y4QaHXXCg|kBcGE|Gg_PN~1ddRx{v#*g{%%%d{-lSP0=^)Ufu$a+& zI+UA88{b_fSUw)MoApG#8XwFfy(w}L`ymjq;xgwDry8#I7NOS@YwWDCzvF`UfP~?q zo`mz&=ImMERQp8TT0b;{`rvrM-0y2w>Z04Ot-<`YA@2#C6DZ+=6?d9)tqAV9eNrl_ zQ>URX_4_OfJBS}!?HimbtC#rpfq8_4DTXsANkMYXrc4Ym3EnA2Nw$1@_O0G4B2Ol~ z`@mF1erQ*LIs3FP^U+hL5u2vkli42@s}GS%^*fc%+1EfEn|PMD<&ou2=b=)s%m^>n zC+su+4bL0M#)H+=qSxoa;i*}GRtADr2d_`uhR~USMJYZ~>SL3yE$oVGE5*_UcHUUi zqB1SzIB6eSxadtV=AYCEsSpLdVrFiw>2i^41dbk|{1UAjE*!#o2VeRTKMt-%noF~i z<9ZMuvQ=*P;{k$XQg-}gYsMDF5+zqJ)eBcRj`8n1{<=!5m8+F5TSNg?(pxS}=37^N z5vMpS&ZqWk59=!V9YS+7!<;j%FZjS2Jnr~b$qwgHq`lhMnLn4;?H?9)GU zq}YmU2!1PhelwY~Pk+XKV*HqLuuJ?P-cAWKdHCo3B&EPR(U-xqo68mymo!PV$)>PK z#5%D!$6gbzzL9DT{$eWiHaHXuNF!Mclpm|+cE%od)lRh8(MJc^e&6$L3Fs#c9`H?g z1#bSTy_`ZiBGIq)v_y;!?N`%*i0wFz^&5BL?m%sD9t<3Hv z_v3_oDd|3;CIVhvmc$qRHYArs@5(FA|M6M>&T+N0)L}2dXcJN#J%0WwNLjXf_F<4# zGAXL}Y);Er?oH;sABP*p)u;0JH-ubU3W1D9ZOtv?m-St9#H^w=rTvGbynNQNSry&0 zWK1e189AW1d1_&OADbrNS&O_PKMI>0Mw=#%_ze1Vcl zT-Cq}iNhjf?BWsf<~tr>R>_~gW$quoNNMTnzkh=qICX_>e5wYGS0yZ1|LBH{?K4eH0M)J_|42+Uq@1uKYgAA_d zpWiwrq61E$kN#q(ekte zcm#Z|AG*JxF51 zRGH&*WD*;gpW9a%wREO`L>0DwYv@}hx-8)a0wUx41QhI(Q!}%9?`r6p)HSVbOR1{6 zA!~a!^`9iB1EPyuyh8W%+>Q_rG)=7Bt6B%v&^biu6t(?NWXzn-h(J!PF-Z$)L3{5O zrIu52Za2Pety=gJIw5}@*)d9Bp5M_ky?!Dgr=9ucZFzOqF*_VvutUaX{(0pRP_=UNi!Gt%zAL34Q%y$6 z-qDYx^d|os@yQMKiM?40A>f52>4L$^&NHrKRK2vjeEB(dbnOk#qvf~pcPeaR$ z;d?c=Pa=2(rInpxQY(I45LbTU9aZ*a86WV<#H4(grMNDw=%31_psv4vMlWD&<{2@& zCh_U>_|7cYqTkpJCKHzzil_PDO08qzmVFkHI{EGBite+bpO)jMpmKQLCEN5Pi*%BJ zF|~k{7NHsy_3oCu-K*cY^af3By#VjFw3TNXr>5Q$Yp=+}frTH3yh4F_RZXou`-(aq zzG2{50Tu;=td6n0E3%}jZRhAl+OE`-(4^equjm&|5LnD$aKS2=yNO7R32E(%?q|i{KroQ=SR`&U@8q};BxDbMkh>MU%!Z|z-|75mN z3=)MPQ3Zn*>99c(-mGR32!u5ffv{;rfIn54f6WQEw})&9j^uIkj{QrWOa%Wu!3O0} z{uSu{LnJeE3fkJ+(c9ZQ;HI7MG|yw*@cgk*WU{9hK0+V7^&6aPlwjbmq2a?LW0U7a zlYbOS=une!kISb{gT%>XA!VrG97{7Mt#DAI`B3^e=;48??EC+ zZ^Fd~pS_T>hL$#JvJJ$Mlz*g20|OL?gms!`R=#0yuFW$8C`%%tED66o3V!jIrGSMIKReZBQBR^Az`={5lM; zbMZp*sDP!2T1p16VKN;yOv2kExE&tsnEb0{QVj_w{2&nk4iQI*qi}xs6IeKjnn`+Y zO$MSCD%4GjYT7)9k>L@~a|_P|S6*5n-^1nyRCY@<`6^AkIR_W{uI{uViPWi2q+}GtbV{&*b({zb0z@kKm;| zk(5R#ryl>kk=*&-uqG|eSBamlyjFk}R4kfwKd)i~yFTub*D7zncZO~J!2RHqeA$&x zO|ef&dypF2scez;1&|WIH~RD8E!pLlznsf#^t7DlqRXeN_L8CnD~>kzh3mk~Ix?#_=N;!M>E<=gTu{nRpxQwos&Kt$ZKUi}itlZ_^4}Hm`{!#pV$~vF{z%)=l#plC(OffK!wB*imS_VLS1&aTRO39%_ef8SQ=Y59*xn?*|I)K8 zdrJct%8Oug3tq#j+nn{f#cQ>nsl0UG$Nv166-Wo?c}AAUKWEXjxtS1Grce@H;@y)y*%uRGM0=$TaSy{zk@W0?nHbuP8L z9wqwwxzE!aN9A6FU5ka1d!ApayAIz!3|kGyer#NK>5-kUC6Xs_MpcxR4wS^`u7BO~ zU7aI!Y|PQOP<!d;SH>12)iW7w7I94mfdVdlgHLH6`nQkz z;%mQ)sNy1L%*wlHxOqJ>=WN?8@WJ-#9ycC$>OsO`UUR$*UwnF)p^!jNL`S{7E;-XZ z*-UW4OBW;LX*fZnXJ5vsU)oC>b$#x!TZ4|1S9Lhqj#DVO?zJ^fNxJ`At%g=~9^smJ zO71k2OnVu-d;SG~bUdaAhk(b9a2EVxK#P+eo8Yzd(GOufxY~^1gVyHd=pk?}dSI{tU z-gK~U;G>TFr&?lpp7gt?Be+ayxL>7n?7`NwMj2SKtI0XBZLitrK`Z=0Hc9^Jw}?Nf zw|1f6q6JVKeh{-Q(=YZoX!USRcF+BZ zTqxU>gX)=Y-3Dfluu?_)H9kx80mU1A6d_mldajZR+kTnfayG84awll9a!AY0_2tR^ z>I?FQRYwsfevxZIJ#_fH(Sz=S9Phn73zhDaUC6SVH2$^m zt1Y)>V936H-~Z{73pIrxu%8O=Hk9H0ENFz$_^el_VKB!!dvAx<;>WIFir34pY2b-j znbyaih>we!vlf6JiA`jLNI zp1Nnx=YXtjW9=HK6eAzM@*!8vjd!#sTU~lx9>r{qA5cuv57W7ieS4sAiJY7N{nPvnX0M0!I9ks>duvT6 zx<7M#{J#q?7cTyZoOi>IqMTgZ|B?IwIHK-9l0RT&ZSx-qD0mhL=kUR*8CdlF`rox^ zg2H!2|B--#9(Z;5Gwk;s{y!wg0qmmrj|3FJ%i;f#fc^z9nP$6SISq;w z>Et#O6~7jfc@q@euas=9eUo&lXN3hX>}BpF{`;A2j@Vk%2U!)sc8K>tmO9Ca z!{lap9FGnDFCeRZqQS4o&k``H(pD-7g3AMLvs6+=NIcz8WV$d_^*qzi*srFkcrrN0 z^tt^NIlyNejviqyT{-b~vwA8$FO_dhqXQo=k6JiOUZQ8ohzR(;j+JPBGrX(fMDRn- z&%qa6UR{!wZlj2m)OcMR~#f~mum=T9y!`urLc18xdX$kFJI0U@z1z*Y*OR79~IJRUC2xm3Voep>(ws!Tt0s>N3mVu4gbC83f|F!MJNXrr+*;=%eQt8DY@q8aJ9Wbd$T+iOqn z!*EhV3&0d!dzLCg{_NwS=r;2jO&LQH*4!03{0mCv78UAwzv)3tW#?Yj13s@_oh&>i z=e;o@7kRXwt|RGLn0$?(qd(JWEpZL;eJi+`{n!wcXGG)97QYUIa_xDde*pV zkAC~+72OQ3bsw@ouOS4L{6zwFX{;0ZZUm{U%ih9=jpr>8dRn&!5)wVm8GCe%`1H#=J|S1q43i2WHOF%i1m^#r} zuBeacKbSDtKEv-?@%n5k@98MX%Hq|=P^xk3h;B;G9vCiPa{g>ulSy)*MB&vJSo7#d zLuBm$-SQ3ZOZp>={|{+r0T$KP@cW?~DJjuKNjC^0DJURaBGMu)U4kGb5|Yv&C0!~~ z0@5Xolt_w_A{~N++_gF9eDS>B>vQjS*W=#9FwUAidw`k!+yB4=KsYG(b+vb7&YPOy zB|N8qu&SmdSA4<9qJoN#A+3jZok9Btc0Mse5!q+uKb1Ty4mot1KS)Q+o=;1y9n86A z@x2#ZD{K4g{xm$dbzdV zYw{^|{YSV2T6*#HLV2Ayx4>__$lsBq1F@4bReTDzFN=S0Tytn_a|tIlmf=e34xWMizGWSrp&1*?aqT4*k4#y`ru2^EM8x zquV>(kdMm;3P8g>ad4eE;i~q%ShG7R@_yDKrbPqCWH&=&G8Jkwa+(35YGByQ?3zK6 z1pX!WlMl-zYPLSEq&h(sp)b*5`M-&+X*Jn zf%uGX`-c=%3J&i5gFO6K)JxZP_kT&cg~q@7^5gs)_=Xa&crL%JO6=&IWz6va(IcPC z8G}GVqsKW@OWW21Bh#yZcgW1SQ0WpSjN!A)EsIDieaURyfjSQy7V&TDTT;;jR>7Ep z%IcvpCja2Dw3mf-typBVf;aAawJr16crq7Dc#jWim znzzlXX#6K@w!sl0Mf>^d%6=oFei-D^ofm{cl&rTO)s9$%)NEbm5-0-%Dz>g4Mz>Cd z#b3U!U&g<6-^nlJ%WnpbD{?lG=UL_Mf}nTxKMB2`EbvOJ8^sTVv3kFulG2KMc;%|` zBfnnW@DE_>nsY?V@)0q)L|&ox-Fc;U&ga(jYMxhFRPkPPZeeBvqVrsm_dUX+lim`M z-L#KM+9Mp^C^()rtzl07W(yP)_ih)Z zdQ*)jw#2k8bgKtsR!Y$6InQsLkc-Xojs{quy9xN%Ke*d|}_c0$@Rtf$|?rTcc*i5JPl0yXu+q~Vrz z8u!ZYBO>5E4lR3r^(e&!i?AbXCT{h6)-f6HM$tILBvtQOJqgJGMf=$2*fpU96Y$Du zKJZ9RZyOq!;E-`B{dsUCDW~3XK`i#;nAW|e)2rgf{r*3`T6+*)RMUR^Jg2UGViKa0 zDY#5SQ!7+a&dx3T#(+A?v%pE%c7tbvqWR0^{g==C%wS6iE4I%fCo`d%65dyI7WH@J zBy`Eb$Vo_X{)>(hvDhMIKBng8XZlGPJZWQd$>y)xZTPVn`n(+>8;XlNGkGH}Z|L(j zfzgx5tf@^Lj02PzSv&F?FCgC`fOCnK|h+vYBk3SQID<~)` zrg{g;B;j@#Kv^I3avQ>kNfgvcHbJ&~rjxt}4c!RMy5EEXXEI3(3u{}bk%YxJaQq{W zL|oi)aTM{0Rx~j&`9*4V?OEv!V(9imp&Ct4m}hJfnY#skqqPajBmGd+h&;06Lnl;= zc6ayoeMCOvpNS*4Vf5z?G7x3t-p_+y_c%#TP}sE4xsFuc{AK4pL?b~lHlYqsYA9wu z6y_PAG(lk@gp!pFQacDK*=hdt6d4)u{RW)t80@tHglslLgC@<TNyk+EfPlq0qEF#ZY|(6o)%w#g8sSJtgG@SX&QUrKn-7 zD-9%(NbNZ6`(a>af$B+F_$+V0aTCrgmkDze;GzKMy#-&(zmpV{l;LeEO(=X-H8m|Q zZ5vyA7dJ?T|D(O$6R90X`d|Nsuupf!wXbwLPHVw z!LtL%&kzx`v=hVe_vrz6et?1w<|wpjVZd_&7iY;3K?xG031&}8P*75Gii27}tD9X# z>nNR7Mzgy9zgK1A{9mau)X~giFZ6}$`}Xgc4>kJ_cWx7q z1?*I8Vt@k~-DC94H-TQOdbY(2LCw^^e=}$tlY8bSNky%cHGBgvYnbc4xo)7j>=9U& zm|Ej1<>2b-Ce$%ca1qP)%8!@>lZn#bLn*&)_spBqxz?#my{M%RM`DU4wWb-e%F)R4 zKAA4uKvaqiw?GXG+I7bgv&{y z6$$5_3FI(OychHYucdlQc&0dXaIFMnUY2CZVHR_4a3*Lb6I>?-vQup-wLz zAL9G(L~_7~Qa^mMB1N@x>grkMTePi|POY35wC(b3$Q@i>IVW0y+UVk^)I>(yvuWQ> z7#hN!+`L0h@cgHu!tuAxjaS#VXFzEcgLhctuIZ)ATrq7QlVGR&;xx+3S6<}6*wxN8 zeia-oJd1D2yjq-fCpvFFg_q`+cwIKNs+*VqOR|;TVQ~yNsik7v514@{zPf_@ct~xT8LOj@#Os<5d;UN)gX)d z{FZs_J7P_&BmeB?-N)+*w3M(hT+*c+&)PfYH3+-oz+BIDTqSz#s5QmN~d#dlkpbpoCI1AhC zTC>xJ;8w(n$=mf$?0y=rU)Emn8DzrQWd)}fiCg37*wj2`t7w^SqT?m-yRNioNY{35 z5L&%kq7MQVNvCciO9tvzCW6GM$5!e0Bos_Iqoc_@zTpiI_f4FFEbNqM4rMUL4u>B{y67^ zUaNP%9*46}i6!$Fn%C%eKrAz1V^Q_KqS=4@v04nRl9BxUJKNhT#pUkqZnDE#*8z#L zhVu^RGvkKP9Ot0adxyB*HgWF>`wFFBEx7ytynPc`UD>nYo9+;kR*yW7so9yTy@5sH zWO?y{{&DTnN|Q-Hpcd+lV}GXJ?!dVql2zl@+<~XubZy*TZ|3Fam&2xO^Pp_(RiXMw zMH{tIvPf0C_xo>>aY4Q|Mnw6X3`aq;Z{0wO>!Y&G?lcd(#tRdBm$yXd<8lV3eYs#WfCh%{y4eDQSmOuL& z{_bCI`EpwF8r~+3hPwAZ-SyfI8VZGuMk+i5BW#eR^>4&kj37>!j`Bx72=MR`yUf4m zg8+U`_#-C;w_su8pExP7wt4VJP6|Iqg#D3|fp@t+VR@pjc-NNP5<20 zZtY*(2T~xnoNDczSF3Rc%|tlw{FlVQ6k1}f*~~F(exJEjm#S#+q**+8g8M}nzW;k7 zK@Ap~pF{(=t) z6QI5IK1=%aKtH4Qt<|cB;|hQNR%br}2F9>WhNX+gVKRtBMP?>)H7tc<=YMC6wO6=y z42}r2-wLGDeZ_J9xAgf0C zN0Yuw`U-4m^EKT%jU?ArgJZuv_w=Rz5OA3x{L*-jLWXwDNr@~#|44BDLgKh=Gt<>4 zAspP2&x}u&XHV4!ztirzw&I(=1R$Ba5Hq#rbN_D+3x8{T(`q*I$CKHr`F-B%=QLc{ zbipF$gXfnI4im#Q#6|3GsD14VTVkodf@6_Q;zr7zIY3nC-7234Xql0#%2dD3Uo0t;7~Ri*WrThwlc$N>U(qfu!(ci37FKhPIh0sgx@nqk{H1gJLh#G#*4Xhw>y#%Gs%LW z&I?Qj(PpXR`xgt=|!+DbiiqZw`-&Z(!1C zNTrrBDpOJDy~DGf+volPls)&?vFFdrkD!I=p8HJFci8Vnldt3a4F9R^d9zRSF~DP- z{)Uq?_05Ou4WBw+6bP-p{zl#%9ItCk5kmWgVtWO|8dbE~cNkeK23gk<-{~m2=0k3v zFm!mn&WC7rYkP1nal1i`fG-pIz-9o$22(r0e5KME3L|NO&Z{B z&X)Vjl(Ox~;I!rx-hv_UQC8<42Xo|7`T(!H@JA;d1u9+m=fi&q%$I%cz)KMYxN9LEnQ zuziK%gs&+6m-u%yA#vXUqj>Wy4#z7>z^COLmft(SLs8g(uBhpdS3LY<_Yj>N+)xUL zO3bV7*%S{?q_%k)*EmYbeN%YB1`W&_Ha5Rf!%4NjqS4-L{~Gr z`-UcIb%N7InRu=@4PfCD+rQcY=%kElreWj@j$?8|0V-Np7T#Z*uoN@Ti^!_Ry;wcC z0JxR>pXYmuE55v&mAr82;rGPm_APXA*;~Fr;Zxsmlqv@M2_KffH zi;8RLxYrGCqcj}bVYtsl)!Q#B8s^y)G|V48tM+7*Q91XtA!YFg)_QE4Qx|qGz;%m^ z`&Dqu+|w^aT*KTk;OjNXnX%=4Tq=4)6Yqe~NYFPp{9}VeOxEh*ld#4WW9$67ouXzU z25DJMr|_l;tjD0MpN#p*3*8VbTAmGIhotTwQqM{>HZ-P9_wj7YzMsDc)S_NbdcVWs zWfjznTh&L;ky7f*?d32BICDqa;^C|cZ{!ZW?3|Y#-kZ@LgAD#IKKq8rJi)1P;%r_{Ejb8 z;IqVyS(P_@*~%sBFA>ErrR$Oe=56X0ANA}TV2kJstV+MF2^j6@K_lj@_|9}wLu+ti z6OdA~-H_M0=UUS@hs7vfTGjq>ab4Q8v}a_K5p{`~4+i_*bFQkL!=vH0vdP}J%_tf@ zvVT}Lwtv@nh^+KSUd0JmB}$Tg{r#6GjYa9+Ijhi)%f4A`SVDXi)06Z)swHO;IdTP|D{mYbZ}JUGR8IzorLo+hJ1C!M;F!fdY=vQg>cYmDSS2lG?D0$QTlR`pS)7mY!f#*?dZdrAmSltZR;S~~5-R&LR!!BG8 zY2aL~e7UNHk#R*d=;`}YtSxjxAXM7OR=$TTki@O5Vc=XwW7&CP=NgitKvP*mr{1>*hOPfADF_WrQ_4|Dp;XYa|5Lva|cJx;jz;j7~w@DwSr|R zzA(p%O|p>pT5oStaJYfojJ||EltO6Z!BgD8%jRAI$-ihcu?v6R`WaW$y+JPzREx&Y zID~FL4p|6E+&{u2<+!1FH!{Bc+6}D-i8+Ox8({A@pS5=aY<4$&nmWI9EN=BUGP!Z? z@Rq4d{M&_%lMA#iK{3g{PKhqHe3kYHO_CwsBD3t89-@#$MT$Wq3_c>*5$0$@RfUEovNc+8)3j$%Fi8@Ap@V#J2R`|~ zB$062^x%M+P!nj#fBcUy@~;x^AY=qn|83F^3k!>gi7Q0%B%xCqp^<(lR0Z-nRKj_y z;3mAQMy}PsIiV=>AD?=CJyeCZb#za{P)Vfh<_t$t{atedZRtDzXd=%rB(imsCQuX! zr=*4p4e|tNXHh6{Mk1j!5{@$r%K(Whbdbrya7jcGDG$!7?ay?Pe}%dt;hIRUrmY>4 zH0kPw32oeN?r`phnNS)D=SHNFs7LUM7hJsIR>NG)zYBoQO34w4C?b>$NzBU4MXIL= zk(k%BpjX7%ZGxhB4F{x>$Sx_b=pTS;Nr)x=pimO-UnELlViL|gm5BmKn8I0_0z3@> z6E>kdba8PJN<-IvBgOZ4c)0j5>=o%KKL?Xkp>&y)l;WS1SNP)x!7HL$7HfiO3dkOo zfDa*Q0*^f5?9y<#?uWvafdlRgIi?si%l$o5MPKhjMPubB< zolj9o|Me*B>`?6gVMTyKp`9JWy+i%Gdo`Y3O#1gM^AvTe>VG!FoBt=3rra^T48C`( zzwXMDB6?n@+&F`x64i3YwZMCfy1RjkMZis>^kE(M^@`k0Ld#e8UNu_~pG)KEUaURD zPM0v}O#cMr4vk8Pf+X0<52W2hwsNpCs6++Xxd|(WZKBx%#u@TKFixfG~YT#mgf0xtiS#Or=N$K6hYKBYJ_cIlwzq%VZ)hQLj_!YaNI zQZx|Wl{#8$n%%J<-?qmnlQ)a2x=M1M@fNEhPTV6$adb|g>aSN2H~a}5ODz5o0s0LV zwc8@KmA97FHl=%BTy=im59;>cd3m&Rcz&BPqQ0ry9(Td*T0i4$cs~G-Hf{@4vX;#s>EaW=9){KZ?pH|bH%M1{Mf?>el4FG$mX0h^w!3%*c}Rt9f|gO z(tlQ;>Xp61K3X6!1P*S5w`1THnHAQ~F3K9$H`PYHvk7~mrB5eR^gB}n6aK2Xq}3}@ z*iV%>Ce=89_Q1QD^uC&fqUB=O%=+kl%hzq7NYX^+HIx0q$+(0I_wcA;sEpVFMCbiJS+V`Su5v7q?kVtGO#JxKXR=Du6RkRbt&(*s$+B2GkMcO)Nq4Nn ziBlAqYDHEi;;@`=R*Bh6VK_%n6V+ZcLC%}rzrsm`0 z3g5j^!9rR01SQ>NISb)eoIt!fCr~80=e+=1`AjKY!l>bIPamI51#wfwW7V4+wo0Ib zj4bkT*aRoDbGN36K)1*i-om}KTgIfg{4T@AM>3XRcRbGEcH2)!w|jIp-bJ+z$@m@p zxzmq+TpH8MV)6Wd2R@BvZn@f3?qwAy&lw0P#@%o%xH90O?6ZaUT?Xs%X+F59x2`?6 z9%THBIqMN`#HBYkxy7lZfJZ+eIm@V7;Gh~HSq)#G&T`z>v}L{}Os0lLHnIL{o&1rA z*=x#;n$PCX!4j3uWVTrL5}uiM#clT|lCh3T>|%o^d+E=bDmtsIe}IWowyV@W&&c>B zW}2+y;7KVT(PGo)4YKr0YCSLHwK;%&nzCiz+Z~VXhuI`$95koV*R4W#%XmIWbF}7S zJ(K(na)mQ&+wq4^%<5S<#B>!k4r(;c;j6qcBC1$L$rRb&04hgq(a+2s%Wj>peX9QQ z#p-gL)ml+9GZmemxs`G@ETkM?pH;!RJnUTkBR6K8ZPZdd9G45f&|$GzX&ARekAeVj z=G~Xe3X9nw&Rb{5X?S^&Ax2hdg!HnNX1vY(&sHTLup)rtn6KO4=ljsxbVX2$fwtsfSJo7^OpQV_PDOgP;MGc+g)Ho&h)7- zZRS=@05+O<<%RahW2a-PWk-{%TN(#P2PNu2SSQMdkXS{bYJ#a8zgvDx$^~VPI!$`I zILEUgV$D+wNGi$1_*Du`#O`1&^h@uUSNN}3YIB#8#Fg6)rIo4S1HJ$an|#lqYs|8= ziP8H0Q;I5?5sssRQf2l(J;qHuHRvpIPpk-(30L-La2I{VEk~Yq6_=ZNQ53rQ+{gJJ z`pprJ38C7*@=DadC7EXc^MAO{zaY$ch%VtjR4-gf<>eRrk^cdBn)HwS4=gUhx3fQR zP=F097XQRS0Sha1v;2XB0+<@~M-B=sEUo^?K|xS($R9ZZIW4{p?4o~-Su0+1J^y4SkwGbz-^k+*r&|%$55Nqc;XU z)~>SnkQU@zS&-#x2Ut&hy$bKXxgkuHAimV)Nn#PXfV0_kei#$;@##f(MfnR9lzVp~7v@i~%)+GK&Z`uvjqtWhWZS~5^cPb+?XV9}*(X0?8QGS_@&%e4K2D_B11nt2*Pq0r0rgWdPC-J+RL z15Qqadw7c-uFn0Zm(YQ4(9y;wCT4Ho4CjeDfm$FhRx7!ZdWmYpIgvf<;;Xj-BlYi} z_cTaDp6@R;9|3OV-5ZSev~ep41K-+;RweX`16rbn{V<7c8g~x`?2(_CMde~Vd1Imn zLkuLg#O(2wL7>G=lHE`2bqJj?(}%}zE~72#lKHlOqjSB|9E%n-BZCf<8ZPpum&SO~ zq^;^-bNwjCO|N&+chvCJrw6@Mfm}^~;HEOS;nAfwUr7b=N*1T=%Y!aPw-zh6L~h0$ zp{Cm(z6A>H@x9}6`Z$qO7Z}yJr9NEn!J~-Y=W1`rzCF@D%+Uj!*DUMhx~Fxe?%ljM zzjKUn=dCokqNrb{+^;uXEt1XM;K<}NV^sZTPrG6=qdvoIFU5P<$v=OLDaf#5Ne;F! zuY$}O!`O>{T_@vsTR6mp#nh=4FAL_xxmc7jRBVNQ0P4*Fl$Mz)p$~Ah`IOBFJiIFLFbLQyDIVCLy*P~ zLV~BP_tPfl``Uw^W2<4CzYdB_ok%*JUggar@CKtxF)GEZdGRu870U4nF(}WGX?e?y zTfFY4uTPq{`f5OZ*)cQb(#rR{*L;ms%Ze{zeEErYF{#Ke(1Uh>v*B?W_~xiO3r}%j z&@hhP@U)t#Wbsu}d4Bm8SYocaZPU%gC5Z&ftD%2=>DepE9RP337v$` zQztsZ^cNpw`RaYK=(n9xd?toj!T0yVzG_8m*u0ag9ck_}{ zFYGHvJMU-JnmNV~-Ae2XV7&UIF{0WGynp3wxI~S`!1sWbN40-!%CEIoxTt3NH#*6A zUdbDNg<$TKL(#;{J+GCNQParGE-F2%qPB7DC^c^Y#yPUf?*RM@Bly&+4z4brcNSdp zQ$*0!TBhGz5RRa>^ecEbKC=nX$P_;AVxF^b@#-Zts20UAIoEH!HPCRMJ#I%gG^17y zI1Ft_xIbQGWD$_Dco0@m*R#Ay1DIqzqTY;7$mxc~fYebo?V!xjFPl5mlG@?Xg|ru0 zG>nTU49(w>4jqU|s{&;;Jr>w%wc#wPtsC%a(mkqiwH;hhj*RAT4|=w*F$gf#tzQV- zkM8eVyZP;xsD1G3rqAf)*P2;X?&#gK_k1=6&T~qu+J?t|n4YEO(lWAt46L zm%Y|Y3bA#xMiaOfIUc5E%Cg!uaAAQtrpi{qBqE^|n5>jBxe?kUuc~M2?H5|m!SNi2 zh=&gd=@=ysep+JXyQZdT>|Wh?$|cz2HI*5IRn8qnIQU`~HIG-ggXDtSv%aq|Q%ZS0y_P;AjfMoy99#wCD( zL;9d~>je8{ZQI9*>A5voV=NMl`%Xm^)O?%oaiD6Lm|;r7 zz&osdXpEj!UbSZI%PJd>)O~CB#w|MEkZh30(=&)md^2ij`M@u&p`&*VomPwY=EqPW z&PS_Km^Mt{YT$q~tBgXxEZfbBFHEMdW-~SJrnk{s#x9`|Utmz21*;ewDlfj%_0FxM zQE;gSKP#>IxUjKH$Eas~-{E1&4=iwzn_n|14u|GiXy){9O5VG;1Pb1@2|-HFs~&6J zIq=H`#hoO6DTQxMe4b@25bE6v`E-0K_nKdEnM|pi_t)E$Tp%c?vQ@3MlEvx4l%^W-ef(J$4|2hI#mpJ8Y%cgOt0BiM%jJe%016$P+1+8A!r+qd3pi^wH zPoz0ZO|KM?oI8vTdas(XUw`pJ%9V-bim_k*YwUOOAo;c14kn3p=&7+!*vL-`I&NOw zs@iQDZkWv#*Uus%2JTw8dS|}-x`(HF*Tl;8VZ;w~S~lSu#k+U{a?T#v<@I3gkjvxQ zPs%G*1Gp~jJy-(HWL$k0)R#RabVB+BbIV!*saUfh20^Vc$x{KHN5>s^*G?#dLf*Gf za|-K}wX`4E0gr(AkHkV(eL`dFcJ|Nnnb`QI6r7w2h{zgRc*GA+&4L9SQowvuCoHDs z(+y3J{EE(XdDWzsHKJPPGy=L#o=ITlhP?4H?i+~~OhvNCiN_uT^P6PO8JD?~^voU| zhnxC=DjcdtcKRoBHW$L3k|*U&{G*HC%+qK%1tcL#Nhc>NNFiNZqhb)TB!VH40XuP0 z(@apPbhu<>qEMOe^5rbVQAi!onNAYMZ9+5&PmQ0cBnO~M@{B|h4nhCyr|CVU|K{k} z1ci%eB$06n?_K^7LKJwQ4O@Va8geL<#6+Z$h%^)%2l6_5JE5Y z4a~fJp^(xa_b*>J_JW|Cv? z{3KBMzI1N8{S^2sOf-df#ebE4qs`2|5gk)3h>=UiY!udTA>paZZiETI1FqLI$a`vA z>o1V9I&v3!8E%ZZ4o7EieKN2MHt)%XD%stu5bx971X)O zbS_yq>)q6BD)&)aoV8BUnZ*K4h4f{=t2-`^^?pQ?=T*T-Z*icf8HsQ7IWn7nx}~}U zaP^(>2^Sv@2O7nKKKO5cv0 zZ!I{*yT~Fbb=-KS_SC`Ydz@RJ#g$7O;`Aq`AlfLOndXwT45d;09hHLF5XRpZez2Y9 z9Tzt(gxQbLg#od!!~;6}x(?O1ZVObmepE+DtVCM4HAn?K$-`vwd#wZ}t$swfG2Qy6 zJjRRu+Q%bAQzN3<*gDhX^26DfYi?oZL1Thc$-K6nk#5Y52gCd&x8DYF3JHER4Do1d z^*WLp@dAXy>2a7l7$5fw8h*32*cd5jk#DIlYTVK|)<1litf>ab0+X60ok&(+cFw13 z#2a7c5KDR9ygEU)(`WL0-Mcyku)2gE%yQ;0m{s%CdsI5?#`fd4`%&p?yC1Nf=0-AI z2EvifzvAcVmw!7bb2CHP)PqSp=UQJ!zZ4R=f`Kbn zQn3=eF-p7`<>nrEzTOA@o{u$-U+}&QF_vd2_iiuX&e%8lTyEi?A>*LuBuUB%$UMsI zQb-?vdbb?7t$9UX_SNzNKLyt3FHyhCu&8q|Xn;t6FX0>ap5N~LgmDl2WktY7>Ux4~ z%?we!f7l9z{w*+)?j`r=S#m!W*U)3;+2$W1Rn$e`SQn+0s)V8 z3;W?nT%mpL_sM=&770?m)?+j9b}MtE1HZ<|M;gS{a_U6pq`Tr)uG){A#-F@cZVW9q zjC`Kw-VUA~)sGe4K`Zs+SG0ABJC1!D3#z4-Pg?@Zyl?Qp^7*i(9#$QXiq$C#VkR-;o0xb(nImJAEF>0y^m*Ii zmVS_^wxVyQ=jLsjY`I#_vEXLcQTm^>QMfmn!3j^y+iLpt+e~tC)@_&{Y$UDd1uPaR~avtLGcze1=8IVlVT=~ zo}0#;3d3#s&2d+yP38Fm>c+u+YFzzljM-EaFYA4Mh}-EYTZ-hF>ysPrED zo1oPydLdoH_R#s0RO+wd?hz<92Ionq?`=OkOKmT6z1?Ue{TD&zDf($>;n|!_WcnM0vMR`M^Fk{+uHvKO2OPbtknAhC2|Qq zLJ1Ge#DjH1@|wkqEb3oWBwe;#c!bn6JwQ$`5zWEtPXGI+Up-$RVHI5ev`?IMJY;{0 z8?!dNTfhyztXivi*sb8N6o=4VBm17uhBF#5scK;9HZ!fD&yg4f#v*^zUe$?CU?X}h zl}0xvnt5OmYjP~gZ^0n!>CG><4ameVG|aAIuzq+@AlMLmvrX(ZSHus`k`B+=o`BX_ z{g=RN=)C3oi3@b1DL>+EE~3BLykz<{VKSvk^SVUd>Y~{NP#>PLvlVq~)*v%3{M6{> zuO3>S?GZ(J6Go2C>RvHQ@Xf*Ky=-sIXhF2HFlJQH(d5m{_8rjq z;uld@P2y(tdioE#>lHU1VU5cZsjkqM;+pB+>iSp&NE5fSn$A5RUL`2(zW&mjvgnsj zq!-FH7j1niR;~RW2ME#}jtWTIOnrH!a&r0(b%~FA{`p@Uyf`XPq_tiz*RO$a&H_`M z1Ztte6z%=0NiU;I;ZNF2r%f*Q+1wC5(E92QZaqXtC#EX^{19yJDvK2HRo9>%H$t*r1E&*&!RQW~|&zR*t3ngT4 zCH}s1B|UMsF52bcBvmMRfcG3oX9#*PQB~Z?PeCWsKzNaj&27h!B~M;Hxa|w?(2=?& zh?6xQ(N4LOToox*HSm=*$54~!bGT9+C9B6lY|uRgAK+opAWBeKf|ZfbW^m(O$U)-w zocPyShji@kE!(QgCHUMQgo{c_nqkyTjay0U)U!{(55ZY%A1j2j zRTyN4vIz;kG}s>#;jVYHO}fXefyBfz9$ZR8d#%-ku&wO4c1HuZz_;J8To&lC7A@L6 zVgsbNRO%fQm!y;lvMsJ%vALRiSj9zAEl?r1B{pu<;KT*CtG?{qZ{`?Dd;ZORRX)Y- zNjIgBz4Qlfy;hFOKEsE5;Mn#>X2FIRZ=K`8or`{RF$qt3G|-60&A3$Re=cn4qd;}( zxlg!*r6>APvWz!6^TTwb5bcA>V})>oA72G{DnO`o>1RLm(}qF}-bd;?@mVU$mW3qT zt2%Df%8eO)&9R^>ddBEM<-3D^g@7(eNvE}t@`N?pX?Fj2dG-lZQ9nn4@T5dwj3nK2 zCgnZ_m7&|h6@+ZLs$S029Qsez2UiS!ZJ&8;#)ZJ_w|+e^P#z zSlRvCkrL3>4bLtb7@nr$6I6Uy{C?ugAdgqS)`=n|ho(eo2jMH?;29butUxwgL_$ zv^ULsGIGo6*O~a`HO%Z@7FTsZeE37rJFTFqtp}W7QVWGe0gP{m?u2i}Z@Vamrg7 znU9mR$C4%>;5DzHjACgxyFj6N8e0cP#=w_FESigk)(IJ_gJuG@^0a zxVVGJ`mc-V*CPr>r|7R*_D_7hBp_;R`-w)6dzDol<-Z>Z?sy+zUa9GyBO+1C+|mko zxl~I|8#Q#!<;PoX?~--GAdp(QmRJ2{_lR2JwwM3=Pt&tlO5WjVne#L@4z59&Sq0$r z$wTbl;kWV2O-u&(Kvbk>+eKIj7kBL&($ev7i_R`uZ0ll!kH5ed}u=I_c zd6_jTNBk|pCCI6%tC>|t`{0wLg*mc4sFud#K0@t4-{=6N1vw?}!spD}0_ zRwVC;+*rB{ihp9u(>&Y1#2gfNLDMQ3o5n!hos7vo{P#Vl2JLv2c2G!V?OQZNW!|}X zNyKjCfRjhcylHB2^EbA%M%x7J2?vqM?=PwQJZ}QT+lJ4u&fqSKuAPEW==f+Ae% z8-DXgN@@my*#mcs0{4ZrwxlehaBdqe&T4g!iGlHN3k(ley2izj%)&wG`fsYx6BNv)MM>$z=)r0c{%qTvALp-|`sDTf#$tx49R6Vy4PO?iIK`CJ z%mYH|ao9MnrM|+V5qK2Zj=HQ3?uSPf_RcPE_;&>U#sZbGDzC=~7)poD$h!kuiO)sn+f@~dYgNL*U~3`!zhH;87^ z)Rfc|37LeVN!W5@W&Hp;nxmk__dn`wp2xrqL&YMByg0a3@qQ?*1Y{&Oq3B@9CZw@x z8CmZklSGQSk!J2z_*onJw>zP?9?^#O^bUT9?(KgFYr;pF1sM~I^qyrVX*ML7v7`?7kxM{f@5J7Ig||s!XpVpIti7NAxObZ=rgDijUa=T^_e4LR-8GxE34oX@BCnt4LL1LVTZf=wRk}TN-HI(rB1$apZnIi_Gq0B`6ZDnU*U|@yE z3{XP}CXv-t zCX7o!_Vf(=;)@A94uSg>3MLU}J4CS%!>;^T$HeV}f_VvNib*@9bPC5FIT>)KOQtx} zC7-cLH+O`=dilT;0)9wp0t%iHXo6=1O#V3|0Dmt2IwJsY!pwmqw+Tf8`?rc;m7ihD zK6qka;Gr@BZUBzV1?Z1+gxMQ6*q z|AuuM7%Bz+p30g><6~O+`J6qt^Ly6YOkzcX{KjrNXEDwBU{I`c+A!x&Oi#tWpuog% z+SLEf%2^`(ZjEiG^Pn(saT9o#B1AJ6GZy{DBk#4NVeMY`Xsqmz;_+_UdMt*~MidPI zcLZX-Da*Aq^32P;coU=`lcXPbWmzi^dw`Ev=!^6U;AZw{;RDjjn0Vr@#QvbZKb0CS zTa-A9a?du?Ao4a3a4~G>z$rQ!*8ZYnQINm7B}E@jZhE=?5!)j(!wm72RM2ji=kT)cc8<=jmK3Xa>5}erv4`>GVAd zAI(P(HhNgV_cx3LU+U51)Yx!Xcd@+k8BX(~_$D;m(89E(i=3B9K?19MCCj6E)osTB zS+BBL%T3dyuUKEl(fp)3U+zZIVEI9;u-YT2pD$doM5u^Ps(!9_ug+s)VUdlr6D;| zb&uB5nd*uj^z@B-COWB+p2>eJ#>|YiU1sm6RXX4m{82b1tr-@&WSfxl%Ao9Rw6iv! zU%8DteJ5`0`MBF)Y@)q60w3r+8#j;RIVs92@QiL$R2<-IkS)L#AX60s>8p8??kVhb z=mvUBapsSDKLy_idLC8MR-{-_NyhuJ1*l4ut7{XLALKo+&w9O$Zt<8p?aLiDv%HGw zuiWWBr!v8CeCo+nuX}+l$tGsET0ZxbY-o5n+^2J*QMp#u74Po_B#4u4&bwX>TwS0< zVTJW(EeVG3VsL-B%NP^#cIOrWKA8QL?8>^3y%MB-5Jf4n&UW;Yc(6~7Hs*zDmq*g* z4Svv8++AQ=CVs-&HhsG+Gr1I}iZsKv;&~W_w7kpV;(R^$%6-3dtJwdjp(eaw(^AZ$ z2zw#V{W1FsqCvr}PT+hFJk!7a85R*(IkSBW)f>IdT99Tj*L&P`a314Rkb1eZ6}VS> z$-9n8wKaDf1Y3OmHE+oNqyI8dPP0%DCMnMXEi|~_ZmLeRIIxf`x{4OEMg5LauSc#j z^d@amS8p&sIo>;9n|dvpBKYILHqkVs~VUlCtkwe+ngHQ@S35t-YwEj|4x*O+Q9|aV70TtaVQ=dwNs#N+q zn;hrZSrgqNe(q*rDBcMOi1zuxy9mC1u1e_KSn?L@;AYbNSS~PFJiJTcJ#YU}Nlgrs z@tG?4IoS8@6H8OvsYDCuBD*sP(tEwt=V1~X+^1by;D&h}{2I@VWw&bVPG6wFPL05{ znZ26ir(%zdRgs>RUDA~13G&$;Z(R(v+`nSs*keQP8ELFF?47~KFo@455RRkxmf|9| z`_Mu`7Rp29;g^OXx~D1nO9tYKMv(#%%PDsv{|h5K`_n6iI`f_X586wyZ8X&XKg=BY z8)nuaFq58v@sA`BKqJl{Ngy!!@9jGO60r%O7vzsb6lDJQmZ5)%*aYyi+8>E1nEmf9 zL;n)72~hvNW$0fl^nb%lq1jK+t%J}@VPqwOtgg-u!*}WbeA|Q^Q+)uhi@`~D@$s%f zWL!s;bDfFq=8+rU-FV&A;9Hxp_^i{PrBi>(0b^nI7Kxq`8-2(tm2W8vRP7|ntoFut znN`80?u_I(V;5tIg~I)!Z^tvHiP?SD2U@NitWO77{m?#u!K~*SH#u;LB~ovz{nWbp zO}2_5(S1(6R#>$!xV10g9_Z-*+A?M}L~$?kopJ53`||RHq>VQFlg*zE?f%WX1zq5x z=XjY>^Z4f< zEgm%T*V%1R=#O2u0{Q6T-!kEjs5vnS4A1}+*4|5p0NNqcWPU1 zG~b5~k^A(uyo)@T)PpE$uf6Ssy3mv4^&ek=;=vJi*)zMmTC6cIw+bzS)SosMAIJ!L zYL;pYTB&z-K(SQR`5Q&c{q7GTf3mYwJ|CSRmg=z3z6jQ!g?1&h=LK z!MalTdxgI0qhmCGYSma$_oo0m{adTOm*B_<*{%lFW0!l=;d{>v_WI?Y)T+A(`7srM zd-?5rjIV@z?3TK$bjh`X2P~ET4|RV56;=E9|D!`mN=xG<2#Rz|Nq320fYRNdbf<`j zbSov&0wPL-ARtJWlt?2I(w+ZnYKUPGWk~&Le$AxRZNU5Nx+Ni*01P zy=lR)Q>0$ybg7ws9w7PXAsMxqti0qqdTsN1-OVx#J(yl9G+fz@o!rtr){wDn#*J z*i)}sKM+aqRA6p8w90tq<>*LBmIBJMNnWb?tS{AAEjLPKEOz20G&8SZs_6GDi5q7q zS=xb#4t#=92KLVCBrH$DEW!?iNbvrD&w21eyC zU&^68tTxf`zI#k2bEoJu0mk^u3_DA$3}KjvY)m$L?X`VpZb~zY?A=blY)UY1)&ge_ z48(@WX=AzQ30<{3qY`I!LgTOFT5#PRO=Z{U@5l!Od6wk&@j_&R6NTa~NTfWbXJ_qw z#!b$N*S0n*-t8g}-e`|<7dB?~4i@|_4NN8j8_PSZ&m{%|*qYMZ57#>h!4=$z*L0tr zV-oE)h*bywtQb^(Ofhp~yj(3~A~eY~LKT>ikITq=PTa7OY9T$y%&dKDyF8TfK}XDT zvOO7p;0g)Ay%Iq$bF0`VrO#mJ&pYnUwUW{xgAdXNk0gC@Vy%{XfP@A6H}2K%Kl-%u z#zlR9w_1rD{tA*|Ol)Eg@ltyzScHPEm zPJsg`CjF*<5#`A)RLz^K$N7n4p!J!|f&OOKO3kfzN~8QlAjYq~htQ=j>f@bLHHR8j z4aHy`8nWPrgl+T07mA;abc4|=44h9*YWqJ|4Ps~PeE3D_ZH_DQD*onU@yo&7$+ph` zY2)P2&IykMwqO}S4DrJ3(EZSQt2DFS*0X2CenmAq;GU^#c*ioVuV#~0)_&*{5R~#J zw`u|l_qv>}t!rT8E?`%8``ql6QqavTVdA*KRy^%ubafb)v-zIj9JYVMEFKij9h3RL z{NiR-HydT%)J2# z2Cj(Q4`){IXYrXV9YfN-k1lS()*Rc94ZC>qKv~TyuCBRlmW=Pdej_d`@4c$|l{I?) zkw1hoo*_dc&Y%U&@3EV2NY&Z)!-Ab#ZfHcFsIrlhWrHa6Xw(~G`b^M77NyB%9J%P< z&@wr*e`FE$wwqtoDrOg|o|#$s?K8mJ#&6@O3qlb&KUNRuczilXDAcudzaQUFmB0Pq z^QmQEo#qC+uv{~dm`?Z9{O@fdsXOVtfU=`+;i|+ z-v#fUfvjoT)}G`8GNv0zm|}Nz^}`}JZy4%C(%ws^G0pAAzym>Bbjo8yU88#;xn1M7 zS;NztY$A%cjbImi!pH6(v+ICfMCZ|)rfD_{XSd+drw#qLc*>fb$|n~tKGX7HpwXNH zM4?#Ihf>mdWAB>LrP9WDWcx_icqFd9o!CFVoifB347z7X#UvCS>bazT>BJV7($I4D z$mt>kY;rnQAby65=|<)_smC zjT_F5-FT2vBAgPAIpjq!N%p)Q=%0FWTc}kFdl>=a=3&}A!Y42Njn+i6HP4Of8E>;| z|0&~!NBPC@pR`GMps1D%6fO*${QB4WodMf`{88Y%4G598_4W@8t#AC5PM+sZ!kli{ zhI45jI=+vNpm>y)j^W(xeaBy1D%+O6F^TtqVoLnmSCBn=JiU#IuE%NvQ?gdTUMYu8zCo)_Po>n4%JNeqNk z8bZ}%0}Pymt3#tVR87KCqdRakwY2W*=(r&2$-lx$FQ}Zv^+CoLjvtg!`UeC&eIE1b zZ7zh9Fl!Q3h)5FO`J*t4;1nZQO5n=x;a5sCP~flKy$n*zs+xw5(b0499S%F#9AyM!)h?n1I!*mS3$lbMn`lsLqWu5cwxIvFsAfm``tr_}T!NK`MW}I3 zEa{!0jxG+5u^o>=t)it~`3NxeJAIhVJpYD&FUA;|Q1|RF>i{CMfXcw02Nm&^TX)_7 z$*H$7i}V;wcf$Kw2?^C-(HGvZxzHzRM9^rnUNCT)2bS^9^8LtrBA(v2ZH|`u#web$ zR+lFDoiH*k=(C(n6go&vSSKx;r`O!>ZD_p87V&MAE}caCOnByPvenwI>bGs+I+thK zMTWVvwMqW^{z^1f+(&+H{uNoBDQ`-C{nTOs@N7b#XSyin*}zZP9&D_URwYVzyyW?> zXlj9*s?sehzW_W*d5qPa=pC6%`o?g5*>kw1JSl(rJ38ul64}ZHnphAPJFjH5kylfm zT+)eO{wD6x#Gl?SIbUL1%`@ktP>zp)a8^DPAI~uX?^%_Y{e3Pz#bo;UQgT_|?GGD9 zJOi8}K;ToUj|M~EtF+Rt*ao_^b-(@Lt0+$D2w#hR^;QLi8t}1SI;s5Q_1NcIWM=AX zv>h4BLa$6ooY|>!uP%3Cq1^{x2>tC!?`S+qoXZcoCyM&jRcChj$!*>KjnJg`vd3rR zKykE@EBuK?Q1gd(Cf}%JSly_MMl#oXbLC?tm{UGo4+K>&yDaR7u#J%I!g zMK|PlanX2n?|TZ%S%x)8N}&*pV}&o(@OAcUtfbaFANtUlKgq0-CFl_{>tG!R>t9`d zQTv&{2&p@5n-FKOdS7}&&C~zl=r?Q@#&L~w0IYd_QFmUG5_1kJPV~p8UHXm6D;&Ik zg#nXs%)*2EOV>e#!Roo36(;&o}7hjj2jfSSt|$ATYR%&rX;+}!k& zuE%yEOqvSr7 zYX=q(2CHQ&+?R*!c)nU&mrlrk#(iInQK?E*Ud2z-nYO(@vJD!A-(C3?EOwPD(DFCB zY>iED9_e9Qw+(>(hq0wN|H-)Lg(jrIAy(YeyaLLka$vl30GS7Z1Q&k_E}FS zuxPE#M_VEOrof|FihJd4tY1Po1+~C}o{F-d)E~F#bfCYB+xX~@YK8zW`DvXAciDGe zH@U=scjFHu?~BD|K4J%zMMXMscf@5rS|!JF5>HfKvR`oeg8jZ$bH~Br8ztK^keC}Y zP9lhAQLUKL46CGBd~%;)sC+>smFU}7;mkPi7(lnMI3vHp*`vldxI(qWQ*_MX8ns#> zi7qYsx}9YDQ8t)Ci_}#0z*MQvSWu$Y@o2oAxDms&`zXJUN!3cM{rFg08KqbG+ojkqS zJm&(DXb22tO1tx8L#>SN(uLvxjijwiaSi_5n=$M*T@%A;2hRhuYpcsUYX6r;^FKjN zv913PFwN0_m}VowG=IX7^nU|_;NQq$7^6^w$qdYA$9FJSZ^rYSTMet*B4^l+TyP1i~r;lH+0j+rnPXdZ#?BB}Ye@V+UvZeQU=nSOYdt0DrF(dvbhy-|DT{dRm zc_~+FsoQvPQ^{+(=dsGQrs_zgX>%gFX`dZnZ+HW{UZe1%@E2<_Q)~%8`gDY23^aXVEdpnF_?NoTuZ;Ii z)9;xkKASL$bM+TLT$#F5GwUhRjou2rXIBpva;S}jQoPmGd5OhhlUS`H@w9u9nElyJ ziO1t5z*AM@X-`@5wrT16{CeGi814A(`SKbrygEuzt?Y{vULd_@m0}}R?}hNNl%v3U ztav5$aa7i0hRXrDY z>|gc!CT(Foo}MB1cMGMSl})w__rhAvir>|FiwLvH0Z+xYWUMFRZ;q;?roUbK%>VX| z2I)!M-F6TDU4aM~$qu~lipp%Dq9fvE569! z^BwCCK5aHiU@;D;DM8D7-V>Z;i#@;W6gu+=OqfV_arX*7t4bm@7u-^sXzF8nr|P8e zr`S{;E3x>6InaC`Wg9&zdFx>Jls?SX?>OyUo&0=-vXBzAEjPUrL?k|o@{dN|y4Q?)oM%==d4o~2P`cz)GoZ84>ZW*4>%w#WaP@d{YMM>s zWJhnc7y;(uVLP=^m3V+jiED*k;5g6GaBNhYC-QdIOTk+%>Y#dc+4zVUztx}W8@UQ zqwv`b%5_Eq0oNr?xwLp*n7xxUzPk80)sf@_X|<Jt<07nj)nYiRq^#ZEvvwfj7EW;borbf3H1bxSdn;fl;gn$8ESAKn3$ zR#aUe@B5A@NA*(l*51wSD$QS=Oz{Q+MBmv{Z(Myc>HJcB54Z}-yk3yu5chYdSrT~8 zz*G(7>3J(iZa(ZKf0n}yV-W)zvX2HWK-0bu#q7%i?(UY2m>G3ePC`}yqz zv-7byD<^ZbXzx;I6K#NIo3-u8L+h)j`fmX|Q7cmuO5z>cuJ+s5F~9XiH*rS;ueG_l z_Ysl9FBtvJrL0>#?isVZHt6Oh&cUvLY4W+%(QW>gO5gm_y6^J}uya+aO3-Z)UsTmI zG?_E#)m-!hS4`=Ixbm~eSLyYp&p}a@T5NIC;3hE}x0Gw-n=1~e-6z>K+R2!}!;o~veqOM!B{(LGvqkDq7Bdt2D3EIV`f50h4K<(BP!} zZwW@P)H4AG?|`_UTz4Lsd^;i}5s=dA-~qSqm2^-2+2wy=^eVILgp@HleTabHARu#! z(Y$!-m&QZz=3Uc1{uQ;v^%mphMst-Z1=ql|yxLwb-!%JQwQT}2KqIr`OzZQPV@mcd zX4RB(ZSSWT0^+&-Yj_HIqib^NP(AsfWsuA*IeVM&rnKLS_TibubwUPiF>OQBsG5#0 zR!i`*qaLof;BwT_H;lM#xrn3=zTohrx~?UBQVKp%8GW~i#F~C|LcsaJ z_XRC8N9615ys_zDC<0M6J&%Z=qzc9_;(lS^5?%sVR2;lQN-NRvE(Y-0_FWXwG1+n> z3E1WuWwDlcoXPea^iclVy=YTLOmVYgmE;$->+t2m@D|epf$O8kv(85{Q z7iF!u7k$bqa7Y=Lom`KIX;n0BqLN=1ak+xfx8=Q}+tmv$vWaCC%|*6J)O1CQv9&+s zh}4ba-WUag^>yF5-JH*{*e;x6g{!~kl2KVy_H$>H+WxOfyH-Iq`0`cF$l5ixVPg5p zeS?C54J>9}EjyR!eJY-nwL=mnap2~eGLO&rBr$(qM9ZeI_}=`V>$+dpw5@dW^6@n4 zyYs-4v?o#Nt4tg^#6g=U56tRM&0H6+I*ZgG(onKFVnry?Xn(g^pQP%`GCY`_~Sd4si7H%NZJ< z+K^P8nk{WTW0tlk>=bv%`8SOTxRs^H0UmM4>xKCexZdTS_enl*eRxmWj zADZ4ivx!Lof=Zhv=XMTGv56VAT)l!KQr{Gpe<$G;)%S`@DIfl|4{-VY_5w2|NdmH` zPcLvZ4d9Djc9n2L9io!T7l~rhwlDjH zf7!u|t64!)>R*$CT_lDmQeilViB|g2KUmVp80sWV;QXbMkV#(pizE>uJ8(hNu0K>$HlWa9H+cgJbqJ9bVsJk?_D2yze>;?o zqM-vQsYK+I*I+{8e|x#1m79Z;R}hLRweA{1B_-VM!|g!^)KNkl2BD;m&O^A`BV=?T zdqS-3@YT41saZhqQ-2gKLMWl25|I?b45xDoHxj&=R0^e(@OFPa+;FA8Hts1D3RR69 zbPfCgweT9vUo9olRgPHO8ye0vq_a>?x$xT`Me|>3N`z5DJtYDt5j;tHiGtD}g`z?r zCbc141+Ijm&44mWc!eIW4=%&`rx6Ig^|*FT4m!L4hEV?1QBomfQe8ts%f|LEkUTeY zBQ-b>NuH}G&#|OG3gy>;Lit0GhlnSGko%gBWOBhl!X^e+(<r)Y6j~E>>dA) zV$#XknGh1mzev*4J0&$W&Hr35iG|cmWn{voP;Zc37Tkez#UuhKksct(WRalFq7n!x zk&%IAR!!=q!nCnh8qh~j1sjSR*pz-K!;@{9N@RsQc71&ApBdf5>ii;qvp zbqVGO{7q3n3bt-Sp{SIU9(;P4qZt}CTmH>U@P~N`4Jhb6$3U3BsXIRW6GIH_h(MHY z>I^;!_>p&D0#j#rh}7f&k($K7mLTo_^KR@vsWAW3=h4y5FwQQso-gq3-~8s0=K{@l zMpGF7+iwmtHE&0qFY*6crsljMi>Cb?-L_l`=e4`Pv_E|&kX685r=ic(mhky4b?g9? z&V&qvfc^s$a*iPW+xKL<9JERMb>^8$eYi8qEE?q?rHf%&j-iWsn2{OCuiwoTxRP34 zkmP)qY^a3jRe%5nVB-{}MxlE@-R(8pIjBOD!uq-@|3;GO9XeWgvFQcd5Rf`}_%-Gm zw-O1lr#4&m?uBW;r%ryRB~-zMnaK_vc}?Kt8X7|aP3W^8P7F?22m2abf<1cOcy&(> zGg)?)O9Wov0~wD?4(_zxpEcJa)vuo-10F^9<>IJMx=TtjT+6=l6<7u0Jz({88J>Tm zYkJ`9JI+&1BKOH571gIvRQKt^-3Gv**XP^HdSalvGqdr+L=Y?l#9Jo`m66+*aPWG1 zMu~%F+2|~cAa_{4i8b%gDgAQ#_|XqJ@nw|t#GIeLBBLyjwS3wBP`u!bXXp=^RQ~0X z5hDrHrnKjr@3X5j_!pDDfc;VIzB2BcS@ib(b8hA61-kU|$u?wug((_t`c$Xl?tp2b z57QSvm6(fcXk*{yqoUgOIF5_4HZ_J$yl-sBn||;pX16cr?WH%i>#VMwE~Fk^Ww%V` z8(Xr{C``!%W&)GI=#=FA&A5xW2HC2A5*A2Sg$mBvQ2FEL*_$zRwdrs+Kson7)GS;8$UbR_-?*6OryZjc^ z4h92JPuVE}dYLDGbm{3`?dZCsQV+hNXmK2yFnQ)4+O1Qq8#fXsz`on*hp_AxCQ-`d zr$Z9Mk`Yb}4kK#9#aE_WEUeG)GC(@juK%oeoT+<2vp`;s>%K$*=eA(^(cnWg(}OWm z7AGLX7veA2QE`%>AMQKQp^cL*wR1$oWzI3$!KiA?s2>d|1L@pQTFInb6fJ@U>PcNx zx=*T_Cy!?LwVu-Kp7cBg3#e->lbKWZ+4U2YeZqJh9ov+u@8!!_+BDdDE+*do1a>au zRdo9BN9?6NOmfoPryyg%8o3)n>1&-(5a5M139d`Bdh!H+L3P!6HYtk@AYlBzqTyYwLLPEOu<%bi_5J-dcd=yJzemg?$9 zO>l`Gh+x?gEl^?!X9%PO-pR4NCSI+20@msZ<36!3v4%Vx#bI4pb{IUPyiQEP|B2|r zlc+~AuR}rX*IyTo4sWFd`bPF&v1oOgIY_l)P^r`ZL=zRK8{nV{NJpPzcrd+4TQvMO z@*?8qRR8k0&}!x(!y$+1pDDBnNASxsST2O(GPcx*Ld8YqIDN&CQpb0;58VEUUdc0a zNGJh`X_6)lJhr(LgO>>%c26v>eu)cYZa6KZ`k~Yu-l3xjm?yk>RSNQ1r+Tuu0@nRnzvfld)x_9dtd+lh~%^%Q7%d^O?t@ZQ8*%$&!ttzy_nvyT=iylNWw#cQ<~N zZ{vN{kZ8)xy)>l`S~f|oPP`2s9flJ{yI=?n(OU3|VA1zp)#;?A=Z_3irZY}6;bt59 z^N5qsZkGDz%14o~j$7@dq{*+%MLrk)2b}4NLS;{#@%^V@O;7j*zD-p2|GqUmj|Jy{ zC360+bj|-!5Cl&Q|0@*))ip43=U=EGfGv0bN=IR3@bSOWQ9wfrlW6{hjsg);*5#gTunbf@wc(yqVtGTB7wzgWDEGsdr?+aXNfVnoIydqb`M%d(h3zGC+jE5LsKY+@%O zjthSS=XT`D{Gm*^^mutsAg{9=pv?G$8~^H znj%inpWeb*Q1`njQuAFl>t(b<`t8#7E8lo)d^_sFvTgf4=lqt@_1%j`#1uXD{{2!nlUz-Ri4*^t~EX1+_^8iu|EY#34VdB5XE#WxaH2Zk0BS zBi&G+elTSM-)!}RN#Txxz1{g_xxG5iC>~Tffl}yb}x7IZL0H;17$4B1|(fFxv*u4Beo71tS zbb8w)*J2E-x{UEw*axtD;6#?3#KF`<(xW81NiS`e$eVQ${ZLHDMoC}c%hfsHojTQW z?XhL5Ja6xn*Ya6JFL(^P@NAfNNN$rAt3*0!gRHL-d)Sl$2OGIXAFna(Ra1)n)J%(*T%t2g&iXZPw|utfra^v4_2JaJwFbQ- zOCR}#ThxJXHk~Y{*9{h}B?s*gAKv7sMViT%y7i>GL250foe$d8)&7L*?w3 zD<^u(JM}VXx+MzcMG7hvRor_%13DF%t0rFv9z2hICNgv7=t8jfQrD9Kjm#v=?P5J4ATXri`+DS_VDveEbY5|)?A6j_gqgj5&aOIbQkvA>9FCJMW&;8P*LBHUj zQ%F4aB*mt;B+a1ag1R-AI{5SP0R7j{gQ=?y!JAic6?+A<9rwSmXC_lb4s@}GHz|Pm z8b{pUGJ1i`Vj>A_O5*AJQE9I~d8*r$aQ`G$Q`mn8#-_s!9}48+v#xCgC+KR{5c!Hz zlyQDlS#FE|NSf=M55E0qAD2_8p>;{ze>!WH*fq&mE28f7c@&4!iI_UA{tRG{9_fI9 zce@wG?1z5P%bQ!eX6A1Y(5u}sHuG!PJ;nlfbnHC*6$6Jn!V(s?apRl&1k_SZ->)bt zo4-u_MrPv&g5xtwnIs%NerQ?whY=ObCvAnW$DH&X5ixLU4D20if>-n&@O7{!Sv}TW zhv@sn-=F(z25c-uL(d>Rc;{(+v^HiLkWxpO@>qrQJx z`^*wpy}{u!!4|Z%d0^xW!=MiEpV(5f3JUwS2fxl+e)MSo;FGz`n2b!a`EF_TKW>|r z4T!57p}QlNSb;^|_I+vr3NrJ@PcZSwZ{2r^?7<=BUg>K$lq z>;G--@T8;;S2m&eL+i>0c4{e+xcno#J+#(X_lnQJc9-&Ab>Ht(raK>MJ4FnmYZ`mE zFzLDY-Te~!C$IaZg0<4l{x>6(8d;=Nv1Mx+gVQ}D?w@v!w4T3KFtzrKc@L`U8b)aD z7&+yWGTJ;hkj={iP_$>~_amNdHnV$$J13a%9t%T&fTFNQayKu}{Jj+u3A*{8~V zCQc!p^beDV7lz(|qKcTR4=JkOIEaCM*(B(^;jW+qTKuEtnUx8kj$g zNPfd6g-u9BXJ!dtV^Q*y#!te$QpX$`oct1s>)S zH1fU3Gyy>o$*KA6Ki7Da)t^VC6@48T|8-{Q81SNdhE1H;qK&A-tZD|rn)KO zlmJF<%Ia7b)KJoXHvjmOLEL9r$Z2FbG;Lv5+Bj||IK2%l64CKwW>wXE>zqWRVzKuP zowN8L{Y2*K-< zIA1j(-zZ(13NBteCGE(a>vkn-;Ewh+LGr5x%gn$I-)M3RjYzHU$_<%EAz_JwC_KSC ztsSHIEb6+xLGOFOGR&zkvHIApp&M2;xU{jodxTDK(=4I9mxhT=*gK&feCG-sCI>vF zRaX_fvvwY-Vw1l{e;J33w?@dUUx8N80lcE$Q1K@h^-u1}8z$p|IwR)$Ok-C&R?w-~ z+#?bTzk=(6BJugv9h1u}nnpII9~%bu@NRI}1Qm1)EE2jz3_e$C_>uznHU zwy;Gkp`n==N1=Ax-qsFE)xtnzG}6-@3l)U%aG-P&8ALXTpvl*1>3B8gVUy6_{iP`b z37dp323s1=vnH{StjX3k|8vPCIU<=nN0eP%-F^M5=Plh3OVU8KB&3p1E_ry2gl%G6 zU}XF+Z#U$EP$&tdl7>(!375G6Ke9HU0Q7jXAxcT4o*dDNa&Zg6j_&h^bJKwxTzvMRILGpQa41Q z-@dKUfFk-wEcrm^;ki%}$|Rw{0g*_;YVrVtLk0y!rDo?q`}R4BL{KC;q>)o76skA_ zg$b)~O5XdUFwes!4PmZn1`0qj`KcTVC9A6In*Ww_BSOiaNc+t>kRi0)s4InevfI@xGfQm_Y@BdpddG2dJ zmxylf!*51ILxb8$3=C?R_4-$;jOdi{+2Jz|4wNSI@WK`N{1L(kz2pMO2u{Hp-h`hL zf(ygb0x((OpOj5;NjW4`;rx_8}1LyuY;a@snrKF{ZZuXsGxGcp?C9 zi47<$n4>kdhId5qtThDeoqX26hOygxmF!`S_saL)BaGgPbwXUX znqHEt#2on@Am3-gxbFH9MdgZJ_pRC(owbaeM;75PdtUbPJ`H|5>JMfY^ooAC8}Xwt z9KZXjNcZOot{hHM0QdNVZUtYy22LelpcGi7j}wJUun?Yo@f5VvmoF@& z_vyvExq^09v%1znLv}uI!5J`okgmckr*5dkpvhcaCgSfMgqNf|By_DAVC||q2seYy zeVR;*>(zeQWK_wX>aDnMw1>L<9*T9~!t%xW zB^noDO1SkaaI_)d7m$d2n8!dA<3|MQ)x<@4*;FHNKAkq$bQa$uA1_3w_ygpatB3s( z9E?M69~K7?Q3U4cs(&Eyy86LcKR;PT@bN{k$lX>!LFBsgc~G75=*9gLRublj#6Jlw zT@-UKs*a~5KuB72>(#6XyX&?y6-$DTUeph2Z(&uY2a7jz{W1_9R0G~@t_~tPE(RLU z=t5#B!!^kaI|CF~(RAOh7t+M=|DgmAXpbV>^*8$8y0N|upr+2gp2-41?b z`!iwy*}k+M8(vz!m&Gyx%A4-<+h<~IyzvtpPLnUa%RTV60I_gG<<5+u;`4_!IY#u? zI+rj_b#5+@J4@0(($7huRReQ99qc&6-D5>wZ}0XJ*tVIRWVKpL=k1cr7rro_^6dm~ z1yRYjTNAy*dKmF;;2uhsGwq5NSAGqtn-^KH;OdYBubw68i!YI)8}1*9+0zPyNr)Y$ ze7IRqLF$YzLg_?72&8xUq)!(-&Fa=0_YFZ1b6`1+sMS>*<71J%#AYT=V_@ty>Gu5h zkB~@`fpMdF)>gKoGJ8frCD)}Y$xzN((IFt7@~QMgncenkv%lUewR*;Prrul?9mDvf>LV0xIw2`D?41Ig>y9zQX!pROu`BZZmI$Al#2oz5{V0|~?F z_q@9p;~FTf$?5vKgvMK{fCj&i2-jt$)+M%9JkTwbY4Cu^hn8gyy=@F5X|Bxo1Km8r75gXjHZ77|(X5Y&u*>ZI6CFS+2akV>K!}u4e zg%%24HVMS#T(6A;ekPm5VM*mvJO!#a3Y$MCa{M~RE@@$7$5zNYUse-q0rt{;%k9F? z7_IIS#oxkC$s79lM%$Mu+OoCVrTzeFWb6%= z!A0eZ|C>odl8KPD|2xb)XK4R(C$sh6^+5mg3uhu{Sij+`ga5~l^DpFo3xW#ZM~Mg8 zI{(W5fE%=0czOS4btHiOhyTh!K}BWNzj9D8JTm&P92D&BL#xcca8N)=Mg6ZF6xOFA;Q<+F*!yQ7oqSsx;ie5j^I6&GaSq z(igLlkz?7wKl8UJhiD8F zIKSUGbfH_WQ6^ zs-JJN(B=t)xiq&t)+}90?b7VhF@=DgRk__@uXP@#!achY<_>92CAu)ocvZJT2kVu* zI*dKw|NHw(mr+)NJ2iu{woA2ZO8pBOSYa{Bb|&Gn&k2Ufz-iQsaOE<+_`oM^YU3`a ztbMIIKElafD>cWOeIk)SZ19p&mhw|+80SYjfx2HpR4ETGG->5J)YybKHN|jgJRAn1 zl7~`;Rb%GQL;`<@=9P!<>xfs=#r?r_Bz%~{@tLCp2xbfSS<{Q@5;SR{vQ;Qwu-SE5 zu=PAqm5W%!{hm{Z4!Qz$w}LXa6J$d$xBMEFcDnzto8MwwD;h=fVKcKS{sHz3X(o~_ z3dZb}@C_0Tl*D?g4@(U)Z+FY)TyWKmn@I$|La7qywZRI)t(kIog0eIkj-e|QlwX!x ziGHrVy@rngsH$D*Si_uXRsy)%>{uQ&`u}-7^x*4E=Wk-4%Hwbl<-p4{EIDPc;KRY2!!aQJ@&2-BKr2igqZ}(i^GaCw@J+t*P|-_iReov4|bi-5aVUbgy@A?Xc=&7VSvT+ZEog%To7!N&ZNcN_hUhkb!{ zR{Vzz^59#Ic|9Inoigue_fX|Cf(K08$~(;U*Aze-WOo%o|wc1AD!j+IG#84 zt@(3abFT>ML+A8xK=*^0hkU*&7VbSw-r}zdc42U6-wZa z`c@LpN=mT~%DbI?y(S5tJ(h=%vfBQJqSyjoKtKNMX`a__v*M?cAbC1Te7)FaZQ>DM^?K0C)ap4nJ!w;P=`mN)o?d}##}}{G#}hrPfp{9-9opJJ z)NfBY;v0t*?OH)4;}0>79z9y+Ic3cK#_um4c|-{Nfrtga8r_}BxYt|N8oMi)Ano8q zU0XRi8wL*JhbguVZy+o)eGBjQCxfW^Kno(n3!K;LgY*cUtnf?MYD+)gX6pg(v&`vF zDw)1Ez4^BGR0dh@A(Q+)Nb!~ zsW->`bj59M1b6uL1J;si%GyIF;$PdFe5f2(81J0bJXSyAZJY@YqXv&nvapF<8gDi) z$yjde9i$ZEN^0r%?zzOokvvBi{D}q{PpP~DFwJj@-*bt5H-bxd#n{r;Kd zn@7(I1YAAeZr}qJK4r~kk$9BWfiKdtD*G1>iOEEbtX#YrKrg;meV2MjY2Ocu%L34h z#^BmeBY#`PF}d}+XKv{iez1%gj?cp59aYxO-)OaAU(qo5lSNxO`SczJ4ue_b#unff zQ`UHy&^)z?MW|&}I3}my+=1ca|GHq|j6(fR(8oS7aukq|R!BuFp!RKe$=#QMQ&7&U zrEUL;!;fpvBMLR`!GngpE4eM&1?}hp(geEhU;6)i;$JASd{suWjn#lJ$>H z{!~9OkCNA`9sY52=JC7^9G&7^Gxc~@Qr)mDcGo8$K79>~innQeNA6Bie)%lJ2XI}b z!`Lr}%s;(5;737`vf=Xm^&lxuXIoSA%D1}=$ENl#Pni)I*2J7ndsHn;cqNo!gRAaA>FXJrM5*gRN>bzNKDp1cCvbT!eFheHvB~Lf z$N^Qypc+o;2akQ9=5?;DZtUR;>1XGbK`kdUpPr$aO%f=2ze+&P-Li9lci%Q*ZHG+C z#L^{wl!(;YedfZgV)`NQBRM1FjG0?R)~jH7od!L|@8|yQlwn*J&4X-{=GlW)z^zwN z-7?Slx^tH?sr~ylE-{n(9k-Xo3kOF;mknIJfOBrQx|5Ps;F2cy!0B0H*XuG3JWbEo zky}h14Kur}^Q*j~??-F`a;lnR2dDT`8{oC;RLkQo_g_01Q zK{5&Xkimc3*iFo>Y|atoxmXf$al`D%NOGtXeTRTKWd3R-A){`o`lA3Mk3?|f zUwtGZkc1{BWWKdeK_E0dyzq7k1qCUFC{zyIa^Y_SDj?4_kO+5u*VEGn=Uf3f zIQTcE6&E)6!1qFssGcRrd6IN#p48uPoSR3_L%Jk;NzcH;RCub-W)|G1+DAaX$TNW6Hd8Gjj z#R@NrPFW+axG}^PcZhl$HnoQ~51XD4lqop{gZnA|kDBEF_J;TW>DB))#%4~Q8Gk)L zu=oGF^#bfj2B2#nPQLS?neo~B4Js{K&Awiy3!M14;AohIE}>%i#FkJv|AuOO|+4 z%OI(1X}0R=yM9u7Di`Y2pHqq-@7XYi&sFNF_dh9c&3FOUbA-GeB-|QcGZpwg`pR{1 zYg$07J(=Xv^JJkyANeXlz@Iw7LH4+9;?nDW7kZIZhd8BT0+zj&$&d~EH&*$|!@vO} z!cpfZb-T_NTaQjpxoe3lhSX*rrg?ltG)glLG8JHE(B0JvjYfHqMH^NoK74<0t!T=b zoC+_9Tg4b#qkskE{JM%U!0i#vYTvszC+{hd_;qm4v0HZPJqd0JaH!J$=yFQ+ z+R#1ZN%W7YtM!pAyd~AFH%-_TNK1QZ>H*)vr9a*4bn&ft^qn2OQ4gk8-cV?2IO~jO zPymkx?|0y30M-r}wDKEC2~YU5)(Y@GwP+NoA~dtj{e9vWB}fl^$sX{Fpv)ugzR-ZR za(~CMg7;`{LZ_CABQbhS@Cg2^9Q){=RHH{st zIuJ2zUrwU0Q+}ap{Rw5V5&7sun_*%(qu9p^zn1&CGQ!};

KRMo9`2RNgnw_9C>= zC#G>NDNG>~x+fe%5r(cn?;urk_Eq3b=PB&U%P!?%WxWx#qn#?ARw{;*i5Grp07(Km zmm-v^xU|?i&?eFsltSNj zajB3pq`RWPEa!tz#Z_(%Vy#-E^+BAP6aXXwNKYTAS?!KjFV|=bYsl5lM3E;<`@ASF z3rt-tzXM+6{(eoOkT>aWR37hx#TKHtMjDfk`AET#Md{73ruzU$`ErSzGn|CX=mu&` ztbjn|sfa=M4R=DU~`5!U|rvJ3X=A_>2+6jKg!iM$dWbva5clIScY%&ABjW zQp1ML#KE54bF0n%twS%sJei8=yoUD$B|u=VQWVc-yE&S$O*&p8G&lFaQ$D>=LPjgV~vx1iisq4h#&Qigk~j!det&=tecRKl(TP3Z=`- zn~8iK_l7+nT5?5py-EeW=&MW_=oEhy=sBq#A%8LLj!Q%qBS%p4w{3BP8+zH>WBfN! z_rP(RYR6syLQc5J15Ri~YX(XjV5D;nU4oQ)a4(aYr@$SLj z^S}S+dEVFizU$s~@49=fb7lsHJ!fXlFf*U?ji|df+nyBP*OdhiK5!DBll;F%FgyMs zm_aU<>1fqadFn1V@mbq*k zn9W*^xjUjsRay7R;WyTE*7vj@%50>7_M~!`yAu`XV4dm0nl|k=VaufvI}!4J)<9Oo z_NFW`SZ&Ab1nw?Fq{(T{q>Up^&lIZOq+}O1@tY~t^e@!B0%ScYq*r`5l}|YR+g%Mf zaX_WcwELJargCX;(F^xCBw)ThYW~-OpQOPSPLBtFjOcw-Qgk)8a3A+0lj;<`*%Hue z`7Lu%Olva6*T;-PxJ*Fnp^Mnh*XP8W**(GmQ`gYI^|oKquTBdiuVyb35Iz)Ez~n~} z7U8?`(uw!MxrcBoC-DAxyl~rh0oBv-wZyOqM?HG9iN!n`-R_M$p4{>cx$3|Jf$!JG zf)i;rI$iAFJ4Ik@{$wX=OW&O6#@x3xaz`(qe3qgU{qfk`1+{5e=9ocr$-gF-KFGc` z)z%QD^wN|ws7jK?@KH|pI&xw2tI9Soy@_v5rcl^(a4fclJ+5t%1Uw!K$gI{Idtg3_ zqz>mf6enR?^sc9eR34)`IJ?j@Z-ppJGQ?J&l&9*@5s4(L4A8Di;c-1YP zhgP7ZpA6__jvh=_8~8Xq(;GJ9qZ;?ZDzOHmQOp8#^&*kA;7!_ByyFdQn zC8?75SPnH#Pcm0)2*$5fMf<%!y|m)iKlHU@%o zdnyOs#BjjvSUfmHK+h(FJ5!b)xRrA(=SgT%pkF*(j=!uOD`*G$){nO+8Yd1$$V%f* zT#562uMtaU=Dy_`#Hr^p{O~a!3|*x}rMUcd*Q@u@l>t4$MY~orzL^tDl*Ok+ys0o< z6XZ~M(>GuL`~#Cj-(^_cXPfz}L>`G>spbZYPV9|gY)26I3~zVQUH+lj0dcR15ohb$ zmC#^=2VJ?UYma{(>89C%ZJT#h`RqgrjN+YTZoBFZ4nCeKx36Obd$c!TT9Z851!=zw z+ZZ(4f3;LyYRyZ;5O}?b!Mqx)Avoqcz+wLM{Q+=}y}8S}>uC~b8hJ#(w_~8k{pe{J z_48dGdQCK|cE)<(iT!C5OgACej~}cXbvK2t>4*_kZH&&EUZ2fl!m~aE*2N2z+Gfos zSUy>p4Lf>Q<=ckHP*%+IJ=lzjrb3pyfJfPNbHtK4sr&oN1l`9Xg6jOd2vVWSewTwh zzFx%&RUoT(BKRg$!*R9==B1J}7+2L__s@TAR}r;8_=P@`e+r!He8ti7yG(;y^9Xg7 zGrdhqkhxLEI{_etuO%QR%U+^V`bKq02zUF*-AUlqN8(sC^v&T4XtF^M318 zI$@Bvj!n3LbM-Mm|GsA+97jzwoYI4tSw1T$|2AZBs!~u!b#fU)f#0PZ;7Ywq#WwW( zkX?m>fzK?fneefyg@VtqatOi1XKMSl1RM}j)8ElCeCE!gOb>bJ>y0(MGvrfU` zE>pNEOa`$0uZlNP>DUM7(LeStDy`Vid}1E@sRo@> z{5P<1i2uSMrSu~A+b_xmuQ-L!l%vKGa#`)?qnK5F)9iBK5lP7m3CWvOVe!Py4cbj~ z+y~v*M3gjoFCsJZt2%}k0Ue|2yZ31y^QrFJX63K2b1FM0q|^-Ka_N~n=a$W^G6Pk2 zbVjk~$r%L9YI&_YJg|j{Look|x_I^i=ZheICIKKpKxOhF>&`240x5g;a^W#1)hEFd z%j66kB2tQ<>gAMxT4eS39x<<$v7Jvsd(ZUg6)oG~uIE{?w?bu1G~?Chrcc{ zarlN;ubtw==6;>Oi>~pM`k?)c;!BqspE%I}aLnJc%hN?n$)#7I!r?1p9)c0wG9RSj zR@r+^MLPj>BY2;B1$NA%Vm)yV%cvV#$GR%7Wc(s9rgCT+9`e%zhQ@D$;%4^oV0fgG zR#ortEFM|%*KM>bTz;fn;vuzbfYUy_7UzWkx=b;~_|DUFsT`ZG-YxYNTJs-K*$0H& z8sM$8N}%L#iAh*iFBLUR>6}sCa_`aL$!njS_Cvl8oxNaghhOZ8Tk`k{o_I=eMGFc( z1s%)tnC9*ix@Ob}KO^^0(TGGk2jbQ-@!@S!@404FytnIkfL-E! zaw7x#!)NakztprX>AA;!`r0Qh_0%<~V}51#1Q$@y3ObhPMva!14;+&--F^zQ6^8H8 z@Cs_!+_)|LXz~y+I1z2f!5&{gGPyONeMTmy(zyTdMN9uJx0w7H);kqIRO(%sDwjHi zP22>%pnKWcP5bvr3sg6`<`%0ei@gLgWtt(V(M=4hdB(2hq0fzn(Bapno~R>TNCGHD*De; zzxZ;-*4YE9o8r4JTRF!b&iitJx5YioTCK}yG`AjVJ~fX>otWYf5LHx;C~f_@jZQ96 z3Vz}eJasMa9cNb4(t8^ck=3+IcgTT_FE1c?xOT`gfqKgcIG=MpfaGrmH zAX5+s=u$&~f3hI|BMlPCQTGmyPsu5e_1RFp8_=z%~GAxRu@Jpu#rL`W3jPp+gO02y*XW(qZ%)JU-=?R8|-qc|jr z60nj+Muzl)BPv*0L$r1g@Cg0XNRWuItB1lx zx+nw}5{6qRA|fU(DJdx>qo4?PFPN=`>|sU57a#{z2Vb$Zwey4W;6Li%KP(aMQyx&Z z2b$`G1i76as+(E1*tJPT|aF@Dlik$zL!*1RN+RP^HNLtLT}SAdF{W!DYG72Xk<6 zBDKNruQUx{^;7)?hev2nArJ^1h;lLhL>9mw>l>>OA&ajJjZJ<1;L8Xy_@LlmAGRyV ztOodkNk}M!dlRJa706NPfq=Af9O;6aM!MjB6ClMUNDZbGbeI48H?sdrX!&2RfCKN! z1!yco{FmSS>mqD2kNtnR`p3H{thM76&4K#~gEAvpe#}H@7(bM?`wD~cYVIO8jd*YosMQ=uI_#U|d<4hfn< zT#GLweM;-IcS?%2fK1_}RqP`BSz>lnl=`jSt-0`oeIDmR6=NyQ-qp(NYk+0Fm8Bv? zti+(68ubz7@z}~Wp~Wfmb;0?OTIUCGZj|8T&mIkL@3I-9{rqLq#Z%rYGQ)%S5)bS8 z!=5vqMh*%ChfP~YulJDzL;XzM^E27ezE@53G`-e!-?cd?cfaFw180Uhr45Y-RfX2t zQ!{e88{4ED%|WFfup74)*KI^Xshj-M}G^)PRfgaNi`JkAk%gi@fR}#0Lg?85a+UpsrSM6cbEk{RuUjuhj ztzG5YHONNT{W3*tei`Mmr!dA)PJI+=pOV_=T+K{%hMnromXKd5l z{6xal`(c<;&XrMkU?EyP0h9R-&h5@v1u-|TG3t3%pcCN!FoLJj30Nw>`4mt} z`msfQPk5Y&P8BZFfqAqnTS$tKJw~^q1!%}%!se>&u7)i zNT%A-2yO6ykjr z#hdOL&VA4M$@4Zbd@1~SrZ-OO!X`jb`3{r0oKsy>{hc|~iJ&t5!+tBWJd-;y3SRQr zcD@GSzU5Q-!G>VBOTTg7HJO#@zb`24w988E?L3^OkH1Td3i_n7MC~5)r0ITHV7=>6 z&5S)fmm1z5qhb21DjMi$^MDogz%n&sgTnMUgS15)>=oT#^qO*b-M$S;MgnFb&z69E zyeW_ea-p#`$27Kc@@+!xs zoVB-#q~~o^5mYXS1dizBw)ZSbQvz+c6+m#!MZ6&BkZ2^97GcAQS-*MttJ!p#%}b7l zIFWO1L^5Evno_zX4fArtH_5!`oR%II%FB}@=j}FAd#jD_Bq9X>fi>uP)wAmsD3(x@ zX5)lbSLA(@b-WqKI(s$a7a>x>+C(bHz1z#aywOT+<>hqk@dg23XFO&l9q!MH*`l=c zfkSF4PtDsKudXEG{V$bo%wJ_r+txW@PM9>JXHY~dw>%rlIx z!o)Ljn$}Uv+tlrG-*CWqB_?HmFRelHB{E%G2HzY0izy2_GQ=vv0dE@C_%>ufBG3ykOwYtbdqO}2aZHM7qH^a zb-f29#*TYM{6T)~Y2*>Q<^=jp3m-X62NA++Ia>bLS663+S_#nmzdxe(Aia;eZP1~` zXQ*kL9q`U-Ta4p`F~yGu=r4>&s-q>kbnG#dEji zl^@p5XVzN2HDdk|;jQif6kCkIwbv?RrM^r?cvB?GMmghn4YFjZ9bOEGa?|&!pk<2m z7U|79t~%buYN?+kI|u95Lv56gnR_N5Xdc$5pn)<<5uMEBnZYku#^%@#IR?Kg)?PFR z+gl*=F$1-{wpYQFf}_W$89QFa*q)Ik6>UlX@`<=4^ZMx-|Kk44>*vh?C+BKINJO@R z;!4M0^%D3(Xwx0i$CEJv^69b746m@Xs=LeRJm>;Z+M~GPCdCg3Z zJmc+I?a)yiauZm)S$fxksrMAP#F>T6Gn!x|s%z#EMWUSbpFPpW5-pei93jy&%mCLWP*YrEy z)s^RbBq#80?RLZ&NC)_C!bipIay^Nm$tfjl`3DbOXN-RrwR}_^N11tEdFLZ2tdL-) z`SRJSa$ef!`Noh;p>|g8B}6`Md59Is@l@>wtg!P$R zaJ9LqXqI~d_D%VsUvZj#TZvINbX}@|*KYUx0j2gY6cbiUDJZLxVHEmSOfBK)7O zU|xv-lav%Oo7Shc=KBwyp4c~mnC>O@ z_(<}PPPFSiQ}=f7+GD=Dp3_D^Nz=Y6_0<-pzheD#w>LIsdr5E{eZZ7s9;IA1t5-dM zCgElC3G<|9ZUxk!h^Agk&cgAHCEVl|iPJMMx5;M`q^OPe?wn33{hR}c&hLLHdv%$h z`z;Sghlz({n9O7U(-1|QnusX21)p+M)-)D8HA7@4Vm|zvFzDulN_}K*>T~W^?@U|p$yKS1j z7!D797f`AZTXI*`61U~$);T%@hwYM&cSOfgx9Rs*IlUNJu^mG)mGTn=m))ehvESC= z0{gwFJ9^dET0iHKeXlZgeVavNjuw0-bb`f|yPHQeu?G^XwNtgeZDcix#=T{hXh@c( zG+?hw)-x1K-`e=N#guPA}s~&qo-V&p25wdi#w>efRaH$13_FiYG!HVgGuR2u4rr$95T4T(fk9Q z-r*dVg}H>W|E#iyLO{Z36pdKMGc~`XZ;yyYMHOfmnx+>|ZU5qW;v6!( zdxb|$+tS9)GcfLBZO@g*wqEZ*Owq`)n3iW&ZsSqG3@)XveQZ)ahRCyMY$c6uaSfL@ z;4z6D;~?pXNXs1@gH=EdB((nG)(WV{)*j>&etU{e#*TUjS0`6d#lQm%edD6?uFDMkj(!0V zh3$h2M4S@Ogv|qDvWgq8)87Z5#$`<0FvZ_Yq9ri$$2-g#9x)H%*^JHB&F~65bk4a6 zQpXnrB_F2k-cWmy-!!*_jY~z%sQk={>K3Py%(SMZdwD+xJ_GbI?a8+tQGKXcs{mfLAGJ!vX$H2oBG|H3v;*8y6;n=?hlwBDPg<~WMP)-1vPX}e&8xHvn1u-hMQQ769G`etiSWrkN{ zb`57<7vQ+Op%U$GXD#`0e&vAiC^T_0~UvArVj|@OnFlZ6(aL%X3=su{a z>yazqm)+EP&&(%0x@UG*;;|vft=)PaomkK`c8OCYqiprM&|UKvuTk(=AL|Bws2@ui z0jDCy;i;clTjo)f)HB-TBiUVYo1Hzv4WGX0nvil~lLGMt{wSOsJ@pm2emfuY%IX>J zX&AhpE2>;T=Qe*eFmD0^a;tZbt}8y$ws!Q0%C4CtWED|V*Y^xc@0?%6V&N8tFTK~_ z+D_3UGcJ=c=^5MP35%)+&9GA2DBjA&ly$1#0{UM`UG65;HLlv1M0WJPF3rC6gNEpq zmo&h)u|gd*mNX?4v52PXjB;F7fokI5RH zY3iIIpixqN8J073-|$&{@e~*AVoJyc8>F=M{t;OnIJ9xh4}KEg)yglq>axA9Qo*Gx ztJ3+*3S@rLpLjmDbVgCObB-o#6Pis!YuY+E!X{{L9sPA~R}8#~Pn%fX+Qa5__g_9| zX&Pk54Ae6s)r?5)NO?yPsbqvPPmnl5*EF014T49hdZdR51%VDbc4TDX z=ECZFXd8zOJBm=!cwbrV8Pqeru(gF1Gw?G#EW*ZdOG<$QTBTD{KfsMiPk~>6;3OCF zMaYgX)QbiP0OgDSVH}6|wxF*MSuWF$gpPx-Ykp{$1g2Lab&QiUbLTKP^ui&H4(Gy1 zL7-pA7Y(3%5mIZI;RrjwaXet74m^$yNh>}PF)7m>ZulLA7aF9mASH|!?K#3CV!9U! zMkHqRKwv;~G=zEeZM5&Q`yfKjOsGa@CM zNy(`nGP4SrF2ui3{fpMp($bm&m5gv=$QzMLMnorEzSSeZzqE{hoZ>xweS`b|5ln!L zCLl(JrNW%l-@jo~5cP%fm(c)$hRjKYGcd!21-bXIBKICP2s*@X93 zMC4D6(_@&E`X?gQ0Lkrf;Qcm!Y6%b8LEs3bm5@13A^xHE$QeW6B{U?qPeCBU4G@TT z1_+dhNciAJ$6RnoVyl(dwAd-n*r&ScH_{({#0!FY<;EUIGANq}vT1FqP|3s~~LUvZRW22Y=@d7$^BMOi0QUQU0xc`^Pcw<&q(H z@?gr5gX`6|^~-*T-UDJnD~E}qhYXUGKT9}6g>_vN@ozAt$HRi>0)a4tt2W@8Lt9aG zj0wUbuac&!6#WP8c8++=Ol6R^`?SzwNpKt7y&}fEKTFqj=6e&Hy!35)1@Et#t30h^ zd&4uw3tN#HV2J}a^_rgW%S>lV61bzBA}%wA%)s}K|dMO<$##YeY(?^*ZOlK5>H_@l66xd4pAc;I>QcRCJWv;REh^ac>3HvG#L|Pl zWNZkgRh&KVl@x0fb}G zp9^iaSWmUQ0xVZ16R%XT2vI(0e|FEWa$+FM`7#JRdY2R({M>-|Vz|EXpy=E;_2|z)mjz!ozq7JO^yoST55sv; zQW5r4b8Qg+6X~+6iom|fI?X^$cu`(A)8}kpz z1`=gCB~TYXK4b!W!L90L{>9OnJ%*HQa;;;Xae66HY#uZC0WlhWYnI zE@KfY3jzZd&ktWX9#8tuL>uP)md|tOr%+_LZ^9i7CNupS3YLJ)xqS@H2SdV*2L`;y zIOR`oR7Pdh<>}tod+yzU_bXo>Jk)Tx@!_6wm8L^brc|^hqBDs8?sGYIx-N;A5-BUL zrocu{0&%F3($Dk`>ws?mEXV2EFrQ@7#8)=s1~tJ7a}&V3i#63%vf^Mzjc3c>=Qk{I zWb*E1$H~S3_tTp<2FY%MkV-te^H48+DhCUkW^FyzYrhPLKA;3EpCQ5ns7NkWUED=YDY$YoYJh!q{xz2#=@iiLoMG%(V@OZ|cm+-*22R4kG6TNd`vbUE0whdNcYUPyckDpA@8 zVrPfqMXG+6UPw-&hU|P3r#g?HMEKY^_3iiD>r*{a0FflYCs-E!(pYyYb7dzf2mJ8% zPnsP0H#PGP{7a2zeuL*jZWic1v;Cha%}6WKNW9k+&?Msp)$@ebowfXTZn6P+^pFS? zoJ_L$vZ82=)hb!9{9uJU*`bHnS>)K9`@T2@(ZZ^2zo4Rt-G*h*_Y zKV94L*Lhnw$aNR`wPl-dYvepry7n!`g6uret--eD#+L?b>%Nn8+CZJV?=<4p@QK0$ zGvNHWeQsJ7!O6Vg8Hz?>C1>F`3iT{Lb5d_AlcJ5M*J5rv>)AHY(0@AUI&7@$SLwXn zyo(9W7r4x*K7Upg&jv zC9ws5F>M7WV%(Yu<3__xmrY7fKg_IeJ>md@Pv5h@RbDB*gy})vhnFs$_IXDbufDd5 zAg$Fgfu}1KR0K+!assR69lXc$E^lHu! z;j8up)r60tX|1L)N=xrp;>>i}F)cyuug>dCo@Wfy9M4+CC28kZQQK+?F|!&w6)A*8 zmL3L!{2x{xt#4~G2?xKP?vr@kawnp{JrJ(8%%eUjkQZ)646M}U7n?C}%n$+;IUP&Z zERF;!+74{$vn$6j(>+z@bO5j)zxfcrvblqQ$9q&>cljW4V`a@g4o3Kk;?2593q7$EmbuPhEH3I?#X}WZHZxrSqFB zhBfbY1!=LuXfR4X5PGF{a&yELgUXkDKcV^gq@iif19yELK5vd9XQIX6CO|CN_vst; z>}#t6ZU;(iPojc^``4ZKo(T1Zg+!J*a>Rr0TxF(trJ1CT`^L{c_oPXTvKw>%Ea~t= z@Op@MYBb&eVO=@s>OA_dBm6XBfN^b`)aQoqvsQ~<1nPCt7 zvJnzpVRNgKP_tlFjQS0W-lr^KGk{z9I=SjB&gs-n!F%e5*cM#na{-dHr;dFT8=E|7 zUiU!V8@KS%cRzOny;ge-aBa+Swx^3l^&WafM6$>BMyyYQo`;$TLM7UZveyh)dI!_0 ze+SkgE>8tpb*fezFAhDc0{JXmK~0?ZxWpU3-U%z?@Y=O99pZdi(KSic3}2KR!5||$ znv=UFpO3C7AVRoKDYqdQlfJ!$h)}wo%`W2U5h@t-6jx~XEIj3Sch+I?Gs63x?^^*E zU)m}9+bAa2P_?u`LTJV8YgyD?e80n0?`Mn3?w;4=G@@v@EqhyM#h8nO0Ea`5RL`9@ z5vy5$++a7kC;j~FD|dpkR;uJYAM`$SCuiL`$Ga!Kqzd z)g>-1H**_s@rV?4@U`{!k7JYgrRJ6QY@J=gVPO+7w0yNHBc}m1ccy{ikI1r>Eu&+eR4dwuT5I{v~c48mll*_&AJxr9f5ncxoXKftsLP5RtQMK7c8m`d*R z69r7uc$PBxq5s@}ViX)bytGM>TsX@k{?In5dH0N*>W(6KVr}~-q@eQvP1f8hw`&-a zkP40wU_>+EL7gaVG1#QM?eJXY!0C0@n!isC2ZcskNbCh7X1`=~%! zPCJi-JTS+bNWmnxidy|+QO`a;t%}A|Ymc}sz$}@!$H=Q`lT$zWTf#Xsxn*>Nm{mUz zdZ@Q0^QMkxHUj9ciYk5)iMoPMb<@jhd$^PehA(__nkI0G=yc3&Jl>~Z;a!n* z4+@P24d2$zE}O-4;oemC3XO01xv|e-95Z>}^<~TA8~3|S_29Rld=G+HC@iu05_d-V z#1(q2vy-b51N_ejGt0#A?RtT9*YUZ$jZa1%;NR4}+X&ndK}UW82rzFB{NnhOAk8^{<~sp-^f73W>@aqt8n`*v2+RsK>2S)4yZT z>5x~mOXk#m)K0&|31o8z6!mO+e=$fZTH6(VpWk_4>lzzh)zrH{d0XjwKQ?uE zf`UusT)RhdT{W|LX#O05cUAAD@7qNhNgWFiGD+eT8QV6tbx+U45y!F4$1+shvgS?5 z`<$|C!6o%?z`O5jQWmK*D-7mQIh6*NuId{f*fLqYlHX`t$hkCg4}NxEIKb!4sGL6~ zXXRJXF^Ed|zH^4dVekL8tl<=gjO9M8lk=`@GPHGz`*wJOuJYK_H=uk47HSiHXk2HB zP08a0h<5Zv)|cn+Cd+ArH4z{#7gMUX^IGN`B2o(fSC1NOz>Rw+`p-R!TQ(`Ki|M+> z3?i_E#X@riwyf!*(1@sN_+->IFVNmmYHFdRW-R=A&cp$H z7}uwH!&pcu$wrCg3?1TS6es6e#_>#ww<2 zQN_Z3|A~=Z)fpLmmN<{0>77p&>70|$kJ{AX9nr35W9t}D zvA!*!`qarEe89bJ7y7Qcadiia%_Tdhy8Q^BRLrz%X8Tm^%O!Fu6<}mmwS}T-nmFn04CPe{TJIE}4t?HoMMt661tdK=fV zfl4H8X+4iY9M$+0v`@qIhvet{RcImEy_bqhs~WKx?keb4v-1v%j#4mi>?=&}z5umO z5e2XAy2w5C51l?0wF&{e`X=^H0Zr`#f4tIvD!%`;=RmhKY=(!LIplz4GWYHqATD~Y zA1Ku$4iWQ*D6R(xzo<|`wSOWjb###`M|T+Bh(Ny(IvQL!rty4ye2~pKfgv9tb0`UbxrV>aVA$q(ph(lpoWpyLWou5LA9j9lZd3qiX8Bqz5 z&=#^ZhJX;>08u>x9a2VO5;)&KGDifN2Le{eAPc>r(vg~mj_wa{l)MXjh%OA%|M;aL zV^nzx-O?8-$NGO%j_}k0E-&G>pT{WS$2 z!E@w5epf@P8T^r-;?{X!gEj}$k;-9s0- zb$W1e{dxo{1di~WA$&BAj9^(R@{U^Acm)O`^H2ZCgOQa~@ZMFR!@a1oDh1+t1BmPE z|H1Vs2-N!e2KduPxT_$Wn2^Z|NC{_4Yxf^$52yZDOFXQJhvg6bmd6lR@L zQ)3{N!88EQLJODcQwRh)9UM0d5D0n$1Reu&T;wV+G2gyFhtT!WLWS(!M-E3#?U9Ct zmbR`fe6_$UzxKK7fdYBtJkkgEn;2S$WzH)#ODo)S*C8j5kslgCXp=p zWmdI*hQZfByGh~$F?cBKL)XQn@M4w<*DEKV(l^rAoJ^u}rhYE!V@3Ks#!@g)XD9N= zluv=2U{djjidjYrUy78Q$R|L^eg#oY7-$Djv;sH}xN0Nq3uzN<_(cmY=U6b{?=)9j zZfm05HHRVhTWyWn*eEXYuBGi$8cC@GYBxQGn0&Uqb9A^GZ9aZK0rr`9ZRQzt+x9|p z>{2oOf-5Y3%}4aAQ@-z0ws&Z}Dh(VBh{X9iCy7YI`s?>qRvs)>jGz*xp|nWM`iw}w zzjh5MKURD?bR{|U!Op$xg_9VZgCrfg1e;cDVznKCK26aU5SqmGlSjdH;5%xC)SO?- zI5z(}x#(1IwJe)4#%{)yIZ(H1>iyucXGJJ&6OE$J+e97wtx!Ir(&wjwi1&?aSd}G+d-C~h)>~!|X&w z(~_*wiisS6)o_r8-hDYTD8IXv-dx27Uz67OS$m>b4OMgHeM`$tfWqb$UjA9N9KjVz z_0EoiZ@39}VsOK;$sA)h?~z3kJ4jGwPj~~3i5vx!WIKwy^5Jm=jc-0TbdU;A&N{uV zeF9?rxHk9Kv(}uKTXekxBr3v$Yo1V$K~Hwdf-z2GuWN;1wZ~s z+Obj3D>ThDJG1PaH|Ni;06%ZEQlnC-tNo=bGbRu2cQ@teG+LVMa8Io&I+y(U8d8typwG)e9sG?asT|ghR3B%?Gu56 znIzMQF9l*CS+XH9JfQArnWgdGy+K9{h75I+7}v|`W9f695*3WxVANOosgHX@wP<0( z-EtF4g^ykq&iBeaoC*9Se=$iCAC%NT8{V)b>Z(I$eyw!$m84Bix;Ubm zdGR_>nL4Rrmy+h3#Quh1k9&>j)_@Kb`NG{#SNlH{zPv(d0IC-4PeN~GGILyEUzxpM zOv1c*$`+nUO>)OLbqIsgwgr5@)*d8d%~F+Z_=9^lia@?hAgTYP$JvHcbOocn0#g8F zGuvxPZ|Jl3(L3Sy*XLj7cMi$lsn~L;x$_`F#Pfp#a9s^qG0FV$l~90$=}BX)=cdgU zeasZ}A5K$FTue2iSAop#0?yX*CRZK96C$=Sc41?`{Urgb*$(wyRPXy_zjuMr=X2hy z20WR>q|YqOTeU-pM=C!mo`vb%JIrA&qm|IPmgV=;WRAGo!&baX-_vGhL#03YCUEJa z;QbBo0q6txE9e9{ zu1mxp-?6adFyQ6x~SOQFJuufN@5?aNMg&Z6jBQZ|NZQw6r+ zkK6#?Y0I(kI>82aTBx7Gv1{K426K!+6N_7YF9}F3tgxN~)`jJTHg41Y7jB(S(lzcf zX^J72%rdR0$$x#gDc{9m090vPXz<4fTu@j5(C5?+#$H1U-Cxm=4*)l9SCS*QT)3;{*7qFKa%8=u>T+&F_RcHh(` z%FkaseH;O<^NHMAFjC)(VT<`H^EKznK#4(8gdr62YzYQ_p&qA;qcC)6EMFU8p&`a-x_o)Cym4H8mPuiwBx-iY(g#b=46JcfDKTb zVI6V`@U*UCZgx?LGFG*-N9K3m>J+}OWbk>L|K2?eIQ_(qK{O^pv3#xc?X;(PrRzGIrI*c`X*yyz5~s8Rq(0h^Z3b zZI%;khVJW_g-bmKN5UEMk-xyvl*h`)l1wweR>a9Kcdu*c#yQ%< zFYKj<910^Snm=HJxdT(LQPg_aqr6S_r}yf947A6X4@Eu0s2V&heEueCA6zwfVk4j7 zcl4V6%@$@X>T}avv9I<{iw{iL=&%0bca#S7Irqhqh!g}7B~;!B*^EP%Y z2e+{baHGn=6J}cK+)v^wspzxq=q_CQ97>I>)t9|3$gMG|k{%NZfHacoE5GPhECXK# zYi^qa+}}vFZ*I+4Be|VkL0{YMXbng<#)DGZvrpFfaF3$&E=T=Z*U;x-Q?R26B*+r4 zSYiTN&ZaPGr7=U6cBNzC<7bJL=5aZkWe3Mht}+q*n06(Ah`td{J0?y00XTVnnsbeq zNP25N`^|!E;MEoC_ARqbK*^}%?E0k~k_kz<^6tYkBEZRQo^lzO7dAGpdnAp4Bfui@ z@J&pW*s~9uN!HQ3%pv?`5 z$YJB@ZDr(^*A z#-00y=2kBTwuN0|KX=k;1Wb4B6nfQ`gZ{~yrLY5XXLpL1yT5hfg0NYiMZ5$7s`e!cf!%%6D5;HK z$G{T3T=p3Ox%2~7ee>6$(OvUGGOGH4;b~>xe$E~opQ8dniMwj1HV&^miu>m|6^%@t zf@&Lv_jPU3%DPXvl_1NlnP)#G(|^f7aBy0{WX?z!^louAtp6lkEo2xCi&B0Ilv&Lw zyh~=zfAU>WMAd2M?jxOy)2oaEchxQY1CkN+{NUl!t{>ww>sX{Z24>E_aRps7XuRsW zPCcV@tF(`O%jN+|O!}v?;ax3VLrdS-oS8LZkBHNj?-Tp@ltvR*jagg3J-wbyaPNK1 z8LEKZX;CXDkE?Oh%u{x*VfF1T@BB?`kbnDr{rnO_QYj&;dU54a-LZp{Z%jdB+YfvO zm!z!PNwBbeP0A!Wzo}#UjFLuK%g#CU?22e)?i?M@(inNQl! z-h{`0Vq|1=ga;J6#eBie6-DE*gVWD$9jokuBC`5U8QYlG#boa1bdAx7sCWf{u;P-* zLu^&OkdBpO6f&vanKeh3swSuu!MSo%*lA%GAjoeB%PPM5Hel!}XZfatn*YnCE4SFf zzt$*jExh7jcLYm2E9>N{FCT|TCH2MsCX`SKH~KtA!&>l^p?PYFqNo#0+~5~d(CZ%c ze4Bo7c85pSGcmX32vyO_;dO59_AwJHxP45;YiOKVi>*4ey164MAC^73jLD$AaL|fj zH+TQT5nxpBz9VHDzxc#1H1ZQRsdjxMs^7~oq8N;4l{;5h?B9dJyY8)m68FPXDuzy} zl#Fx7NN%$8i<(;2ww<9}m3sCGbj+RveI&m|$8F`4`h}iZ@`;J>544+;&hfi=gr>G{ z!a?HcdBn>z=Z~&6rzJAMaaA)fnrGRTgrj~?u-fy+cXEQ^-=UQvT50?eFFd_#7mj}4 zGkV>B#HVZ=kUFtNagEI$K(t&?-86OoWkg0v-@-1N%ssnqfgi&(^h|sa898~{;Qe@R zTLznV(}WD&@_Fci*(*7RDa;Qv`-`uu73_WvsQ{CA@uT$2S;f`+zeuRAGnp;z9#SZq zntK#}89sTWZRP_iz7EZ=Fe^XviW|VWdX0fs$k6PC_nZ2G8Gt;=m?L1 zA6}uJ@uCn0${D{P+h8D4Btufg^729Go}Qe70|^wNe|i>9GY7d6#yoN?z)Oqu2#h~U z#wiG`klHh(_-u7!bB6#Png5rR5z@u0P{&C`NeM0Dv_e7`dPYe&?!q~wQlOmC117RV z3MPvjavlgYWbh>1(0^DeGJjH88EP7lg2sy?7}x^i;u@QPdEubG=zl?nh%r3_3D7h03I9{ag<27N=Sl~H}XP9^*^3!0YNe$Az@)y z(+L%vV&c+zNF((HWlTY!A??&D2!usF0$~Xks}uwobdf_JIWmqCsoi|(==i5}$=w>j z=9fR>#tQ>=N(#hoNbfXqK(^T40VnE&%QrYtmj?m^Nf^I(8z9JG7dMP(gi)0J{bM`G zt|eqZBNY8X3E+S5MMxJ>(Q)Cw56BjonBgQWaABQ7KsBQW0>N&8Kybj*+i>BmM-W0q zBOKgN$jQSC`)$g1MrSd}2cv1Kcfg^G>k|9$~k;L%=H@5dK!u<;=6NY;W zGK^qja|!{~jgU}6>IkRb^*~(OgX|G{$l){e>-TSDDgmrgh3I{@i!Z~@mjAmr^DWB%f{mP%_TnbWED`^9TNgJd zY=xlwAAdO)-bHx)&wc}XII_KaiN(IVg||@LV{s?Qc1z2^Fp*s zU0cyE`{$7f1Y+UAv$Y;CYP`hb_ntM(0Jd**1s)4iF>c@0#U=8`MelC8;qGR!$3&Ck;?Z<45z^@Ji-h`Iesco0O|Bj7L zPSvXB^jLmAc$N&d(R`mg@MOt(XV{N{tJN`-fXFGa9ElKEdW@;r(J+(%EWT2@*H|ha zHex63BuAq?W|kk2oUtFeS*pKd=S9xM0xpvjpS*fn_wAiauK}5ot@r(>FGV5*mCSvT z21&4vJFLMEmA?9=bMtEPFQraQ=}l6{;o2pQ^RimLhQg$hw3s~Ls()i(W zA#RL?`D~zo3d{aA*&>}Z;N@{ixmjD;$>Ym!4f?d8%)oxfr6*kL2Bjf|opKhA-@rwd z@?O71t`Liow4OaOlkM8&%cGTn<_=oH5O z_=RrQerzk#qWVKIz%by<;yIT7cSJlUYM?-`j&~%$(w|bP)vvvUJfBKOaMFyvrL4j( z)75e+Bpf_4333}-d8Qi9R~+&2vuT;${^Q7tN`cnQgO7K~Zoke2l=VcvER4}S0~-VF zk}A%*ar-aq-nB#*b`8y`2mHKt4~+D6oy&7CS!fPn#GPL>^eN#g6gjV%72Epu?Dp0Y zKQ!aGe?Q`O_Fv44d>j8xt&Q{EdOd50-*&iI?yL2Y*-$sIv4HCQ=~y&fr~SUW`3uEx z~K|OU|;D(@k>ytGyiqU%ln_HH5K=JHEw4%#9Ntvj@`7X3;GuS>m z7!piBavnoXYTr^u();a8e8U@fii$7uZ!0_`_;doO#4X&zG{`I!qQkmzT!4F;v%X%N zn}ukXF|JAWMso@f%{9G_`n5tDRASK|5WVjh;!)EX><)O-L|X@j)_Z;fjN=Rg)I6Jg zLpKRQQjy*!i@C;<%!y}J!<7!7XQi7p40D-+8_VJj3xZXjaR}5k#C+1R$;7RdQeCN> zoL2r9hZySKmZ*te}#ZRMOE#u zFcjRrWA|4W3Qrl4P?Z0B7z&C@VD|N2U?}K%-~Cq@3Ra*Q=5H_*InwnfDC7AJ6ijh} z;L;S?nISv#`3BT~W0(skQ{N^{hDq${bQCx?7QTDygkP>mfAKDiChWsyng$aSH;8@U~VZB`cq{o^+e=q%fh_|{-pe@GL zHQ6__wQBxM4L~$~s`g__?A$9Q-ZT=ao)!KBE`O~jg^9TH`jsd3<2gW`f3JyKob`2~ zfHyJ9q*^RwXYi0CfCXf0W_bDq$;p6nbxLFRMukTV76os0OE#+l8`2(6*SlQKS&!gd zzaVQ2J>K3JFL@gCa~ev8PvuzSVGEBJr8T z(|KfreUn1nWh-qlwED&@);MN+n2t2wDA$dxFo#* z``5DUG(>w7`E}b&_^vBp<53U3Xu?aidl2&OfV5?a6X2+~|7vV&{Vc(9A&^loOP)x^ z7~{x@B;Hin*+p2kd;oM;zT)Z1z9uN!?5zDZ;i5-6Q8XS3rtlF zoZ!|+WV$Gc71yZ1o|iRUQI|y5hbi~~q23!4S#3iN21gtB`VW=o2SEgb`0!O=HD zLv4u;Kw3%-8yBr=gkNG+mL0WM((iGa%t_K0Ws#%(qS)6NKZ4^$PCsGOTRd!Aw|z(4 zhi>g1au{Sw?vZ^FA9$&OaqT`(7AcB-W3O$UX5o**jDK8_i}6t>#jV(ntG>_g(zePO zV5fVcu8p50`}?9NpE*J6>TAXnF=C$@5cCAC9c?JY2dw)j?*6#%d#**{mHDdos%;9z zJ2^#keHqI|b+Mmic0T~-{ECLTfl?>ixjZxMqHzM6*$b-!o&IXUUQu~z=mlZ`U%=6r0lJut3eM?bo~ivDi2T%0f| zCSHigzuXF|<t&-99D- zr7>msiiFkL%_qLgGI+P98g!5%NL~Xz>BSX>jy_z9_f4<;f+7@7rk>4ZCt&*}0K~BSW{_* zCT17E+kUaXqrq0Ojy5>Cx@4w3(LMG%T@sdtpE%+8QlF?~XnG;x+UCWMP;F}jX zt0(2GE8(;hvz+iGVidI2knj+6ey^oU`c*?im(-Q1rHr9v_xh=)@RGg4=I9&HBa*Ai z%jwS=l@oo5lM4t|qCI+XR6Q-~?u<^};>vScbsN%WrP?ZKUrab&xJv+-}2w_k@BzaQEv~EPVS5k2d6&U40)8{sps~zQD?5p6oHZ z%x)rjWiY%)K+C0@Qt)zam-3oxS=}c(M(51Dg|CbXmNrg_b3XvTjJ$GCMDojHPQK7* zbH7PA5;VI<7@hLuG#{&IB{cH^PD;`d_2*U;F5^8#0|MK^D<$#@2r zcFtK6n1>cuPoGN=QY-~YT2lr!^_{V6)qQNLu11lWm?TD-`HvfhN!hLhRMvh3oGT}W zzTct~%4Veem418DSzO)Ut<2V{@nv=YfwHofuaN4>Nlewl`ms(Nj`ks(2F6i zZ{k?|W$Ofszy-jd#nz9@$Cu?To$I!V$rwzk(cU%V^9s8cg+^lL-YS6WxpP`BTv8TK zrIIG+mcQ9gOvkf_$H=HYHJSWqCH##T6y6|lY#h2MeJ!AIXn6S>Aw8$WRc*9?$#Ydb z6TADVU0}*Ex3K)xdlEAG_{Wz+F5CLW)Hf~eTsA9xKFFkGEJp>b61r>Ns9(QHSV_UD z$Ksbevk(?j4Y=2j$V6_$x%jRDzJ*wSEZuBTmj^mktwiT<-pzS4^B^L7VEE$+9)qmB z641~oDgALm2gE(%R(_w6)eDXNv|H$JG@4_BB^igc^wT;UKnc=7(4 zwwG^E=JTf2gNv8+y!~I0iAO(v+DS+zP9j^r}FXGlQPN~{-3JA&niX&u0 zMxkpShYzsxjrTn6MNM4Zb&X!SlNGyL)De?8%uY6yIqq>M zby8|x`{aR;$)l#BshwjK*7>W7z|`Sk`TOzJ^{alNDfvSfgl>a`3>n!^s$X6Y&)=ot z=>cTIT9qetOmt%Dg9A>@QwtQ-2K}y~8KeOR^2Oc!`O|;^n~UV|qDgF08k^v?#*cHn z;n^klHn#^>RCTLs$7c@#Cg2sfw!iz0fRtHS?3#&d{H3Td4q@qxz9|fX)c12MV4sfp zhM7uy(u)SsGKa9_^xD;TPgy*EG08->e3+Lg6#<5BTvqw7(D&ZHFJj32L2d9TwtQp? zpF`d=V~R^i&D0%Af4@;YTgK*+xS?~mv}bmoQ3h?F@bp#F=o}s?onFHMPf(yd7IkFPh@HDnrDk zl&tSn`8*^2NQjITZN(MBB}mR>2>kmee_#-?@fu8imNiYNUTZRFIKEreda;;h6s=jh zjR9`gPaj~?XzKYTyxz)q+0i%nk$_d$&cEbEHzH$PSRndioQB8|${ArI;y=#mH4^BW zhQ4V?TPPu9p$a-pZA8()`)48VL*$GIb)@^JahvfxY@J5Nsisj$3Hwym2<^yf@Z1>6 zHLq*ITtlP*20}&;4^KFwS1t?&?qJ-LJNS=jSs@YGxHH$W8tZ+ z5sDg-@Wzs7K`5Hif04$D7uCIeNOI$;hZ+K_KXuddC>Y%cr<`g*p{9{LGiVe8(z%U< zRnEea7)V?{FD$LBKmZ9d9HG7uvOEMk5)%_6|@Q#N83L^*wVAb|1bA`_ppO}P%{8Z-nPsux?b0iml zH8_wvid?=9i%pRP)Nxakc`g(;!VL}a9YP%~EQ3%eE4Wgm4hM=l5ml#+jiZwX(x`+) zpu+r980PpWi5zLPul*{FQ|TpKKqf;z`j;`;tn z$}t4Xl#qY|$QzM}f-~RuPtz(7k1!}t!%vw2OgH$W4@Q7v5DLW#=Vfa_VIcxX^l2*& z4PqdNS(Q+@0VSLeK_WU8EiG-RxJ97jX{9~F8v~FwrBmD(d>=mY0VKyEAt9mjC=@CT z*`30CXds6p62eyG0xd2n?fE}I9|5)PNo-LyY)kgwRKQFYP7BLkU zQ7V|rD=P)+lz#~R+S&$GAAUdWa;KtNL|w5Kx0b}9Jy#%x%ftku2;}7#QIcrr6Gy`q z)m+5;MvbyyoP6!aq$rY3>)#-Kef4w69AKt_JpYTk^r2ox4Q?izv z48<*HFMLMsT3e2i?mTC0b1d8EXX#HP>0W2aaDz)5+Jonn?OMXOWE*HSt;#try}VmK zyp$aJJ?xdb(~Ab+YMLl$^sGfxNWTGiv3@t1QXFe*-}BJeEr{@Ew2Kk|x$NYD9@S~j z_oJMDyCw?Gw!A?FtO~eL$8<#>K5Hni1u`XJK`aNC34^*OEru>h%$nkVoUF?Rl`#u) z874h!UBF#bkpQi0sd(eyhP>&w8jcRWqj;$o-Ay%aTMn*Ht7Jf!=CgzZ`J`0(Zb&Zw zC8vmyf@)>0vS^3nx#lH{SjK*kPN4a@#^o!q=D@x^FsX?x4@CiG^o*PZb5e|daC4}wT{XC`!-DNUmegVfV3J)1Xn z%YAoC=b4o(DyyOv3n3yCG7iw|ZIZf{$A zFvF4Zptn)_Yz#f}iFotfe zT5;0ZFI@Q{McI227H*@$#p0BAcnmuPirpy90y25u;B52N-Woptc~_`Y=99)p3EV<| z5tc+ielJgHpLW9BI_Qyp3F8VXxhN=Q*86$yx>kpOSSMc#z<#Z3c(lB}XGlTl0_@*d zXT3-=`t`8ijKS+^u1%7cAGl(=plW%|<+{q`=v5Vqbx)VYmUr>|K~=Xm-VSMD+@=I| zAq7#1y!3io$G-2+&&Q_B?6`6l(|@+bcpYMhc|5)a*wJP3gu^<&Qspv|qK>~SaD+8Y z+nzHj{wO_o*6_VV641C$#?4C2i8H6Ro+U7QrJMO^;7R7FYVoC=Jwd1BoxNPO5(HX-+s$aIA25&s8 z7G^1o;w4MG338(JWL~otob%ZVx3S_~xb(^5N5>M*O3z+kSy}7vYz{z782t7P8_s;` zQB&#@zunS_%35DX zHQDklfaOu;8tyfbw;;jf={G}f3>31DCDJl+MLjQ+v|atU1Vmmfl7F_e!hG2GS@m2L zRyztO;n!H^by}`=T0^^zjY-fTk7sw5!Z`dlrh$A;=PFG}*Z|&#CXTV%7ffR5LDUie zHRFvNonoxaJh7!8K{GSh(|Pa1mK26w)KB^B-bY3NNckvOy!4XTw>Hdj?QQM|d1y~N z!H`asCfYmixGVq85wPCAE*78ry}C{-J}~yAQgN;ANl4mT^YhV9U0<#Ve)9zww}Pzq z<4y0`YTwh%X3?M0S}EVhVPj~FGkGMY^4ui?h)&)J}BUf9vw z3E#Z2{jh#zh_IuQq;x;68k_$X6DiBTOY1MZ7ZaDIg`2sO_X%>B2UiHLO&fp4 zX=jPfoeiR^KYmzJHCi`!^XFCJ3;b2x;MjTM*LtOSdSZ=&(f2Cr`DFUFPCVvw0-A;Q zRGvO@i2|2W=mcti2lfnaF+OAy3OnEG$Q15YI<3N-8CETtC8Gm9671w0% zck3<--wG8rJD9vwd*HBlIWkKW1Y^9lllaZ6_2|s`=&NAsI zb_VlpIWGntrB!`LC*CH2yZ(6n3Wce+_@%AIj-?M;lD5XtY)^P9I%VE^=rY&H0}LIt zcJif0yy%K?qo-lRRiBA}WH@xCJW;PFgY+}`}?!6 z`M_>LwZPjxWnT9|Ohax9#letTnW^1Uf54r~mZN*^4d|Ps1qV!D=V=T@YJzw^f zFcoYC5TbVPUybz;n3`l`rcJoe! zLH|QJ(R1ukYyv?)C;3=zY2_phf-H0dFEAq$^<5Fib&)4am8vxMq3vPd4xA+WmZg$&yS@v@{sjU6LSOk zI6{u;C#3o_7cR4(oh=!q#Mb+%{z=TIbFwO4!X{n`^qtu@nDXN0eW;XVk?*fp*}l(z z=9_-e`Vk6WS@4o+AgCGMB~~*I%Iun?WaShv3CpUTVAeI6U)a5-JylGokpadIi}*8% z^*sXk<_$edjbMZ~n#Wi~4>cM7dwS$AI=ptZs4X>c!nl z4|22v!awugs2Dc(S{L#TjwAApqmc(~Cz2@x-w7%CCAIAQ-l}UEq20YAlArc`V!Mid z7?tt@G|vabq@-tl0+Mb%sqaX+oYFEnCluZD+Gf5n+_I~0g4oq1wdTsB^yV3@ptKrs zyRP4bQClYNnKjeI^qTq|?}0}1GQRqwnvRe4J4a`mv5g2gb!%ToZn{6K=U0s?sqF#t z$0A;Fj|v)&G0s}Wl#;6H**<&zru&3nPCGCnyJXo2q+}GlI}|ky$rhGWQcIsAQ8dkd z-ZS@&UI2aN^X>VlHPEfKOvvW_tocUmjO5+Qo3~POn_2{1yrQ7& z8CFGP+w=(yV%Trq@$bGmj2qlw{r>5e+a9N}FR)S6cp{{J*n%RV1Y$mMeRBaKS#KxT z4`|N|rz<{)EV?M^5SY`nxp#0vL9ZV^0GnheF7Ud4*rMT7zvds3R#MkJJiB!Ejthur zn&cADbGX}gY<<6enCOCpjNA>2M*i!jE~^;&>zGU+-%xqv(bn{ij!!Z1kwcM5Dpc4-{X#4vzH>j5d4FxkM@FHnG`54^~O`XhjDY*d*LS zAHV8Z+oS@~O>I-ld(@1Ywi!h;-@x~SpBOk7g|DD}LrQB~+q-8s_-spO*7q?);)HaP zi|fJ2%#MXm?Q3e*fX1<f5H5D6<<`=?ekj_;6)>PN-r_igaqjEsJ{fPp71r|FpU77SA` zI0q%|2fm!!$41{RJ;FRE;+FY#ZHJ1ppld@>^esTA+%y%lk~6R!nIWfm-Mw?S0CUlR z*P@gpUfUEm)jJ#9Pt4326O(kqmy$DxPU>d8qHPe8Uf9y{`5U&v;KcM2ICn4R#R&nU zKz8-W1~tF5Y)E1W1;41RUG_FE`c_JH7udTSQ|4%Hz_;2*juBIFC#?6JX|VsxW3?Hf z@ugdDO3whURJEl`Si#=ClCk5nTLJ@1G(3W0dN*T}yY|jqRYrT$J$gJa!E!;-KDT$4 zTT~UDuu4wNB>W(YO4nQk0u$9BXkBfA##IQbPRZkSIBvioB8VO#e1taYe;cTMaRRdt zU1Jam3$aea2Nutxpr#S-U|i!L()dT!h~!g3r#Mt|*3{HDycr%H2Z*@w)IE&|91-jE zAG&z-3jvD|En*=BF}YCKh_F7w78HsI4I>-jMiPWVkwTRqM2(2D5sp8k6&lB3IHlh< zwm2LTXcQKb;)q6_`GXv#Wt6NDi6dg7&OPm(#z7p^_WuYRQBIJmo$4Fm|KOU70&bAE zx_kSlrKSH{;CPze_>X-$j{-7ANLWicPeqR1-94~=8qs(5!O8nuP^bY2{t$`d;1I-g zh{zEMs)WxOiaDnbpx64=4dH$uT9lsY0>N2ux)myt)}8}Bus(Btj2P~V6kMqAs5&_WHnVov!YlGccZ zX^jX$OoTTc1);EER%KE$e6C1dzd)C(B zK;(@g5iyD#+}?#fg?T?I3fziy?wZ3Z~(_4GEViK&@_(1K*WxJs@!2NDg%@| zBIJ>s1FAd`?#RW(qjn9d9Z!iPGPMqzRu?%0dh<9C*TL7-0O}ocQP`)FM>GnUnBTPa zI~5e)zyILD1Bf6YghU3E6T_^62ogl-85NuK_*6<xfFB}*f;Mfa1aODu8ut2WE*5%fK| zDU7ZOU^6r0HS5~BuifdLuY@kJMQF$pUYnFArnAxAAS}K)Xa|ThzM8g{a0+CtxGdiE z6nD6rD9IgRt6|f}h;f2tFoFV>6L+3ujS~s`;@x#gdJ>er{ zoE1?&3YBK3_cEt4p2_TDs^Xu+q;!A!w%s5=)xDOK9q?E81caYFB_Xl4!n%3Jc*aFW zH=<->qh~4r)mtI9tORzN$@?8Lnw5Abe0%kI;@3CtpX4P3e~{%L`{xCW`(l-JyZ0 zz_SC1&-+ZRFadiPi~77pxWVFhw$|NVt(!CqRt(dT76wIy@80rNs)zu#wGSlKQe~Jw z-Wy&>wbE`>d(1o1%~EcrohY3U80qf~9@@v;wqY>u-@sWmcFv{6kZvQ>wh}NZjGy9l zx!$KH1MsBSji<9zYi%Qn@#>Rg7+JMBWGu&ec>1nv+4vIThnA zaa^2;-W{58pBI`L=w=48fE*AjhPJ~zWB*%WQ<&qtn~#pCd~2jvS;2!hKQ##e!NLcC z+jvwN#Vns!BcLI^s1RD=er<|jjPRGovZ3!h;1ab1q$9?a`VVp^6cdQO1{`K9f`T6i z6Bmsf&@S^9UkPIt14P{sxmg!R>k8tZM$xbNT(}$U;-4P&sqQjeP8DySJ2yx=|KNU= z$bcJ_dr7~RiK!BkPeMHlB1`p2#6NbdmYDes3SPgIr%Q4*8yOHjlK4M%T_TV4{>yX1ciJH+Wg$ zSYc~?f%|dSg~$6jg8Fmg@DzdICg9e7Q{$#~@kyHShROQE^*7r@Zi+8Cls4|DcWqv| zQFaEnnk#=*Uj6+^*+oS!ZiwAqP)aLay-s3i!ztZa>KgG7NS;;oPib*jS)UvDxj|ch zTdX76uHr}Vr#QRvz_MRM;K_=GDrR8}bg^wQ6Ym94+M76PyUQgzm=!Np#PT#w$IH<074eKKG+%cB;E9 z+VLMBDF?8IHs_Mm1KE1nZBTtrS9?ZU%0q0*B&YRBLsmU=Sz=_6T83oo2hf^=_tgD( zJbZE2WN5gA^eHI@WBh2wlFJ&8$gWzBU?&(M5^{Ekw8}l8X!w5X-8u1Lx0WxgVc16E zj+_{Ksm^mCj5DvU@&~V#%dg8`attRcA}!w9%`AiOS;vI#29yRR0~H=;m+Y}$^W-PI znSRQb0(12nDdI z@UI{gerAgOR}czVU@p<$Kq!D8hyN9X0!x?`^EVLs55u&YcSoVD5omb}+1VgFTV!{8 zUIZSo|Ga5Jh8amG%%IipJ@^uX{-mga?zL$c#^-kaIzuDFO8Yan#Y#ZESo4d8`C&j( zJ!5o`$dPv2BVEXpal;2gSp4Bk7sCn&h@R)%ABZ*6`_x4{VQ>2Nib`>lf4J(s)z=sV zqOVB&K%>L}TYdGXujoEo$5YsqU_`fjVAOGNjr$n` z;pU!2x7l|(twSSsJi!p7A{9ORF#6l*{5q;(?(Hq5-+F`Gdf$=~yh!sKGh0B7$5aU& zMK<@Bl4R<(HCoB*n9kA_X$-+wH^1|YS46LX^BM2j9*o|5m1z*oz`#Iy`JUMspAA|= z8_Ibv13TmEK0t=Rvf>j5Nqf4(WK=OF)mHyGc5D7lhObwLsKp#g-iCn#wV2m(SHhmR z1%GtZYtNl*Jd}JsFk$l$^|UrJbwPInkaoXF_It0uTPl-X{<6pY(2R60ay(Mu^^4!k z7;JfNFF{zyb{oTUyX#jQzIE~20psW$kV&WRbP&zx`@>c4E*(&!GQ|x|rMUe52eoHu z$llu%6KRF2+54Gsv0+F3=1IB0Ao!vCZ6UtbXt{!Kw4V&^&-Iva6n0}Lwv^L0hTi-P zEgfnu+SDV~vb&>(zYJCN?W!~fo4$^>;-hQ$TZBhD62Jsn_d(1RsbRd>41wEe>A@R| zmIbKxXp*4ycGA(oYADkeWOxQ?+ZMIB3^kl*LOh?``vA2FTchctmC12I^14^?6nNvSnV!no0B>sI*4{x?G_LBSVYAm`C^XqCdQ}eWNNO;VE?c zLCp>-U+{&c2vF46j@b16%qF#eAbybS`{91kKDBZuo-5Itsh?Nr^)7?DQrwFeenU%1 z>c7W&7TkqJC|1j<9%SO!X!a=nR`gH=xj6T}5qH(UD%o3*jC>b>Me-s8U-OFXyU{iA zMUtJ?bue6r6$@t5zm7rsFULiL`JizZY{|||(E|yZ=Sqa)gusPKZlZYQBd<=oL5S_g zyDway=~9slL>7#ED>E^sd`$xG3HlUna@qSb-R}PyOQ^^_>MfRc6X!X@mG+q-FOdcp zaQ3qukwCk$!mp-fubYojO&bsKxWz0;7O1(be-?h)t_JwA3EYCF+UU04kqft(Y=snG z{N!=?EUBJjQHuT8-Y*)gSPy*7zxDZ;#7#Bn`dPYx+Yfkl*&6b9(lLe>#B^7xf#kMS zGKyVpESHeI$AU_>Tb=8CH{r@l{fFPPdTtkB*@1I)8%g?~H+?KGADQzjiOy8t-u{89 zzEjfDy?8z^navuMVdxYWH=Anx-V0e=<165_cs!*Tblh6|Wxlum!eP-HKz-*?0d{eskDIdN_r%kh`_Ez1SSDp@r{)yD+&;l$f<9oaCJISYwCj_)Wif3B_t))G zYBw_TKI{@|TV@rulTa!F^b2yXTzq0~2~De{=M6J^S5581pAFKdP5h9~kmc=Q0yH8M zEGEz9=9Mi|sThmyRMu8dTXY@w7BW~xz5lYlCj73Z8`*^|eBd#cy#3w#m#zi13=*iLE|`SRds|<+ z4_eWKzqv~_fG%dTDf?p4t90|T(}0}sD>siwir10DTuw)Xy2n^KC+lvH=74|mI( zS-6{G$iOADmiU>USz8BBiRqc74blfVK74LtWRh#S8Il!|R{t4%mr}i-Tt4>gfI~|+ z_ElT&@F5xfd43rMU6ZiIAG8v;!0nvX9~gKvK+ioqGVaMoYSv5A4wGLPgmr?#({suj zsX_V6E<7GHYxndCT24zl+2rIGY)t2=n)kHbMo|@&UwHuE2O2xS#tZkbh~KOdcAHN& zxP|;0w<$WuCyNgLh|3@dA*Y6MMB&@nPg_*<(Umi2I|(V+1eNrCLJOY{%|a0^a7kY{ zA2Es>_Bg{JYa3VePWQYwhEBLG->Oq28_zXbGcfnM<3?uK!~EirjfJrEg|weywBN7K z(F)22_R~vWcku-eatn&9N5+piui7|z1!q;)Zeh}DxlLW*P;rbZc`I!NoD<6WCS?P1 z8%Ojr-VM>3grDFuOSvZ|mF=>r-*Mk*1Wq$`yf=a*Z$zNZL>Aystq{}S*+M@(o5i9) zOkaQC)eG`o&oi-H(J(=K&2C_58Jgbo2^w63LD-KwSH(?jT~a_%d+)$+LTW*~timtn zc)cFIkv7lBpIlydeHhz--A5t;)O1Xu4)Y5p9tfb)pW?=pvwh=AxEGK$Hm^~)jA7~! z4l0D~DhS`?hD&N^P*6TNBS9*wVqse`xyUA`>mD4PlmdebI3f-Ve>ePfZU4+U zhKsHnyn<2+*SuoltLujW#aX)Zl0I?S&)R!-t{3oGg;&3k^^43qSKiC2GN=tD)zk{Q zZb|HZ@oD9wOz(f=&@~FkE0;|ZX_WRuOadFa8IbtOQ#Is2Dz%_scvf6=S|(HS48naV zgRH2idO7r`KL%o!35Z!HbD{7New~K*XJGsHzjTjt2-%s3tm)KhzW5d5Nhk({$&S$b zM1&|G5wA4@BQfd3#NlHheS`uusCjIGVUF`C6iN!-kq$zkWZ+lX7Ff&-=aPqKxXP;5 z5J}qEosvk0)1q#qrP~d1)>PtD2p{3dKt^UR3LC~ZW@YE1P&shRML1p_RNNsLG6+Rh zPz0YFEXZktHYPZr+K~?0tda2tLPDqY@W{yI>>ragT*ax-5w7F}-bTU608Dbkz&e#W zBHnTs&&$n?5J+B_<%qPr^V9M}og)N~LPDp=QS7uY#vG2Dxrjah9w3W(IF%*(ROk3o z)Jq~+j`$9&;RvG~VL$1q$noG1ddM-b3I8!vBa{&?jim)@9FgU* z1))$EkQ?mq21g4D{KFd&(DlxgCZ^UNNFr+R zAGISqW_XY^BCIhCfwST8B}M9T{!u$ZVA2`o5GEP#%3#8OU&5Z9gWkT=2#rn7%SQU8dD z>F5K?2v|j=WZ}1zqM}j@ls(SF*DhTm`Fk#8`z@z&1&bI;*bwcPmLZ3-96oc3 zQ?!r3eI%>_32J!xI>gS}7JFiHa%#H32pjn+GM@KDd~qibHJZ-UMoun#=vcA9@WqSCOo~pQL{noPaxg8Z@TbRoVPpX_{e)s^%Kj1 z`M|)^ts^cH+_eZShnv8Vwd(qF*^`}q=Xaqg0CkLVFQJ)qKJzB7&MEuDncHe$O-o@E z<%#CY_!T}qsqyB}axQ}AxBm5anC3=~I|lUzW-2D} zZQot67?PIz3j}7XY8i=BE`a$JqCCt-UnBDC*aFMqy3-N6Y3e08=&gI0Zav1wvKgT1 zygxIUdUQS0Zh)`D%~4E?A5tE;Em zk6mcmJ{z7cZ5pUtCH>l%DwWE%Dl_#YDN1_=y#C&$vCRF5aO8|B%ZJ}RQn;6|G`gQP zrT-HCMbEj3;05@`K0)MRsJn7CY|3-uIE&@%@RI+}`t7+s{pOKdjt{*j&X!rq zwFy#_OFwB2^RE$@^>0&pW>wkLRP}$P0sQVmzO#1-Ir>o~i&`@cl`nSiaP4!oRL8zr zW=gDINCw+9!CNhKQomn4=P~?Lr&RwY>dt%Z^bzKy5Hh>6&$h(iLbtfM<)O9?Ee0u$ z8S#fI_-#g&(D%~`;= z89bFJQaUvI7O#CNwMb4vU+)enSBRdprhWE;h<~1kqYqdP+aOc_{CpM5OF{H(ON>}x zztr#-^z&jn%R|c`+7o{u8YWQKSa^Q-QQbOuvtaGaWZk?WZ%$)U4ME1MMA~f*F#quU z*nklToUt!pFS+B~M^x+m zS2{PY8-8sKl~@MVX9+}?Zq;c%uxlw_+{R%+pd(pk_O#1XW+J~Z>mM7#=sP7zfBi&C2)&1lr&4tc^jie;YEUG4U{B~4! zOG1H_@B{`myBOJ4AL&gjEDu{FaBEAc`%A6*L8^zPx@Ly;hoe|>uPNnkf%iYCRfhB> zW`g^8(xw_88dI~50_E;ZoasEATgoqLuitf=k8lEy6ykw- z?AMejT}hv~&fY+Yx*8Ny0>kK0QFeJDZ@l|P$@}o*$u<_Z8qBY<-$ia|L@4<3F@RG?(~=XbBzD?i(KLaX9d1* zU}BsRojrkVgmC*WZgOcEDBu4J`2#o6Fu~<7vZ4x996&)gVGE?U0>4vU8Z{QuM9fg_0*x z5_n}qObyWk7+KHq(qhDkRIEmSCmvBhwE^FbG6T&dd)chLONxh5Zl)GT<4YH&VXEw4 zURJ$&60Zk@E}9XYHz8E16HREH;C?8X`oOAJJi}Ly{0kZPl54mb@DNQx37uRm#kjYB zf^XsJ!D_!iZF^P*e~UZa+{3;ChL?!lH5R#u!#h4C%h?~r21-P@7h2sJj!3c4i^sIj z2Y{95+t<7IUiv-eB=u!kI$!=JZSK<&Ue%mq_sE_^(!2ZMD8`F#jbsCYuKS zFsTrmYo+rmZ+3fMT-TXUU@Kee!s_Y%BVoEMGDb-1alWzXz(bGcPssOpoGw=C7lGyw z3<1$0xA=^tWGkV;Vv^K`S0~cF&)9n&5mjHZD%}L!_o8wN3gU%?#|@SfX7|kS${JaF zUKWpj(vM;)Xnu7Skhh1wM8$V#3459s@H+TxU8(3tXRw4A-4(4(Ua=)u1O>CjS1nf! zt<0F}xSA)2)idkuR%D`N*{p~rtbRq6b^*dHqTOtqb>D6+>5+gp^Yqd9F)k9;kN2?D zFnvzi(iVYEdv1vX=HMqHvYfDtcO(om;y0h&-G4(7;J@kHVD%*l;GXw(c{9q?&~7vs zv8HNN7T@w@Jk=t($X&Pg zFi?2MPDQ7a_~G|wdFY#T_)E22uk+nBdfRc6G%1R=OUFP&oq=b;&{owmE&beIVw!_& z!|NwHz7sPW7yaxIGzI59$EIhqnJ^9VX-8rvLz&|;(>s*E-NOdOJ_KJ> zJiCDUv)WAX@=3!=M6k}a@N$;FQ+AFr{p=MK&!v8gN5Q$*O=>Uyeux z!%XGKC=Z@1KB3e1V!ys^%>L*zFJsHp$E=0=8j%f(FL42Ga+-wBKxFR~P>L5F(H$>( zNaQYPAQNU)QygDXZE&N+N=YR8ELhhk%8|9lP0Da{AGrR2r23Vc@U5ncc)}IL>g6~0 zZ7*3um5xs!)Zu1Xu;=$ z9aG7f=nT>R7gS8CYf3F^x>dK34?< zv20kPu@C8<4A|8Y#ErZYLJu|4R!k>uW9|}JC1WsxfV9fjZ+}ZzIlD)tG!x1Nm*??@JIm#7M67p56Q+SAQ=R{jii`gK|yfY!0gUGwz&JxbKGQY<2Hj*v(%Rtu3G24ZhbeA zSzOf%2pDf#honERq`!38kJ2o$drwHp(j~I=#hglO|K~YlhuCE+jp>)jGJZ z>VhS^tl@~L>l~M(l*y-1!V7>qi0;zNUF?`QR|htY9_6n2M#puIA2M+X>Sg9Ut6SXy z-&M7I9z2Zc-y&v_Q8u?qNq^oxvX4onawqB;HaQ)mEV!|Q&B*T%R`PY7>YPPNAtn=A zR8vzk z(=+wSHouuq@fte%^X_fepcv<{*c1{vHT0c4a7;!o_<=#vtgM&GDsX`H>a9D$M?aal z)wMRTwy0%rAnsAlRYr+uCt>PYS@}GlmWi5|j-QdY4Ja#&6cD*=PzTsmdWL2;jN_l~ z{kF9W!5|aW^9g(2@t&N~(LcFjik2OCh9wj~Cpgb5AYxG6G%>v|Y;?;#xNTbAtZo=j z$j~(s91scBzbAb1c63+qcSY*Zkc@(kxl7^VRWY+<0&*%&3-A@2yN*S~t7-X@%9kD# zxpwp_;hD&+ubYP`HiP<({a=89Q&htuvat4TAD@tpgLhNk+z$exi@{YBILhXh7&t`W zf~cLB|Kr(1Hj!&j7Y>y*L+60pBwbYYryoT5&LPZLKtMgLeepZKt$WPA-NU~9Ch|BQ z&A`jW;x-QjNaDD;SHS^x~RtouLsouI`*zBBs~1zaN{jv3pDjKQd|ieF5-YQoQbZqUqyb z@cbRoIaa^y;wcybU}%C4eEo5YoJS2r<+Qx-V;;QeU>sg}|1;?obBh?+IZ+F*VE-fR z%et0N!JBP91TEvOpf5mUCihvtB?hqJFwVoXJtBm)5JUb#f{-F8e#H4BeuNMU(LO@u zg;7Pn0$EJUJY{{W#r(gG@g+9=BmNo!q8-%kB z!LJ|SHVknSEax5>8AYRL#^8X$$4MmI@sH*ap7dj2LJkITBeYf{xDn!1Vjdp8AQU!& z8U=Du1ef4|I!6c`;fha{jzRE57ZE!m36@$g(GfOP!)t-lI7g&4#@6=E9mpFYL%kmY zc_So_XcQ_QSsetAs6+(OJ%WFd6of)S%_IK5HIHZ%3DVJ>k(E=>)C_yyThS=+Ppab| z^oZnG_ANlkBcyMC%+*_{?I0BXKm2j`J4AJRa6kz84~B#*JwgUzEdPnZz=9|HNS6#N zD;pfAEixQLr|=OGdO~kCB+c5$Kr$U$pwJO6Q6Fvw$l?v*G5NRB(FE3X!(o2Y$~FLA zgG{U<=6tl9?>XlMCJGznY#gMPGL_s z)Hy=QCmhXhI~Kky1))g)U`Qm%;1obwh!E}&5}wK&Vf#CLJs^BMOPh;AokOD#jU#{| z2LGwjVM4}fq$TrtR*q8<$2lZ1RSmXJK|wJLGeGF$4Q-f}3e!^In!Mpm_vTTjDo2!W z5DMjoMxp$3QK*0x6chBA2SVf;47Hsh5jlCqNHKyw9^1L#%hL1vAXdXMN*6+A9{+{gCf$qY5_pOuK=Ng@E+Z z@SQKuGu0<^m*d-&tuwRc>lHqmOiR@CmMf*_#frRB?X_N z2q@T%_%~BU{%&^$Ug-}ex$8V7kA9|h&7q|RDaeW1!r#r$4G`f*y0WL!1Ka-F_%C^F z9DBZ>Ek$wNZ`!uUmiw*wY&V)?>A}76vI-!daGPEReNrcLG)Wpe@xsw@qhuqZguFSJ zQpuWF?;R%i;eY!r)%z49U*=U)s{6*H^6BD_QLk$DCt~k%q@e0pfwvgW1N`aF7*P1l ziJYV|!tj{%-%h%;3yfH*#BuLtM}Q{nlgXGJ(j^5wcPX~9d52}`)9OAQiU?6zNuCQy zp)P=PBHg26zINNRysjNR+sC!~p`x((_=??8qHKn0HJUB>WY#&jJmYt1m=muZtWGnp zmYOvpMyU1uR;aK0V)3>f&u)rNixSbMMe&H zbs+lsH^n%zbSmeAm;_EXN~`ZPqN2fFs#5RvtunVFXfwge1m&LEjr^RKeA%5ba6c58 za-?U?TOKhg_e#XO)14B*b}XKfZIj63!;UW~C)^So*7~f3sN==RtM-`RE?BG2!7W

$$l$c?qJ#ZSy#Xu^a9K}Xe!*XJj{XsTR3YNP}8dH&R?PatNJ`W^mDlf0G7 zbWbN;7F&+s7egKB`owdf?2r>>7_J%>zwT0eiZ=O_eyO8fH;_chWp8rjnd2m&thv02({{@&iMDj<8SqFWTzPSATR}u)Q zp-Yv2BY^-7~4uyRIy$jrzbj=rC5&R zS(*UO%){R(QSp*mX$*n{n-WN)`eFnh;A&rmn|aYVS2W%OKk^?jtgctTpyjL}n#^0> z6>j_9-x;1bMfqc^>yxmGCXj3ly;t-w1AS91SAUWNRH%ao_Cw4$YWcy%i;iBI7<+iKYiQH&_2AKp#qmwXB#-{ zw_PP6@g~6aex-8=n9AcHW!k^t-&iF zb2w$%>Z;oXkiAq*J(RsLH;o_lb~l}v6R|XvzwzqWWLts1Gh$A84IFLjVmU+}i$B%q z7)j=v-F{S-<>EjHm-^kV{M%9h=?zGJZ2W`y>uKahsat54kab}sj^Q}Hj5FpE|G2aQ zx05#zX>v|Zco3wpTU3NnV$<5RyeUyM8so}Zjnce$rk<(`Bs&OtXK$Y0w50S-*C<{n zC-hc(yYwsfWWI%`T!6RL75FDPYv7~IO0+%SNuTn?L?yBt>P4~_ec(09)LM9n#SY$l z3b~KDgo`n=rijsY}lZsr+E2svNdiFjc$8PseJK> z*oABni$#@0566%w@G@LC-e3M#{FJGgetxNUb^nk~bXZ`fx3KNQrz{l<%78*-*n^=j zT+^ALu2k-(YSn|o@Vf+axOMer!bKSy(~@9P2lIY@-$MFrDlK?@mR-%aVK&n(qASl! zWcs3rh1ObdF5IPjM2GnTwWB|M=j3@v?wT+5Co@R41e9kKHMJA~c5j3Q>qVLC-ADt> zBlhxy4FW0Dhu{3`7}{2CjEY9{0o=pv2fqM7G67-^a@0>Bdw!v^{d&}pNs8Ci(K_gd z4YYIN(WR|{+dp)?p^R#oau;_g6dLq-a((B7KP`9x%DQ;h zv2IKK+_0V4D^G?$74?7jxjQC2!?@4=jr5CrTri38;?R}nT-8{%jMm!PkV{;t_(_AV zSqjZ+eu+@7q#ih2cXG{1z;ZB9zmI95>qpM)=s1YId$rZ_l-VTU9a1ZZ!xTZNBx$L-p%{DdM&m1$06oljtLp2xykrMGI%8R5E=Vrilk+eFthdct{?nbFKw;X&>+3*P79;W1-b?Mf{t{5hlmUOLeM`%od zz1Xxx+OsFZ%Ib1TaLYl8eF<4*l!@h1-|V_o*GRQspf|pZA{KoobaXSwq$h{_>K>%l zj_&Sna0)lrM1nG3z>fV--`>d#^>~?*-DJz-^a>wH*2S?l)PZj6J~?!ERv0;4nJ7q95#H+^}`@Z`em=)U$U9eO+0rzO>HT6nZA& z7=a%&8Jr1rDJaUK`@e3ce3toGHL>Rw13It9Iy zw;#S9|GW#k$*cD=`s36o4X3cK6Yz&D4jHx6biz=;dCJ)ppQxp0Doi-J?!At8QTu*y z5jeSHYCnUvwXb+Q)kzIX{S1|CBfb5<}>j0pW&8!Y8*NbMgPHYg|p)IcH z*${}TyCA0J)O_apDmo6-Fa{CPi8)8UDQxWjxIrtRpkv@2xQ)(m=aIJE>yj&MKq6mQ zHnzIHg-Jy(drvjs{mku{niEVyGKyRh_Ei+_LLj!pv>Pe=pbhrG@pbYSr<{Sh#m(Os zQ7xU@yy6u*`y{|c>foq}E^)uBJB*QEz%n+mZTM2mXIMbXsdr%M*Od+M4=Jv!Yr43r zZ55JOTr)2y^X`aC=XFv|%fK-zuA-_faC!Zv7~3Q;Brdh3O~^1aztc6VziJ6{3N|%z zi)W9k5uEZlr8J_ElF&bK_OXgyGP>Y2vrL}trB{rt5!KQQ$^q|3zVAr+SFT@@vDkXn zz$ZntbgjMM%pz)LjxR%#KF|T>M?ni%^m4BnI`9Q$`UW>JlvIy}9~r%*(VU)N#&vQB z1X;heANl78qdxCY{`m`qGpxb3?|_ZMHy{-6)LF5k2j__R?DE$Bk>5ApPRuNTb9KMT z`2$XIY5lujbKWuyS-34ceb<{bzJG(piQu+s6M*rB71VsaXLS&?c0a}C7l!s7%h}a! zM$Np|;jiDXUPged{;{vyWRyU#^793~re1Pw+xRbLQN>5k?2@veny8W!%)N5DCb1uZ*2x=00$TRLN%c*0vT}xz%`={1vdMZ@S*uD0x?P-< z04gV9mK?vy>(L;_ET%MWQF_4gGpO(KQ68oKTlrXY8(`<;*3kR;7mp3jGsn<`?DlVD z%>3$B#57D1hQ8q?&8R@jATS|g@H5@xTYLfz&wa}efZ&Tww%ZNcO;);eHY^fA1xCmw zC~fVUL8SRKwR!H#ap5|t=>6Su99?_g+O6Dt0CVo@1KdMM;tzv&rJg6HmB0t6P0izQ z8M&nm?4#0)z~J5$DWjNTC4Bq~8C(DKqO7VzTyDegr|Q8C5vT6p65zC%U2q`bzPBs^ z)3CoZx8kf_(Y)9Cku-f=K>CNUogk22TzjP6CM&mlukR3xla&6+i-^3z$#WWBk(Uvv zHE6QHKEHjx_cJOhxB24k87+sH^h1xBw=iTfBcf$6|)vG@JocTU)C_H^Hq3onRzMB|T4`_EVrNj;#| z*}}1mi7#&2Jx0FC&i8hB@usNl>4l(#q6LDM$OK&L9g$>ZeI#!{3`j)<5<6PkBu|hc zAf%%sqOKcqT0@bRuCCs`Y3UFNgUVzOLdVQE(56{%Na<(<2_2y&HyZ|^u5LuCCBk!# z7=?up2r4bD>>Px8ED>r)q|q^m-a%SNs8$9VlT*-`o>^E}TKax+iolQ8021l<)hZ-G zK$u=32!@;ugQ37-FjQzkgTroKo6jNdIYQxiU7^FobS;5|Cgy<@kpF=tAt59p^idFk zMdA`t(Ccsm!vGixf=NOX8bgZ-1juas2M=&IdjD>O^ z;}OY^h=G4ys{;u?Q`53r5HJ!BLqovGuI>OB?7a~T)&qS33ViJC?Snq)hmPqV`#HjY zehp=zU&PqQ$!mG!;UOfB1lMNl6NC@@50AX01oY5A5J?1*WJL5^!J6s-znt6pPC6D17j?s!cm5vN^|4MRh~ z$;zs17}lRAt6B)lHa_D6Z{g!JGYEYoqT6W^>UR1k{Q&CSfg)ws*3h>Q_3<~b;1K%{ zxQ;zQ#>K^hZs9`*L9hya1;PEV!9T)(9snbTz$`fhC4&C{L6!(y8303uLRL-vvF<^R zb7c!eW|-{-vKq`j0OnJH7D*ydm^M5FUI_?xl&ClEh z2dWG?46vJy0O|F~fVT{g@;6VX(#=kyvCItcZPm2%D0}bfXZ@*^+>OFh)+zfwNYngG zJk+yD9w+|-Q%!WBx>FNv^^nTfmLyZ0Y!3noz&URDSw)>@G}bZIsTCa&@+16xd< zI`+P%runFc(EJtJxZ1p-D%)2-Ayp(9VDf}^+A1mF_f89JisP<+8a)4$`JheXvohtP zRjVp-3m87~KdwY2j6*BPad?YMCY+sweS@0$x8%oqU-?=l=ni(t=Ty5+-Nq(8(>_e{ zSsqFJQJIrp2a0OF)=b#XHaS(0E0urFkENOU5W8BYMvZrCY)w7khkBrbaSbo>T@*Gm zU}ng}*kI%>LZDI++f4LHaZ#ewpznMsr7%(=Y3#vy7U*DLO!f60F&({;@vR}2B3(_f zoay(#olg#;j8&~~HlQ@6PHwn`y>t1y#$ti}TX=nuM5gWKmEN7B{FCOtrO+h7i>}3} zxjyXfL1LbJy35>pS0ZTQ4l=k2)CUt9=D(nx@5(4H{Whs_ZG9|X8T%Lg#^f*L6L-Uo zEm=#g=?g;7xT7ZR$tVzg$dtOc;NezbGK8ciLk z{hCIDGh-}NOOAI!Wc73V6ZL@4i>0nWoSd7uF77V5hv^G9HC(o_FCxcTzxMp4IxPAK z-grw3gWq#H-v}$o3k1G#Grw%iYVj7MxHW(2C8_PxQlU4K;|ElKewov(78L1WukP3B zwnC0G`Sd^vzv9%A_-8pUJ3R%!D+N3nQwwy4UEc(3G60-T`<3X9$HeU8Vz##zNl@I9 ze34}3d-Hov;>tyH`Y39e(^GjCZLi^C#A<{0X4}h+z`$pZ6lv9l?+TUp4c2ou-!1%##J)C*CZ2`f?@pdiitI-SLra z`yLlEA25SR0U!0oJKXmCwqX;VF|D#8?2VEjR`wQBQ?lZ|h;|&amq5K7n>TQkEQOX# zB9xV}-v2K4M^CpquRhz2>z;?#obR54;mgf*^B<1E1znzHTmLALstweIKTbL%tKk{yJAZs~saw0D;G5e&tfUF|(9016hbKUh zVy1#oFTC-BbANeuKvUnn+?W|N=eR$Y?29a z&bGk2aepy%7%FH=fze4;#YiOIVs18ToP{OK&7a!DA_79mHyy{sXWUGVqk+9fB^Dl{FR3S3QDLA?=L(Qh(gb8f8(J5 zdM)x-9tu3Yy#LBW0dyVsuRQb*%7l=mBnaL--bce%lQlZnEV40S&l#3f%n|dysEhRs(Ay4mt6e`u)L^s8Cw} z%F5q)HVg+O@YK+LdOke9FEiT_{Jdk!-9FWvS<<0kzNS@$GgSKoJU!6sxytX&Pg^^0 ziHK$5$8W=U+JMVCe>)i;P8}IZ2XY?>%_O;cm7k#hh-!(j$jn4d)d+&#&iw#;-*fF2 z^+ELa50ACGqS?=A@Vn2_0zCAj*!1}FbqHlmmsOftP4R)?Dk{_LS@hnImt2E1ve=U} zrLjh?-vwRTI(uJV@VZ0-{-RAkv0S7GUMc_lG}XKly9iVp4!m$H@`>S~yu~(bfRguY z%BFBzMBr@lmQF>1m4w?=7}-q@C4JoaNeUt7J0QM#kCZ;-6Rjel!Ej;+CgYwbUCgM} z^pD`~et&Zs=qYP3t@e-snPR~rElz#q2^fzJhU@!#TGW)sj(-mB44 z3R&?+v^t)qDF`mD;WDT}0@0Q1QtpU0VKl6C{}Eb|Ko+Z|4tFJ`G(Xh&FO^h<1c87* zg>@JwVa(32o&OjtEM00G*#qN(t*3pDR+_iq4uX> zsnsD#qoj8z4zM;upl^gZeRtEWvxV%4IC#h|?)|)*^ZCGKsjh5WYO`1l0m!njT@SIS zB>IL0VcE`T$Fid0{2xg&Wa4tK4ihg08G*8p1i3!$TH5SlQTB%OQ=~&V$WfsZR>v9C z=Le6XcL32m5e-qoFXZF#Nq;x+J!yBw-E%{C?eIav8xAIs`}hSAa!n{bbhaRT_?&^> zLj6Qt@0esPZ2fs4TH!EWO`G%6hjgOpeL ze#e6diZ6yWq`#l27z*M?-}rrzd%Ri$d|Qp8Jk6wwZNJv|Z=A^42Xy`1ALN)0ZCze9 zxo9Ok1h-v{oEN6VqqT-&(ifhuTWo6^6MIhLI|r2Kk)~jgq=99Pf-T3>hx$?|_$`I? zwzVyhyJZn7`^+0qMgex~mK_-2D$Q!8vre+0LYRf7eLwy>H0=XcH>S-S>?nx6fA0aYe(m({OYzjR2seH^a*5C5u;-hy!L#2FOaeh=4OtGZpoUY_>IvbK(vb!9_Ro7NnNit|O8cz~ zf>HSZ66HylM79e((lc#y4mS*5J~$%hF%>e&g1`O{--B)ee7`JwFC>4WW85*Zc63)m zE3mK|gIw6u#w#Eyqp{~RJK&Zu3|SyBG%JSBAF{{QqUT@8>e{%yj4kmE$sXgIX9q4O zpGjDPh+cf74ivErNlh(tywp2^9i!NHtW!UicZdf8*{ue3GkBaLig>l1qhII~n?JA! zi&;9j)iif*a~gPnqV@|B&!C=>#r5-tPp>>Pn_4w~qs`&GUA$rJ@fA5+8F+owcL*M+z@m1PR9;->l>q)RrN-6)4*(bZ$C${KtTfv`)W#heMZyv zPC)hyjdc9E5nuT)F`pM_@XVo=9Z<2sTr)6+$IN|aV-uHIRzb@xqjF>ih6b2!Nl4k{ zq5v#rE0@CZ?PEMqMNJ(~__rT);$ge({e%vMf-)HkZ{GqRy;F&c4n<9isjVLj8JNtz zg!F}%QExE&1T8LK;oRgDRk!lW?AbgFYyt}x6oNX|^)tjF*f-~X(LK@i__Br0 z(DNk4XC+!BEq4g);z*CoZsUV{z2CQ3FVPA|bUd8;>|n8LSt38wQrr}nIw-oo_7n8!)^xr}D|TLP^IZ$qQO z;x-|P|1#Fg65b#-WDB2H-%rl*_>@AJWDMhy8&POD0k8J!^sbMK_&0gYd`nvnk57fg ztY1aI*H}djF(^F}dcoiUmy>^XiFxqvSIqBHo>BGl$UQvCX6+bX`z0X3%+3S&g`zqb z4NTI%GIjAN(V?X>C>x}VO_AP`arIeP5qk#(V~VSmzuhvmF0O2SKaEaGF060q8=P6( z+Rm!r?B0C>16Tx4EbRTe=#@-`KdIVAXWT4D{V6Q^wqua9cK6;YfdCLGZ)~HH*2&3- zAMmz}?@M^ZEm)DhYU}<@m{n0zPb2{Ddc00d+azb;u|#FO9~NCWb$*G8Z2skov{)EqqXIu#6`Sy0+_%`B~L@<_?t*V6Ai#AB1Pi0l3EjayRN&oKZt)7az= zb9VKIxjF{{_uxOuNa)fzG!V*22uv1KclQtcQAVN4k_8Lp^%j9JxD2lsK7RcG?R#YNJQn1 zl@+CxjeXdkgh)j1H8c=765^2%kz9q2BN3WCDH{g<6!1V~GCduVgl1*s4Iz>|K7q8I2pD;t3V8R@M*0*Q*C_JZ zlWz3P&kv%JK}ImN;OiJjNQipf`27!ojE+evuCBT6ln?li3`kgWHUuG|1)+{ay#|p+ zF!Vp-$PObIfKIn(5K#bt82`Al5#H?Gy^Cwwi1H`)5%rokLVpojYQ%toA8BZ45sFBN zK0@S`iGzdpy4^|12ufMah7n&&A`zlUge+2B*8$M9deW@W*O({XaZ(Ul1NT5?KDse@agN z^BwYk?H1a9$#x$9f7o`;R`}^e1dYlahtIY_0(S^CdbIkKXN<8bDej|42#<{eF#fH~ zi#`hs)Z~B6^a#}^YrTqd++awm^sCw^zcu#L6g*u-N#47uMZCH4wI-aXj0;|L8RZht zWlfAur7AT1`8A-XP`9l!$yOy(q(tT((-bIvR7(_S2d8RlEuJz^H(UmIei?OXE>wD9 zp+zB^q7{KY=Ez!31K34TeKY7d?xrt5*q%MXFl{6Xs$<=qU5X{B$7fiLS?L^^#2`kc zoj{`%gv_WJO`_!uB*{3DxDkpN`V%g$2K{=aYI2-#R|i?g6mS+Z=dcp)$o&rMHX%w9 zD13EWlLIfA{P2VPQ)hu^`W!%162+T6S#4JLho13)gU6m;n%_}&4>psdE5pO@=`uTj z_`|g0ZYO%;9>yE3z^Z=H^eTg4+Ii9RWWoHqwynkA&qJkL8x%t5*N4M9JqQw}E!tnme4oM_Pq_i881V81 zeT1JhnJ<2f!?ZIkBDEZ6l5p$cV2OEdcRMd1oR7;IEKLMG9S}#tQ8E}Te6t)q8TFA< zcdSCkW0?HA0HCuB!j5A+Ueof+(?HL~58FiL$LSxxS?3g@?8=I?`4qezA&%$PFLNQ0 zY)(%a#0WoqURzI#hncMe<=C^QPaOeC6AbjH2L%TB%v)5C62C!tj*SaqE2TxXjp5}O9y22WsuNcnJlM-w zoyt`NaMNwm$Oco)|_<-4tGQwYwA}-;b;NULl_<@Cwdl z%1PJsPQh~=pI5|R+GCAFifV;u-L%BeZC<&Eqp2lc5IY!daFqguK(-)J5=xinsb3Vz zXOgUoB0q-Q`-N#cvS&Z=Y^ItMtb5~Hvkq0QuqydEMrE3Od??`e%a&1~NYE13RWgZF z0%W6(F=x0mx7ZXqc?J;%%$VJ?;C_4|>PMe-)Ofqg^Bc(ZN1C2;OwG2o*3UxPrlUrC zz(d*+GLrO={!D&$RfGu)t^{yB?DqcN_NmrUYLlaU%OToXvEH>U{Io!y%zN!8ct!Wo zVb`x>UEcxu?L?Yy#uQ6E3O;SrH7|SRBGk4;j+KG>195ihKA*x)N(q*g z2=ZmfGp;4P!f5kY+Dae_|2Kju@h`U7^naRdcK^dR%MfhS4Z6JdH!uj2l2iT)20>#} z^IriefDABy1*G8QI=trpACLmFo6zOGzW`DIRWAM&kOIVo%)bHBf7qtmD)e;jj)0jS zh?OT|<%L*zud>7bjcqE^eCKLDwG6c|HqD)Eu*f}ML9aRO@Ed0~yQ#EZWEcdhQwjQi zRtp!SgjMjKFP0$H^tQZn)FKQ>V}D4UjsB<%u&~QyU|l`YH9TlDiwdbf22 z9DgjsA$M7-oSk41Z~38kEr#9qlcw#0ob7PylAEp-EsKz7L)KheJuS~o>Ylr#P0(a9jzRK zL*6?8nOg>J$$tIB5|vY22h$~fwFxVIhT=G3_cpf*`DO*F0HsgA1{;^~CGstnN;SW5 z?e8rjTS_lP7cLuD={#SAd<7SY6=WHUCHF#}lE1fQ^Y%-?jXYC*#wB-oOR%Bf$%Hsy z*XoV(KQe4jX>n9d!xG>vB4nwW-|?V&oG{seQBBvY)!34 zb)e?R<5};C08f{KLQO7ZF;vYTefBh3DLj#uK*BiCbK}i>h-3B`2mwVdyCw=(?;*FH z96UQRBZq^}N%)s~4a6^%AMD9l8`6SIbbGzeshjVphkV!C=`k{g#|4cD>PiTlrjW@Ru*yF(*i;5 zI^@YN>7LT)7}<(AHAR`1q2|a!wBMTg3CaocpGN_g`^vH^9h#o-khmd@A73?`=Y+7i zVJA-t8P4r+<(9qx9YI|F*BzJd8k~pOrO>ymzF5zZETmgmzatQ)Sn#4H0yeoYE__>-c2f%p=2BGoS>Y4GgvF=Gu(gR&- zs=Hfd()Gyu8d+zfT07vLgx&Kft3e9k$wZrwM$Njsh@|}ej=i$>Hc<6?gPRkKp^~?6 zDd3Za)E*gMiN<+~#__Sd@4S##@U0Oe++2tOk*5#dG-lf_42{~{V`a@<75TUK7ZOETKaR+)9EBp_0)uZ{n zjP_W#Sw)C%lR4+7I#oVYQ4(j}RrdyBb6*Z$+O=3F2oCYTbr4)R<5v5$J4LwZ98Vm> zGafw)Dmhx;7zOCUf=V;E%aYfv@eXn%V?WIaN#+e~_KPUI0^=rPx!-A&5-g1Ge_~B| z!rF7&ILpD@+PR8Oqk1GPDIL_eO*21p`td4p{OGZn^UbcyJCT+ibwsaAg{@wUoZC%- zHQiGb&L=*N-4nC8*`G1^gJU|kk!vjVZQwR`Jr{r#@ZZt)4~r>pI>slu7hcrTz4-MA zhm2i7?9pRm8~1?B0Wf>UFKg=@ms#32)r~15Zy#A++do0aE@k;**mOtL%n7*WSBV$Y zH1Z0Hz4@4$!oO_q{`#ECJRrVsqP7YCWk(z&*5ZyL>3=o#&m2-1KNV8&I&6HWZ}eTc zdJnJ)+)*|LQ+tQUSkw=EY7TCIN9x)p4v;3TZSVLupSYr7Xu%!_Fb3>4xFxUY zADx*qy^PLfVr?6g{eA%*SIyYoA2cjp2?WMY{igcHP_CrMY1p~&)jS}k_S*+zw=s`l zl1jj)>_}!}8xjA*C?F4cjrT#yI308GkW9rSIgop(YaRfiN}Jj@FEt}xXEz+;unQVK zGi{mt^qcj*vVKs^^5;`@K*lG2_kJTX$t@lMnfq4H-KM_MRE@8oYuV;>(nJ-tYyqa6 zSPy=Ci@P-BLB(zXr9=_%^$TI^weYU?DAJ63Jq5foBI`mlIM{bqD@-+$m$P4yDR0R= zdKsUd**`joq+kpsW6r<`L-T$ofV5*Y0!p(~`~07nD@U+x_+sb2S^9-oLzlFsZ|U1F z;y^ASe=Mhy>$`$sKAJ_7+908b(V2JC@-Y?H_$FXbG6ONgt1x7#_~gs%_pCweH(4c3lb31L!;^<+-oY6ye5OA0pYWxu7M`fhr^A~77$GKC z#Beg+?4?z3dZ(fLIh=(l@BJV`>vd!u;rohk&@g_YlJ^ z!N(yHIfb7IZvh@j6Z;pLRdaz+Ilt~ykV_Pl_RV4Yi2WA$hMI2FQV5xsMO&y{Uy0v& zpw(mG`?_ibk2HJdWLKrOdj{tTs;(^&2pje;ZkSoJjjG@Id4YmSs}|opK24(R;OZY= z*7y~J9XtyzeZP-QCaCWp8VvzqS&gi`@{cP>I6zX?)vtX(5-6KfOLs@U9rgdks`a#e zfiAcZgG8(`$=r!FKlDxhr5L!A@hxxhakHAT zWiv)FEMXA|DQRs(Xgos@P2*MQRiVi$)XicFhas7n!y%=k5eylUIYRDYNbHHowuDUC z&;NkM*U-+cm5$dDkO-&)dlNDO!?jjQad7OX>R}w)(0*9h`kNK zVX#3s3|z|{KYSP+8^1=3P}Ma;?TFxukkk=sn}MA};zWom{-*ht&JnU+Gq0jRGDm1$ z)>RlJbA&@G#{d|N1438eUyVD6z{@{m6|$d0FR33RfFq=Mq`HgV_36#_ECf}zPmpvgiJ2N?!^5}pl%MHsLZ5FTFxz$j3_$%mJJ$_7 z|2WbSs1-VI5)yPM*i``UvZl3RdKKSILCY#6K@ znx_IWD&a6#)hY~z{!c6<^mm6~By`@;JEhh(g!1u^?(tgrxC~_yBu;^6^FJLH@H`9swrv^NXR&l+`y7s2`HsLds)k6I?{q6Jj8Q$Kw#;|0e(eF+BwN z$^Rh!xw*N8rPVK|mk7jv&G=DX#b94cJ+ZLy@Svd8SJBAOgAUppk$u2tyL!b6gW0WK ziNm@zAZ6w&q17vTB*-_%3~m13=a<;fzOT3bzgqYsY<+J3d18FLf?SVP+pY_btTBG) zTTL3JrB~mLwvx`5U>$j7zH+o{jQ7B6gIIkZ`637D#d77<90gj1(li90K*HsS4iVg0 z{*mPL>fq?=t?nLfIcaR4q23QhC1`8(j9}g1V#DRvmr6pu(+~FzIReS3ZHVkWBg%!t zIyCir3l54yrX$QwrbyD;bMC(&7Sm6u68IyA)PP9-kS{M*D&HES{-o9ymkACdyoW+MkF|s}9 zh4pd9J1RK6ZI^bSK1q4ijO|uhabEIJgn93D21_aV3{kc-e#C%Yu64vPcvf*%DL$!L zZu=}s5BLvz}-e!XSX$%5T& zHF}BDS_oi1A>F?%X@2U!YPLy={89K{rM*q1~G|uLkVPx(0Wlv-5I8$XrDYERqHNYbIi~r z1{DQ1J8Zj&0*5UTzvr7Ao=E8F;)dzm6<{7p>X5z3cn(U>uBt_|7XlVd1V5dXL^z3t z@{W7nHrq2=rG*)=Y zO}kRh7T@m(sYM4H2yVnlN6v)@=Mv*tKX>*N1t&Hh3c`(6*1lqTs1Zp5FmA?EQ!(xf zJkQ~l9~`s=B;XeDq(kAFmez1tk~d4$L_h7Gk8?8hp8kBg?D$bnLM5=H{W3r_oiKPJ zG8rGAPxo%}99@8nV{~Q3EQeyU_We3wcZqoO)K;tZRc27wBZeGK&Z;eABrLWIlR|F-hg<+|ZLp1ld$G>GbYZuup}ki?!XW_g=OE z@U#9Wp~qYg_QkO9BaWBkvUTiyBEsEzm=`(?SMBw7K=XkYjr|WIuw(^B5%&?(UIH>|QIjDdUt#=L=k$z>Z-{dB>WM*1w8OS?(bs|bJI1CoV7 z_%mw!dnCBXR+!p#I8#SuropAwwWNJzh2*!Zrwu+7{qONhNHbq8cKvVp|D~D#|A@_O z`3GU5*q1J!+sXyKxB_e)!OtexWK-lRY8d=D zuxyxzb`*>iEq8ux5m83uTV8-McaKr-f@VUJM!%!Zhp}jDG!=1qvkF|_?|@H&&wW;a zOM@*pncxOWb{FvtH#7Sn&8X-gl-lY0M}sL|iOicspti%$s`5=iUt3MYjo$R;^Q!O5 zahvlZqo@X0XPI$@Vn9&CjcOrUK5XRbfDP&-(V(>xt&)|j@J~;;N`0dOkwb&f0!fzP zZS$eS)2;&coi+ZA!f`gHcp?7u0#V5l0&x(TCDlJNm(XvE6me|e&d(NUl~Fps_j}s9 z-4A`j&?p_;-s`k{`vB|dL&3O6_4Bf!>HWUSR@}A$rZ2yZ?IebZK(S^UUsp+!s+1Fa zpX9};PYaWsAR5U&)lb8_agyy~IpEe?125Rd4&`FpN#h%A^YgKnGcTIh(0OV|bK47E z^65@pfFhKIE(uMv2xA?>)a8CVrb4o*@Vf?5=^f*B{O*+*OJ<{-fgClP&;?jJ1y>`Ilfbtq12Y5Zbk=JALOP&L@k8-u z$JY7bn;|RkxP%!wqt1%DI3^*hj^dYhjQ;WvWx3r9IXOJSDm=UysN*~6;B?+EPYYwy z6k-TJ`OwS}fy~2^^{T$y!jJ_M8Ekx1I#CNp+jGWS&7e+kvDCz&D^G+ z2|7FC3=86Asp|NjO$1E=YT~!O` z*K7OO`X=4W8^^!#@A`u`6W?%IADK8O7JMd=(~nADA)|X>>-yuSs&z_1KZ(31VEMfB zmX^MaEpJTOZvK!-NL12%efx1#+dLV=1IdjQJ>aZm*w}iipzTwLMIf(cWai%pmE`~@ z7x$pJWhzEK1&}{Bg^71l%gM{{?I^yyo~6^vHmJVmN!j3@rE_A<*fD7BLBm$B8`!^m z;?nkJARtiXv7HNP23rN!lcGx`_B%b`YzSvpFJLW6Z9|DIzpnidOH5wh$kf>@xwyK0 ze&5OyB#(1SJkJ^UdWj_>DfigIF)h2ap>t&u83UJuh392(6=<2?LcJxft{3{Iq;rgr zNkUG~wD#ljZxSlI{+$C#T1jwMwS4P*WbyOvAkdoG(j^;Yc|Slj)hA z8(4eAe~SY)VGk^fD4In~mF-jXj`g68EmFcVcxNMWveJZd~%nd;^7U36Au`FuFJJ zJEaD|W`?&Z@^1S)srCE~4ZEM2q~si8^LR?s_!p(U7M=;s07FnBcY#(?@qx*g3kFf$ z;6n^70jIhF7&5t{Q85-HKd`iQ9>x>Ya`z8u8~Cu!^Q?bwACrd5dQ#DolZqu}7ahcr zL@aO0c|FZ79^ijHtYYeu_Csd0Y18Vab6zL4WPBM|*x5fvWioS0$l2XL!lePvtjpl6 zTn1)dA(@NcP;V%LN4mDDxpRv|>`>1uji{PaRC3iO+mpF7YLDo57mU2mKq!2EO+<*6 z7k&I|q|qr`^FFGIaa>I!zEwu&vDi2NV8BvP_2CzW3#vus4xzliTHY7E#HNqaNLaZ1 zV)7xWKS==vt^vceDWCBVFO;g{%&e1az5(ZT)5;7J^xrde@-8F~eN%&`;)vA;> z)RgkT{$*}u{rqV{*AF%>A*{8{fTJo=2osC(mV#>c@CKHJb#3D`4iU{7DvqpLLVi18 z4ya@il&XK%GrGEaOhV6SY3JydKC$*2jrF00twT`81``mIyrblM>*MD|cHy1wd zckVgwIgWA1xZ{p9$HUqPkK0vy@3nsOAD?_Ze}te+Rr*HfutW`VxPzX>H~{8rJyZ(O z9o^~zN)+76#*M)#EjaGc`S=u^vF*e27ra41O*<_ElZB0E&&Vkz&PC>H!cvc|gWsfN zl&RbKrgpD^me11|3>?DhCiP>#9#1@aF?NISr9-vvhvRcBOzK}5Bri2zYNanEvp#G#*iM6#)9{?*SA`@JvPg(TF`)tb!9)1h zH4r|ki7-K}X%y100vC_{fwlP=wLKjfPTBGnf+ze*mK*{rzD}%`2p*CID{D znH&<<)SM|m-#|llRBU1K8K*;h*;Q2-Rj?HsS^HY}+~^QdLeU@+7wL&YHKrhnNJIh( z^`FR2=KDFub1YeHclf=RfJh*zBv?iJQ6T(B_-nzrQ;yY@e-N!S!liWs%;sX~|bS%VEM zuyJvzb#g92x#^*z>rozXT|ME`0+=Fs1}vd26bdF^2Ly(`LA=_KRYHq4#FRuO$Usz+ z6_toF8?MFICa9Q%e}57_PEDgwm{2e|J=283{YNkfC6jt6BDm4Z%LuH59&M4{;UBqI}=U*UtcyPlu*M7o!JPdghLAMU(7R9*@OZR(jtgf$qZu3eMPl5piv8OVXkgul#!tAO~@p(r%J0B&DV5llzu zL(t^l*-aSYJtsx1=aWbvE2235!y_SfL`28v81OkTk#h?R@@HgDpb2#mnH0c-TS!dG z$pd2$;Op*>(in+jHFPC}akEffjEIZj3HNOJ6dp^Pvy@ERv!)-r=^4Z)rw!Nge6}k4XdUu#e>D%^(MH6dF7EMG9$*U@gqXGKywKK88Ta zHN#9G?QHCMI^Z2w&yh=)Pg8JdLtiDVvlwHWDI~1L5dXmuD90$^*mbOFV9l%WW4LQ@ z`Iv`qLKi%rSV@OyL{cGUm1*i z=&FzO8~SAwpJH-QIqjhG;w4IK{=M(=X2G%rc=8Syn;9jCnNEn0(BJrx;hE)-WgBEK zMjqO$PBcVgX-z-^$Q#}s2glnssQwn%d2?%sC1)pX9}s>kd7O%O;J+Pt0eEW%+<^0% ztD)tLeWa>SKmq{d_#DyngFNNUKDj!LKKTq@798qwXyQ{XL_v;(=L*D;fV4AWNwmlLHMW-@-R)6KgT@V)$`uts% z8yDx2OcAyb`H#_+(#v0(vUAgU*f}%Wf^Px!KFw-5(Frz{ayqeyMCo!uQK^cz`p))6 zZ0nb{DE-L6RK0V*d>}Tj%+L2Hyo*e$1sUj-5089@Ny-H-UX8!K2Jm;xS>*XKA5Z0~ zmC9mD@|3%!++x%)&F}v*EqOvh+X=)Q>kqXzMidCqJHry0DB~RZDfvjg60|-tRxhoH znr8&xmst`In0$Kq5=ZYDjT+5qdk+;xJr61q*zhHK<;7VH3T}cVF;aW(ERvxM&FJPS zL7(!|DVs8%UijG<;4(@$3g&`V{o|*<-#j*MA$+xYHo+6r^vy-R089YVQ2?(No!H*fjM4xAV+xyAWIog!guO6eWi6mqr;lN*Y>yc;0 zX<~ObAxZVpReaiAY^tyA^ug)Qy>#ww)ng!ftb^0>*QFHSZ6S2gpO&Tc0VB;;cb%Gq zVT%hsI;AD3SYS7tnmH$ny^&Pdp$rRg1M)W{N>UQ2K1qojZzz(|`p&LW^|wzjo)9XA0UPwqJN`)0M1bVm3{&k;__Ge37*;5{*`_L7}4`r`U#3*-1gt- zCxD;5f2E(u&Z|0wkP;iYR)M(kC9?2B7T(ChXFB~qeR4v2nIRh)Mp>6sEapBgokYR) zluJ(4v8nQ-Z~UbEyN^aPnt;A7x}|P$-X`;p0JEHwrIB(9ivSl>*i*MeA2KwZAP>xc;m9#e(1^9H$+|E>*N^LPd?bt zP{wUeiJr_~2a8H8j};zvz5Qi3Y~G$nP_7hyZ~FIS+y_6kj|^KH)_LIEJn0(~N#+L% z+8WwFZK7^$+FjV}sazKcABD5lh-r6+K~9Qfk;v z(CV6E0u+@hr4OfVx;=GXMBZ@ynW>JUy4*RC$}}3&mKV#(9S4*`-cq{UN-UwAFdooN z*|eRVCND7&UpN}F&H37K>Yoc{mSn7$!t95++^LJNkVP2gPcwa8=xTkC`f#+j7n}AS za4F5ZQH;J5gG1w{3MNJ;^j;nL@VJ5K7hogaK2jOHoPEtBopY+Y$vS*G z!{26eL920-N!Vb`R{~TsA9;NzKP>-lpf_{D+g<&*b6je{y6;q<^^~`i!<~LHD zYjJO0lD&Di(gqSrdB(oKqYdRHm+OVpIl%j5qSWpYYi!N{S`a45ga|Ou6C#yT<;Ptn zVk0qfpE?LQ_@MadN6cJaa7rT%@OTLv`spvxm*1+W>#l1is7yFOuei+`)|r&2FXLmU ztNu#}{CL%VsL@Td=|p3`Mvq2x-9n}R*L)Kf{A4X(Y%`0`18=H*Q|j+o`{j01y=}sg z{ZM1bv3*pNU`oIska(IH35!J%-p;{#oK}JR$E$@A+px>BU&2j9me{q@Lw6 zxQu~?wN}P+b#~J-8qqQIm_;18*}CfUQotTic)lSv5^Z3@!xoZzNsj$=n0m=APsmT? zx~cr-u1gm?0K*$fgA-NzVr??|TcqTHg+}Ov0aov>^qToQ9{6U4wSYvk8v{@Kwk`xX zaJ^%D`0BHf-rK0fCF(*8K>A~hDHdT!uIfN(P@`w{^ZKB0}iqHw&gCsqG@ z@VK*RtK z2rs`-tlPdk@pz}Ea39tvX~?~Bb*Y#yCw@!$=0gbUaZ~7;sNwH@Gp<3jp({7^fPKx} z&&y(Z@o7DibS%nh`WA^5Q1rBhO(dyYH+sa%58kwNPj1ri$KKf1&^5GsNp_hzlvKxp z0u|KwASh|xrJ-) z(6{*$bQ*QT?D|czx7FP<_%uA?>d(CrK}N~IFezZZBCDe78kSKuK+LY$+%seyJT zf4_PtZdKCtZ3&<3j>YrgDGPrPP*nQ9WoDD$0*lzal=SSrBXnZA2Yz)^2S-$FBKIa% z!4_6Cmx!c#r`InuR=cuKoIL*1IL$bM6NlA~C+7NSv_OedIB;e~`1Ky8dG_q~J~f{K z9ovT8AeIiAMVx#Q*;DYQetPqq=ln4}kAQfBmXTF*7VcebBbVaSa~kH6wO!u<#>6Uy zybpP6c(_7cuEo zopcfkN~b(xhPFRA4Q%2!biaJ{8s^ynHeTiyt9+98bByW=e?Rfu4?&ledlH0e@H(#R z2Xo@^5`n>a>If8&9sxpPcY z$HXp{(kV;mH?Igh&a^KdPt`byoLboA&BgLg#L(2{duP218NvM+c^Oryr$ zz~LyTeud(;a@Z~nqkBk3#lY{YxAfCXmLaazFi%b^01IeX6jZF&l(o$qlFDkD$B*!@ z@T&);)-7Gu^GW<6dJ9+vMc2G1S2RxjK_=?viK`zz&;~5M;Gs4#=nV4Y5E2Bz0c&mItS@;n_~+r}5T^-$+UTJtFz zr{If_xvguk2Rt}5>I2Wqx6Ma1a;gut?cbGG!yX`E!{ZAVD!PnfKLM_q76YDWOk4}} zpiXQ}4;p-(42=v5N4ND_Rb%TcaX-*aK^>8VbBROvwxX(5RLjKAVO|Z_;xno`@0(>2XX3RN$!|VCj7k#r1Iv7Ow)u_U({DNUE&mq2 zJcdE0PCPsv)wHhl)Z?e{oh-m$n9(9;6kgXpxru&F&-`WA`76?L+Ww*8@g+1oCK2tR zb4btebxBx-K0yGjiNM|091-B2MUNQEc*)X#W zUs}QEmwX{>ejx-sY8JdL>Haj#qWPY{`Q247|5P*rTEi3i;MnZ02V3eo?rVjhD=6GN zjP9_l@F{)7CoTJ`(I)}NE(H(g(OJW)xeJtGm?S_KT^+BFN}Nf@ z>)K+6_U25{FBX}2NgVVl`!QS(myk~v7;c{YWJ}8Hc$!M^0ppEG03(;64jCP*z?~Wc zPbiy&Uq%SSGlt)oc%FqsB3SZ|bn+?Gt057YcFu?+I}+*6rj0@d)K0?wY$PcX*7P(X z5s_y;>^6h}wL_4D0LhL{Rc@_kTOHQEbQ$t~5c_BwSGlCXs>YCE-Atdw6+aCMG{D>VlF%Sfz>- z*Fe4GnJ*g&iG-3#1QO{RKmg|pNhAqmkq|^eV>TQ}I%HswCkhqpiK0CdOa75dB8K#c z2q-P6MwF5;AF^H#MGF}u;>&J?deJt>Ub}j}&M!dph@?aQSW5Ym4vAWUPX_rTYHb>Y zq(j1is3nn%$TJZ66RJjc5Fh$)Qc@}!m_LPtME=XJ4LfX*+8#zG7S7v$+H0`SLLwEF z;FF=0k%bD}ue5jWKmv*2M>wE6{egz2-C2LOD;$tNQbV<*J51pB^n!IgK0f}hGjq-Y zBJ&GUU_hiN3RMUni;&Cy;uI9t9{>sWEHrK-YDq*dSy6#(dF}8Qm=ZaO=p<*LNRkZ7 zBw=s(Jmiim|MbuQM?55aR+1*Dkc5w9@I-(TNhp$pKcIxC02Q*8bg0>2xDUt@5!pe_=TgicINO;7K@ z&V;k{18Ct!bjgS?8T=znhK*Q^T-;C~c?KX6WioQU2?z)PSV|8E3_U=291uB*uDbY3&#uVR()Nc@f1yiDyzuYe(0|PfNdc49d~=_Ph%L z#PUZ+ClEU`v$C>raPtTX!!mdc4di5gq^+&*f6hN3C^$4UG%THt44#TDot@oFOUo;3 zYa2gz5v$&l7EGiF$$q*X(UiibAn)tb6KK#`cZz{n>p~D~9S>ry!}#CY8FadP>Z*j? znO6T-1R%N%)Z$gK6NF=ieM4C#lRTbZ@gBVtH5;t+TsC&a+r-}$9n82DbMuv(qukaz zQe?3dLbcojA_3jr!KJOI?yTH{Z9v(cppv|1-Tr~Zw?Kx7^BvJXag{F=vbP4GJ$m#q z@hL5s2zeJcaEO}vK_lS%HB>1n%h8ta>-kSYFC`}Zbp#8Zfy`+6!tYo&reld*$6iM? zDGYdCX^%~i&c}(PMen)Oo2V) zx=BlNG@W=>@G#3Wi%!mBHCZ}>Ah-7umGCzmw4#tSg?r@V$}NS>t6+8AHP-M*)66ES zf9!-u^bz|Pb&c0s4_~d8msM4~HE{#Xl%zN#Zx^+-AAR~Sen zxMXDLC5T_7%!)7ZQG%@I>rX4yAI;&a>=meV@lWqD@ytRT$GJzk)h zWfK4Cs^ynlkrRx*1pZ`qUNpNwCOr@0nKj`tFR^Dphqa=M&Pg$6{oz2%XWG{+0`Z)e z#5p>!KQdq#>R_^T*0tPQZ)RPj)@> z`u>u*d>cx!_Yde@-?jbz7EQkY+~8)7+T9i~IU7SfMwzi#y@BgJfSuH(p0x7Fa>V9( z|Fm4KC)O=GusCh|N&dJs;gF)jvGaQZ>4Bl3uB4?wTt@#r%{sJflM zW$yU>5>qwQ@};Jvd#bXJtIs*AAHD-Eg?N#}=h*_2)+c+u;qd4YyqvMWYV7x-VN$0} zYcFjYR5oz6{$d&IOzh*mOvS#4g7de8#49;=(+>RyU%{>te`mS&`D{~*Vsq=X z)w?uS)az!ZKMFoDmx|P<@5+DxnhE1)n70K(Skhwe^776*&6SVMsnxNczOPiZqHECx z{zKDUwogKo7@}(WmY)RN@EAI_Bzl*{-T4uX_S8%C16c2jkqx6$9dMHRs>%3BB*Tu@ z2`AX?xL~C!ebdzSm>0P2)6z?S+r*>w^|J47UQD(b_sz&b|Cpwm^bE}>%6$w_n8n}h z`S3b+LvTPbK6!>DF}wR{s@#;Vok$)&uGkv-La-yv2kvM@FetU(*jWj`~o}n4#zi! zJiR#NJ5={DTqlK1F}5VvZ@CS$VtE0@p{mvV7gALw=2bfr!FZ8cRC?y`z888?sZ74u zXCW~Gm@B@;Y{W%_%S$)szi~epld|?Cx+W`no0eP696NkcgZ5kZ7cPBVGu!(^Hi5I} z!^<2--aoseBH54Wc^m8Qzai0of;Rs@H=~nL{sE%DAsCf_lXZWkiQp^)+Ua96>z~|p}$d8psWI03;#k@0lb9xD^&%-F#Y>)RP`Sq>N715^TZJX z>W3`+k;N-y@p>9wIs8AS5+M*hjeT{uHeYlW|7YBX)RH@t<+N@kNymqUh2Mlt&VRJb z2lT{KspQXeSg20>MArljQg2XE&0efI^eoihNGx2AO9OG##xuDJ?Y#sIUYB=@omUf{ ztBoX;MvyB$ItZTBHz)(IxJ;6iGA&j#nk+Q7Ui;Ekx!?)$eV%gX$c~_18QUBO^Vl82 zmOn)adJ?n+j?Ik&3&u#TR>-Sn*0*AUO%j8!z`S$F#jg`7^BQ;pYYwvWC+{irA5D_3 zKlLlmCwhX%k_61O=Dto+NjU#(?>T?p`Oe!*5k9jsrr-S8=6>3!<7syQHLB%Q4z5st z5%aq^e?F8>C4JMaQcuUwQ4mli~Y6|qZj{lJo;{2i_y zw#>Vf%lgw zoffi4Gh>LV*eChWKjqMi(cY+UPkN(GlT|=aKnmKN+q%W%r?8~>ri*sp4W)h@V{I^1 z7+&yiHdd;iQFsglf2C;%+Fw2FWz=`1y>={RHFd3QKKcsIRh#dXMzvnbfJ-6nx7e|K z%J&oeTxB~Bi=nyuh1D0zid#4Vr#s`TP$0`YoGc!0957gGz4uE|&8$3qFgc4(081&x z{8JOtGX}u6{w*@*f_}lrgRv_?@v3nb`v5!+h-M2Yi=REH_pXc$2 zX@r}>Vw-2E?#CO;Clqd3pUsyv010;A+e`0HM20>bPSZ$BiLz%bWh=b@@II8*)=MzKdUSAOIUWnmToY>@mY1*Ww7XZdo>Zw<-wcs zr0^~=v)%pZS6Y)#hu2nHqFpJS(eWbnB=?aR+cg6I$g;Sc{voQ*!EL83}INf*SJSO*lS=oh$;xYlJ z!z+^ZeA9WS{#S)g{ko&4AdzKO@!n_d3(wsaf$0f83GXhxdsq{;*OdJHBO&jth$1Wr zO^?(oHqFOa3!?q;fJjxlG|R@Zm1;$!E}}ScMGR2~JvXs6Vs~=wz9fw4OU(4Z0h7q?*_z%(7=f zi6*W|<%8eqR!s^9B#Jjm^KOQ-=7KsmK7IR`TZ%+Cb9J8fV04f_tRfKnaDo>3;`t!S zy*3!EXiv;Yh_+c$y2I{ay&5Fk_^gP(sMHHZ+;ShoBEGU6T(aNpJ1pkzI(sxTm-7ToMnwk;%aeTfrp88o*}J?BAFKKkS0oDHR}1-E>!bUn;h z(zYpVEjh{Bk8MPMy^%7tv*_X(YsaMzsuc-35U7Q~P}YzU^w zxIxORc{>N4#EDh#S!j~`ul$d=S6TMyPpNK?<%b$Fn zx=opoO4V~t==cybIvic(kx2S|K@s?|`ZW9KwjH^bwQ68j{pi0Ob+d47^v?Aza%_E} zFJ6}58%aB!MaOF#Yszc3mt_{0AB1B*TcpL{=H=#Z9D545fNa(7I{Lwrf=ZxBweMF> zM4BSYdXMT=D^mum`TXeZJK%xK!H;bpOXUtylpt0MozOuPg|Ntva?T0cLTp5)-}uxMb*%&oNMY`tb2r`5Ckbyt}TFY>mAus-^vQ zYUL}KbdYrcDEEhb9nzuhxt3n>*+7|(jMC&i5ohk5K4H1$k!>r*EMVQS#O)B!{b}7I zmDR#OzFTuwjhU%!_2A3)`vFQ1oh=}$m{s^m5&yG6kvDeZZ=JZf8#SF>nx+YQ z-Z%5FL>U8)j#79u17)!tN$|72i-xesT?;+88hL8Ky^4u^_Qm(i?T4jxcNKw;4 z-ht1>#jSL3QN&QZ@5O|c9nKg zaY0#~oHgXA_AW@>W!hPn5M)(ZP$QRDP*hgd4-*B)zDz(N+?f&n96$=#iAaPXOAvfz*s6mUhc%0KMzU2y{hMpIzNZ0}o`YLu0#0&(0BwnT7nU>YMEflyD*%P6U)f zRGQFnP7?u0`hNbdF7P@yBqBPxzW#kP(zU(3vI={~&wSmRzlb4=ybP3-U?}9>yO1tw zxpkZh}G7yNCoYvL`6F|E^4#KpN-93aP|Neap5Q!lgIu$Eo zvWKi#;K7-RURzs7S6|=I{B-~bfG&A>Lx6;6Auf108etLu+_fE@Ju7Qlu*8TGA+rci zgC_`*qREUXK$Vr15&R1G4N!-{{%&q?{{$5lm6TS(E&{02{0EXkGQ4mOiS69jK2Q3R z5KvJgP2M*prI1=2m{AE8rHa;&ZbF{vnF6(x;9nI}$hiT1?IpeagM-7+z&(m&ctMRW zI)su>0DuKixcFq0T>Qw6fy!beQ56oDd|-|=?N|Y8Yg_1`{9-&QJceQG?++P(YVJ7 z@!T%lek?3p_(DTmhr+@hX+TUu!raoz2APleqmPEB`P8f)IMdME3zN+b$dL92IABsI z3LSYZa9`!+_jnJ^0$bs{fQ}BL9W{gJK`?Sxn2ekV_3%|ecLw>9`dQ>`Xk9(Kw>?2} z&@P=-ML=|nNP}5fS=o_WQfP8~>;?;OJ>a{9FEYdMDkzz(q^#@%@-6_6wybPgCQKB~ z%GMt_kBx(a_sG!rpFXaR4*fD}Hsp1R91A!P0dG)|e+|wS$g8M4aU)VIEJBpQXEpJp zq%PC^NFbUZVlxy$Y=)wU&5#SR8A>Ax9tlLja}81Oz~6eq#i=yzsVMph*X?5&wKWkpyol{YCku!FwuO}}+#BHz~3%;-2~+*)U1IJOP=_eD*UScR*nSWGRkwLX`CAEzY?~mpBGxnc?0iX ziM&Q))6V_m4j#_0?80rHuZaYczrG%+BA!A=p7jQtkeL|9H#4Eh$aRY1HGAIW#=*8eDo~ub@Y1H0 z{&9uHyOVvh!Rm}}=!&DN^v0#s)pw@$td)RyAmb|%xyA;xE!A`SG{hr6Soqzv=@Lk5 z<4@Jwl3)z$!$bAxIb$uJq<5(;as5I}X_Sebs*2jPMcjNUp>eD~Kr2&seEI<>xUIRT zvUR~lkoPpInSj1l>Bf(A>jK?QYM{6hwRd#mCgy?)DXWxr_S*YbY**IrW9m~GG!S+~ zPthYrOAQjT-mFyWR8J#7!i68))-7cw8Hu6c=@L*hPse`#s0C|-fT5mU#dt<5aQ$&nn-%u2bQDzU-?F`_e#`UGqiyYT~x#&9cdk08G&;17S$*VXG4}A{6E!2x)$17fEjB;Fh-6ZIJLULouC7?o`eaOP&T8k!Xwk7` z5mL;FQ)9P@Kt*4>p4Cshl*uPaV9W6+{Zm^%OSG7~(_QXnIbZGbxgb@mIM4e~&$u9$ z12_5FmLu!#iJ`X4i2ujjp~Uye}{YkGBI)nONyXZH_vX!QiDp^TlwCZ>yn|;zm?P z>8lTRDX?Y#e?!6& zlz)&gD}0oI7ZZQwsUYC&Ssr3Oag zcBkx~PJ?8kXX<>Wbx*s{eT~wqi?gI?PT`78ve6u_eIQZfUT~N z9pY+T8aUqR&v28lmGz$(@Ll*EdaKXh9QD?sNE$q7P10IE4vr>ae;1wUw86dF%bd^&4Di6tUdVATZINb=*j z=C;FK|5Eq$gu2@PT065)OtC8V5o-CBeqbAiTNRO|CW_VE&oM&S`#m>)bBWpar!;(F}i`3v<*-|AI9o=+jTe&SMmNnegJo;Ge@($ z*%QUZt1EVnLVfTP;ZmcbBR_A$*U^2+s*7Mp^?}Radk%k#o(-KK{B9Gk8kwf^uU*n8 zJs)18S>2KcQ|UgfM?+X1{b~cD7HVdd3CCX(XL@Hxds$_qqYR%2f;QQw!+Gx%nKGR% zA5L%eUZiX2bK+5~ixsL^c_~~?_8Qb;pm`@#3DO&nJR7RiSCFT!B^>tAy33KOyru20 z)cOhVj@`s*+Mgg56Z<^m9=86(RKKfWD#GaFPU6vte8iIlz~w*C6J9}3HKse~z{!ps zl)w2Za&R&294j;19lV^vAV4iL_tv!2=%Wo)?)S6?pAyn5xXz>&11|&De`N|su_l7U zNaHztX$#sBfh?VJ%d+^+&?RyMB|K&(Mf|H6TW%JBd5Qu{Gn{cn^Wl}5i=-((_;#Iq zFzuwx`X#NUuHTIa23fbu83dGi9xpHa3NG3*%NH5tuhA6dn)+=m#=urfjsZBFjyKBP zV=I_OZxjcPMGX`vH#2rl`Q9mFc3Q0mzF)w+|7^}=1Rj&f_lKc^muYJDc(a8fY0-3c z99Bcx%I!NrDl?`=X_L4}esu22)r`g8%RIjZ_9hUk)@800*v)l-HZm`W&9E- zBc-)3Ol6jrDk#|&$ZuZCYmNFTKnDCBnIlSM1;it+8o4--h4LKUkl+!LZPW1*Ne{MN3vQeoy^x;^2A3 z{OCh{54zIk_`TP&*J0ttHL!gv*663Fgw)Quo$O=x<=moWCB{7HnMD(I4a05iIbBtGnsYTK^9`U$*K<%SKHx7F z+9T!U8gYB+7SCEH<`hcrkmFeVoevKf2PW6y(+sWN!gyWETJ+p4_sg>G(ehRy$2u;H zgX+Q_Xt8!0o9sD`z}CpCTuf@|kqsHTgU&~O#UVc|X47??0JJv`{+93vN_CjZxuC{D zSDLXdeE;nEe*~H z`IH3nzu$7oFB)@I^IfnlIp%ov%-K~F&*@D<>sT1r13>AaFEP)+_<2Exh3y;9kI&_h zc=!fYol|R#K?yriKL(yr*L2rPPhyV=lJx+Hvz=C~gR)PD`!HGLv+)NtG6t+3RHKCS?8(sEjt zx8xD%8rsWy1VZA*B@$`|NEq#3Qa#wZ{m|46C%B|?wtm_F$n|YM&jdh)Nw!EHFg3rnG1~e`ei#UJxCCa0P zfg72GPfs)@TLq0MIWlNjY6gtTrZ4xu;m~hge^E7x21tiwhIAJ#i@pUZ(Y}8b-FB6n zn`lrM?YYvkyrcZG<_@6n4YR)7%b<_(!CF~f97loBER$aEaz%lnivQSIb zVL6#~BZ1;-R1?+n_R($Ee7jKY(emFbs{97aKoHBI+1|>nKc7r1VM3&Di7SL}2W3w7 z>EQgu*Nc~hltI$x#532gW;LCV)lyvq84H(&*Ur_aT;*Pb0ck$UUs~toR3fK#X$3Tn z2<&imfma=-E@~4^`GJ7jfR+Ky`~-iKbHweeePyRaybCu{@_{LuO&8-6=iZC2`l?Ht z*{_*7yyBD$%`R?!9hP`i*MbE|jEk5YG@@_?OtiZil6JlZ?~0Tx5V72SuuPg*u>dQk zK3y<~e*Z|+Jd$(JDr;*hV}xZ#$i$t5{=aAg0Au-m#%nrb6oX>RKtywDOG|wwJX6FHusa(OEfDO!sZ#z~IK|1rg<%=Ddkr+8*2oQB1)5>rYkF z`E@zSFk!$WpoHl5AN?W3RL{EyhldeJ385TR{0R$-fXFjFEF_Vz!V?l$$eWIjHKFKK z=dAZguMQxJ(MAXs;fu^2lH@a#>AI1qLYWxLGGo=|TJ6{o&Ptq&rNJyXU?%R&kc;MOT* z!^qBjBzF=QA0M9p5=#pU3j|KWG|SHw*KK5Pkj}dhuVt*wC@@aWFms2Tc7uW3%)4f2xFFWT%*z z1Z1&t<^Uo@D;sFVOH4#qa!M-XuvuB3{*jLk4F9G&(`3S&gnu<;p&^mA=Qug_(tv<~ zkdXM9xcJP24q+@Lu@-QpBhFOQ(YP^H4KQ970f`VAww}cm^z`o>9MU7{0!ZH!gnwMz z!e{078o<-r*U!)YOqz_qz{r~SO&|UUj1iIN$_jw@LujU~PXlGSH*ej7$HLO; z*)st7yrYv#YHE6BW_C7mdxkjpA&-S98twsf3;<6`cuNHblG1x7g+0^2ii*LL!@$@$ z6oiH&MP8ASNN`(xQfd=Y)dlf<|K{e-E`;+31c!%*$l1aICn-4Z>(}b-0wm$~Uy^M@ z6F)z{*N`ArK?yP(i2ND@DzNJfq(NhQ7n1V;1+QmG4m40#jOeE!m<9AqOmd3Eidv5> z9i5zCxVn3Id#9Fu`J%rEzCj{CJG+hx+v_h0-#`w%IHE(oc{V`KcNS9FOZTk z+Zy%z48r_1y1!>rX|LRDCp~dHTt~L_`A)&Jj0wXxi(60HL zFqml(9h1=11gC0RS~1V^I#N&o3G4VXZ`0k|3-4oDd4AsKuynv47(F>RF@rU?OoUD$Gx!1LX5nh*wRMV2B+6N;< zi+wd{fz#R;m+rlNnrc!l<`L}c6j)$p|8{j!P`L)2hz`tGzk5mec+Lt=5>$F){am?`7A@$c`r ze17Y`$Lx{dVePTql0M@Gzxz}J>8n6xk&A28a4Oxvt%){k0Rx&70WI1Gkr*izAM_t$ z=^mBm(YUHJ?;fYQ`oEi_=$C(e2)-@*o0%1gF3C>XruhM*Z?>98n6r)8cT=&!%2n{& z9k{jTX7J%e&L|B_iw-+w4QlniZ;()WUnkF^)^ibUIuYC)7N~92!RWNkdA_s%KsSm^ zT68vLkI7Y&ytr%WM^h`%3vYGK;QqYDR7tO{WAh+Qvyhc=XjHZ#i6<}KKe^l-1OSHy z>WWs0^NS~$7R(86PfWkGCC8iE?Z`2FFt~Y50HlO;d;4thQZpx`(nkdE67{PX(KW5R zyx8F!c^ZrTDHD7W-B&O*497|&K6ZG!AAS>~l68Ey2xIM9k@ChfmWqp@luP!Bd+($3 zq7DrdVOw&WoIlL_;y5x-`Cd11&S>CV1sykw`*gm@v*CZ&ye+Ky+0;f~jM$3mDh5+c z(s$YS@-YCzzjG3$vD-cGx0~x%^iql4&I{GS7p1frs8&0?-^d26@q_85o{2UqN_8YF zh|{-FZa@28^#feY9%*)5)%v{&nnfPy={imunDPs2ENop4sxGdnjctNmHi---?Uu zgpLL+osF6vikHHSIeQkLT&rftY4x8kBm5lx1dMYTc}|R4DXdoi#34vmJtaUt+9lSd z`oSK3&k`-H))|m0C&^#GYIrx&Dk1Z+hdA5!N-UMDIu|T;uE`GGUbRvLt|g9k*vukV zHX45&a^eh*k|Y+(-?_!JgSnhXF|iiE^H4;!vp(Jvshv2aMicvg87dY0k30vfP8%7hHhf_1X$* z=Jq|+%R)VvD!dDQ{2yyTH}9%(Yx@CHgME#a6?UZeBzBHHbJ=`;6mo6 zUd>w6)|=aHle2XA-$uIzF5ks`9zpYNIL9`IK!#ROtwidX|5PjL^v+;I;H5;GQ;K^W z2@8~h4F$@V|BW4=iky0Np1mR3|JTVh{=3uQ1`0se=IhYJZ-IK%um_6n~D zVW84q*eifNp?_u2z#mCdLZ(dr2L=t`<>p@*H2gS*sabzv&;U-~|CK=_a*e+-=zs8W z$aF89VMTcH8)OlNEW)S9;m$fc6lZ%Kiiu|=PNI@yj5_30M-MNd%=n}}7dT!NI65Zn z)w{?l)?f&J6-pbvnD8igq_>Y3qB2Wn94b8i32oQt_XQ%1)l%gJz*^(1u^NL@wxw>u ze>sKYw!?__bUwS}hB&H!Yclyh38+*k#~H&;HFqJpjsA^%4A;>2_gK|8wG%tC8gb&8 zfO+7SB_Q}&TBw7B_BFO=3fDz;Rtm?tL%SBn6Q-}W1^gMn8S2@7Y|p*ga%@?4!I+q$ z8@~Soe^aB%jOE+KC>_cXKrGpgQoyFCj{CJ|vZ!g$7t6V7)lE*l)co0A!FH!pAI$jl zu1?@%ijF(rW(ne0-_c0E$`=vu)3IGIbHS*prU+c8FWKslth_@vX=IC!rR87aH7{^3 zK$AkT9pl;L;d3LP{ylOvcj%|5&t7nH4RcUEafV7Je~AF?%|k;jGKMWlASk7SImG=u zmPTU<^%JYS_a`&SUe=e##fUC6#3}sWA^hL9)E`-h^P;D^%X{{ z!|bBSPYJ6qHKZAEa#KS=XQq8Llak{3cN8auHo_FNbCgy~8|TFmu96VF8a+<_2oAm| zXT+g$5>89SQ*w)QoAD!AW!XdTd^WSkSUhDg`3{23s8_Y4i+(kyDKmZ>ij2$R!{yLB zS!WVzB%KS=Rbd0t0Uze?x)3=t@x5}6ryOp)NB8?Nb(Geo1NOMhqMEi0pxc#i^9ka- zSnVxd56d7EYZ{w)jd}?Qy8|o-MhqNDfs_QJ`p8~sTQIGU8&-8Vy9C+V+?LE#_XZjAVx2?vm*7{GbO^w~KUL+(yB zExKifmG4*QZY*Y(t>^TJZ-h%gZCS{5uZ6KH&uTXk3bn&Jsi*x7bd(lz> zFr%$`O=*sNcX^HGL!@4WfSyPd4k7yuX~V}nrQIT5Cjf1Dsk|I{4rSJ!UCUx5uld&s zt5>VCt^4{!I7*N9{iuL{>>9Cga&t-+eikuEtQHDZhSBkdiRQoDjoF7U3S9v|o5ggi zIC;ER(J|gHz9EqO4RkOJA1A$Y&a7S3NV)9_QtcyB`g@;YJP!^f>hQWK!^|3-Ipxbf ze`B@z+j%{89uS{yyKzI>cI)>S+>ATlZgSc&zufA1*`cBKmeJ&TA;|!cd$f~O+4Do3 z;@p6WyD)*g$tJbUS9OtqQRA*8Tu|c9BZhO`HmXwxaa2joe`)SpFC;gjUrSEckC>G zk@H0@Rcg0}nHBS8qhtQF0yTMHl;rd3eUk>!&qUd|;HvzH9Y4qV?~ECRDQ2&eGT;&LY|)$I>EwLb$$csGo8H!~ z9gC15H}~Q5RoW4ep3F~-Y|=MJ zr<4n(?~?K=SAqRMxaJM>N9^w5&pvif8brq>B)|Vie~R_IMBMbu1w}1j@A|x?cbZ>B zGU?4W6}?VOX8G`Md@|;!S)h==ZhasQf|oZ=4Y6=L$6rl&t8iT+ti!}M?4~b;v+9w{ zGI9Ky8(?wshX-&U-`6q9YyL1oX5&~#!DN65Ov!vzGPXj%DGJ1`LuRz>hi12_IQXS* zm3OS+s~K6`uWlpmm|>M=$u~?eT+sbwmO1`&Gq|n? zoDAT*G$*#jY3|_tq@jgCP)_rf`<=|TE-c_N@Jme10F4NPTzLE|5}C(q8`3mJ}4O8<}P>L%cg`p|U3D#ITj;VqacU6_&sC7s8va_u_=c0< zRDo6g1Z!&FkAv5E1B*(gF0RRDN$*wwozy3B8;@Fqj91XgJ@)w=;pIOBZoyAlkJv6s zt7wD|f$2Tgt2gchznXoT+_e6EgWjn3PZ0BsyXwxKOh(pCtrENIKAX3Em)OStn<6!LI1#?<gv1z8L&6EUlYa*VHY!XLx3pHW_3xF`wl! z_4dmxs~KCOJR?3fjmw~Z<5oyaM)nvB2cI|y&nYafZ=KpD197Rjd}i5O99ce`ym#GC z*P zoNEJ^UOCn7bx|s5{9JDLFs;1OP4BF3^0%~?v`T7*(|jU)K<2j+gR?RxLUVC=)qPWD zSIG^FS_UV7TR(jAWf3R7qyv0eSYr}U)--((Hj888lD$BKarMTd5DK4RmPmdvyG08m zUYFM_NXTeAkp_QyDA7jL1Zgg5>|0WSh!QqMSFuPy#cX8BY2$zwx^g-7U5!nw?wir2 z`R`Z15HgD0S1}9=2Nl&{hA&(-yl!nD^fa~l0_%R&+ng;Mt@oD7` z5R+1IEEhoS0%-cQzP_n*0tSj$B!zWn)f{>%rP{6HEU=RXI zbWk-yr2zaUG&Hbm5Q6|1C`QpWTIMbZqo4maTtZXUifFeb4P09%7dX+)bOawtOmDfu zx!mC6+gJqlT7t8mIJODE?D4;;Q#j?_yD0BFZnOk}AKC>TLO%$AUqJ*9S#>`B8+dsD zS@1tL0kqW;w3+q~G$ODS{FgxtY_ik{bpinh{RkY8osUl+qE6FekpL8$LZ!s9N&xL| z24lNWW=cUx#R+q${IJ#$`UKMdZMY;70A0f9@r%LfE~1}YYDDm%P5AN^`0R+ops$1k zOb;uf5{v)1gpX6<_NcD|&pq-AOIB=25=)o_#!bvhcSF$l8&5! zk`8!Z0S+^Cm}8Fp!L(4#|d$`oYZ{C283w3d=jKm@Zfo6Vtn3piyPs|WtdfLO`6XU!2#k!- zrMx^ZmfgI`@BrtfmmvL1AstHJ3{bXl_#N|gLS$XFU5BDmrqC(JV?da6tt9Hx5pH~` z^E^mo(CERwSfrwiu4C~r`ZjOqeW|M@O!%cR-!7C4kobc8aX~NQWt-pcTW%*{haM`Q zYY2`qJg2}X9%-$80%K+ZmcC0FPKa4`Z#bRKMHYmso?np+0AHq9CmVs>hz?puj5lNIlV>LyMp zOPQ94nFX^1*Q~w<1EV-wAGX3Pp2UQn=J7sN2OIx+7BL|~=M$A@6V7$H1A8VrlNFOQ zpY`(m^8P$f*ZyJ|bJt+s$!wU|+(~rj&jHBgNLE#UGV|+TYVQZ7w|X6y=YDC%x9r8kE1zR9)j5PNBG4JUpq9E|Sm znC%89D>+g-d6q(nP718w;fclR3Qf-)qvMlErwJ@=iu7p*3}wHgB&C8vm&{$}(wS>^ z2IGF+FD)reJ(uqBplLL45>%v@rWzfj=NZPlQak;_Hil|TBGJ0&c9Y430?yzn-fJ-A zZdhSpuhQTWnh>|J6Q*hWJZGme-_3AvJ9(zmwcZl^*>TZl{V{jr!X-NOM z#6>ff@n0%24)xow#H@ehGK}BO=Gc9IF~kL=y}5B4_x2tcZ^WdeJ!|}Q7!t+03d=@1qLHa)Up@L@u;y_fsC(Tai|YZxsxvG5 z@av`W(R-ZaQd)K;Y~-Rg+`#fI!(N}wRKn9De*RYV^)qU2ai27DOtD*$lSWG8NyVUP zKkREn-ST*XQvBx%|G5_TAazf3wudxoPh*IkRVoPq4Zc@fiB;1jLrz7f`bn!L>6SPp z{cE|mkzmr6?;l(30f83x&~EXNNawxs7v*e<_X66zA5?{%b*H7X!XI2CkD9U)=tU&ZyA%YmLO| zc{JLQ|TK<0}L8ynQ68=GQ;7SJGkN+$01LzI;zwtf*?`i&(djj-4 z1(VZh0S9F+#s(yog=zL=Ow|g$`dFXLCH2ysvd?PNb4W+1jAY_!LjzH*3m4a&fwC z;)w#A3G)7QGSauN1r}>t<*W6Qf5;gbi5~wK=$yUH4M%SyAEYM;ZMZ!tw#Z zfE@B<{8r#B8R-%0cn1r7)9ugQHt#54c~HvsH2*K*}VS zyeueX@i|;>l)~*Ot3O+vy7mGIzxwY!kF^->Ou!$js<3czhDl4-g`H;J*zIQ#%_eT! z2z%Sp4?hTuA60=yoz|JOdWWuY3T*+t?Crw@DKoyeuODFr9Jta&iIsc7eV!7k>|IZ7 zUC*b1lVJro>3gh0Uxiidf^JrxPNh&t0e17>Kk%=*bP3Sc6e;RTA=39BnXL*WFGRG&7YqeIz(MWlaJ0O}b)-Ck`;~D$8!Y+lLw|$@O z6vfu4hFGjDx4CZSR6Ym_G`zzl0n#*w`^yfyb>eZTW#rQ`R|OoOt!%vv9NAAT7*!+x zK?1ySG^XE$XZE9$u|DOk3jGpouKAxWrj=iQOWz9s%pZ|5 zaRmPS#8n6`?O1ysP3h^kt2+iaeP?OnrzasKZvk9Ahd}AP`sT!j+4?y>wgB?rMf{FT z_Ush{ulmb!kF-JJI^X-{GX;iG^)9%lAL-madRURgc%;c^C|V@n_D%N>Fk$X1dvKzR zpG)p&T9MkQWNwpBlns?-tPcd3&dMr;|BYHR?F<7*GPnRO& zilcdB=jUKxU!lgq5T!z_#~gF$;}lW&>iW)*h}2yiy zaQ!W~o2V~36WEl1if-JG{^ezjLk&?s8Uw)S8rAYF^!xh=Ry&;SeyKJK%5N0T zJ)(=^k!{`7WNA4?M7&}4sgrf_Ki?Hz zd3DbAMBEza3EFYHEgcr6K}A+{li;`8cd}BIDdHz;INHS(uP(Nq0>1nuzqE7?_AkU> zCD%L8Xq+k8xYy=Bb1m*(KLLZWt_Yysp>SB2-8jMc+>7~|*emS{ubB$|AYX;c!1Se- z!k5lWdn=?jS;;l@U3erI z*)!?=Dez!vQ_$EyaZ1a;?NP?cDf7^(x;18g_YZx`U{@^t>5qQRY@e)_YMR0^2bq=8*laDi9G$o6)|#Nsj~#xsRMO5yR#hNJ5- zy$)`h)g^*f)9XIxs?<&I%dXR?>^| z&b_lig>SmPT~Wd~HZI|)MOK1cE{)qEauG4rjeXR-*i}V6+mFoLQqOw!gxSS)rVOh< z`>4kQ0sOS?8Ad!amdhXS+)+E4T|N0Qs-R+{cyRB8Kj`lN@|%naNQI{3aLU*A43eC% zuy$~|=LZ8sJNrkRSAen}=F2j_gsy&YQTz0#U-C*mFS=PA`_?SxU2|R=-K+wdM$x|$ z8pajAE+}j0%n@=Zd%dXg4ov6^deb}{Ls&xt&LEfV-#Q&BY296Hv|nsl{n#&Rc78=w=Ym~)uIrJ{b7q%_x%EKu>;cDpaynm9 zwsS#|t-^gm@7r0fJS0(?SG-y`L6XvJ>VWq#be+eq_+*HDM@8!z^o9R5ibIW5!7 zbzRHycJTElpRl;JhRf~u8(V;f|H@UP(%;JJ_kJPdLRK#MZ)?FAwV+OQorZHM)8LUO zv0yKg=*|JoS3-;{WqNgU>9hpsJ0L(Ll#Tis{W7#qar!)#xDz@`dr8tx)hj8#=pEFH z03l`FX9MK)LOOO%Zh^1YwkQNe4csbQ*IBepZ6kXIz&h>;MjyX7%;$JT4ggeAQxZCM~YZDSXdkXcyPGB&kNf9kXru)Y1ddHnaCS8e2HGo2p1=el?~QFU6U*6#;^f{Evd*q-&Ls)Rnb1#BL&2-zux$HSt?S#UL8Q51p@GAPZtvjtbbi zB0jbGTEO7>*IW4f#+b)FG>kl=K-(m0!1sRt9u5T+8+S@}?Z;1(XRo-Xe&7{ktqHGDh5(W(-EA%@AN?WPfxP+kMK0wd;kq@QfwnAYbK(fCAl zZJ8&7s-I%quB-poa|_!za6~}PByVl&84>?^=onNC|iWnWWXg8E?H>eE;|4Q=^)qpPtG?F7QMgD zFDxo-tV4r(Xu&VwXurWSqL$tx_>w8c_8~|K z_UH_PlwyzA5ab=!5bo!HzXP4tsVOk zx3UOMRr)MqCg~o*y1ZC$MrZhz!RD7-mK<71=bAu1vW*$G=xv`1Oqo_!d0(zMf@V#l z)<1qOYPCDn+Dx%wf}_Kc=BpOAg`)Q60(1oLhX68AR`L68pTJGGvsgUN4A%Hvn-tfb z#3x4iJ!9cl)xyCk7NP~UIp^e`zmHZtKUFE7GLYQ9$hDCwbgz$p0NcX}o-*`b*iJR( zDjUZ;teIp@e9CO}bw9?00i4?^~kZR2pFGe#pAw_G@Axgf-@|xrkfP&V6QL-@!0hKh%#Df zw*?#X@AIx&pO0@CpHH{A+5IKKnXTn*l1FC!1F({t7b6Ce9+y$-#ChWw{QBKQpF*4W z_V+!nl)U93p$FV6C$41?ftL%;h^W;Qlk?&MU*|n_bA+ycczT87qRryx+C`1aCip;a zxMPqy;l`1=^p19Y1bU4i~@=;ozZXD~Mbh5YQF4D41 zE{-owI>K(#YZ4Oq~N)k>WcTmMixZGhZj05 z3R`QjYHig@ca38tX|#GtBry*HL(&Hh`a3}Vj+?I@q0H0xCs!#IJ2rn+H^zysR>TLT zTirkT@C=(1_-+1K%O^wQS>&JUeVwIz!a}o9MgHG%V|gtW2W{!(#z64m_i*bCsc$WZ z;q_^@uRmyCyys$io-Jg5X)Wk8^`atZiKn!0eN^AeV>}ayWsuZyI``wHm@gTDw8}N- zW&%qRz;-kHCI66+#ncnRlW&b@uu3u4Mz9u55i-h_v3I3o{xtX~9N0WjivTu6d%o;L@=`coV_Ehp=?sLZWJ=Ebs?QSB-RR=1{?Q3m zR)>SMM@^gWE!5?|Px+APL0cL-vw)KzJ9qDA`0Y!t3( zOV_D@6XX7A4uy2TRls_-G5Vl$_4AOYjGnvybi|9$MJm3R?AP>tQ|_rHFx>|~i({2N zLX?6oJW9lA=W+{7FJQhYoI+qUqSQR`O~uL$WW9gNoc4Q7;{wTzr`h<*s>M7*L%y%@ zaC-(4Ke`d$;{+UE?=@)NbUCvXl+b+b~rWTDjrp zjdK|~JPI{)%kIXg8-+{gulv`#Jv~1Op3@F~S$!H4$C$n@Era`jU9vtMkUaX2MBB3X`}p-(Dm^0#?{BsQxP9C zncKnMZ($tuReP6l+YQDL^^^DF6osuf78MIy4G#ae(aW}f>17d0FEcW;{)+wpdZ+Ji z=nqUoyZhe|D1Z{8zamiBGZQWA_+KMXfC_Q`hCl&475OUy1+bUqUlAz!js$yJC1MTG z`<@V2CZnqqboCrvy};`K4{w|>bxFaJ`Fg2A&X|jvFlV9kGj0oAp_BgyTd`MK>lbc* zpB4udcLZ??D?eweyVi!0O5A50jU`{Y6qkJ}^^ro3345C`U=8A)!Jj*ax7O@uxJPj3 zjo-VsNs(+jgj$|8;>==c4gj2g)bK}WpDDuO9gAe?p0swCO0x~!tB2+|HPN5WvB(1# zTaKY!%~~z`Ot0t36h8=jc6$mn1sQc=a>-UUZULBdMu1TurTuNDJ5CV>5(;DeDkx~{) zmaGyd{w&wr&N={<-zA$;TvHNU@C1O^j`0Rxc!J1XcKuIlmx_3-iDd4-4$h6uvfPpC z)f)K&dYfZK#&&abVwZaYqz9X%0wTx;{o8z;n?7?+l*?|6f+cX?;MItd&=He#6r0wd z%0Bz`mjla{+D{uexGuXpCjg3e$BV88uknl@6$P&-KhrMWea`SaU0-B`>v!BW-o|v0 z{-88xP?zp>^5Y6sTAoUcLKob?{w38%12^_arjfYe8*`UZG&r|J{CaNC z-)?Nmq%F}faW!+dd<9nDgz)6^RFQ7gvmIQ|ZeOc6s@rMX_p6qqu9W5I)zk%?LG7od zITg=F$?zSz&m?x?aXz4Qda&x5-WRfQoh|Jf=nPe~-%J|J0Ecx?YmDyC*k-%OXQ=j9 zD@-hYJ@dhz6o@C6d1!6r5ZE<*Dl;0qvOODP;WxNCev zp=uM$UL_9RGlV}~?O>IE4TPUK&N-9E2y;FF0 zPJ!D>q10%M*aX?=ZytTp>O zzILEL5DwMAwo?pRMyG&`MGKE8rNZA%qR-e>Nr^W3s9N+U$u8oLX!hu~E=@Xv@?9a` z%_q^{zV^O9REtkDAYxYJwJX1#xWs{;-tG%rd+=%_lAo;1w%RZiv{wsnmhGwZ z|F|=~o43Hgm_$nw%yBow&d1WR=V`^g0D#-&Z?%A5|CT=4kx&u)&Lz~T;-Ta&)uRAc zVjfM=_q^c3Xdlf8js-*bc4}F#2!5A`aJGnM@I$?mv=>^c47iKI7#qXzO}(eV2L6qY zJLA>{zZO`&3-11&@$H4_J=Le7E#PFc{=VTwl5^faXFDFPJ%}88W+;U@bE?2_1KQU~ zVgf-+ZTYOjC8f%(o31^ZPo)eEk~r+DtEu0zd#DdS9OB9;t9k{*Z0u8C5Y-5Y|8(M- zj_sp%uG>YM{JGy&z|xpX`X?m;&&DqcY&xk9;i*46_>zgtZ?s%PK1R#L=Yz5RKPROv zy?tZfc7EBRSJg1}E~{%9`a`F0cqfL9L(K`?4tVvZw1VC${CV~Jso8llHZzNx*)`vB zO>7*inORBoIop8P{XE9x5&ms>S3Jh4r7O65UT{piXT zj>z?tY@nuNWHa^q9ACi(^(kcoGmq*zT3P9m$1*Z$ukQy}Pc4E&w=KmeX}-oQ{2{J?A4zJXa$Ry!*_sLBq@`G{+sndTAr#WG??B6 zjsWL;|HVsWJb`1s&MN1EqF(R#iRq2q)6#xnUB8Y_a>!V@#wM$2`M<2~9Nnj&1(ynn zJANu^c8qP~O`PQRt+99e!<{oXcf#p1%`};$3_G~-j7;4(XzHx<<46HLD;2-2*Y)c^ zWZH0*Ow&R~2CVY|RD6JT!{yXwI9hvZ`KiB3?(#lEw1Q6I%s%LhY9I6 zeZV~++m=%T+UKG&*G_A}eC{uSG@c^vE7!UY$r-Nh(MW07r82_ae`Uwh2En-BFPpnqaL}5V#XpJY2fY8Vcc`Z0kTC>S_~q}_H8gjh6tuY?l2cXp zv42t#<6PP^u)cdpOvAglC{9Vlq!!_OK8=&#? z;L^HJgb_#EyP7^8=g`XQwp+ZS2F8n0vCBoj+iAh{VJlN2EuZU@j_ciL?O(`fWOclr z6qI*;TcKs-;nVa6&zgG{PTD*y9ARc$Ob`+`?9+^Po^#UN5(% zAmh!hlm!)~mi|vRm3y@lB0hd4YrD>m+XjJ5V%N-Ke169Wb?p^xA~Ip)7OM|4C)jkS za;_-&KTWIyqqCG|HUV@#u`M4j`}##g{6D?Q#BokcIj*>-X$k)%IISL7umtnJURn2_ zfB(ZJE?wCzsufgRSKqk6b35vE2hcke-YTK|c$UNeQEl_*J<*t@?Y4Cl-S8F?T0e0M z$&0}I2PK=rmsL4azpypN8+q8ZO=b?ogr?SQLjCK`9*^=tRo&oba_0M{-u@98{k#4x zzqfDLhBeP`oE6f7oz>2*G5zLl2HKhk>COeBQgk=p>%{9S`ARfc-#}|IH@@?F**JH2p0w!@$fMS z69FO2F+%+)3^KyVCN&)|KP=LR1>g|9!5PE?U~~~Km(mgBasUF*Zb(;-lZz6PXw9{h zG%UAA(~CA}m9(85$$z}14vrXv5z1}hufg2my*3`2=8uS5Qpsk^#yW z;S|Pj0~7f1I!fzI8xh1T9YM_DVgVORc=Q-_K(Y$2XB@+z5%duhs~#tSBhm5X$qA_7 zg;Bhh5!a(rSG6rE? zf#WASP}~SRaBQH5yZL*2`;dwbzO>*=;xru0=wN9?5LP%p0$?#Yv^JpLhBJ`Tqbh=Q zgaQIr^wRD8d6d;_1RzYBaQqVsh9(Jph*nLGdyJu(;1JCa?QFet93&tBI!ECWgn`CU z3_=$YfV1rhC&>(}ydj3x49Vz_vW){dyn z#EK==zu%sc%-EF*Wdl!GyRT`~=3VG!Ny*^!d>fM}-8ifN<=dk+O^y?K_nwb|=7o_8 zkE6>Jf>`m})?Can!zM-as;VyU0tw0Lg+2t50kfO7(Lb{!3c{FtFR!b{8tv&>pOWsh zW8VCBdtu+_5;3@ZF)aO;cC*HV%dg%Skv_#f2x!BJr@^r&Q*WOXzddOH)V*om$>+7b z-)soH&^Qs_`K|CmqL6NDqg@UI&6!}p0`^6jTqzb+MrcA`5X@&ryGS}QEs(JJg~eAr z!s&=hVFJ;SNv>L>DScmmA_?=^4K+gKS-(krR~Ih-HY7Pr#3I06`I0&guc6396RD{m zRr9l;izkFSKJ1e9XSoWq6V}dy1<6g9&m5+H@lNj}&d2&=$QRF>)m92>tkTsnXBnxq z1Fs$|YtTZB)LBJy@xj{L@&avdjPO;8fo$DZ=emYoFVIBHtirOLxFBi8Ly!CDlFsuTJia-AMhrM>1DZ+EH_1`P-wo_g*l zw-ZloeB)X6iVPp&GJjh0n`_(lK*00$*_#eWeT!lvrkyf#M3&_2kJi@{L*iHS+!~Y< zJi)$CqJOeNn%j!VV?!@mOw(5}TDf;ec0U|%i@8|Md|U(utIZB3-I_%4l_UXI-(a-n z{p9(~Y=49bifz|zvZ`VM^QNZk>6h#ZmvO(DFqK{ypcSPnJ8K!uYv}v&CZoL)5$LVM zd0A-j9NQLd&bn06wV}N9T}1e%!Jgqz866`*f)QvYYJFTgZ9$f&6uH=4)xCVp^Hv~b z3|-+^>VfHuPDDJgd`8Na{#;C&mn+Zl;Y=^f0Zrb7K=Dz&)MC|H@0v zi}-4Y;NY7E~W^R&` zIefJpL}>~V@-Mv$evqv@){z-YAe4hYSh?qF&RyQ=LHy3z<{_U4;E~Xw-F<(-jhY(&EKsTi1oB?jQ<>&Fnhy>vP%dzi~e9SL}E2|CY8tYxnX;(MIOa zbe;}Ctlv&DuaR2fw{(=N)-P@2%$`-`rJ3MQwZ z!~HKL6u=UXzmibE3SIGkBcTB5XZ}h;|Am+@ut}(zWeZiaz|~81m5#15P-n}3x%&qp zCWF)BPa~%>SDl_OA4i@K=Cwz?rF*`)|L!PzOwc~Hrw|yu{wTgmPjcH6n)PX~2?rIcGNAZL_2SJZo3N{Avmsv+=Il6M^CuOGTgLw3&vL4J!& zRK5*Su-(15$GJ~`5&W7T)6^T;3bS^-uAc80K@BMOc zL(kab_mgaVufP#uBQ6*K2n|u_$#5@lqycZvdsAm_DcfT5<#gIkKuab zX}W|zZ%gH~NFRUTBoJKe<ts;=ptiJ^R`^6FS|p`N)ND?E{>5SHO7Ls;iEc}6 zu*F5cjJe4z({ga8`gFjD6GycAH#RPziv@SHf`uW_&&qKclW$uNx%=ki~XdE*ptFffN0P6 zo*yfDzLNyV z+vA&`eOi&_l|gd=`y%7prpn@*o>%kQ&%riHe$mDg78qT=@AvLn)Yz>GV06`=TsC$* zTKc<;LDn~&mbIleqsvJjlnAu1ivtZYfRn6v3Q)l)kGUdPODh~MVed{}-I zxJNaK=uC4LXOV$2{q~kv(mHJeDcyrmhjZ{)wP3k!NJ?&YLzV- zVwv(ex`y|bj}jiY+T3B)#kpwjA5h(NlLM$MZax{K51`pCkG=P8X`tq*P6BAi$rO)Yia*plC;Y z>QX?w_!HHm+7Az3?aalrTdl6=ECYM0$nzeb#uIaPj~?~NjF1d>v^F0}XztPxFPu0f zc*X~aw8hF2v3!}SzB+Fe=k~1c(TSg@!kc4c(uCy)+mc&K!BySt}8d^m(36#QYC`Ai~#eJNnq>tCSvrzXCtoIVPyNUXth7SiU>%IDPgOD5;w9;aHMaWmI;#vcbWfrb0SM zTROH`Qdme|R8V(H00d1k*mj)l7*Pt~xoK8Nb-32>b&HZ++0<`Ote#y=>I?Wq0(fl; z8irR?3>-o-r-{g1JVN7NHMXxJgmi2?b#5TDsFRUhNWmv5HS2>!W83$ioBOnU*IZLx zy{%~O%k6Xk?O*v-FrCU_k3Zi}`nbZQd6MNugi>OqVn_jAP7mJQUJ(8>z;WcjwOcd2 zmw`t$qNH+)%E>n{ySQnPO5l=`mLV{8a`%tV>Y!vwc{#d6$0*(~JSS8^eD;b{Y-&B5 zckd*?>h$Q8GC#qDYZQFZv&DDwi7K|l*YkeEsu`hgo>qeoSOQMV-gz1ShA}k1giKP; z$@@WcN}+UY()XneW<-99)q{Z;P)hm1!pCpa&xtnL<^ zHoDHQ75$=gmF9|(`?IzEBd^R=eA1iMUcO+@q2W^#+l@ENi~7!i9esZq zc1bC!q=NlIY1Qx{Gp3UpGoJif_bPbEsXJKuxmenm;CS~N*_3LNj0RoObS#^6PXD(@lCsed_j=R3h zt|LqPG)fB;47`hGegXK1bvf09O9R-FX{OWb9> z?0IkMDtBMexo7;qa~nzMITsgKyCAmN?iutMa0rPP&nuQwo)L41O-}3SpIrG(rx2C- zYK_PwX?Sw^0LC9xqcYy?5m27x5WV7bFKc9-J>c7hy|T^yI`?}_LU|ziGF=Szk7q~k z;M|V=qaW&StMmdteLJ3ZeEmVHW|KAo#^;!?-zeI~VHcHC(6YW2URcwB-Tgz!X;NCP zuBH1p4kW#6AKIp86_e6^mQ%$DjKgB`3M!gEOfPPp6qA(h$AV=NE)|UPpD!EaviTEN z`>VR_R58Vto-Gao+*-|)iQVA5Y(zpa{r!RsCKdk_>gFHY`$Do9T!vovq(6}e4?P1%l4kI5>*xRQNo%L%zJ1pMARWGN+2iixTmxh4q(N4#*hX^C zfLW%7FW=5e%DKF228?l@I$eP=Wp*hmg6={2>lBpn1+(OKPrlMw^$QFHC4pxdpM3Lt z{i812e_YqWtY_%`<>xOR1*6F7=F#Qty(0=huVCt#mQ^rJLVo(3wEUy!zL^zbGBG(l zTlcarQ}e$M0liEikK^6kuXyZIMwo|=Fg&@exM(f-1s8bBe$yjZTrJ_# z65dg*m-D+NvF4vV!@4G>KF8d#lp1nJRM=S#`zuw~ydQ(K5rYsN(?-Y}(_y9&gJv4x z8Q^zF{vdgrnQcUn9{~t5hlOwl`VmoS(elq@5c!Yl@whD$RDYs5#}mig@ziN%5y<2q zb%fi=r6Y(unyyp8AP5AHxDY%-h=pbx|IKb{z=l7%FawAVcUVV_rX9VgVD}!>JL&5i zn3jHAKHUf-j&O=>lwG|FfOS=H$!$bPVZ;#)IigkLa9-%9EQE~#%3HdR*dI05zWw z3B0Eh8Tc_*8k)n zkISpgp)Aq@7FMGzkDMWo3=b#xM>Kkzf5gD}Bb+-5F41uB>5kKnu%J8^9(Hodafhth zIw%x4_7wbMe{K0OKff4&5TGOy$_WS%0+dCr{KO&%vYL({Yv>DV9Zm@Et`HpuAR&gm zAq)2Q|G)}sVohabj;;n2W9K>_+=(t;CeV-W<=2|%bJ zj?~rt7xF^~EQGS~`GQsDre@~HoF8I-2>OpzyJ&bg<3 zzSr?T|9_dxYy#(U>uBkU7oTeG_VR~}#w5Qs7cdZ3X}(I**?K<$18y8PU9G^yUbga( z+BWE)4ht8Rk2_U$%|TzrtKzGWkr{BJ>J0oEclfpaI_x#N8f2JLy5jKl`GrD-@KciM zDJtIp&84Q7Y*y75Q~0@=YY&tIWv9->i}u7pcNYU6<*)N=V3_cSg<*ZG(p$1wZiT#$ z4@RmAS*AWaPq+@$Job$o(gsA6o01|aB8)`W@Sb;np_J1gNhnM>d_d|OtmzUs+L{Qa z*T(Mn$u(z=;o9fkZf2ntA||bK@SkL8z56ie)JvCeu(jbadS%m8iZ`dv`SoJTU&I?6i$Ujk<TUE!pG?I{fdXqz24Q|Csgl4t~74Hz?YA)sY0m$(FW= z(X{l?sgrqLG)ccSNK+1DBh*t9`Cd_}^%8|3=2S^eBl$(9L{;yqs!OD|tTe07ezHjl z2ohfu!T7aLg2;4NjW2h{TQ1uj;P6dWym&2Si=3^kxA)r4{2?|QJp)cLPo=EhQkd5g zOj)@;`*pyQD3!)J^3C;%n74Dko`+(Ax}`UrEp@udC88)YZ?E673roKuh`z#`3S=ah zPvNwF0P|8fEy1%FCpN0-BJmr^TMdL6Zw<-iUrh;fGq7;uz5@@GaA;S3ua}!$Y7j-T z46c5%(>d7n<7atR8R=Yy?-K;CYm`)d?@ZVV5}k2WP9Htez1}O$(Yk%Z^40lkFE}#O zK_OAT)yOH)$_Tl4{*t7J7v{J^0LWM7-nA$x67c(UN&l9~@vm zo;!VDWckLqosbk8GqZ?0tz11|RMZk1z?i4)WDV|Vc4jw;*}vHzvR_lRG7-RUF7Yv8 zbWC*IGizZxdj$jbFIg=mWDGhLUKWl~yTNN5R*8SvcOqIMPOpHY$y!knoHt<}w$*GL z&c74&F7^gB?T|RO_r(58;&=A+k`GN+^8xo(h-p!q#@*3AiwbS+GS@5d3m$l0#+0<; zcSxPjscZo6nze^QCdQ4)&s@wE9vCYVZd-6y)jZ zm1q1ngfgl`O7<4Kq5KJyo03S|xXqQ%e!L(^ouwzgtIs~(OPzgVbxjj*(>j6_d@Iu+ z@o^M%@SLP+ZvUap6f|9UvAQPUN8ZP$T$St5_Mqg4`q~wF#pZa(2`nr$QEQ2-T0e)yepQU=_UBSlBbgEHiyWHTIrW1WibZ30e};F z$Pg^jNXHRTEL`K!VJ4IQ(S0%XUR!q0@C|_$1_@BFiGQ0r)+KB^BKgo_w9wje)N)+l z-aOywPzEe|FMV03xDRuHGDNXb6j8VRB;+LD_(Tywvv^m*0T1+jIE9JZ6p2n_BGi~xCF~CURLI81GEi*;d1_S>N8z$7-V^dh&!2| zIi+ge;8=`ez2;Gp;bbV#3XI*v-7}9i$7ho>s_8*Y<3|rk!9@8J)t_{>`zRdT*uh}7 zaEZ67fZgI35(cTPQOp2m_;kjy329azj1>F0umZ{3q*v|w2~!7%)@4KA4gZLoFVxgZ<@FiqGjD!s(Ae7xQO)y1PrHL=fo)K|&-Ym9%IOCGH;lJvW~BeZBYo*MF_M*E%r+XEQSl!_4P= zBWw&aDCw$pzcBf{v|L^0NrTt8tJ~0`8S8PE^DkTmu>Iz-1WR{--YCI9DEjl=08z|` zMiZ9~q+ZF)X=iUbeg!FtomS2kB?;Fq`n|LC7`J0SnPHQn9Vy4dW_u=);N=FB>_&q$ z=ZRxJ6ujnJi8AVDh&D2Q+x*b%xn#NAja4ykAj{T=>0y(C9w8ve8YCbg)_2cGluI{> z-!X*arl3kECx}|w(W71C;8~yKcKz@e-KS%9KJv(Q=@d6!U8zy1W)x7X+>^!0dAG^C zOKCtW%kV7y$bw1wcjFtHRfCXMN&Yr~6u&(rDA$iYmNQq&;eCkpjr|8#lPCLLNO3Vz z70@2!f<#OuGq9prq6ocpR) z37Q;zlFZLP(4Bd1RL=4&{_}&Y%p!%H_0K7@KNXx4B^UzJIF7}a{NABsH!DA3?Ga;i zzNDgg)#VqF**Q`2U|Lu=V`gBgv$rRe{cLL7S1pH}vb64oFWXN|hc-a1WMx|FV4Ymo3^(yvAk4` zVlL!c7>{DvOz-M~w+(as*)2m-i*+GJ6PH<^vOoD)lSfolpAwxM+InL41iG|T*NkYk zMdtWQ5{qw-qU$|3wQ6cfBG%MzN_zMRECVzA_|kJ9FgRN4Q?B)QaCB4zk?OfOPDl%vJo2xIGtB!66d`ZfWem!FU=Bf?km-Y#O%Fz|^Rmvpb6*m;DF@lGz`Dn92n;OiS* z0XvvhVOJi{1lM!xGhDfC`e^Z*b{>)KEQQ17o=`67gOA_@jgnVd$0H&-^^>}edBN=B z5vQrSYg7CD!EaN05C1YyNkD(`GMAqDJ-6WWvJW3G$!b|YNNi}H_<>DA;pzL40#vst zTK~k^$s8r3ND;C6{Sm)!*JKI{j?OJ!O-OscfZTBOEcirvKcjwZ zc8i|R41`2ylAY&Jv$UT;oU+@x`zCSKVpCcMCYCnD?lw<+;stet439~=+`3(wFuBsb zZA!V^9x-tycg&ygya{!C{&oy(98zoQRl7fG@J$^*T-#b@Dro&oBA{=QUHpZFP2*k= zct7#&Hx8w)M{Ht#;q%IxuKpjt(In+9U3(AIoSzi;ErD$;GL@LI9o{SYJ!J$BQ**II zWfkHEmY}|7`Qf0eemy`F0Sa2x^Vpa2YM29>@Cyi%iW*jo6R|EvZQi$eK=~eAxFUbY zu6+O_dYO!kPe@+R5-MNT?_ovaiTl(| z6UZngce1(U0VTViC+2zaLm!JdR`i0>kEcF!+da77Fi-d>gH8ji%TT6sKrlAKF_>DqPuv<2i!NqY}^{x1G~@QC(R^;&JapKms{x@}g&J)eSJ zT)=BMz*F%Kow#=#4?G$ENyFZ~EFOxrUM^Sm){NnmeRv74KvH$nhJSELO(SR-{fI5% z<`KM$#v^gv@@Zw$k8O6t9+;&{ZxACzCbDzD`pRoXj6 zOh)Nk)UdXFBC35SD5A1+<=h>pbp-f^)oAC%eL}j>c$W4B!bXi|9)9{D?m-y)oIyXe z$+tF_tHzI7J6ld}X@%#U;&p%t z5>web-dyVT>Fwt`Lo%PJ>yR2guIsrQ9$P)QAfV+41{SEqRn#m)vfu9<;xS#4yq?pw zK`wYp!!*9Au5)Vhm_g0JHtEg80T!O5mY#iZc;(~)9h01bdSvMoR6Yhk;bS%wKEf@< z0t8x=R3->Q4fp8(agoE!N~UWvr~!44XJL*IKAIpTXXx?F9;>3IZGGR-86JbU1R#iO zE7U%kAjm_Mm)dOsK@fMS&ilh5QHsykI6*-u8kw8>DhNR7<5`>1ANp7dHJ=ayRlXcL z3vz@WYs@qD2r1S$YD{D!$RuP*|A-(_VU=*8gq{lvOBksBaj1cW;PD*PK;pvzi6fz4 z0D>t5hcLWJA^}kU2)9uGh={{Q0-{eC-FO8mAW;HH-)cNLIe7&G6O_dXn!{0@?Is8r z3Ob_v)vyiT=ge50m;{eaZbf;Qu%P-8UPyyWI=o?Lx<}|KhXPMHpwJ;Nub>1<0?)LM zeSLkjXVCGF{_zYsqH2sjqO^|(0SE@lV4V%K8{y1n%15|=oQ+`rfsLmRxxqiVm6%vK z=LnfEUSx-2K-f=orhq)NSyRH!ABY!)M8!0r%^D7r$J*e|0)k-OMGZr^hmt_j8KcG@ z1*Dmo8N{yU7Lc^s**o|=^{Zrn`KOVn5e4HMqfw9%!qw!I^s3rF9&4l_016@D($osG z98q|(B^$~h;T3Ig+a7=bl*{}~4B3Ust$YXZBjk>#aVCP?+ytAbyn<~wU|AH(dJW0r z{!eHvC!_vD9!;PU63zwSmT3V&5N5b@Apk+34idQtx2#Yh$;Ji?-eHpEWo|`OO#!sx z{|QJ%b!zGA-gWbWpSk|1id&%u5-yJ+e}%m94{?OQEGUCSNk3sjK_m<<_@gRDq5U() z2(`onuV24`Ppv;1;zjsVKbb(J54THjyPS=nt*kCU6)~zq>rWs9ypQmNg@pzh8M%cl zIz;&vlB8!j3{c?7DDp=@j8YJz$;t*^%|=7yvJvD4eEcl5(4meP1vwS7kw-JoQI6KW zx^PO1wuFweaEgIeK)SGv7JP2u4w|*p|M(F0Uy;ZE>Uy026KHup{{IWKoIf>zw-=7e zfA6e-B|h(GS8ZYVZZ_p)nRkRhgwCG6|BW=QkdGmL{4>12aFl?f_pj948N-*;1+T7W z?c;>p`TD+jd1a*PwebX=;>Q>ZK(^zpwGz4Tn%>iP-uPsS@K#nM?{;|diLzYP zuQKkcORFvdiYCHE$?o^TnBfqpWPP!6dcQ)zdnbrAs^w_#vvUQFLGEY>IH`;SeLtaD zrY=k${rUAxme8B0+f`Q#1^`Glj+`$eBghL^>bgEIaC(s`HtSTh27vwTchAM$+s(XNf@Md zTiN9+Kl}^xWD6P7KUUh-f6~tFSsAqj_ET)pm{l>~ZU*heb9+XWxxKlWK|D~R;<1PQ z>p__QHu!Gi(zCCmRzUZqi2hqwiJ?dHc~v|nUvqPJ2D?wvGF71LBefJJwsFz(j|#~{ z=d2zO`zL(61M;3)ims!dOE0qm6Q9^9&Ub1{_#E#dj<3dM5s|wi^+YMZWY=qlofk$G zfScjDy^O)8ST?1j4ma8DIblb1tbOetc$~A0^42Q`SwIt$ah;Q$LAr@QqV}WI^AG-E ztGLWFVr|8gRe~aV6rN%|g;({m+wq%){ zS7;ZVob0z5M)UwKH7$`s{zS2${_Rf}Id2cXRCJH+IqJ@Ryq}}~VYGo8pigKN?pW>l zys&)g=&}?|jG3;VnvMB2Y&|VAh{1y?6@)Ewcv4rC{x*3$KO57PoT$|s*NC6%M zg`L1s^mR#wI=}wwIrULSbtOX)&}OH={``yc&`T?u3y0(?&brpO24|%VS;m^rwLfZo zISsxuP}aK<=T@neN>%m3>A)a4&-zrARv^@jvrKa_A0V?_GLP<$n{uWXe{wMh< zy!#@76Ev>e4Voo@s<^%XYq$e>Xs()|SH)WWt85L3wPFya+(J;kPD@QFoCMywpe zr-eV2?t{F?zm=VAohzS^ac77Qd?DMWd6TL1?)=q_t6NmbXv!IYlB5wfX6#v8U`;faXE;Xy!a)Ox&l_tQnlEpiXip`EgBU59zz$GKa4{ zPJo{2Chd*8LIb1d41CW-nL1azdPA~aPpU=UsVsah>V?e^xq&`#Zfx|I_K$EIBInl7 z!O?eJ%2C(Qr40{c)&2vvJRLmEG)BE3F8urJ;eYbt{D0Nqll~953`Bv;Nf>DTH|hs| z!s>{>Q9ppn>i!%31n~6iU+E{%zYU8g|3W_jOxyV@{RHrg?O*99fR>)W(ofU_4K3vb z3yi4lJBTZbP^)6pssyzvT?j}1jb7>unrdbC_qCIlYJAs|pLs7CKr^RqQ-|?r>T3%> zQpykLZ!0fi%YMGjJ0O@7Hg1h~lbuL&>F31=jw+v%H>DprfZVzS%@K`dV^xmvO9tL# zJav}5Fy8RDYs0b%o3RQ=CV1~7ldL0D^s034+h-}Xx|?QMbQQ^$vp2r1P;e}jm2xrHOtfJ#8TucW^4OgCRz$9YoaM6U%@L-&P*BlT(6HeYLiYCQ4sy(7=@gNs{Zc>t|w4~$!Cakgp2AK&EH zOl55V_|K6olymw!@pM_)lwrs|R=jt#O&$H&ulbplMZkU}%D@`$d*2K%ap%$2Ss);mNGZuMapNvzn(;l2lz z4$EtfJ#DPV!Q}pPGYy=^mP9kbf<^eOq1p(SwwNNg6k%o2ik;KA6epFd%msopcBBVA zZ@n(#+7BN&{$0)nv?JTMoxK;(I{It{HbO{!U-WuE$j$5Db$Wb1?apS|W6=A=W#N!< zZ3s8>JKb-S=J`B^q))x+=NT8<*hIeaM34YaP4@0oR@vrq-|2$o?9?7-%$a`U8d0ZH ze>+{CH-V%emyo5vj^F+J${X&DOvmJmM6~Z9eKU~F_XCoodT_!5ZXF(`zSe6V_-*>a zByPUJks^Vx!a9}YAQrzdbt>^73_Pc58*)IvhiQf7pVg}Bo!Hw(uAkd2SY}tUy-h!o zy#_Jrp3m^V({2oD|C*$b@9`k~QJ~1-mfD^{OgjrW?+*YI5r)<#d>)}3CDrzm#_cwc zY54001<^I9x~H`@SkMR8Q)As~#il=I_u%0LkEP~d+4$rO+cKn&}9cPE{Eu|4w-Iiz)W)%sPt)#dTJ|dTmB`e|Ga@ zVE02RwWwadBbkwp(g8VavfFIhlrc?HfM2@!3BAm@wBE5R2%gwCY3GSq@{5{BsjcMm=`^y|233*j$0b+%EV>U%Xgkh&C}cxTFLOLAIHz>zDJNk1+0za zLrK3$eyd2a>^b6&$VaQ>(f`^!RR2`@`Hx56V|{lJisAp@J^`mt8P4Qy7Rm7?wPNImj48d&-E1oM_x^8D5j5xA;vT2j;V1&35fTHeCiH)VS58=3KgwB9c&T1iE3 zy8FP?%9nGjKB4iiHX_n1I%XD#Xv9)-!hh6JW#$jueadnJsC`lN@e6zQ64TX(T7Lc$ zQ;P9h!d8;q+2w^D2|a_zbntqIm{rZBo1B_c)F~mUeU;F)x@~G-_^P^rX~=gB92&r$ zn%B3!O98~K8b*FnD|$U{9TnbU5)G*S&ShYg<;M-?It6&X3pi`pJx<^M?f>?oM*r5` zhuQ&|rG4|U;|pAmfJj1qX&;WIHrM-Y)#jxm<*GMV3{2p!XnUx1YEJS(5h zB(07?M(x}-kc!;er{J-3a`P?g7rx^kRs~*l3{K2l^?o`0VTy|DUS|IU@ujPYQ+oRn zmtNR~+s*$1DVrzfuYc3owQE5qyJErU9<)l#<$=bXm(_ZZjSJH++3VUt+gyTs+SsrumSuFM_R7ekEb$SKc;9Y2@Ue(+&D&(6ET;uj@aY`?UL;^ma^1|HlPt1_d>DKMgyKD>1F$ zhr`pnIbQ2PQoRlFTOpasM)#W-RODHI%dDSm9LVK9n+yVFSINj zCvR-KJdBdG4SkmQ0|DeB%3gtmUjdu4xmR}iB$lf6vz*e#gCi_TVY$%6oP5wUvhfR_ zh)hK5-9Eaev)kar?Cnf6Vg>=5pdPKeO;c9j{i3XX$%l4&7I$vJU1QO{EKEK{6KJc;!7-cnFj%3G26en$-=~;9r&2D&*8`GHQ_jtg!Ye+LKbam~?KOIV|{a|9(G~UCYlQ8m* zg0Z9&H(}ybOj6SaKrSln7*sWNgj9Er&HVVy%qsnUYL0=K{j!A8gUFODG{DL$d`rtO zF17Le_Q_>24V5wZ)~;{+4WzxuN!!; z-*k_u8B%=;lJV&^t$o6qx+Yh??qD(S=o%V7^o~l&Ea|+7img-vsN_mzWm`K(=YI(w zp&;tLEouqy}F zlRz9)4V8d@gpcDU2oaP%LhU2;U(YQpz;mMT+Yv1Iz1RT=f|HFPxF`&U2d}_iKoI0y z077#nc0@IK6QR1iDIkCp`IGGkjo;9C{V(bWvn*xJpyk>MGES&=JWF*%Y~e(90SI!h z6+!Ib)&V+q&*Y9M!6USpL&>8Xyl9jLs>?y~$DI89hR)72 z)#I7w5l-_KF8%Q544}rlYy=rxKoDfe1VM%&4m&H)8HF|4W8(+^*soD)M<^PA1AZUj zAEhVuIVM!=^<{VzXDfnm!7Xb$BW6EBjDw(iQ zp$3&z@aSr|yo5*Bpl;zS69lP+j|;3?uX_!rL-E%)Z^1nF2fM3Byf zCvfI72>73T#{J)4bq=0;I6L}plFEP5F8}|NRC;FZiNs3ovwb|q@vX|SB37TA%b8m2 zlwLm&tXqFagAJ~3===;lFUa>&R{(=NrV8zMaUq+*J0ryb45e@)YOxVubV@aTbJRwA z_xCF?^;dJJ<1*YN?UDq`OSmN;*$*Pc#CB^pkuXa&CGRv#rvF$nOBz; z__~F(s2LAwgj0)`M3h)3ac83+wUvH;pSyU;9?z#b0N5`2lpf}79~|e$nohPfg}*YJ zySGj`<-Cy36s4Km=L;@f^_QXY<{?2GL~?QpPg22I(CsJb~`PkAZ}S%AF zXH{CJ0q-CC&c1kse*a0ROhu$Nk8HhThEk$zJ)zyaR==r9{}4b7B8-NTw>327<5(4y z+g!H9=fkir&)zkOP(XXkACV6%B4{sc@qgMEI61^??AWxwzaVszf=^O+41~$^_Np<1 zDG|2$_0*4@pTg}4uFj;@U$ZP@S~2oX+C@t&5=}8324C1aBUwF(QsTTwAK0&u)}iT` z%C;)i4ZrE2&5EV4JO-qJoNly-weDdQ&Sl9J{r5AEooX~jb)ULvk9OrYr-=c%eJqCA zrfB0EU;IDumGhOD-+NH=f@ZRmg!L9KW_Sq<7D&M;aqaE7OV{e$OOrf$D~bB4)Fl>u z?ng1xve*)I0{~+M<34WiubOT{nhB$f;$g?k`*Wia%)qVft7GT2I3=)@YSr&U9jW@2 zA)er=Iqq?1j1y(3c3kyz4H9c=f71e#t5uJrXO4KY=?0wppq-J5XE?+XSyJr7F>*~k zv?_lD2<+x7EnB)#I3<MJ8?ZUIw7$QZSy;-w=1ctH zqJ%={DWG0iUh@}dbqcjBA_l^reQr9|Q|G3ws0uY+Wb~R;c;Xpw?n~4`WTm#oU`Y zVO=tH%Fh@tPDO;)UjQ+^Ru3E*P8YRj?GHzO`!ok}%M{)anyv9*WRcs~%hUx+1P3!u z79PLZbEy3)w}ww|DPW$H%u^*9hlP9+Ng}WSsF6|7zS3*ZFsy~$qz zCxA_he+8TXz7P8=;6y#rkWH2@m?E&=ZXf0up;j+Yt8&z;VnOkL`L_v`m5jLs@4mV& z8Na!^*|3Bw??j!aSj~!en@%%3e0~oD>k0T#7o&31XW1pC>?>)jWZSv7ig{eYy1u*k z-S=6_*jfs}3$cey2A{3WC?A#l{6%zZ=WVl zf0y?k^hFZmZda+HAC-lXV{$uYi`D~I=3t78<8PX>qe4={&@QHP(AJqr69O~Bt%D!p zn*^3%>#b!(MBd1=%Pb5GBE2tfeEogs%5p;`O5`KgL!Y3FkHHahUf4$lvD3JVX0m)t zW1k!^DVjN9cHY=%u|E1NmFWo#-kOAli4jfjlh@-t?*YLU*fR&Wn#a9wd~_4IwtW2p zNM*ZGT}h^HE$gnC^33XY>2S+hB3{bRwE&agL1p7EJkYH2>xYTf&d$evbOR67gs_6Z z9vN4G!I62p14j&t*jm8)fg5AoQ(7^!b5fCV#a|k5fm!F2=J|{1XzLu;uh1wI-_2w_v>Lt}!B3lg@X;>0 z3LV55*gP=%V=w_uOZ}%{?noZ{Y{M8qzXHxemtS;? zXf0_gnF~L94{fo*W~Jv7In%%ykV@;BLeSjW{v@~Z@iRwkQ-b?(`)sE_z!d?!$QD|c z5w9v!0efAYndqn9GaZRXmsA2dDK)+ssepdx^m8S3Tm@pY+WPw$n-WcmRJ*#2VMNqA z-AS6-_8GwC$=;eXu?v;?o!-Oqt49s=j={@9PWtaIiJ#iyy*)Jp26o*|k>!h=hYU}h zIL>)&kRE-49gUkm_m^)ZRtqPG0LG&<$^+H&9Nl&P;A6IA?7etrBIPlvd>p5JG|jtQ z_drHqX25#oZVRdL_l*Essmxytvl7clTTjC4K}UHZ7i*wkCzM*;qa1l&+;w};`YreR zm6~$5K_eNpWEJjb6+L+lt18QAF_Qib0o}_K!?CHKwd(Yss|{yTVo6X4IENRq z$1-^(u)3xx5$1|tIneF+X`?$qYfH$y%PRHJ2(aH3&GRNa=y@-xl{&bkqNAqg(wHA& z*?(XXZ>Dlj$qQH|zbtEDz zF^L{v4f0^_Gk;}%VW-BbcWiHQp3$HU)IK%G?-0sKPG+0-EMYd}a^KTwNo&evJV>M!Xa28fJYuH1D zf}g58wNkR{#^SPAI(Xy^Fkb@ew8BZ(hnf6Ba@&heFWo<(x-5PxDz;*i`l^P`9hb*Z zATh17Vdhj)IkR|u{}_i%+w7rVdS%@Q3SQysu?+-5H-L#>aK|eBO%)SoTzpoZj0#d% zEu6q6S*jM+gw?Id=>dob&4vkXJR*~cn_l7y&VRjup}{89Ge9Jgul4Q=b)^OPDpWgY zPCvH7GdO>EA@(y>Qs42D(edf+({sevG)6XYz%`A>&th{r4)EzNiQO@?dq25;Onsh5 z?w)6E8r)@*)C0TxH=KQYKJIZ!&57Thv6+(A z-)R4iBgiWJcp>*VpfZK9dEVMH{F91VP|w)XHoCH74G6irIC3oG zoWCgaJX5ZU(;g7TQ+%{izw@}1O2d{|*32evkIQX@UWkTfC!AlfqR}59cV8XSrVRbC zb#V47+$9zB2&`(FT_YfK@eht4S|OnZqStR~TL#6K*G;kWODftF^bXB4TLmROZ)oO- zYan6}2DZV&O9yU;vE-!_TkNUAhA&2s<=^UV&^k6*$5$Vn^9M0C9pnD^lAa@j9OnpbOV2VO7x3F0+*8Y6Cr|pn=8Q1w|d#$jpw3&v=9yh9D%oXO)&u zP*g%IBz#*&NhLHgu6+2rQ%+<5B#Q>bi{R}sEU)jawlK>uBg75cW!4BCWs^=w+v3gQ zf0TwpCIuKY(xq2TYjNJbypuaZfJtL$n}timCtzaZlT+RHd3hfee9K$jb17OsFQ#G} zmEN|DNkB@&CSdR|GP(8L_>|-|;P)(d9~gMP8N(4*q`qPzfm&g2QlH9tQ4guO$0iEv7J zP20rE*`?Ke9sj6~cS}2fH+ppA9FL$;(6h9y^#&wlhNka6{UMNCF*L3Ar0?C8-XtlNwnGLI4o+NwnFCU8f!ypO?;9_gdk47% zZQtRrML;^&ieRBCbUeMXVTTUX+`(8rC`WtpB=~6-tgtTlNBMYWybgdTsokF@L}I`E z*=~2ltZG(Bx=C28M}J{2sKQDboiGA8$~3aJ&THqhxY4isEUNd>a56m0fDMW zctr+kC({HbB*7&c@=y58hWbyajYJh)!azt=8YB#vM7gg=Mn*?J?(hF&yFN>SggKC{ zFb6Ulsv#GUvmi+16#fXfi=aU$c9wtnNBoJ8fBBE=8rII7Ij&J+NE~5dZC&WJHh{;R zby=IhC`i=3HA1b7;R*w#glt6+vsQ%K{H(v*3f{^4&H>@!5&zOb!jQ)p6orgSNJvVr zs;-AEGiN7xQ9%C7a1D=p(uyE~*$5H@x54l^ib{eE z356IGGFX3R01Le1{=^)>c-1p8B&_m=xvF(2^w`+kg4Y3UW)M6=^oW9ipW#ePa9Kt@ zT~|yHtX0%lYei^KB~_>-OjuL}^`GBR(U;^Da8SZ$FBR&887+!Dq9`j9Gt0$)sf+)V z#V;TTA|HTYo&_AVBFIe>1W|&=-GT$Mer4EFg$g`4yT=gvqi{crI&gEtK|ygiye)#r zeNc5({y}HBALgu{C9OWjT1Y@cx=_?I?<0)2L_>NOPI+Mg(!VK0{*zC_f4%De4ZJL* zxaTMa_>}2y>9Ovhao@CTa@)GUd3H_FP2Jv{9%$`J5%8$YR^QNO zW*=X8NYwOmj$zDF&8MoTTu~r9a|f_9j4#qo#WTgo{ydPWsLe46Gp-Pcf}M^Wxs0y^ z#V7!Is6W9R`*JN&1IbtS7;d_OHy@II9geK5yo=2=>v7))`TiHvMny!fONleHyv^~V z_tLBR&d(lpTufb3D``!c2SQAPWKw<(34F=ynI~0zDeq}~+m8?1oTtI`KDc=kQk*>M zxtuo{8*7O@V%P4nb?a1k;tMcQUy2vlm~pDKqf-LsV`O*kA+!X;iac64k?Ib)xJxr% zm4&jNkS5NBy6zDGm%)K;_WSm7J4;$^cU)PlA52*%raD(s`Xz9gJ833eGYW*9I$J6e* zjkTQDdJ$mGB)PDlfP09tH-AkJJeLUZNg?M!r zo{Ojaq@4axroUw)5p651f$!ot zD-}lFYj3@CPiNj*O+OK~(7Qa!rtwyJhPA$8-2}9?V6x^qq-EV&cK#_H=6Wd+7mwoL zTf0mA4maM>f4kv+EP<*XWc;dOmY_~xIzCpyVqgIR&iW$sU^`sf$*g0#TNM* z>-s*eb&i9@GP;22<#?S_H$6UwO_d^lAcdoJ{adk?_n?Q#yL|T*X6K0<<7aUfLu-RG zs;CUiLI8za(AdTcs%!<$)n6`Ex*Q^J!t9g>CS6XBnszox8!rG_yg1LO=*_EG7D(S` z(V^#SYP3Jb3TH=)o&=iac zo|{QI5ut0<3odrvJxxEsJVST2_u8v4jAy*0<^+5Pz7N06rLKt7-^XqqroY3b4X_mA z+ZbkP{e1X%S%L_+IlCfmtPW(gZvOIGH+c{$7z#RcUkC_3X>4P+IlB`?oLuCoj?0cjiyah5x~w|Mqo>Q>-=ke-<5$RAlZH zwi3eSe<7HfTF^)E7yJicrQl!jAAr7zzhY2O{Sy9j{R;*K@a^DVF(}yHf&F}c!JvSQ zoZ_z-6u>N+zhcmT2xi4XKC0#pBFie&sv5O=iCWd5JTU*$i9bj%m%m@g72o0599vV9 zHo1E(=*Eyn2J8u)p>O_(*R&NacKi)a66WG$e zv;hjg(z@EZ_p~p$TJ+Dqeqg8jz*4 z+W;6|YEl=11bLnY;2P(!Y~ zfOoR6YLHSt(HM!-7DF@3QI*Q1;eWrn!g!=p8Z5GjlY6#rbBV<9pVg$-Hg|PYFeEyy`>=*t$kL(vk`pxQ$+>dv6>p#_NsYd&OHQjms){D2d ziCR>r_FZtN@1AZJ)~gl{@?2V;sHh#I1*z0?*H!P^)=itddtyiBp)6~K@60i~MY}SS zm?w&U;1ATdYOmW2zN*B_p^-DF_4<(IGM94jT-$u$&1oawG|xHkc}nN7kB-oYxH|e! zu)nLe`d*@n4*C;Cqd>a{U1L3I;Cgy`k8`MRyJkb|Idnm%lS#hx{%6AUX&Jq(8xq!c zz5qOG>zT(?M-CMf1uDzEqtb>_m6g7qv+m3lw`V#({Ur}7Ww@AslKG^R_0>nD^1l-L z7LUKun?I*D7QnPq&E*>ha$YCnB?vITtiLR8eIwqM%=L}l?XbdB4{3@WcA8&I{k$6 zI*yFUGgh!4#C?)nDph8vR9Z+9FrRC60tGUv5pEOK}oUUpDR2f4aJWzKW* ziLAa~<2$o^CALKS3WfB(a)j7Vow^jbv4K9dT&;xEraSCJc9>-INBnB(EyvO_Ez#d! zqV*wpTxDRYIh*VnrpLX9jlh@nuubeDU%Y=sQILObD``;1SW6c;-J`zX_mZn_;9l@m zohbU@b43F9`NK^NJS{H@#~@ZrQ${I0Sat=Nt3tdK5Iy}mVqe(5$-wp*&uYG-Qo98p>N=zG-0VRh9d&fDpyRy2^&B$x|?1n%=K+w(p)<;jiM7f4H>0nWW zU{r%Fv_o7;{;V6=ZNi1XeeYKTy^+<_xZUj%K|2pdN2V)r(p^~{`;AXbI)7f+1#(pJ z+wspMZ&ZMD(%G_M{MY=a&4W*@IBY|o{=8ZKMH?6enGn4FL85HCpy_p3IWIW$T;W~# zWhr@WTGz0{uN`{8U$(0x!Kcaoo0iT2YqQhb6h0p3you-?eT04$GMfY94DV?%`VJH)m1+*DsCz7%bS7ue(TM( z4XN+_)09pj%53W8pN~K3dNy3#0p(dWWjDs03Q|ML1ASE8vmWHuxEKlCoiy%v-W6dm zXAK5_J3kiXI^UQzH%}{W&Q&JT-jW-G|5-MMmG-Fc5w0J!*?xVUj?-FE^8o}de4Y3~ zbx7A~^+OE%EyY}g|J#-GVCI9XTf%yQL&2Is#1n>_!`IMj(cfj>?!CaG^qwYR_6tB` zzNHpaFo#ZlNy9icbNxFS>3K2h$7!FAsIFOtMr3sXOd#cO!7-|A7hPQ4#XG#acY##P z@H4f{QL(0`13Rn)TQ{ckITW^!75-+Mjz0LY^R~^yoYA9fw+ji(8ivL79|0l#MR{w_ zn)#S{4v)=?Rz1Y&)ty>PBg$DPkdd9DzV_oyld)~K5 zr=vuS#zd?FF57$gGXyKW8fQ(is$C#u(Q#@rakC*6XpKx`_V6na1&)N$5nUVx?j1ez z#MDLA%k(zsvkS}GckP`$Qfq&Zrh;*%8+zf5EpHXWVkSPV?9w!NfGZ>-vbB41^%S32$H05wn9I~9ASw0poq`=qVW-ff98mwkXZ;hs zTvc(+FN<6l5VY&dz^nU#HV&It)T8jt7CwkMvUB@(fwgUdFtz;~tLeS6s=i4q!8>;M z{i}y}4oPT%r2buptm1cS+UX7bBi}`DT1OYWe!nZ9@s+vv6rGTs1;kapo4>#=IPmcs zw<_L@pt2(QCw+@ccQY_j3pySXnx8>wh ziP-rCC^@)p-%HMz(l_*Y)Y#s$M!=^Z{zgRCAfRDs?TGTK9I$iB$f|zb*)v1La8>3; zXxQ6nJR%ugmz3<56MA%+=mxMKMw8r+(N0yts;vEKkJ8rF!>78J!{ZG$lfpLokC-ap zEw;;1$M3TM6N0WAdS#b%PtL4MJNl-zbZ*^x6k65<`ua!Di(Zime3mjivwe)Fl9E;5 zIfpN;?BY}0OKOz<9(*AYm$Q4h!y+!_*Y#E)yGC8)h6Z=1M3;|jx-fw^<@__Kh zH-`%@f_HcEloPTzM6`m^cF>6#ZyN>%heajA4A@4(%fco$UfoMntkPEYUcCfz`X1qx zbu;t7Nm%&q0ME*{AtTyChL6c!HP5KLth!fxObmE(XE@buyq0X- ze6z5G@-Ox8k~|s_a5dNV$5x;EBxVO5#di-({Ghm3(n!J?%graJV*WHLGqUpd_VUa2nIbRVdRlf(6ry&LjyJaXtMrcP{%$pU9{}3dcOO*8hTD+ z?*A!Y-|#tUGOknEZ~TV;-qytA`wcVRm(x^sUq>I%aU) z)GniKa%KqwToROc7@Lq$xh9}6fl<`8e}uupA!`I;GSC30glY$mqa$MALG$evF?+Pg+=`!K@>D*xoeK6Fay~^f@?27Rsq@%+z%Y+4Br36Q zY(*+Cskpj!{L?i}ow)R@xvvCFysGNjPUjcCvzXWfcMh+Tp1)iD6Nu+^^$ji&QQH>` z7eGQ8aFz~<6hj145`f@Adp7iEqg0Zu2srEHfwtKyXqc^Qf^SoyJ^N2Q}{S;LMVJ`7+9t&B?WZAXwa}!GmIDysZer7l0uAaGrmf zc~C%BL_|#7;O@UfkuY8#4hu|F3y-BKAh;I{AtW5oll?3b9vlVdM50>}BnDoH%|@{Q zkwj)ANFvOCOioFIcP=-tpzaJ$wwNIFtuRmj50ZrSG@a0k{_fp>w_}??DI`2{D;vRr zW^8E3h8K2GO255U1leyz$j|aGp~~U}InVf~kA@ktNQ$%K_=`{u3Hc)zuOh6WfkiYZ zYN-(b)sS$KKWa#LK_>vg(nSqDle1bLhTC`U*qmiQ2BK7uPzDKmXu`sv@-w2mvMQM$ zc?rL-2K8{gg45K(8R`}g7~=>p)SDmx${*h}K=#_w)-kaFdjL@E8>M`N)lO&HN8B^{ zBZ@rw-^1P_AVIaqXCpXg;E|G&3NnAqR!nACgFD zMgQYP4+wY?n3e(2cR`>LQoMvH6P3dOiR&vEc`ydGxA3ruY>55gGSv#He*l7kqW%_! z@DJ)N?qIfeK@*i>dWr`Xy$h#&h?T&?Dw;L(!YRf76g2t&Ak=dHRAu>}kJ|tC$y{>h zFNNbz9BAnGt`Dy~Ug#NJ)~xdKs>Ak0yhfFUk_5Jb(G($pyVMd+uU^@o;bKnQr&^Xv zKNG#-W$48y@6`%^TCJCYWZq4Y-*4(@rL@!hZ(br(FQfT6c#(!|0KcD&dc%f`44k<2 zH3-vfXN*68fB%#DMN0BF%~FIl*^FuX6^|-0_HF>on`s&=LCth}IuF|;FSio8neI77 zH`eEA#rM^0ks2)lJyFA1?D&t3R0mkK`bBkzM+=Vzf6xt}HHT7`R--FUgTsPf*C=V4 z_TFaDjDH*F3Ld^3Jhyh*@F@C4<{gV`MOh$<^ltJJyNriKUn;ka0y~kJF828c41(I` zzbP?fqo*^$Xhbd6j0Gk|`twYjD3VEX?VA1!oPwgnBJ|+)>O_Yfz)+JSlaPJTb#dU{ z4YIg-9i~FIouNmVn3;M}qE(cwtzhCbLwcgXaTN{cy&iiU$(D)l*o6R~lnN3j}LEmpld9OSQu~(gPi1TLF}Ss4mr+sgo%nLs>QH^kRSvulH__b7$Q>k)!f z){pNEMB3jmj%I<-hgc~e!lia>w=- z^+GJJ0a)I%D}}8VI1Z^d1u9xi!ry=41Nl`(rke#`D(vuJ*S1eS3mIvE-`Qh~UE1%(-3^-VJO9KP(H~c=eqHTTeqs8{J=ceg zo}kO;#l@nlCMAl31->3#68%EuETpLyk@%(%#*-{A(l(%&c8qDH7QH{@72f^a>3QSv zC}9PLl9WPNTjAJ4Q{6pKqjO5L_J}S^`b#u|9Yf_lzSG6cm#xAOAwVafcfwi&JSOgK z$$r8Xyx7X65a4E~S|?A}RJ^x0Wwu}L8T!>X3)BQ&9W7PI2jaBR(+6#)eVSgD07glJI_KY-93UHk$^>3>Y&ZOBuWK zy^opX=Z7+`7NJ&0Ikv(KOKhGCdkU}^wVc}JhmZ0jJ>yP>-6i6$z8w*1GR~8)%w%kj z^|Ll@W`VeX(ojxOFw43q`krXH@d2SR35_TC`Xma-wJFx@*#i7i_aBW9oZhP73rp}X zebP_Z-CeNA$dA*yCH7*ux4Z#djXQ6(n^KtnigBv^_O83T@{(}c+}4O51*wl>QC3?4 z5ZHPcX5Z~>z7vMEqdBQa^1m^orkwR18TSNs!i|qT=*qK7_id$jmJ9O`Rcy zDpL}RZf}j))%zAi$(11T($Dj)7WU?KVHLkOFIy-@P&ZxJCzT-jZMUXTbn+nq_}r2j z*6$qavQ&9~r`Fn^ueIx>Aak-;FYqmnJfi^0f1^y%YGpAd%uJS9F&w9!{YIdoo8 zn|&i#LiL5)tT15i>8*%Re6vf-E`!+e}AW2`cAUO$2l#JvY1Vu6uL_k15 zf|3*zB?zKKK~bU-CFdYnkR;#`L_k0UlnnQ6{C{`v^XKo?$B{2K-Z*Wsn# zzhO}Hdg5O(D1b+hf5o5xwdDRA1_fxW-rq3jKLoQB^9>$UpvbZuT~(l~N=y@cvW`Er zj-Po5FINmKSc+3(x|11;^7gK#wS7Lr%_VK{m0CEz{sJFN6oDTFp**~WwuWPKA^R8^ zBdj>SlWSfhfx?4`O0x?kc{GT{Hvd!{imYAzz|ClfiKRCSd)qJj#Hy9RZ~wh^Kpg_Q zdnT5O$8=i*sEG*#-3L?}u6$BZsTpjg3M7q~W_vIXZg^i0<+Y(%#ZJe~k*7N4Ta0h1 z@^-}SI)BGEUpu3=U!co)@~86G2T|!$YiEtQ1t~6!MPBoH^_6-#5a+J_Ii*^_{&=;( zRP(`g_Pf)v+j|4yCcV!^Bc3}j@{ZY@m_FU94f-!wZH<|^Vf<8T3nR{a>~@Pk@tq>i z+)ZJI#P+_&Zv>W=yb{O=T%-i0u zT5`S$mH2^w-c3|7lx+$>P^JRN)0tWcby67HwkkLXznGduex{Ui?9pTk zpaxa4Gr9cMOlB2UD;%5`#akF`Efe$PQcLf9_0Knl0O3r$XFGxUNq6WEkKU0e+Wb%VlX#HB9}^U;;Q>&9M89+ye(YM^FT@~ASMtUPMonlI`z2}cBkC1N@Qk#yPN_TXJH5D7@N4(L!dw*&UG8N6 z2ct0$vR$jwVnIHSnvrqIfH&WDKU(GQI_jqelbA;gZBM5Bo*cfzdbbW#ZbvKc_}%R8U842InU-D`|G98m-Pu%c zB7n_MPCUI8aQT+FKZ~YSzrp73bnoxgK7=ld7lqXPeUT0JmG4wt z-1`}D?YX+9)sp zYCjZwM4Oi{^i@8=^!|L%L6CYIH-`bgCK&2|f9V!krR(|F?-w6t5raWeY3lTCo-b&*(`mf65A1R)hv;TYhb~bZrqfPJ%FTKRd_3AR^qi_piN_|5 zGyzdeDV=W;Ckp#*a!XZ+buAO5sfD`vRx8ozKyTzanE|CPL;X!62o>-cy^p0g({X8>=( zZv80NJlWlp9x^+vGWF{A^q=Lw@P#Gv4w&YN0Vj|RdfU+cp?{Ky*78Yv&o1$a8&QKt z_>!LYsQJ!&+zfgTTBq6hCCvH5>uDto`=)p!e-(a^m;ee*+iWU{Sm7C~Ks#ZBG6(86 zOSi?Xwt4!zY2$A*6mh1TSoIE5imKZBfXapy+qX@FlQZ*-$_}@Ki|25uIm8=Qw(&`# zYMMVWaRW0;mm5C8_ljr9cx-NmK8Iw6h~tt+%V}IW$0B5PMvq{-`v9Ed6w~_s;>RR*w+4qZ7Rqss~|NcB|c@k{{`sd_J5p3 zn5}Q7=29s53}9A%-MkJmKbmy}MLePl%@Q+QQq0`mKUdp0>zU9sx=6?

+Nf5!qB=y_%9zMhd%wST^VK_znC$}cUuar7qzz3ls0iiDv9YHZr{ z5)Pvz6oXclR-y@JJ#m~&bmkcri;DJbV<@dOMq!?YO+v7O)O|xYE~J*fYlBXeZuS<$ zh{6&NdcR@tB=c$XBqVTbY*1MV!4Z$B3Zkt<{N1OZ5>9z*0)EE+t*i7y5#NDB9~r08 zN<$bzi2_hy33YFlR=?$yr_#zlA(WA)WkKZ8NaSX0d;%;9N+~(*&Up{9C7ingCNd&1 zl&DHbtdJbas!#CV>U0#UrWOSdX=UwaIAHqbDXeY#hDa=7iw@ESzPL!dh}7u70v!Ze zu5Ij{r5rMOZ#j4mMN^B~#Pq@a11%x8gcH)IqiCS2k^ynQpIYB9vvG1O{7$A+R#sLq zMp6B?fkS8saV5el@BHq~@$~Y8+Df>C5a>ZCcsdFl8o?pqq=3RoD6I^H12Me^Ma4sE z38j_r85F`wZ$w-9n^i&)#;MJ{vTyKrWzN{QS}4|lvyM-kfx1dK7slk|GbjQY3@Z-QCmEFY&kk8B;Q*3NI6B}!?D1V)z5OHbB7%7V7V_h`YcRo#LK#k+V55R8Pi^eRFsc(} zGI4SP1>XRtr^NqbafovNEyXPTCsF5N;eTu*{(tQy65srwN!=Cj#N)nu4jJO z55WBq&P8;K_Jzt-CXivU5u3A{ofj9D!Okj1;nMG?meR0DMYdm*7uwM#!vIV)zcex* zf`hGQ2K@wLmF11Vv?)~8Ez2K#vgaq=GRuL{Qgu+g!ABEPE3!9nUY%lcAD$=Pc9m^C z+^mq5u@-j%>O&$A(thnNoKeV}7~UM>*K>ZDdl1C`U6?(;vu$$;2P8+oNq*~oge7L}AkU}F!$@^i$sd4NG>NI}3I(S8r1UdmAVQyEw3_Ag=%>-`i!`lO zjozdnY+-XBm*^YO?mlmtEi=CJlQ2B>dBIZGoU_d5zQRyT~fIs+S~KV#6*|&m^Ae(LhEf;Y~prR@Rh;+ zyCm^GwzIn-XO4+-tFu3qJQ!Y_H(+ zK<#szxRSV= zD&?A0MX;tT&_3GCAnTJI=w4W)TIIW^!OZBBfFh)l`;lfYeRvq93EEy3elHy2B2f{7`9j>P8W?BY;BCmOs z_e|hrr?`7kHD7qzpvf^s#O58YjlP9U(Ew>0ava(u!rV)MwS-^w`Ip4`gIAumoRnSi zpY2k8hKT%wxX)JJIR6+G12{02G8G85CiHF3>93Mn{*c<4F;mYD#US7wKEer=KnEu! z57yM0?w4a^G+pK5PHe{hAgOY-zg-%os6$M^DM;GlD4^A9&}Y!qH9bpaUM$=T#n zBG+5Lrf*v=FJZsQw!rc;K!ptF{4?%Us$p(0p8w8ZwTOzUP;Oa2nz5*gOEZBA{XGAA z9$t%QXQWX9kauWb)C$RfRTV>QeW5W%Q&+Gb@&@*z`TZD3Z}F4<0k{(K&dRVDC%ot! z+&GZ2XD~}M>8C|Uqj){vI^?VTffkTIdZyh|=yco3zMM1J$%;pAlquvOA)4W6_r*td z_g!>M&$HBZ? z>xZq|*E^io8v-OB86Ez7MG8y}Gi>$01qy`FwLEcTm2{bNHQYU^{8A;3%I6H7#R>&) zC8=7|-SH;9f&;$d8BI&AGW4+*-$gsIRU4M2#Z9LMX-~gAkM~%m4P58Z8rZsC!9hTm z_}p}cd%Y8Dibv}v5y0wTB{DPQZhDKV_xU~;(XVQH8S}xhpnKy-0}Kflid5PZKbnUv zy#y+eZL4Y&I7hQBbQd_^lUjQ@EvPy_`UjGU`WNN=ha=+Y(Y)w{s=xN1j;5&pt>^r6 z9De_wdd{DJC}%f9IoUa2rQ=@^A%Fqfe?^1F(^KH zufs1bT!oJ5z<0*+)+=yQ|EfD!{`_mU2$gAJhYg9lIy3GgZhcwvI<)0>Zz$z~HV5v# za8|VG_H-9YvrXUO)z3-GyNgKADywt2pYR)9z@m zvgjJAagOwvhk)l=97>ekfLJYssPBiIX@Kqh$En-+^s98e=J;9iEb!pACz+a4ug-P!aDBiwONq%@Xz;8yr83O` z=V}e*i8E&%k275_!}N<}A<~zC&E<&wE2h*1Vcf+m>I-k$vJ=a0GP&rd%5rvxM(W%E zptT}6u_W{VFn8YZSpARRKeou0&0B;>c1C1m&+NTZ*?Xkyy^~Eulw?JUj7mr%du3ln zG9$9r{l4`1{C@Z2_xRrZbw3{W{eE+T5VLL#vgl=TbTyNs`p>%Iac1eLxpY*h1*W0@wWWB;3K)*=J))fH3oXS4Nd7Djw4-x!<~( z6715KBJy^h8CSa9^waG)(qIe&mGwCv9G7NBoo|PBDe2d1Yhu6@Om|<+&fSC(TI0{$`ME#0*hU0BzifPj$LiUa> zXzQxeR&MO-SrbbdNvd-2^STOwU}r_KZa`d6lOv;64)bxDENb9kinr;0!Obgppy#t) z{RvC4e_e(TmCA~8rlCN1WPzt+y+$>=kaF6xH;5|T8}3jRl~>_d`{7)QkxBn%R<9l? z4%I9bynP#DbqVadA1$4E6c?vvj<;SotRa#}Oh2{~J{Eow%W2~hC{_UQsz%c%vRNNK zT2I1kX2zskxm#~BsQ86fW$0-?S8kpJc)L(06&;d~pP_AEAk4(qlkx+z$zay}^?QqQ zN*kMt`QV^4xqnF6>X)<@?cfHmH0@UA$_rK?X;1Vih{3s0+6X8Cj(>m-d$5I^iDA*{D)yN@Urtpk~7 zM4`RGp;D5sZ?-U(6#%ub3+I1-n9kkP<9Tg#H+{CKhuTun4wZ8Q*M24;Sjzwyp1=6o zrD;8YzuNJ9 z9S;0|xYyKv!Xc?^^keV*!-gYlV%qb*Nnd(LXE``|)Z<i#DANX!|{wE2uq*)WdWY8nw7EUW!5!7FK?-rM+pZweE*$G)>&D;0=2De)J z0K?-~vYYPaepLF!{!w3gm(~c+oxgrVcUjfsrmd52d?l#uWe~e&@~U^4=bFN8XZMV< zQ4As$8TngNt2?B$8ZJp-WdoN{)uV-yK|LZn|6Tw7(N+K9nI%3}8ol^J&g+MvK)jEf zv$}f=<6Tc%@(T*d{Hg|9&%pTpyh(2h+lLTI=q%;@oV%{M>CEtExT)J}PACbW< za82LB*5htY?^QM63{`Uk)Ylaa%_E)^tz!`h$E5Tea7o|szQ-%1boayweA#F4d#yag zN+{OaJtiOJgR53SEN?ZqaN&xq-#K5t9PoBeNoW0`j03Kkb?nLp$%PxH9=Y$kM^12Q zgtaWA7Bqo;((`_i$&Y%#4)@J~ePnaj7<(28 zTY5f=A(Qihir=T_kURfF>sh5Yfam+d#)*WqS$Lj(t1e-?eQqm_%+0e@hHZ;y zn1F6jQmM3acxEf7fVj0!)WeToFNi3p82C;RsOsn$dDVkYzfNe_gmprm?z0+LmcDJp zJj>24B_nT;Ke5XwBqFH;QZ_K|H8fAZ%yAo~_jN6?3U7Gdf@SJgU>U{n1fG7sq?j;Fb>RlcS%&>sxCAWh3VvNP)cPjY_Zk-r zLyCqbq?`)NYWn1WtzY`P;a_C3W`RYoYNvj%7(FU?^ZS+W7N7o**6k79Jjg9WrO@Sm z?sQ76b)2HF{XYDYPsk}cp|x|u(95T>mj&=h>pmPBy--rO#^UT29{u18YH^o@i&sD{ zrJIoEqP8)J?CK>5z`&;w5^;J#O2P2Fmc9H{{rnk2o0kW&t35L>0F7=C7Wc#)er7x3 z^4nuBxS`XhS{~-N^l{WXR0Lk*^iP=?322R)Dej_KZ^t_J2bF|!|QmUrr9uesixZph3 z6;%yAFaL*mwM~N~s2{)iNOR#ms#^Fsv8!ifR#sl#^~@J)Iy#K3v@_MRhS3{4gm|=AN{8Y zJP&FwQ4pnYHvY-3L@uE};iar7iHc?c2<>0car#9JarLLP$u06ox9(-HwNxid8_qq?T{pC)iL#f0Nu1!gmT z^W^-GQ*asduksRZoC+@+q3|*Y!9nXT*Va++Zv8LxfR?M@=+LSI8d_R9x<7fAjNX^X z|Fwm)vaxY+T#`nc!l4iprai*EM>N7zSJ!}ZAU7-#L=(NC6@&n7^nfh$)G`m5E;^_R zZ5qE1J5JG&mK5kzOE_SW5Tuw95z)oa9u6lcM^6ATCJq{7R#d`AUIigY6{i zVIUPuq^d>db;2^!$~&+P3BLa_gBuliS#i3#EkFv3I)U8t7V1PEf>^lE4*G3z z&3~Ym|Gs1UAAa=zonE4MJspSt4LeWg`}gsGzWQhO+W%&q|LL9kzoDJ~;hp<`IoJQg ze!%67bnWAXUVak0g=$9EcTb=DmA$;`G3=)^BULm!y6y#3o)M`bq=(gq*}lOP4?}wH zuz*7o$+jBx_bz-7vNBz6nG+^Bx~35vS*dCWhxKYt6Wghi8wbxf}wBmkS5;Te{uI!!++DoGvy_W_AK1#0y{w^1MS4i}f zFz;38P{&uv@0ib}68zZOY;WgJ1CecpE*4?mv0A4R#frQ1S1!wlJ;>te{{Ea)pG&0J zyb}yz-*AZT&rvyep*d=m{8IF$YDO~cI6svGb0cEtBTx<40yaARA2{aqd{3s@u;BK} zV7mOGlF@g6xqL}tGSX8KObWg`?+~mP`ZcHo@EqwIp(G!kyTI|q zbdXxW%&H1l!Pn)CB$#fnxP0`ErJ<9HfKxrXra;L0BY#YO*Ue@dKy1KR|BY~ivpX&( z`*HK{wu-!ZeuY9>hYbFMwW%Q!9iY-%BJ3oPWp4Yr|3l7WjQ1o@zxMiYU}Iml(6}1@ z)W-mv$ojleqab8{oX#@pn}h$W|6-f5Ph*G2D4?VJdXyD(_u0NBnMMD{b#J117k0ad8b0qE5|iJaXFLWe zl(fvE-rrdaGgT*9%zg0!dJ^3(_E;Qfr40)D(zq;LPeT(zKU$r^YP7N3OL*^% zl(C+`(+9~0i*Z6xU|4^lMgC;Sjo@=pOTN+iuS-5x!$n`b&U;78Jw8jk%mb*%?Fey1 zP1H4F8b%W;9v64#D2kc+i`h0@`E6QXvds-%UM2Vxpd!C5JjaGFK0>5<2gUo!w|cjX zA;naXzOGvz3=R3c*kq?`>ms3%kBj;-U{XZG@cMG0YIa$?wz+N>IS{eGB>nK$-uCeC z6Mx#bT{^FJNO~~hKd`oy@KfHcvFHTqb*_%F(g~Q2dR$`^>-jw|BEMpgt~V4dT4^*U(Z@mxm#o4R-~uM;+DkQcui@wVaF@r zj&>)aRdG;*=^w4VG*c@yH`_Q;gfSgi^?;2f5o6&q!}M~OX&FUd)&{@dwVyJvvUO5- zJo)XkUyPQed?^1ZE^@-K zpufOU052W>3QK{lo&8^7DTs=W`7101=(L@`!BPMVB>xIa|KXg>C}IRTkEWX}=oKq^ zbpgF%Lp?(N1MFM)H(=I0`qk`Z7k%DWfE zCNe_vQ~2Hf0&NuM#%LMoW1?=2v2TshVC3F#=2Pzj`=VJ!-)pz8;;p$?y^9yLY34TS zSeiG-WCKq1!Gq(e-|X4Uzv%dqwr}iLvJI+yl{!Z<*JAU)DY6$>jFA)+Mg(%24nJgk z#_Jk6!Oxlb&AQ}G({N= zjt$%t!*TfqzbU#!ULSd2;U*UUrp?FvGv6-7XwF9e(m4Zw3>}nL7STD(xz!b?TA9~5 zcl+8=K0Q}Cdvt{5Q=&8qG&yCDe@*&rEPjYv911I7@37vG6z_u)%H+NQcPif_Ap2z! zZzW1iksOMMn~!YI?ZkKwI&%;rM* z!#l0fEPWNk4Oj8K(uy?_iCM2W7aQV2uBP|1jnM*^YSKurg4mZ2Joz0t_Fjfa=Y5&( z!J`cp`Pl4v-MUbXOcwINiN%hGd!XLkn9OF*aHHTHr}Ev70C`L@x$Tr}SLok#E^e)vn-B4%K=(Z3UAl~HMFvyyeKiBn1{#FRK6rub15x{!q zVOi}o)2}lvZYhelV(ouS7RAwrT`4EL*L;j41yaEjk=;!t+`Oav$yM4MnbO#y^>0^c z8)%4BKB|2@!J>E%#_`px zj|~CmovE6|d}7?HXWN_i87Y`098!nEl7WV*TWZg(-xtDfA9Mg`hJ~`nUx_u6#fpfn zl<+?oDC~h_OU(*tH*bKWn>1IE?j+~?W%2U&P8r%4wQ=PMpRKNez$79T(Heq&<{e)D z67ewYUn#W8DIU1=g3FwdsQINH;L4BqHx|ak^zv>Q8uWWgA1lpL7EN8eqjbQnIL|$0 z0tna_yh{2l_V{TSGv={2l1hdnfNiZs&h6=)N0*Lf^MOCBe;9qA0AAADM#h&h-iP_j z#>bN48lID{PFR|v2?9YAiTHkTcl*e#s3Ffr!dBZ@Hbei44>crBO5ck+bHmoaQj|Vn zyh+g$$3`l#SmC`=W$DHX&YW^McMCFjvmJlNfiko1)y$U%KYJb0F=a2jikFd=T;Xa{ z(<(h?={90-VFCBq}{G8}4CG{kx9ez*?%a(gb+aNYrVEjGhc}W-L zD@^C+`3gILxUu_*MbBP<#skxOS#6I_* zznS{Esk~1hr+JB4mPt^i8mtKAlUxjmd)mHE%Kv~w;%&!1>mBzRDils+!on>gV+T-u zvxJD{y0T~AcZ1NB@2mStMuCq@UsmtYNSnnLyd2vCxC9go?1p#jd+AthLMq$wd1DfI z6b%BSA2hQCWOt7)1A$rPFHM&3)@|$#KjaQhwwK#@bRSxsvDiGvery!l&;w!zbuHPn zKL*Unx`ZU;71#X4CLo&lz0b_Ap;gg_!EqTFn7PK)f2Y#5^ed};-#kllS=7L_vUQz+ zfmhonG-{F)NJzd}{z<^RV;^3mQ}S;4mu=d!-_zC`*i6Lv-SmD?4&Gd}Yvkea@?G1a z3jgEZJ%SHQ*{hpIxvj%uHT1pWi~7OHFZRoF%FgaS+0Tl_uPf{5HGW;gWV~kfq;6*I zh)doFWY$gWuydxDQ^wX0p>Pf3mXELq`E9aue<;nAeF+KN0cF^wD;K$=$&ZVx>v@De zE=sx;9$w&dV!EX8>}}Nra~u%Xzs+=+i1OQ<+r3Bg2my)m^N-ua+D2AMsU=_g2gfVH z+t&V(iLE26yE*wq#pQ#;lx)IAwTDE4#qHmgf00mK0)`3M&A8g;Zjs+`h#wx23s`tY zFriXN3hovh(O-gTjo`_L)`3wv%e&DnOTR*K&xlL@dWb{kp{O&20>FAS`~vEAqKOz&KTM_Y^g|_ApfJYRw-RNSR_wyEDlRDOlJh7FUAHrTRR4KAO!S4 znucB1rqZj-Us;ouAmr+J-EX7fEfV&sUgEY3`P+mzfT^yYkGIiy{=u8OgMLq+xAYIw z+nZq#(lLv>_&j_zivV&C3tPXZ6*Zq%NvtDBP;~6_mM%U~?^_qMUgGgx_n`+uq0uP~ zOQcpC1Z-7dNp2(O+xnTrpI>mzY<0P6>5}FIT;jTA4L>+!^*07`-z9vyS;?FEwQm-a zkm-i0Urxg@72p?=wR`sSSX0|O?$z}2Ig{YfsCO9W(hFPLyBIB=zHJ7dCkQ=XOl<6> zHC?aV5Y$}o=3l|AB0#CN+1-ul7VvKYW0S&qmiO})*vh_a3K{#QOX>WQh}aY@Il3yZ zbdPeU8R#d8`9|04J7wH-5#rkV}X# z3I7;-f5T>QS~^Q8>4OUcTo@bSEqb^>9p<+$8MO$DceB?gfM&NG+?Ubt5?u+7c8mY< zi9?sXo{8Cgg8OKvIJAmGvv?kY1fmcG34$BJjR+C~joG1agrUc&`Z5ANtV963CXY)@ zg646^G0Xm|860}X(b=AGkU`J<+q$|2NbKN1hg(hs{n20|({S<`IQgt4g3JXW$alER zTOwqTU;dGuE-kP9=?6z4&`2JHP@@Hz==>{alZQp&Vq&LOYUmw@U&n)uJZNeui~e4@ zJcQsBS}MS(OLP+v$*J&ERSllDma8kww}KC$Nu{?pn$6x14NJ{^67)~3B?=n~FwwI- zgO3!#U-onKD1sajB1=o;$u&^<>h&>@^0pN4o2!Ge2Yqvyea8@O=6 zgVW%n$C)66kN^&}=n{tal3CHxLVXDuSN=)1JT;4piJiLQEpAfa-$V}^NG4GTI@S^c z!9n9mTRZqE?jK>LBSf1{PG~5L)|tZmPAINC6;?uB<-fv8QZ$&%$$bXB;h$R3<8vc| zwBBW8rfW-V#6uGr$5^ zbdV)HV*z-I{FJx-^{^*!ErGU-WPbP6{d>`Uq^8JGMtwu2qA;DUZ=%gu-Hlu zrpFo?8;2R&=-S*MK&Rr`+`8lF8x|QRgT$Z^cmu!^nMPz$-!Nt{SWzd87>F(EJ2Hpx zpK3YnQTP6jWb>c*$0Sw==Kt?(lS(?x(25|9t9H^)p+}*&#fYY+UC3We35(q#2OK6G zffp;RaWnJGUmWX^-hxMV3}aZsn>5tnJ=q?W8P__-mH{SJ^O5y~i-dE$jvKyd%=LAI zJZ|1HDiW#-SD3T&pwHY<;Ka?yah%v8^QRyViiD7E;jROzR<&EXg_g$qz{UmeL8P89 zF|`QCrtH`wxTL!-eBtQuD=nwpIbLF>8`7|plKS4$h43O!fIRSYoGkcZxyyb2LgJ=C zdP?K%N0sl+s)C)OyYbk3ZH-%v%$wCOw|DI9zf~qQ_IHUhM^bQ62fqRX8GK2b{p6e` zhxlh**D_cimF(|Ynfd>^9CBCSg~%`|7+;Wnh{WG!#CT!(GeM6#PmvKnPmFj)t@sLy z8Dk!3J@Z&*>QUm#MeY&?Z+upX6rPmlS+4Ii*7eksmNmHUHN_I{;Q z>772@VI}r}WPHxRZpow_0tz{B_qI%uu}v23Y?MX5{Ky=m(wWNg@gs{wteiuo`68J1 zET*eqE~l=4=`6yb%4*zSedgMFB*mfI=IwSbKhvtiBhb`roFn?g|50*I@%s+1U-vKa z|4MoLB&bZIG%x9kW34yPtXKR_xh=c(mT_h_Se~SjP1F17H{ZJaw7ezPsp!2vuxt5| zD|R{ z+n2s9#}m-vS!N@7ahy1<^oQwuB$CuV;^SKKp2a&8wMJ>*<;!325>d&2<;;Ec`;@b_KQOtc{;`oQ{Y$6-oUa3pZM=|q`$5Y}KQ(bIn+KFUuP!O$}T)-8J~gF1#R^ zobh^bK1GLk;zDvd&tsh=+ByPO-1D`7@B=p~W6PP1z`g?AUb*-_E|(F9>89qFtX-ZI zft8vKfMBfPN|?Y8T%)N1OtI$}O1}qx+<36A8RB zb0pXG+l3}iD9Ok?aa(yzj&+q}aF*9it-t@jVw+?Cu+3&P+k|y`e+7f!Q!Bi}`U@BY z@Q&(V0V#lKFnyZ`{W8FIN|53!E=rjh{%j2bl!i-gSTM2H84N+0A zn1y%-oi}mT+t?mi78l+FR(^wpEPQW%R;B35Tky_e0qvjkU59wiX-mpB9d7;NfcSo< z64R%xcg1()f16TIZs~mL3%}K{pBLZDX?>u(Itq-uvp=mK5Ns+@aZ&dj>3B#8C8p@! zWU`$?S@V48X^jTtn8<*V`hd)V7XO#U9pVB@8q~#+z~^$pBw2Z1?Cf%YYurvXgR{yo zB_2+9jrE*XyHHM!F8TL>y%Og1=T(&b!03o_qaDvQLLn}l?#TF@2QScjaX$fZf0RvZ z{gky-5pWygFPF&>Nto;kIB+=SJ=C>wjj!wdp6b~-*P$fr$PQKa z$(giBJv(;KY@c;Cqg_~GkcQzU>J@GMFr8U`m2Xo)FMVf_ z075w7HqfZki@C&Rif-|wc7UK@t`6$QGbKGE>i#g9eDwjSY3j8VAolJfM~d6SlrGiBX(2&vb(;n*SE=X#q;?65Nzo-KT{7gcOPt=cSI@s!11gtEqy#mm+fL+_YIuAEaz9sN zUXeTD4sO21t!dW&Gz6G76iIOe5$|d;;EOBO6QiaqhQ1GW?p!YU^qo_Y_F)9bWx<&m z$WVSpUdm~ReXjN9ka^TtlJkcbjaPnz+;I9n0UoG7@$t|5$Vi+i_>KBzDE;QM;j+#> z%;49hmY&xdzRH6I@<_p-T}LZl*ti4uV%=n^SWm_kbYFGyK6|`agHaj+IQu9F?Jo(X z6@)ghNARUusE7&rDxUjsfkq+v+bzKtV!$XO$&q5(MW5^1V#jWtr38x3a4%`-^RIiw z2ASGjgf75wc_#v&?h!xX^@JQ}OE=#V3h8S&c^O}2ldq89b8#^QBQ@dj$;R_ZH9YK# z3~E*G3_n+NhXQu{&U9>tZH}yNfrE2`I>tpGTZX28NhH?{%pS1v`DTCW8o=QaDs5PG ztO5;~H*8%)9?ujOxAc}?t^Rf+jBrnFLTCGsN?6}Gtf(HePe(DAoTXzk?4)4h4Va)m zuatMTQpS!>w3SXV>xq;+NS{9w96m~-W?&wi`{V;QJ^>T6{r&RF>bVm<5_lDg%vvy<4kdK&ghsv| zo>S3y3Avut zT=TAM=o+5g+%t0}7q|5TNfl$$oWfEny4G=}t*h%ejF%;ibIO*^U)J=R;_IHY1>lDo zDt_atkoHW>b4=6h&xW2M!?cFCd)vrOQ#)QbCV}ZbbKk_Rl+66rHoDZTS3|2L)B6k&ouJK|vpl*s z_lpj0=mdf<)bxg?Z)#?D_Rg?s*!abC_3xciz7>1$ax|DqTTv%i9Od^Yg<*YO+`aF&Nh*&!3 zmxDL;jcq^oF!fCxf|?BDkCq z=gta;RLfC&LJ=LifJi_g@Q?U(*qD~R@bbmEvad_Tg~UU}9>4ZLcKN$+i+HYg<3NeD zTU2cN)5d)Yje?>Ugich=!6iATthJ+O0-sLEJsiZ%t{|%f?AMof9~OVwz_LeN`8cn2SW#E+z%;_C<{DJrgToS(mQhjF*1t@7 z2Y4nuFJ)x5u=5R#eDI>GzISjHhfG?*qHvIk$E@i%`USXEP&Y_g)jA__a-t;8BJ7l3 z-g?bYUS*pl^HnS{t-cpf(+pC6%;Mtu`t2D$Z9CVX%G#k(EGmB6)E{iR?$L=yOlL$x zQ;Ods*n;;0GqYd3`J$@f;vJY;Pkh4}y2E4R&{!qj63Quq5afX+f`wL7LMj7`(4n3( z_0%h#2L*<8^^FLCg33Rcmj7GhPUk$l2!;dAAhDqjd}TEc9b*YaaBDD@@ifE|t)<-9 zgg@iAB|^G|PP9Bari1O^jHg+aK?pAMsig9JLkW)b>E$k*K^=a(Mk7>F!bKB)t_45W zZbYz8C6sVm4>Bi6VGRvUOd+U4vq^M2I9f#+f+mrXQAMStSY^-#4)=Z82&=$@POHF? zS8(4dI1idZzJZAB9~(TnV5qjXp>^U^KzS;j{G*&?`ltMS{vYk=;tBy;K8ZGiqX$_K zf_(}fQSd66lJZ>MzrxA9Kf*~!U};a!l>H*Q9sK$~+R0P(BsILchXYm$!BQbdShe%7 za1u`9(Flc;@S~R{f_TFP>L$UzmFQ492~YX{eY9{CiYE(TrsXrVb`tlLN1|CI0pzZ) zs@qO`cHqtf@E}ip;Ef0}43}^4u@Sh8HX`7UY7z}$PsO9N3nwtr5*r&PS;7IsED^lN z!)MP53ZVzIeqXsFjMf&4z$Xg2#wrLwu392zplTAzCgHedi2$?{9tL+xNz2Gu-GmvI z|1F+GD<>VD2v4b`dvq*1yAtwGG?YwB&qHv~U@{{U?MTmp2l)tvAV{_)Li?|165WLk z?eI`J`K-AWDkj^$K(^iv-=KZ{+SSw3H}DHxkN|(Pr&tosf_++Og?=I)T0*I05OM}8 zB~R(($%#87Hsq5SnDB71;As+~--p3*3i)9I12+^apEjfO^7CT}z~0&1Q9N|36XQk+Xn`RIop_$pnn>)#5w1^T4L~*&Z-#q5Y0{9;ULlSjY8a=Xa{O=09)VK zn5>S;*hpz2$76Vv)R2qyOaw&(LqqYkULlsiYfk!R1#STQ=LXjq!f^(g+*sR=Gfy~O zxcn=7=^EWHfoJKS4Jt;2g5%H6iLWK*6kECw==USSQBEXz>*5M|3t-bAB7=I;HdRDj zGpx8=L9!k^lZ!Ghdl1X8XC%MJEe#$B@1l}J+(W5~B8_jBXSxfyQM*Q1kd6m!?!x=6 z0jyv-|CZl983KiYT>R3r!Qz7YYW=DjLQf_d=83W@yK@jgg5PP=?r4T1zICvSSyXVn z>)Y(uNokQ`)NA^VLtIQB&}Gn+aXXjjvwEXT1C`Pc8(gzY ziGuV8F?8(t-WU_gn$4q58*WTNAU1?C&^kTt=}KQhh$7pJRg&eMh1wI903U^jFuL&= zHqd@VAev_?<))AE>Hf#+`n7NG&!;@LayD~*WYH0k=*t7XA|#^CQTSqg0h0=PAwA{- z7wJ{k@5&rjI*X1)Xo*$9Kb8Dwba~US&_u3UxVT-K2ji@&7ioyHlCG`sz z;3bn;ieSGkpb6gKot^oi-A2~GOPkcVOHA3aNRxBr6;PF`NSS5i#=b}&>zmNOzQCn0 z=XkfjOPN#pJ{wc*=UIlOVpn(hbI-_1(h@NBOt{F+9;&E3%KgE*bp5&V)l+Ha|AVge zb%-?KKja%+NulpH|BVX*n3nTbE(r4BCB@(PD7^0h?->4tkAj)mxxeyJfQg0uS3U~R zy^DY2qu@GhF8muG{ReGwp;8d&LxL=m8@;-WUh$w;yr^^k32iP_Gpl3wJLL(GdEn1h z_+UG=K1;UHZ=qO`##xH}?Jf+gH_1Ya9Aj2$&W)TIHES|-Q_;LDbRk@xYP(L|dzg&~ zl<8KeDroaf5l-E)#eB!F538)3&PrB}PI0=}q;+B`gUL6JVzrN!=ptVP>5UF&Tsz|C zv(KALem=@?b9D8ch zaMU3E>|>pfqX1;QzI&8swg|!v+3h|B9t}i;OMLh1CXbtns(bc&bQ2d=CrJ1955mT5 z4la#xaqPOZ0h!JS=k80eHRLg~URQlcq_M0wL$IV@Y-@SFwEg0fDlq0?RQ#iz>DJDA ze7yVnhr@RH&z_yj;HlwtCAhn!LgxuY-MpVfFaoGC zE|hBp`l?iJx4JH+T&NJ*eT&5{GEXxpwviS6(c7p_Kj;bGEHF=UQin3O;uc^xK&s1>haA& zn_~^)>=S!ViO;p-!10?~?FqkTtkv)(^3e=-+MLQ2OaZ~iJJj8d1pSrM9YB5|tFW-L zr{P8>3iJN$prbc(nbzi8z|$l|6Deq-5(44Ut`gsF25C&wVmPe?l#A%3RXSHGDsTqB zTM!MU;;#U;ICe}ap-sDycWlq#O3-Fc{2;K;`LVZ+N__X+3Tcfz5=_~x^V(!DhG!ej|({+UY1%Nlno!yYgrKd?DL|+ zGT8=QqW6YM!!&Q0<2<_=+^jDXXgnj@P}i!eb;YcQtSd|j6zMAFu~U5iT;ixLmTLx- z=8F@vnn-CwDom~IXOgdH09IWhT#lT`0p$y0Ez5K-@EvRBBb%h$@?f!cjO5WEvffiHB9-cJEzU0SEzI+&S(mLheEj_S$q0r-8@82{*= zO%+}sS?XEr9M#9v5!a~CMyQINqYd!SX4e8N@#fLo@xB_%A>=t)IGy3cXI^p?gxd0x zDh86WCe@FD`u-`(=0jub%xRljNjm;FxfE|6?_6H+7~{a1trFrH0mwW@0VUVj9^cS_ z?IGS(Wfu1;0n@?tAMZ95FQR(q9DrWR4GGipLsKh+L)QYfte@q|eSaf=pY(H|jq6u| z0(ufqC1IdZ<>1e)HXkZ&T54w|Q?=NAzwGnc`Tby`MC#&$KE}=V0PO;=!u^3 z4=x11+mCKis! z7+HC=0wy-ZJRVGn8JXDJ86x4;xC8D^z+1f*7|{<4eTgh4?w9>Ob3P!wjmSJCE?vql zW*#5BvHtSata*%jO}+&H;5H#v_;QdkDjgfo@YR--rolsyUsl)hdGCabP2BR9W8Wr> zC6ls`EG+%-WdOBFz$+36nmb3=NCadRReTnRu9k1OKI2y^`-Pq3%a^A%CArUWAGkCl zA|7>Y#U~f7E6xm_Jqd{w{aC$wbjLHQ;x(sH^an7@bY9)k;r`3+(bZ#cLCD5GA+6!p z@%`xL8A|T1o(&mb6rI+*eT1Xpdq!N{=Brp}*{8F1iTJW%_*&=U3(p#Pg5(e1L?#cU z=w%!}F>nPmo$qeXchXH=CgA?{Fhzgkyc39So@16%z8P3l+C8-_qY(39oJ&U2#?2?_ z@$;rpvzv~f;7!B8^qPjDbL@_+hHp<$$~EJ=G0`d12b!(DlslZspi}jxU!923eR@?F zt8tGvjL!}l`=>6d-?q!|p_SFT9|>}L7FO`zwGPiN5%6f;4oThI57Gov2Dk?to}IeIpr^|7eP^UVh`n=mv7JAFo}wNnAWyScW(F>2vdR(}9Oe*tn1!OPm!OLPWNuh1pITYA<`^eCDq?1P3CRP98 zh8dfLUzl&e(~rE+Qp1*a-YG;*qn3n8TBTy)yt+}x?eNq$@B6mTC1m8keGdkI-?}$I z$If}>hD%k~@H7R@c`d)#M|JHhdReuD-zXJ8K+lAbl&o!3bqh{GS5juVD{r@1lXV6S zqcpbB3ZHHQD0tiUi!)}Hu^=F6T08UilMgP%m|9uSzE0qsCFS7NfFb`Z8iwYN882{M z(bO@vbj+_JV7Y8%?~+k5u<`pC&(H(hPtSW^@pFrs@2YNKL}uR{6`gQ=##s^(-4Y&I z&)WCQV2~y;i}yATlab?HJkgZe?xAaHzHyaY8fVxApY2}yybnqmx@}taeWV(Be`~(s zxe=QCwpSq{?e*C5G3EV-#qh7(f_G3_!PFKep^W~$f;QCdxuAwMxwO&gA7lbw@yuY^ z;IpGk`r*;<2uFGgXxTzi=C_)Eq(2%UVOBls8~1KbCj#tV>-a8pT`{Ao_J;0F-+?h> zEAOzFCv~IqyZiF0-Z6B zRD#D>9(>#`^$BzcE}mg>ngepBx1!58_6*n4Qo!L2Hxy;vUYtBxdxnJZ7zM4M@Q2jQ z{0@=}zR#h`_5l$#snNUqJ}v26{lV_ZDQ6(B@4KfgJ;5 zBRb9qUQt7_Buq1c6zO~(g3SU4t0lDkKyUwnH98DC%e+io5UODSHdQAc%m?>F+ab+5~?K|p;!`rEX;#(l_&&3 ziYyW2MIHiRVkO$-{YM;%PWwlff&WoV!b0$G-WZQW=idqF|rNBC5;gaS&)GohUu;z{%&enmfjI?>~9TJUrE3uwbeJ zKm4T%pogHK$WVCf>cqK&VHS#E^EAIX=fr=MSl>ypf* zn=R(7e1SUShMVJkw}aLCDNMCJsItfp=U)aX?wUsn;tWJ(2R)&r&29JY>CBN1-Vmr} z#=B#G-lf9|Oulm4>-1B6t<#9<@f!<^T|Qep zSTh2)9Uf+vh%(lEOqh0lD#u*KbTQX-J6TscCQWXNVsD8LDDWN7movt?zM6D&I3I^y zhsoyZ68!bS$KqkKc2)vl3i?*F)@SF*u3lbflG4n!JMi8qE;R^COn2j_(hAlqh7Q1s z_?a0~jHY^BcgE)hZd5)RDa2wXKRA4}N}boY@>vih250|#mIrS)Zr;{U3m`juL7wud zf=SYjmCwBU*qP1_e67XAjHXJI?a>;hRqoKB%y}omk61w@7ii1f!Zj8J9%qnOUU(s< zQJx#*Ze1WVcKbGQWSmvjH^;99Hrd%%O@YjLTHz}gIY<5)esl#0sx)o!9Eo=!KrnZF01RPOLw+xg8`O5BILCb1}T zM+{@;iEDak5wBko-Nc9n*fKTMAq`>YSC3Ck#}aKa`l%T4fm7&b8D$2IeX=-b9*7$w zk2kcLdiNyEM{GeXZu{dIe3aJtsLLziku%GA8vS5ar)55cFQ8FDg>3s%K-p|G=?{Xl zBWoAhv`Efu)i0C+T?5sJb0fdMehQmDoH=`~Y{@}KNGtHSe2TK$VE)mE4lr_KXjs8K z=R9_UzjWxnQOLQow-yFvtX{U=ud$82t6L8CR!m%FRo2VyGKW!kN-t7kJQrpA_H>$@ z&x1I?(J77^Xk2Zp#cXX9?$dWx(0{x(J|b|A?OukQ+W4r z+fh|N;Cfy|s!Ov@6yM6dU+MOiach9cE&)T;cjbvF9jpDSZ=gpJUSosQ)h?XbmgaE< zdLelr&0nm`XHH;vyloXs%oBEvzEt#Kv)8m3UyYZLgh|Ra=+1g4V@j{tvBMpm9^2}% zL3@si+{(Z0gD3Y()c0jQQQ&U$R^|3)%nNPq9Q!AcYb%I45ewA6Q=m9uZoFlSDZlhq+PO(C51~|fR)%>AT`6a$e*qr(w8Ps_ou$MW+_~Gw#8t@a+$r*D3%p7PnGJ zxJ8n5qs?lFpSksQTx}|G51T~+*XOQ690LOXi@LWCi|Xs&{fCs2?pkzrNH@}*3eu$r zh?D`+Atj=8qjZOe(xsq?2uKPNBGMr#`CEfNpXYm?-*f!$T<1FLa&HHiy=JY=Ftg{i z?)QCzVS*}~J3Wjjr^asGc$nI@^*yL&W}E~{3(p&W?TiJI(;9{NIchB=Z+Lny%(wFD zRZ2u_wU*b@xi$x(vikhrl5)=c;hjB5-U%2O{|XBMT#NiGECg28Hh+btAONl_{|%Y~ z`0oE#XbR5VtN#K`!Su}RU!f^DgC!(?gQkCYC;!YS0wI6|oPx-m5OOCxGx*<7r$KA+ zhXajoqApN6S)E6-^tn#D;Pv~)7!J-z>p3=EJ7pd?Dcm4ED9oe9N-wQ&BpNS_Bc zeyFKqOWQ@HvVpf=hUhwLozD$Et2Ovg5d9!2wo~{tbkn)son6xO>fI8M%x}9TjiOLc z&?Fc_(3;6l@*te~En4rgOMbN5{PQ+uaEA))TX5g3kd(ZGbLnm$#&ZwFn)qj`=;dXt zWNB9!=7AX>acL=0V#dOteY9}~-q(-M%mjH^)f3vT>3kt@4$=n1AsYr2?O7$(jeaNd2uV<>MA59=~BcNhz(&Lq?(Tdrlq4S&2%c&3Zgdb(vho<)_>BV^#xH+ zdD+mASG&=elzCslcwuE9IY+xHuZI@l&~RbWQo<-nm72c!W_-t+l&o1O^VFWC=CP%W zX$>0C%tO3Sc%g^5>0B5db3zi?XKXF<6*O18t+_ERmcRD7=Do7akh;`I_o>I1cqeqP z1vwSn$z1~aN^__T%!w+i%|so`B(+N@JRe^5GGB3UUkwlfSGK#bpL@!7W9ciN zJT;yR{c<^PjPi0rn^vjiY&;XjD!_QhC;24T;f=iGvoR7f^0^Ax6}nf?{ky;BC)*v$ zvbq2>2hp8DJMM9_tqt8(Z)4ATuSimP`~)#^+%OB7VNDJ&eNQZWEmTMsA5+1~cIe@E zgmH{?cq5}8U%7NwKfl2xutuDs$iX@_))DmPr|gv~c@s0RxST;z^kKm6)ik|30T3Ou z8N}2`%G|osz*M4L^%P~$Ua%tARFBjc=hY-88>CS6PojWJXHPgIF5Z77LlsvQ5h$!4nNmZRb?A@J{WVIg{4{F8MDej!+2oVtXd$ z(1B<~ZpHAI)$Kzgo4dZL^-bfjd^{ob0A1tG1ankEjez$Z+z$h3T6MNL#kLi_^?gAJ zULdYOP!(1E<{kggsD^{U4Jlsx{8pm5C5@oe_tbjU{$b!@^-Gbf<~PD}J`z(3UA+_b zG-qQ6TT)IjKCiiJa$Y0|gy!To41dL9<#vpCjzU+_=$KU4x6i;XGr5b^>?kDh3tX66 zOrNwb!V^`})VpdGTu3MzNNM86k(N%4&gO7Wm=VNYRQ4|GnWnvQx3seQ!#2ico4Y~T zg{5ut8}xkYHa-!c@(Y%ecXi9xZ-Nri>BlraS+8ckNh!Mzq7!jR7+!NL1|9OQiD>VR zN;q$o(O}N8+zKjuSTiW@{-C_o{h$w2rSE!6YJV}y1twfA zDDy34Wxu2bnwGZ=lFOQwHgNfr?83XLpEb1(Z#c9QP^oK;taB;d1<=%SV4pNFxTMC+ zuj}lW=}^{i-vM5$e^yujnS94K8t@NP9@02N{UxkGWqCXMSDi}BoHdU2EuX;L+WL>n zie#XvN5IfL>MOpG^MlwA-;NLh>UZ;t%h5zra^K54y81T*vAYqU@EN=ZnFC6h+=HK^ zmbI*S$KaUE6Bd`T@jt?40@Whv=xivG3Io(8J~J{7-cLHaQG_JoYC5|&4-6fz!;I9~ z#Vt}%XP1EBw2Z>47dVYAgS&*{7EUgS6>Sg$?}3}OO&#y(Wt8l1hx$ycyEKl98HCg6 zda6Edp%F-~y8wWwcIQ`g9FI9%7U7nG_|G$uwalD}O4M{W@qXyMI3x)HWgL1otzG?x zYNq!emf#SHn3`L8VG#HP#AfGJe%R;W0|uT6)2HZU(sCx2&QUMk3dlQ$r&ctz?qTD} zsvD-f`~mQleII7!l(b&hpp$!2{DEMux$(k-+>YZrVf}(4wLt@5=!3G1zj%B8Sl(NU z+n@7$W-}0^l=kj-nC4Gy)D6h4TghN!%*!FSH$iyiToqos(4k0ZQms4Qr1cHtc_{P1S z+<-wx53^TK@UQpn>=WzXiAW_=*0X<5-88fG6DX*dCv@rgT&{$uM1_6KsQv2`RK1rb z_wu_IeujJ`l?C#yW&9GAT&j1_GZMf5%6!t^Q#HE9DW~F6iYxU^*3b`Xiq?M-k*b?}d7z|7)`}lBVo%mGN?sf&Vj|eC{jbr{{zmrQP^>k)M7C0bLA`Ma8)TSR1hbI%H;h$7In4Q_ag!^AHlqu{%^jX}+(tsjt3{T+Yu2kzT}>6Lwe zb2%eNR==)~?YqXTPm$2g;*_gPhJQr~R?!+2_0b%4R(r0o&7lD$4 z@S&waL&LOsaxUIe0iD?1l+$%sCMG!~a>^5NOD-E*JyF0UH+=uZAZ4Q?tL0Fw8Tw z*CU4dPzxK|b0sp8@*~yAfe191PUVUG{N;s=QxDUFcoW4DQcqG8r>Ge(l($y@q0mGs zJ9km;DV=}&zlu6{i~i#f<^QdyQ~BuMclG$U7w5k}wtMiM-=Pf!rqd!HxgK#YVQLHd zpU3^<#ra>317r=`4s9|e_^D5EuIs))Yp)Ht(}l(1xt{0{HmE|j`yPlg*f@zt4J{9? zAxiy5w3Ylh6EDkHRJnXUdvS{+vF9JzN;O1)v9(@x6SFA`EVIXLrx}y21T=BZuhpUtmte5*jM?f;+6Vx>7YWKdVpJ9Dp zoZ~kWh3`85Y?--Ua!H~y73~qQGRd@y=O!N1ai#ad-L~*C^ib=bCk%D*1-~B0RF@|K z&Kaf~)-AmxL?4VklAbjx{1hM6>6*0g3ZedR=}}8g6p-fHnY6Pgb>e&vi(sUmE-8#p zR#$FZyx94wK{NJ2O)R+YJ5}fUFu16+V>+Wz_mZVT?1^)E^PIXS>rp~@!-yBSErKPV z$np4k_7~3tI*<6_eL+;jY6n_d>jiX#8UIBwut$w$F+KmXOJm%U2>nw!O4{o(qo*&XqBi+}iawqUb8fi4r8AA# zFW25-gUSkq1O1)DxNNNxu~#MGmAwABmr^Aq2<=>zvm7L+R6y}TQ&+GM=R;bmw=8+@ zLcE0OnV5F4+D-3VEeWMO8nXm+&*@b!Z(O&_qc!95vogwzBE~)n^FwHTGD_udBwzFd zl+q;xQF#Sr-ohgL)6Oxh8H|qK-k7&ja+k-sA1>eg?c&xH9fkF_RH1=;O7Q*Q8!w!>rC6a-i+4GLxlzR#*kLmr-{4 zP{YG?`aC?|<{0D{_|hmz>3KvB*Hn*&m#T?@Av0`$jr2k;Lfu|UGd1Ezt8tjRhD5`* zj(m2wJ_M13AbNq+<#d7*JSb;aN1wqldl-qAABYYiLa7{wF zRz%}JyT6OM&C;xg_Ud!n(H2+|!lfPJIcN#?sdaN;bdFJ{2*i0M(1$RR5Pk4LrwI!T z3u+w8C_JnEzRMIK7D{HJc*l6*qaR7XgW|*8MfzKM;2ugM9oBka$WT7rtfF%?WoDe{ z?Owj@mglb3f;j2-t^!;iUpoRZUKFs?UGL-z%o z0N3%|ODB@JMK8Qk?0L4P&&a40^Y5zz-TLFvyQ6mtHFC^yNS{2tx}kP)D}@#FeVPw} zc{E*Q08nv!X#VYLR&IJH3i&Pk`ecH*nE>vXn8YtX4C(F*3cJxwdzf@RX|WK_zfTXzcx0I0&HY%3t9iprxb#D-$6kLO= ziGPEnzz<$Q{tc3XjLfXRLQ+^=)bdwIihM_caYMp0)ChzKl4^<~cbAYmG2~8sChosM z&g+xz&%&bS)ELUU)by=AR!8%B=~$*@bX_CM@@T%PR)DRt;UM-OIHXEaxj_`3E+Pr( zhm+rEsXCZ;Smj2!z3IUu-oD3Ja@RHL&<85~;zn_6VI5^>RbMJ1>n^>z7!+#=PD!4j z9@XE#I3nv}UnyP8pSr-e)JtkcJvvh4$YOoj57>;$vLuS-UENFn?lPDx;ablg38OX z@OPIB3Vz1PF@9IU-=~jbxOuaZveewiEwaEn>e^$p{;tfQO1zl)oUSJ1Q39Z zxGmAzXUVA!z5S@X-!b~1)DZXl`NV5ZA-1uu)& zmVo~wDgU>d7hY!hS;%?0Ze6(1?)bR5X9L8(6NtHBUD|DRZ&#yW8rve)B!6~I1^@kL zo<$SEq0k!O#k}`Utq8YL&zQ@%gRJGaok@{c$^`s8e$YQW(ZVwiX#9?eNNCHr7Y(v* zlYh`ZJ&H{s3aVsUAg~-HHH(eZ20dBZ`N!{g3spLXDV8q}8AZGd6|ee5y*=z)*13o7 zbOSiQ^NfGru3f81eTQwa>fPx?#sTN;`3Ln$`qs~ms4ww=_vOv{Pp;Q0Z+p-2xfTcw zY9CZvNlygjP*ZVeXFSj}1ZoiB3Z=0l?QLaL3qiC!~-!<&)GUCvG~$)X~Bl$~Cdo8(0< z8I+_te}J|3)7+8lU^;eIML#WWNHN4Aucn~QD=L4kmhplg9yh+Ai0j4rJP=!h**Z3) zZjC-xzvTsjA1CLxP3@nNQ5##$u5gNY#rBTO2*_!8y=?v_s;q7f`oGSsGAOFV6}2)1 zM>U}8jxpT9C&QZ9ztwL(TZhIk4w&!=0Mqr#IVSlHUUec##kE1!!n|AgZ^9e*U&V&^eZ91*45x=YtohdRNTPG#4^6FWqFm<<{xkrb+cYh%3dgmqZox21`l4?W@Gz^LlvcyydNzA)?;a@FHM4E*=jajCNVK{dl2(-{0l(CB@vQ~d;((! zCWyI3C7wL5JLZagJo|%9(DZR)b~_GGRPEb9A@VOOf=1Xd4Rl~ovCD@icfK1uq+pda zc-GFyEvI7Q&Mho`Ehw|Bw;xQeZqtj1TLwQV8X6}Kk0RvJjuFync^yr%_YEcDVAh4dt!V9HZo3D;kps{UWVh`}m z&~jP1j4dBhNa)z!0ZF~XN2HntAz274K?yBu*W}_?ZC_V~!<#z4Z9!d@JXk(vk4`Hj zoAlXdQtKKq>G&afaFLu-$p72T87yM`;5_h)MOf&%Z$jY9&xStDLLq5Z#8#T0Q$Br2vBTp-%k<(OXGA~-D7qEZcJ?9AsklUB zY&;@z23W3n9i!_-GxL}xT1B!5fDSyHY_2ayoz_n`55=TClncY3j&3S?1&Lirsj@LQJEyP zLhEO+nSzllD#ZF+D2WIQL?FU*5QqqC1jTti5G0gQG4c83P%8l&%^(}!)*cL8Kh8h27y2kgE4V05+aQy zpJflw}qEM7jZ<%Xrj=*6&=v>_-;f2$?S zE08F*{&ip9&}Sr&9D}1Chv(rpjf7Ike@eN(BWt)XKy>%pU=ofBrwxI?g&Q97WL|^^ z;s+v7&r{7WAVbaJG{x#}ga4_JM3!{_Hq(SGQpM61sguNkSvW`_iL{%9y(6Kd^UXk5 ztqeC;*r{6^0s{&qp;Qu{Ok@xcl2SrUdB-my8Cl?+mX-kplbOh3?wnkbTnHqe=T-Fd z{EJ3H$z&T+F$ss*mxI87Dc;a{G7tfv^W;B*NhFmW8Xlkib%>0j`fWT(3iXBOj1mP3 zM4<|l^PEb;@$lpzi@p%B=nHtiMAryqN$8*8t{bzVk8Y(6(B_$(^)J?*N`i&@& z>PagrWJxz{c6QKh6Nx3Aon4|)qGRCm21z9Yp=Sb|F0Al|ToS3}eFFP`YKL{al#bhCpn=sUua% zKeyo*JMd%xC{Er*$|zw&ibYRO5eRA;I(npa0#cOB3|L@;UanA=SvJ@+_1^C)VKL?BMm?;T(KWpy zPin5JhfczNHKeGE|K1Z|s`H9@q`|@h+sP%XZQ|OSKu;#8#&MPSpP>bV{!0OJAVZ#l zU8WiBzM`*Fodm~(mrrx-x~wiWPDkSV4AVX@U9fhLZyr=?gj%Q^uEEm1e!WD_g@K6q z^-cu_CBI`Jvl@|_9j0S#bx~5g# zjPZun+Ms~GyX!1Cxv)E->LZWBiw`oA=`klUVI=yK!)-G2`zGLQ!^UA7qu}%t(=Uli z135V|J(4BaS6B*uM=`)9F_-*XZSZD~&(yj8s}#qFz+|JJO! z1rWbIxh+WDee5Fjn%E=6tqKdjjlZA0KXKF)r_{B=xe(a$E5seE;_XLV`cjfA|oLZ8`3>cUA&WgO=!E62ZV(JIDSjKgC$-a_!6z!|tIiT54 zwpwRLJhHs|bw?V|9>tXv4Ky?bm#|}4x86d`2TeDH@Vx$r?eO4B0gtycAeoU^{iWR#N`*6 z>^EDM9|MyE?o7H|3@3>moaZ>-gmy%UJa?i~>9r}{mWn4UBTc~FNqVP*&?`~#)r8ku zJ|7cX(rb>FtG>5?nf07-Veh9X2u@uSnK|6#Jp%04Fi}M2R=&86b@b?L&h^z1UM73F z1B!ibNVADQpY&ZBfes1++p(6JO|_E>;labZ_S`>dwZYU=q13ujLq6-sm6Xq=!&G9f zHXZ>_aNf>7y~~IK2x~5W z)=0ZSR9_M2_=;D$CMQZQxI`!9sQv8c^LO5(wIF!8*R14+$jf@nAhw)0sIK0*!HWUW zQDoPQ26BGM>$3wj>6pEblS;)?{w(q&_tSNLGEh^C6}|v=UQO4ro?Ds#2`BwiQ7ucA zUYcjeiH65HG9h2~4oh+3O5%uYSb`Qkz}!QX2d3z5Z4a?-zhJnpYW5=2;>W^1qjUiN zmCJb=9m@dkNOF<<#8*~~?A4=*&xbJ-S7(;r7PEnWR*jeBj zZ@u=5gmsTf$C1ggOYXu8P!YD9vcvL1fK)EzDsA?hCChDQv6HT=w&Kq(IC$J>R|9Sg z&(>Pr_}ml_jXUBGm|-k%ndz3l^2LW8``zs#=3lm0=R;xiV=#bL-aC6PNR zc_5o z$SUhs$xH?>$6Me0Hf0QXdT4aT&z$NHz!`dklDGtwuYcg>TN7Pr(l@>(`I1p^D5MCW z&}~}24+s3TN8AaqCd+CU8*N*kmAW|De@W)HZA2Mu%Q~ZUGqweLIU3&-{10{&dXx+* z+RHrYp57>&DPRnA(xy4C^@aHtOI`76PpyZqdw%bvdH+6t?-8iH{QPc(oQc@Co9k0o z4MBMRg|bJIOJTl;gGBraSqsGRarlfl7&=L=Z{jcf$Z`jz6M4>Glme(US!pQV*I(mJ z-{LM`H{s{YsurFI3vd+zEprmRuD!Smv~?l*?^xcN?O2HB$7hSqq5l|A)ikoW1v2#* z?b%4CGx;^|8jhBdCy`C$%F*cq~bf^2q)MaUtgB*Idb#q-61uet zcA_u3{xDj3yq})xfIU~+!Kt@&hs#Q(&gq+P9(vBwzFTIxs2^T?akZ19 zs1*1nUR2Je#ftTI(c2c3D z_r-CIFSPUlSS!`XU;X^jDYClTH~oCoQls>qIm`ivR2vpuQ8bZ&fDy};y7=@haitg? z@&r=sOJ#D`=hRSv_+a0si*57iugAvq{G^WbE_7hhZJLd5`rEg;=+YEc113zbIny;2 zyo7kxD4BdIO8J$dM@|z}>;-2<=tf!nZeUvU`Oo@QBgqA~_V4g{RXftb@ST~jGhdi5 zFJs>ln*(?sSd5LgQmL@K5V4w#=GAagycUx?CBLk#(-_5o`#=j|;dm)Gy_YeUsUCRh zk85SxQwd*;rY6-B4I6gDk}Vk%!3!1^H7I~eop=UURd&LDCGpfvaVPxEK-{xy ze6wkI1ZYKBTa{>FU)FdpeK=8+ZHnb}8cSO*Z3eF(yJ6&>xU+3Ks^k_6kbLk+4M%;e zGp0;&;66dxMf{F|SCR*-L#ZU=oON#&G=St`_Q^O!g4#vQ&y-rHXwzHGNJc1oDLB1F1D5O43k&}|fwA^Ot@SdklEs?!LWkhvo^ z^>gL!3w=GkRO^QeIoJIYo#JoV*O&kA|{PlD)ae3A9^X(5yAu5uaPAS6xOag-88iEhyTCs*?ODN_F$?dYxP;mLs^Zw)(z8z+>^@~RMW>bN`Lv2MnOg;7Hup4rYH45%x~c#Ambh$a>Msm> zHvPn$vWXoMVXn8Q;2r) z{?FszwlQKsM#G4JUP@Nu*oNBk@{eO1QnzLad8N#5NA`bSbqWr92wD*`=`ZJ{4HU(9 z9C9VEof*AL&8gb+4Oy4Ca>=Gj&KPL;%@5!UhE@?>O2VWPotjyNj)KzWj$?&Jjgr1}*KjetgK)whLR4k3+tXqSpDsb`WuM<$@EZ*>=BP9?ISad>uZlg{wYvw^h(v8MJ5@)2NoRp8pg)S`-NT*FY9XgAHXL#y?4 zr(Q$}H$|xQh}{Fcd@ZP4&!{-HXJI=rJ4$*l@=B?M^1$B0Dc;YFDD!@EAmv`&dnqoB zOhVW9QI(L+gW$x}?qv$m%QuV4t2<6{E&@qi8++F$NoDn092ySEt?%Y(IK&lA?*+yd z4x^D#aH#=p1K&j{ZELTQpFDLvbd0SVDCy0+G#r}O0%yV!xDBVlbVO8YK?8xcmv~{v zr=R>P39|$@`fl7S`W4rkxz{mL1YCXmBU=e*HEWkv*Rco`?b9*n4b1$5LZ4SpeP?2o zRtI5ay%T%bN@{u)6I=A!@kIm1DbHVa4USAGXz9D&N@oUDogW$R?VO41xv7f3NGaJs zk;tE25b%$fvBV@%Y417)HmMm-nR(+3JzKjXXPdYwnFET={VcqK>Niqp!gE4JYU3&fP#W#6}1xMf^|$|`4x zt~olBu$2HOaVad;G|cf$mMbzIqyD{R`z%)+STd$4t`i4F4W5XA>}^U#cgNX2ojXZc zcx*1qcb`xHKuDD~e8;@VE9(*X5X2>BmwsB_#Uz!`v##Y7ke2s}ZQGP=eY4Qg zw*!FPgUph~fkj+`lt9Y7!vL8%D~IIT#=wl{o8~fUSNK5gtv>n*T2tT@-uuzmBA;GJ zKQq5|bDO=olZ=%oti2n|FR+M9>gT-g+ay;Fn_Q+hc1gs}D?g=@GPViqoVY+h?@{og zrBgI>^t;t%E9v$t31SUP7p}Y@Qu`QuE}?{AAORO;4$Lu!1P)0nf0wi)4L9NS zEffMUb3kJ}C@j3JqN0kTss{1lpSo_yDv|0@SE!&wf=X{6*c*hEh`X?}dw~$_z}vo% z9sQP2!U0098!U^8f#4E~Cn2ar)^$VeBt(?3pc_giQAd6gN=UFUkce{P3+!dm8i6(i z4JX?W04bRKS1mgfh^`iliAzY&2BW2* z|vYi9UCs6D7yTBW=$wX-Fnv$BG4GDR33zSD9OT1g#kgXl| z)Dd_to<#etodj=@4e7aw#I*1G`=JZ&$o?3FU7Gg}r@i1U@8`rzAAL zg_Mv`!XBC6#*7>_OB)nYTEnz~90Xv84GAi_kl|Wz*21cqI>_`X18DP#q?6!x(iU{y zgbLDYzP}}vK~SX(TL`itLXnI-47PCCWke3*!oL;-VDHh<(J)-ApbEJvaPFXhTo`~6 zTX4$o&#JlYLfh=@xz#Hi+PuA{H40)O2Z00E1K{7+xpRWO1v>mITV8=45$A+;G z|J+c3?0hvKWOhdQ{KGOjBA*4SJ5dn+GiO}LjI1*(1R@ar@(b~hAL;(1?V*gXpMSdw z<-a7S?-l>YAxbD`h%+#I{@qHH|4yP96x-R4*YH)d;H-{ru=6;B(YBj!Cfl7C1g!6- z6Z-ZWq*QWSd=3;e8&rHd*j!XJ02)l1q^5S4_#Xdof6Hb|ZGEB=D;@w9{9{u)xB7BO z<3Wd+kc2_#Q^L{v(oE$9BE~Jo+--_%;u~e}^V)hIhU0ga6~Hh;{l74ClhuqBkprs=3f(A2LRT%SBNh!Je@ zeuZUMo`f2orGC+cUuj>8kVvJgXkU716x8e}r=AWjGc%%pL5QAUr#M}{zwSV|ddXM> zt)NwF`w<|0W#`#qbMz?C^z((YBy{aTq1zpn-SIEJr|~Hck+P5hn=e2xNtQ>r>98TUeo-YHF5aJ&I6j(PXBiC=s_G@2+l^%pZ< zxg!{&y7yHt{LsSv!AHesAn7^-L*V0^WNaLYY<$Ch!*WNq2ZZFVYw-%fmIA%~@<71* zflxjjnd`&=0xTpsI%H#XyAii;ej#A0wfvMshAzt65#bq|_rpbuS?^4nR2g>J~bo zg6Y$y4_r%z*>=}NJ9ArihYS?Q(Fv!(U2+hxfok6xDyZ4j8JQ**x-Wh-C25|Q>8O61 zV^BFnH^l;`$nW>~j_Hc8|JeIzsm#?|M4B7$o@VFWQ_FV-^}?lxfGWj^`bESOT`ROO zikqIiQ$32)$<3@^8uChJwAAuTqd|EDH_7WOTBL>PCpS?Z@bVV2jrmHXDF(}?S?d;M zFAai_ey@S!?vrRu1BVVYQD6ERcD<|UH$ISr6)57(O5eE(dKs=<)u#>RbVJz{$&_w+ zwUm8k)Z{Md=c;XSOCd}R-oA3I<& zqd3z*s<8LR>#7vocRpu#%ve@WgrYdiqx?uW{Q^{hHv?ntUH7CmZHwX_zDdcVmI`l~ z19tcBXSuW5NyWj!fKi@>!-@9cgUIXF6k~n*~jbQsY;~Rlnswb$FY3ux7bWzld+Fu zRwOx`htZ`3+ET8^E4upJPVzL}V%Pu1W$EaD+@ZW3^O2lZ1eX=v7EroJPhssI@)|fY zuCo-gg(TA+ekYhQC|!XagDv4h8vSLZDW*Nk|&-F^YyB_U?4hd zvD!bf@_is}Y&hHhEbW|g{;#iyXJ_bVVF}3B$WoMlBhr6f6#sd_{4a<8qw@U!9oLKk z-vIxo&T|36Pxue<5$@znOkw)nUkD=bfd)H&BZvTLOY=993SPpuzrT@G0I%2nN>TxI zZ~rSv1<+FHuOt<~#n!))ROC5_I!~Dy__i#Iq@Hrfojh`ROcdl`?`r6yf5T1J#9;plL%$9-IMsP=h!?>Os(fC_9G{|c^D7zj_6u(Bp` z9l2TVS1Uy}Wq!6D{o$9bo4Y4?Gt6^^%%*qyMIdkd5xO z$eSxO($~f>%cX#!t&Jn`xLC>ZtNJ*L$JK$$y5K$cn*`qV$2*qwBy&A?l-Aq4B8|r$ zBO;#=PnL_D45tDHc@N)5>Go06razxaEusEoYnp4z!ciH1J{^21WD)lrxRPY@D(m^! z#_ox#>E5!yMuYqv#(VdYy&E}xv{ZO9oB_p|UspGknJ0LRBZ_tIXRftssT93!c)#eu zu93A)UOfRCrf_F{)R;F$@F$9ymk*qE-fKvOo;uk-J?jFj;Lc5W?H0gjKxV!@6zsFv zUY9s#q9?H$pM0Dnp7TO@4yWIpl5JWsh|I9Uy8Ubx)Vp+m{c6go+_dMl{W- zPtt&>U{T0CNDN2L$3Ig2RBzIb#f)y0c~X-M+KMu`$p)q*f&0mi~!D-hMd{1iSBSeiq988jk`8ei0@S1`DUhV~ir0C~Kz^4L;sm+?Cr z0rUEsF8CJ4zW{|(mg-;t|#kr zGX|{90D^>Xmybq)eUNlBr%?&F8_zAin9S~&)+%Nr!~ z#;4*{SS?qYzge_{edYx2aBn@g%XERcgxE>fS6&Y)cBH?-J%VqKCmebn1OFF0uQQOpIr)&WJn{55hT-%Jw@i#Y@B7JtGT<4mu-qo`-; zjUxk#PZR*rwcJuV$GgimtO~YFu?D65KB_@#6TDnG*6pva1Rkh>D{Gz6cqKFve7UL9 zf>?WO!u3JXBVl1=o<4fLv(eFnfP~cFCQj8Yq>xm7BOwWk_em{J%RG0A8u7r`5le2P z3~)}Y@7oDVN9pgNXHRwnSOU>kc{^=hbpD8QB~T*tvjdbxsdT|>UlNr)pQayrPsc`$ zE^f#ZRXJ!%N*q1l7*PS{S5?rx(TPYW?)d0qslOU>@VHE5Cp6g1IQyww6G+Sgu9d^v zA}y1oUd;23@vkd_!rE5P z%bO1fiCGkMOo5qq&@uv(h&d!Cp{sX`IJCZ#Pbh8|SHsQfY2V;J+Z_GZVz>xLAa zmWyv>T-8Uu#`N11bVHi>q)$vfn!EM^Q`Of!15p(Vds3!$H$p~Eskof)6;+H1iE5e! zl)l&!1Je4Q3Du3CmN6-Ae40Noz83r>q4WI=pOAvKLuxC!yb>^qYiwJr)4W!>QnJWN zuxNy@u2<92`GLVczvvy4^^HV&L(bGNv%{s)_!OUH9gcl+29=l9P1 zKq{4-yTsKGk6*NHTwFb5a_IeP;C#OZIt7FzQnCJDGLBxhEd{Jm2^ev!K52Qw+_Kv88UuDP2>a7sa4$KpP~BDZtuo>}qs z3!FD_b`Kx=@{5p?qj?RTUFHeBgrgv(^{6dxa- zJL|>rhGO>k7B;~p1)yT!e9I^6`{D)~zHQCdUnCTIFbm(E7S%(Uj*UZG0|a>j$Zz>Klcv|G;N!ySO=AxhIKTF0u9_4zU&M z6iH4MOy&`(;L|!Ar$^w#F<=u=adi)i$Zs2)-4nW&^z!u&bV9+)`ryj5GE?8j=8*|S z4R5FRPdVf*oaz`ZnN;+1yKL;Lf0zXe2GA(A}oO0q_zH4karB8e!0#$N+QBe8Dy{CEL z9h&mBOms?~z1#-fjI7pAKb9j*;8;T&RyCZw8z)x50S+gRhJl@1cywz08v<25zt%48 z+cRru*rwOt51-+11BvGpss;|XA~K8HKd+$R)39pTI_H(Y?)*edBezV={t`%-rEk;m zbnWS)ND=KRh3$kdc)#b@UZvrly5tc0ag+?)jsL`|VGIq*va6PP?Rl&|`%%!0Z?o7r z+)c}QKf$X7jP57C8k+sF0%Wc3CS^aX9zQ^xV-qw8c=@`u^X>8`4xlLOT9UlnG`K^< zBjpm9xs1ob;}gHMh0h%t-%e=XCkwVN{3>XlB#YQ*(OdqNlqzf`63S!#I$?|K3)ELa zSb1KE3z&byyz^r3%PQ6g^ncWqNQ|TbuMRb5;H9$`+)#nvo^$fq}sx(OJ)ab3J6icOW#Sgj;bA0#VY2K$Kb|5M}Uoc_0E&k%Pd6 z2AoLI+lzV_cnv`tO!9^V6W#|BOe#n)f9IA%AI>fG-{2CN-`0|o{`rDYC+1gW$nCZV8$jz!|)=T4N;(sxaW0KOBNI~)U>^VDJ&AyRQ>f5#6AcL~S=qR-xX+VuAg6?2 z3%cjSu?ZkM7lfS%UoA)|zd zvVRqNyl%izZNhB}35S2e8{0Vuv>k{j+YkWiD1R#{|H&{vgwsC5g-<>Jpqdf`>M5C7 zSp4@d{$Z0)LaC>xZve-5oHrNbe0(}+WeRKGe?wblDP^SnYga6;x;hjv>%cO1J-t9=DiN#@sx5=6@^nJV&4PXa6q*uQL;^F?@P=iNm^J<{F2d2bWQ=KyaJIS;Le_lYYI2R;uiF?8z27JC z+4N%fT`NyFdE(r$1or$escI05DGjF`a~!VEWW3F#dEFieyym*Bc82@xn+osX~h_SmTW<$zxZK?)~T)L#1S}y7fKg!5a87%f$a!M3<<%l%7>; zgNP+Z9dACDAj?zgE%wt(^8!m?CNt|tj@+kmFSp{Z0-AwWv@@Lt>nkm{IOblbWvIMw z0~EanM^hG(lzOhEi%BF8{7Y>UCR51?$C!_&O_kDC|q(r*pK^mnI>5`HZX+c6lLK>t~K)O=|q@|Pwk&qBnx*J5gOAwGc zdw}=*`S4rs&$G^2=bUx^IQMctFmt&dW)9UA4fj8nMKlui2!HgF5>`Qj!mA=1BY5uC%LN$ zGq+IRi)1|`EmjB*6g2_BS426kAokKR{|yQE-0u8S!xqOur3SW9-n z`DCW;fMRJ@ZVkxh`$U^xcK+`5lM3F(RjQpP{;@vsP3*UbLE9KYzYq;zB4eOP?pYy= z7k%30s?kR4fmbS^;}t(MIhQix%4>}R^7*wmLtKZl9jzxfhEU>W`L^gNvBbSugoKYI z?3c~ULD6<#1H<77s$gGW%#aSs2+3SA8(*W=D$(QDpC1=Ma{M9T3YyALzET6cm5mYJ z1=<-5baPo{DLi6k;h42?hCcv7cZJzMx&vp`9)*C^4EJt*!q>e)Y#XBCi4}y-j`?gb z>Bh^hOe2IAd;BXG?OS-{-O^p*1UqX#>74GnN6frGftX$ztiCBtx>o;BwLxg74GxQJ zsGRgdCjn|Vhr)`m7ohR3@Kr%(Jl_7|IXZ)6;W*mkUmt=f(pW=-(Px9tuwcLw)UE_!-< zq|HGy(f{y~|Ie3tswzuLBEas!+^ry;02JpR1kkVqr#qpzO#yw{{Z|xvL7ys6OkCoN zR9ErX*b5xq#2p@4bXRekybB!O2?-ugZ(qgb>MwA(b0|D0*{G5d|mtkpSr|D z<*worniu$&OI$|jD*nmx0{?M|qpDrSJzrkn^Otz@4L7}5#|^8@FhMIb`@9l zy1*wd@wd@eai-V{eDD%~l5iDA&AY(=d4G^5U&Y7kF7SiP{fE-7;+1_D_>If;i^;x< ze^|W0|5+cU{HyrqQPM|L6S^@bM~cB5;B4UG6VY zcNJGqy1+XwafGI;_e;QyPSV{@hbih3;TTi z|9O4ntX;*qZe8Gum-`!TU&V3mUEu$mAE|y{#rI?{@PFPPlYg$_BYIcoPddAbr#W2U zJC}c70~xw*`>zNHy1@G`ae`}CahKE!yzvt6#lDKmm0jRHm(Nc)-c=my+XbF*v5b&) z`&E2m@&fiDmzRnR{$_}A1b_yuoY{Fl_~Wmfe5^ehY}4o`zh zzz0eAAT{0kZwb-SFJid%bJQ-^#^ z7@RQk*tc}pdZvzzmwRN#UzJK#)MTDj0k*2^(^KLME3=Qpcn-zK(yh);u5$)yQ01@@ zNty(+-vheF<9Ficy+@HCd$mDk9zC1__Z@9+GiNG>e*elwDFa|irXQ*CVF%}WRFLQ| z9q*6flX1>(3(4MMeB*mZ)bwNqnEiYjZh&H!e!A~pAUIJq4l{6>L{_dmBn0U_(U} zx2ElMU4C{|$Tr^AZlIX2H2!JzNHX#wMRik56Oc?Fd8|{V-m-!yhX=k zvrz-K)px2es74o$cz=D-by2Ma9!DvLcvKtDlJ!Dc@tA^qa7G3k=5+ z-ohRuzXR+{CuSr=bMJG?Dk(E;`6GuX`G!OPundrrsJcbg@q$GjE#5!ie0cG7zv$Nu{p(^}D4G!Khs`?rri zbZ)gJ4>M>s{ayv^u>GJ}dvfCveKYG{$im-E?sdqUF>$z9-TmO!D}1B~1O=0tYD3=% z3fy89!#kw-And3;sF&XBpBLc$^xm(0L@@W}C*tI*2GQ;uc_w;dJFo8B%s#7>dC6Mb zqMF$^`&|J=dDXql?{X^AqJe&7Ov1LfY)Q*^wsnZUI4yAC(m@>hS+7@M6#i#Vm1x|! zSEubOv#$k6&^yf(JaS`HN0cR2fLlX`)-~%pa_(;lO{SxX-qq*c=xVMT*S&4QOfq~8 z()^x=$oCiAQ|?|H`ATMF^>ewL@D18z9tq1gueJBFE;tIH?PlzE+bk{2Ep2d9NJ03y zU%K3pTe*!@ir$aLcl*JZAp7~ZA4tdcH$O$ID-N$jEnA)D5hoAC1mc2JEU* z3E1_#tG)AQ6#F|J7%x?mLf4s<@(XfXGdv*B|6Tgo`Jo?kFQvn7Ax?Uw_`E_k=nr-pyeq1)hLTiK z_B~$a=3UXEZfOAnF1tXDQ3--K;b1KCz47dx;=-R37CwDn|AMkl1L!y`e1e)5_8y6q z^&OxGgCnM8pI0ag8I?dG-uBqCd7y9uv^!7J}hp zga-kU)oZ_QM>H(%+%~i7*b8W%!{WYgkS+Yly0`~4eC(YYh}pARj{iEhO{`KgJU$%~ z-@ks_;?vC`NB^D$FiF?A;lh&LSxhFzqR)cPS36$AOdc!(gzB!Gm9!Zmhp+XdEX%NMZ8Y_K8TD-dQ;ch zefu{!Kth+6b$h+VQ0GT|TL#fG=@FMbnhsCWKE0?(($=98F#X_Nzm-;X%RjR5Vc(F^ z!)?{a=}Ry?P1o@3QYs-?TM#p}cW{k}RH(9jZ5J>L%J?LgGKxHX-*2*YCHQTRTw6zTpU#^cqGm4g(? zN?|tNUV*3fL0fwmfKm6wyTbN8V%FGh3SphJuRrG25jm928wat;X;|(;Bur@Z&=Ll@ zf|8|uQQy$$Zx|}^TR}Z@|G2Emy50did3WH~V#vvhm#Dr_{+wNbM#Fe!(?(d`^m)*C zCN?+k1w>Nt$j)<$PKcTLE3etNdEc;E9O}0{bCd#d&zxdUnI34G0P~>G#)BIS_k>g| zoPC05G;_;mw|0(rRGvBbzKUyD!2rziP^rU@l}%Q&sJ6a&=7g#4@ss<51%Z?+n+Egv zd>$ZTz@_rb&pAOUm!IJ|n4EVsJq4`!CDSL**s}_z=Wb8}ZYfzEi**d+=;q1k*|jZ1 zaxT@bfnC&_JgPR1W2+QAKuR_&s%+_0{CP-PEhdBa*PiL4o5pdSvzsF)*9kw$xPphM zF}E-xd$gzn3xCevI?yetrgB_;e*ZT{#t2hs#@!RJ@CTEW&CocG*gP_)V(-3;snZQY zQjk~pxp5SofQZooBsVR=sKpi3bo^u9eV0{pKSsr(u?mTfFQ}T{I8)Lz2itg@>h4=6 ztz$%l!;2(SH(oXX7Fmnn-?wN(D!W!s@d53axNcO^f}pigd+%*;R*}?^X_bV!0ista zxda>vDmuW(^UcR8M6Bzwde0oZ!oNeOYh@?jnCi~ad3;SntJn{q=G*We8gaQKk_U3S zFHkXXnki`$Dkx>~F=;~97I{wLYYsby&VY$fq&4|3vCr;ub z-Q1J=O4USkdA&1;B&;n=4__ujw&g639%*PfIG|moGo2gY78}SgbhvYjtDBpfJ9GmH zp4!xhfeXuFDC6Ys9}t+4ot+B>+&l)Z$bkD<;};c`l)x=xM*gS0-q<)aA(YI7O?txs zyvg3wKY|RXG9GG21J5okEw8MfYch}_GiwY?=nq}C*u%j&&uN|~H1Y5u=?O6j85g9{ z;JpS}$XvGBOM?rSp$k2sX&Ahi4;nyulun5KD$41*-Z(hG#h6romADBh!FSnduF?0i`^lbZ%~5!Iy7ski#?N z83TFjz#U`Y5{&^UhK5JSC!y>nl<4z@#2IN2Bbf#(Mus8iL*JdD4GG$iylZ|4PUm1{V@v98yp~ z&M=Vj0v_km3utFrZ%BEO1}QHZU?_0q1p^~=2NN{ox%`5enT3r@0WQ3NTf{@ci;Ki2 zq`Y`?4LVqTLnlaf;j0g&`=Dp!JfYceuDE~&PD3jPxx@zrL(eqfd0sOV3b;Q`WOQ@_ z)VZ>}0@|(88%6;oHqRZI;PQ*Q2DqRJp1wR!U@j~|)4-PC!!mpoSD@d{y_g_t9LO98 zigRzAo!#I27?^kjgm8;^Qo?_Gbhyq5p3_u)%86?K0{;GT_H#CRj_Bs@Zls3>e=qs?q-PkCBf*`RvUDIH zCP>@#RB8G(0?dE<3_uQ4(`PqfS)9{nqzLmc<>_#Qclq#ZwErc3Be+JM-~F#n-v6TY zfW$)ZDXL)q=R%Jvi~oCd9->>qfB2$s&NO6}_TPS%&Y&|Gr)x#mY4=yM$A5^svkLk$ z7l@OS*P2Q`3GFX*1r+qYk#R%rBw$X&&au41SWygGYjP(;Z|6}luCG-gNfRN(75SEt z{VJRdX@2{%8h0=TM4L&lrI?QF2 zlusvT6H*QnlTrQwZC~`MYO$xc3)8M^;)COrvup~Bo5zWHc0#-|jtb6VeC8sPFwsuj z`?!RU*qH%AVB*Ub$K1)<0s@j$u1{6ZmTJpP*alZVw(2YJb4i+mUTZ0)Tyq4wLzcu> zBW$C(zV^*MK5l#B-@d#2O*)h)$t`-MwvAKD~=2>83$Z^k7V& z=EXB3Q&#l__mHC*Z}k&^iEKVr_4@c{K;JLl=dV4BOP@$++f<{LCanhJJd(E`1fA$y zRz|E`TE5RzlgRS8gxl)2_}tw*NSLVy8kBbiQh@N+zVz#Cl^HUG&r^uUKPZ^TIOrKH z7Jg>KtuFe3tXcx5cHNd2(`4*|*>l24k1^-!yiluTZ+RO2>Q-lr6v+_-nt2DBl4H1Q z&KNy+xeOwQ?*$6hcC`Ek%(eF9S8IHl>MJZAUx;Ose?8QR3Ef#6Z=;mvHN(7PWjo|M=n z0AfRgiXn<91%a{;yQK3hfX#D;qhL^2#Vhajk=@%N0TAH9;kjk*^Ij5V&& z8YwsFa{WnP!#Y(BN=fB^QN4WZepEcT6eV$s5IkW*#x+k_?5leB=rp_-e9b3S-IB~) zdQ4>3J!ozvi32pARlgHm<6(b4{X>=tHDqrnA7@$Q)Fwu=HfFom?n4e}JZb9rBm6#$ z{raMJP^uu|y(7_y4)bka=O3aPBecepKxl#B`dK-{EArI-1_3AyMsbGCY3qc*oPbpzTyt>lFTh{iY6<@-a*z+ zDtf3feGh*;SA`9G&wJAk@Z$RA{B+>Fi>=49@uefJom5xR^rmFqJi@ivD55AiO8}de zmn8UfEFVx!g)sU$s8fC`byqTKj~69?Hk0l ztSU-t7R?#qNrVFhij6HTg^fEJJngdGIRrI*4LZiVDH^&OiD+?>X5PLOY@~(GGX1p% zjs(tkcC(hO^~XzEig_`Zh-M0ol>bGn0m(dqP0mlb|ElviKb>E=dH>7K{}+@V@Vp+J z(}d@LM4+!FeAY4}uAZf777I zRQ*Vdv6L&vuQ(XxR+Iu`NX`3*T@?FU1NlCtJSX2Z$~jwP^d>E>!h}DNJUPe$6$uQp zpkUnr*~)@@xE__dU_HY!x2H)xfU}P{pSwT}aHvMwctUausqu|a;MhG`&@w!WCC&b2 zS*XghS2#o(IDe`iyw;GOMW*W@^km|!0l~C`!c*=Ia^1JcD5Da*7r@nt2$XUL*+u81 zld2jz4N9j@BR;Z|k~W~~J<&KeAp&+p9}OPcxua9&{^laed1-XQS@U*N{syvY_eNz6 z{>vtCt6!BqsbW-z(BA{+E-21R{3w@mxBgQFLdIHV)~_dez_j=gOw(DxmF&;nN>YxZ zmMxWQ{s-(*+K5t8y7wEa+#pRe@+Mj-f>m3APp_61Q4&k4Dua0h(Lr^d9bxPT<0_Cj z{%}H=frQ9N$Lm1F-JX;zewCXpI#NdI^-@=G+R8Ox%kA~a#(DaY!uN+KW;w`od+)j( ztX~7c8R_hhXLWd$;1=tRtV;d651+abFxc`+7Vb%ui0Ra9CySKj-_yfoX9Rzmw_QWyGLvvU9bx$ATk z?t$|I@qzjoNG@PKQ?6kd30RvnZY36_Gy3q2*2%Hn*c8K{hxF(u8lXhwzW){>*kC)k zl(y(oOv($jiwr%c@8q~PmbbQlUmZl4s^H_}p@>s|J+r0}aWH#okljut;Y+H~Tg*~~ zSep)3NQx(WR_|xE&b!pBSk1}XF5jNprkl&VFE)#I%(KA`OvUn%91zjMFjFOY8+_f5 zpQ?7bcRbxeZB1Pwwssf~2U4_o+Mx>^#&l17*VI3s{GeBU=))t}gBGlrgFU9@y8uM5 z6|UjVP#rqK7W9<1-!m#2Xv039waB%U*62J^^x%M=EQ@`~&Nsi6l-ukdS>e+DS<-3~ z3X}OM10#`f^ma`F@d8-T%Uax1y6G_j4w~z5wER0Q1s_Ino@l*W_b4`OK*y^mRgVHN zL&~*nHdIyKc=|SlnBZ}fnx!-AX@!5(3IeJr-ZA~jzxk7<5TD(ou-f&Vfd$WA29e8R8}tCwPNTmn>L0Sz}7=$ycFCh;ERZt#gRWRz7q4=;`fv zegRO37V^z-F24EXu|m@;q*I@ z)$EzMXmm8{eExFfYp&O$fDs!l4t-(u@d~?4hTomQ172mU+_cH6ykIvwMN{lNXYh3w zv%xFsFpE5KvQlD2{55Esd+!;Qi^d58AIZE_+X8+Y^6VxC2wiWnZYUW9(Xn%qDUp(Ei~;ix2jN6{#=5hYQqD+7Wg-ka@yIjGZ=A!? zb36`L(=4q=} z>jI_;sa37rv+D0kAs?Py)YQCz#bXpI8IKt3+nn4WqY#shkV4P&-RDzf=eHR(-J>Q+ zMPsbOvi3Po^y&|T0Ismy_?8!BT)Sy{O38~M^0kYM{gxp89TWa)A!FAPV({(6(<}X; z`iwgb!zZf7$vrBoW63qIyN^_(d4!Bs))%}QM_}QWlx^Kaz@^Zt-9@6}mke*8nC)0t z-ZqIu&R_#g_3BafjV%m=*DRAau^74!kLeYkS_(;gs6D$YVE$PKL@Z$7{(k8HL;p3Q zH=eAc$A^UzET%h;RI>9w4NR_|-~nN$)QrIBnzv55wWUj^5=e{D488o8H<8kQ(#O67gGEa)CfSdOG$eA`Ldjdl zvgNGhf^ye(9-i6ox$PhAN_$&%&p~{*wnIh1U1_L z!Cz;#C1szuB<64=48sJ8r&}K=*(7d7v=Z|_t_8HoSzi{mSj}vmMH(%7Hxlrit+Z~j zH&Z#g#cbWMeA5D!7?@RFC4OiepPCJ+nmolI6Siu(9_M)&DFdq@ov1#WNgX%>Bew##+mbO`D+vc+ov7`2gd?SV)Y-u%&c2KvaodxdD9GL_YScLHD89uzOHLo z#$@4^?i}7l!X_YR<$m_ustkHx5V9#K>G=f}lH9~0yRQ>e4y#&km5yK;r$}KnNJXxf z16HkcPJRhXH{y!%wdfUtD&jLI)(C1xuI0}1gvAqf9y6vz=o$vb>Et=J;CL?tF})HY{14LmhQ39ZPsGalQ~$Di z4~$L2liuc)?34W=;1m?MN}Txf)TO@7?uDCA&JP;JtSMAnmVAhnDS)=Z21ss^2FWeF zVX&eG82+Wpa48g3ABWDl$}w*k`8X68=GNz;i>YZiIXSmKy@APyET zE`bCXPzVSLLWe3Ms-_EZco&WKC=4Mp>kADA+{D|=%)-*nFYMAPCKA$WoKuw1(QuX$ zuEBuCK|di82DlJmynYQyO)@JX5key*Lb$NAh7=f(27?gNU|fhWAeWf--hstUxJAqk zG{Y}A3A_tm(LHFMEAk6CTM2a=gvYs)_X#yMfaDjD{^H!r8t!Hd@3nX54(9_IWhmeZ z3{`q4MTyN??E;(aOzMSdbm&YVfYY9YXG%%_c?E6c`3Yr9CCu8 zfV3Cq<~Y$Gpx4=@I}DtsoQA=!{im1ZG%94p1b@(<^kGPFD<5UsuI|_nxJ0`eK3^BZ)CA^^})MF0@gOPi~U=;B6xS0k+ zgeXgBZiuL*_7Os1WR`^d=T14kf#I1s@Kiv)k+qRA?uQRWkff=!tnyPG zlnNLf9nDhmQ)obdO+qi#^9+DK3=MM2pN0|ufzxWRr~A`q_z1Az=`$7>EM$5a1`C}& z!}|Y_-UBknQP@7efG_$lqlW(!IA>q-sppsP)sQv$KjDG^@UsSe+j?BX2Gl3<19A!JX6khHqKPL2D5FKLe?ICk*lpH3y$E}eow2Aqpafpk zG>GLfdFmP^YnhcKCeU+RQk(UU)1+1sTq`B@j8~bqjBn=d>gLqIjto z9nb(68EX{c8@tj}UzPWW$=%<;4!iT*g`n-E?XW8xW1M~)9C$Wif16l9_C)^TvK;o* z%luP?LF;y|CiQ1;&KyhZ2ymRUq7u~kuzdHPPRr`FsfL~M$%dcgpbM0X3jSHH>CV2`Wsyxzr?Im+poNup0QF^Z&I%$~H0v61kK@1AhiBqY689qZ z_)#Hmwq1A~dT-PhV91wQPsr{t*Qn*<9#uK)WEixl+meysH%)VWL%ov&x7QeDM(^X_)$2W9P{T?4vp|H2A79@3o@m)e5P1-7tJIOD9 zPH{a|`lb9vPXUD{D=2ZOChytZNDySQDWw>`>gcY=Dw9-Z`Y9Y#ALg4ZmQxOgd*Kq@ zO$Jj-au0`zW?@zHZ$2%ccnrM56`km*HRboBmULgwGTQ(hi!#N|j$&`8h^g-ECJa8o z86&-EZ@IbsXJiH;W1bZ1{4A`_UWg+ZTuOJ05LU=gORHQ@=(Ib>Q-EH%8zY}G1r*Yh zo6quCn6w$kGSwORk|c2@8_7J8elIJPP-HBUodF}~ejZ`DU_!betV}PNxyB*U4M+k0^wYKL<0SSZInuQ+MbpfkCmCD$Ug&pByW=#s3$U&Nw!;GF2)bs& z5r${32pHPMjux14PV27*1|xBD@yo_(01J=*1O0eY?jQ8w+qN@q?O-UtefdYJ79AP; z8f^{`0GeMp7H=y?3j6O{P-Kd^|K5w~utZW*R~?BRyQ@un<_cu>zG2a8SsvGAKk{$= z+2bv2N3BCXT}(}as|60|3w{HYD*e=V5C6#HbnISN6>I;|bzSFs@5@}+HExEW?*XuW z(Da!7XCoTIqq{#`QA>d71CP*HHahk(XA#ladnq|98MvmW$Ytp3!t z!=Hj912u(S3uSyX+=v0$68qQohPew6^47l`v`DIZ_I=8sj=tVOD=3}(semF3C>PyR zau9oeGxK<^&Ur1g99J{Cm}iHx!!Jf5Uic}~E1>u3Y2vs>ms10NM7M+x+vhLW(zS>m zOHU-Vh4@IRWPPXjijWs8QA777GpD4dAg))pnv{E*iO}IpR)hZz#s8aD0c05XSFR91{fYm|nS%9=&A)P{02^vM{Ws1Ou&}cIl`{njiVy$F znF4rE=D%^KmvpDxv>9Br{2K;SfDelB;URobnil;xy0a5;eCKAL2RDDaUzgWmYIS5g zmyCT>*{FEK?eDh@&6NRKm@plq%N-og`Uv}Ft+#K&MOa>x3vvf;9Fbb;DN|zt>Ai8k zc)ki=m~0%sPJQP|DDQ6u7Ov~dRZ*jevZq)u;EZlNW?do}(ez?DU$QcKVXb@ok8=;{ zJ2{MRN1wCye1JqTbE+Si3(>SyjPE8m7V8lS!4{zAYne9C3kVrCKU{4z@|x07sBazG zbYO={J+=|{hnhzHUO27$32g`d9?&H?L>UoXug~G0rl#T824x8GXPBKRVUIc_tX`_) z4#(3+rs(mS?O}>8c~ZC~T?fj<=C`Q)%kWCncGtoy`%z1Yj_dxC7A(97 zzOu!T@Dz!#gJmmmApc#PpK6bisEi+DqiAoPe}rD?wF>uje+pKn=dk(^Gms$PpgJE^ z?{s}&N@v~)nE^d(HzD%1YjjB*2@C7qw{f6L)RFvTzVOFMR;Gbk>^GvztWb=OX>c&VK?)AzQjkyChxZC6(~Kh;EB zml5pv)_hh%_ZX64afq@Pku(i;*^+509AOe)1`yc8AjFi{f5v=L%nf34R1TIefjoVo}Nec zGdJK$Uk=W^|FzRvEUvN3f8K2Wc}He(oa^^c`^Q{QCA#E6_s{B2I*6ZB_X6ZH-cYiQ z)Oa%c;?0dZ+anpa-Ns#*<;Pkm5J{?0mlMPf5GqnR@=fGKJi*fRNKk9oUOH z>W<5nz1;xb+UdFTe;&=J@5$ZaU7MTt3GAt;jQJqaHo>O2#3mRCzOG%TV8)U)jQzBD z-^nQFXLK-YK`$E9{d@6g)tyo?jeuH6GoVJdc=nIx-D$d@+Ut4E@9I{NRXoFoC($Ux z_9dh$_wFW4 zibQ3fIEZewiG1PH2{jHM20Z$=2ax4!*}c^#akYI9QH(~HcMlM-$u-@>bLR;K6@f); zZt>a?@k4zJkC3>G>iTb8hpfCp5}EA_`+`rNhgAbsQw#sV*ZD&*0&$!8oI-M4>ntiZ z(fh%jX6Sgn)fy`llJ17nRNJ1A9bwg`P!aVB%2I$r#wZ zh~Ut*%IXCJu5X6UaPK-6HBa56ExmjS`%H7|o9A5vQhV3eWx`e8+bltOBlT6?AQ%e0!sE~uNfp;# zPM+!5uU#hQ-JwHHNEn=eGV#q4j8)pIf9Qx(M!5iqkj&8BereYrx^ZkDmw-V42-&*C zq@EHC3Gs;`Gm8ssv_#wny_oKx|2?55xy!m z5n0i@eTzp^C%Sy(56^?g<~C6&WnBmWTm5;#B%-3aTmHw%eWFLjTf2ru2QcK@EpO|w zEO{hR0L4AY&cWshY^lTrQaN$gei_%YIo~ftEAM@&fmL<8B2aVl$ttY-dU%S0M@22D z?UQsuARrn#i$UuhmGZvpx&&~^Evs7~V0_>muuY|F>yo}g9`|Mmi7=s*i%0Bfa<>G~ zwD*qh8sD=ceLpnEV)s4l%aKFb*Y+tgz`~chdw?Pd@=Nh)-ykbJX1F8Nc|1!g7nL1V zyQ;kmlg}vq1Y?#|0YRleM#s=GA-Q~Xr=W9q5$`6W!i&T=9h)sbQRqYsY(NA$IiF@J zil9jU9BT4iuMVPoG_6N2J?Py0PSK5P-vNlvF5k7a#!d_ks_)kiZ7=zKG}Z&X;&e^I zf77vvE5(77s{U;RUPZr<@VJ)oJqmh$q0qt#Od)IMs+N_lUngXMMo2uczF9vqE@Kdd zmCNwcyqNoR$ysW?S;T0Rse?d1c=z@9L+wlo`jF(QImBPrY_~Y~J`I4(1I)nOX$HB3 zWKh+AhWaXX5=X%+9{;I{Z&-TYrmCiIcx+<%0+xuBRV=93RWtORyG}!QhttG2pz&M# z4{D}+@)~yK?Y#`f=4I1BtNZ61nS6|8!~yc$q6(3*yGi-DPyhkXFiSCpM+s#k=n6@^ zj`ps61hoPQRZJqk2!^cWT(q>lbAU~y?iRbw3^*U^I==eY{D+WFL0L0pW{1zv-YukI za0QEoQ&7?bDgpGLv0_`+No%=LgwU#53i^EIfDqfc&gM zgzkk3D3PJ&gIU?$FaUSOf#SS&6e=72P|^;$UPI-S5Qo_d6;t-X`XQT;0m$7O3W&d) z+=dD&Pode*pbgdlgCTgsVsF8apx==7VHZx<&=H^8dc)muFre?@1dxRc8&p(z?s5$; ztK{M$x_e$(c@Iun@(T*nfp14ZPuXm54ADr~w~DTc*Ka&!ESCQC11{RfH-l zA*1gQ$U6q&E4^XF@XmXX%{OEg1Go8x%rK#31>7tKI>d9b651EeReouKDrZqHe61VK zYbyWKfe`MR)7y7ZRe9caZ-1Wv?i6$B@C_X=WTk%V7B$??8VTNY4`Ltb;lThsI7Vn= zYJl|Z`Y_m?G#DP_nFCc;LPyNX%69J|RC1xJrVk@KudakQ+=D1f$S?*vUIU|Nu8>!Z zuirEb2J>%#p}c}efHw>l2yH?7Fjz3e%>Gkd83x@Bhl*0+#@-bWVF`DPfk(ABj1u1R z5>8g0+r>a+B}CMIZfKo>xJq~|LVLoiERmO?SZRPELOwCj69g}^Jg>5Z$}G=~y`l1x zJ^XW*Ylyl$H;RF`M}qsu19)Aj$bBj42M->=%XXpK$|D4In7lXa5MlS@sWVCf27nWm z5K{}UBh}D4rz~9{=TfL%*&Q-sf;dW4FE2PZ>6b|c%Qd7#Juj}zFG%|z_a$Vg&ELuJ9ku@N5s^jqv&1rT^yLy_fsH>>`2izpLkzIQq|jwYxCxzFN;|$9n!BAZC{A z{Ff@&IfK~%`S1J_b_oAtL;IiW{QubxxG)HkSyEY=U+0jEYdp+A7~v57Be8lH^-en_ z!VNwG98hMS^J;8QBs?O$S@?{mULw56&gQZ5%c0CWza0xHX&FI@C%0zI;j6)E8qaI5 z65Ioxd{1rhW-nd&w1tcV5_Eb%*W3oir@hD3=w3^>?rGPPOd9Wi&Tj}!cdjjpm&^Js z0hw=JeL&t;St%QW`zZTY|8O{x)BA(1}$nKH$y}?mTJ{EdtNktPUc%^me&4YgKM3t&AhV(=7DYJwWrs#I$xn&`#XoDG zfq=Jr;3Gb z(|cWmVGp@zY5;B}3BrK?efr}Z1BTWMgWmAw&D6eqiGiti( z;}V7k#00y|e%^dvXLiu~oz&F@ez$J`R5P!~a~;^Q4@b96UHA3%Un$|#qH)ymMSDCn z8(9j!2Oh5qkhj|wue+sG|_dGIPjV>(F2zT`R zq);%e_M~_k1rVb8&N!voK|05n?1vg^??xUPJf`=}lu-!Y;o<*KTqB#iZqfaOw~VU~ zJnDTNx9oYsizjK+vdCFBBQoMvNs8A~a?S7k6BGS0s0`xn;%(JoHWq8XWN5316FWEl*<_#{aTClr`TRS!^)f_@S^l8 z!^jy!#wMCmodR+ub3_^0HN0Fk2$=~3T9WHibT=P4{n)1ZBxEOwRu=^LVRb)aMrrU5 zWH)3`U+*~trxz8&1aFzN3x9Oz4hdiehZB9p8F+s_)Ow!0CjR9R^YQ+{upF`tHU_;x zp^-Ar9!Ps{x;((^WlQ|6HqXpo0LKZd+bWbPg_9D|az=NGaUN{%S)e9Zy(~E?46V;l z*CJB?E-A#TbgwHEKdc*XjCTU`%$g_UJv+HhaX=)c2}9rOHCJw;GJCbdGXD6p=WHw` znA9IVqq&dS$c@fKB&zzkw+D_S`NKV-a6zKn zp(4R`6bwG*9rI4r$0-1!URSHJ5Wnnryf;cNy=Cxy!JG-ZO~!CAS}o!|?w40U=-}uU zGe(77-ht_A!iyJYCE_Yf{q6*NI_#*zD@t)sLGkH2rafhAgl8oyDQjr!0&CV|b~=NN zC%=w-NzmW1Y=JV0(bu-0!qkx&`BU|rY0!u7D{%yF75q9~eA@pm;nV~25@k2;VvB!w z4>nt9(0n_c#C`q^dU9{e=*@Xw??&+r(Aglhrt2|v^C!V#dy0?&O3X`Lrlzzf1$U66 zdosp#$3SG$w~a4#CY+2@c$*|B(*5Q`=!)U}1e((=jBZpfRr!DkyAi1A`a%3?0?!qD z=g|iq&-9$ZCqm7dNru&2X;{&qu;w|Tia>Oe&fPevVJlatC5b0VQ%XK(drUkBrcgl; z#Sh`*u>b;Ozh!q0o}b3DKC6p^0YTD1jo?XL?D)T(5#2A(mj7}_JU?&#S25@RaxTsJ z-|bnC0DXS^H@gWpS%I^gg>ZHgYV!P7Y7m$|xA-eH2!bIexW7@P0FvoP>+(oQKaz2gNiworVHVXh9Npr89u1M2UYl><_)dk zJNINNY~#~0$DdX0p7zG$woKNd;`raAAMUhI6hFAi_+ygOVmZ?QXW)zQ z@r55A-vY&Tt9@JwRn$M|Kuw&sDSN&+-+BD@`K&Qri0Qz$XQrw{Jcev~HkMK?na_Y} z=z78p?Nhyu6kRvDw=9C(NJD#=uYXjo*>EKmn+;Ech6k*F1RI$q8yLF2uDJJ!*r(nR zr7~Z@edj)CtFrkO4-nJyuY1#VJzOSoB8mLK^hoKsL%WVL1{zN>Vlzp?U?ZTA>kFjs{kFXsCl)#vsO}j}0=4s4 zq>DucKAH{#+=~OX{nVXt=zPj5IrWEkKGVX!^K`%QAeQuv0iJS|Bttf#hjY$;xO_*? zoslUhe@wDxts_?)*yYYA!~>XECPtoZHPQ{kMC`sX9LGfQ#QA9Vx`WtnS&0rW^T~kg z>-bvL7-I?9Jk*p47B93&;>pAahVVqPcaP>1>iEmRZF~9#e!_hmPHOe}S2>tjVk;#* zDoxX+IiX!7&u>4w4LGv>NQr-955Ea!ypQiqf?DXYYc0K@E&p(`$h8BNG8Y`Gdaf|0 z)l7R#CDtxAAf`M#W#ex?IwUG>!nhyWlRF38hNO3*$HXg6zRFEdHZ0HeiSov#J2kP1 z7e&@txosE$VKGacub(>^>1JB*Q^lc0O{V)1-XCy14Q$el_P%z|16Yc4iX-~UzjCDD zDE&lD$EC;elrTM@T{5g%{vhJBg$anCk_)|W^$U9|lM=Tuv!sKmZHCoc=(_WnIz%+~X2y&jPoo8)#T+pD zg^IKzDcuL@y`wP*N>`4 zi8yoaF9~iQkDJ}(jHkHaml;YF+#oL@420TZ+6Y+m#G;rycE(Px&q#l__m*+_Cag8$~w;vY}30E-f88&@#hq`AIHGdLh=&sN{v#v>hl*Vft zE)-BWHiS6WoSRL07V%)h2ww;RycJD!xRVo8F}g4ObG_p|daMGwn5r9dP9{O&HvSBO z01$6k-J37dewP}V8Xo!8{$~lxA5!I~H>yeU?4DQ`3oZb%*V5n4tiF1MysK7VotX^N z7{XvGk=<{M*_pp)FQ+*Qj>+P1{8x!3mCc>q^S7wD<%5%}nIFZj<_`ZEtj)K8# z>RQ)%jm|I;OGp=z-BvaJKGu!>a6%*3i1Z@sFy+{(3}ga|;M99M*0Zu8sDvo4=@X>` zGL7U~Q0)$@m|6gC((*R#2D2kNJ`t-F(C`m|icEJHOf9T~-j^(FVG@$i+-BE)S-ZNm zPst~t2(%52Uj&6k<$T>{kkhyHPkJ}Bwab%Ug)O0$GCH-7c^CNCjG_=tB4kysaJpy| zafq81qfrS~L+867u7PU7TUuZq4g1sh<~|0mibii||o^ z#UkqJ`L1Vm|B0SiPBG3Co8-#5+x!};dcNQJ>D7uWfP|_>K-1{~o@e@lduou`awI0X zN{)B@Y2U4tEkbI2XAt{s^5~ROG3a$Z5-L4J#EhK~FbF=XUl);A*6rIuxB+CslCz4J zb|`s-CACa~v&wL3=q*z-8@e~o*epMkXQ=`0z=frU(hkDLQaPO7MBHBvY$hWy0$Tf* z9|Y~*v>ZwUocHW>?ft?J=*_L8-(=Kw6OqwKD;k?r&mof2h{<_>1sF`i>RLwYT#|}O z&1-wy(!uWrZ;3jmjL&Y~q!(9tYym2JSrwF2a!S#^EZ`bcyEKrls?V$YX`~mopJ?aL zF7ANqc+7mMRmcxa9zD!}D)$6LKR0nS-+#$Rf!w=n>ZYa((!1~R+ZC2q)voMcgPtfM zG0EWYH|bgV@5>iBBJ;?#fT@36N@c^hz7fppc&vgl%8gUxO#Euj;loGQnI!dtKY;Eh z-W8jNPkqC`tZd^%Czth-io7g1w$`iQRA~{ZgUU*}j&C^Lu?bEaoL@szOXD)qB%=rIUUVk@6%PMTVTD*gT<=dOj$=^L- zO?vv+_0BLjWf8L}Uf%k1f`m&H8IzcjzXKz>DWs%n=@Xd!p}2Vyka9S^{`wQ0hD$0c zKDnWf1aLj^e%035H}MCFqJEA-2w2vwoaR?OdX`={)Fu9b1(|n!M@ZSD)g&}yXTNs9 ztsZR3J*jJ|?{xTF^dMBWSmu^H3MJG~)UKdg??uiwA|@B$k(GCO8Cf{Ay7`-c_P+e9 z=r53v{zY^~^~f$ZA%pmH5I<_}_bR)r3xiZIEVGoH+VOKz&W~E5hod3G{Exju*nxzu zd2#C=mr_naZD$$^lX=De!P{GhRk61H`-^Twk&YXr1rZ5p38h3pP`X1>kq$w+l#o(N zIz?$jK`H4H>5wi#S_Gtj_u$^|^S;lsACKQ(-}~4z3zmCs)+`s}%sJ=vxvKG9LsyN0 z*xt1sn1^{K0W{lppZBbyTOH;%;4jo|P5Rr0;#l8PH`)%*0_2bYOkQ)@5n*Mf`T!os2t@hHQd!9+jvO&;UzUl4D zsjohqp#xI3=r?4tZyG;J{KELiuU*ftQMr5jq+G--fc*oBXyqW7qv3m0O~ezmE96-} zq-5dzYUKPCRlD&uGQh%ZWM<_ALWk)EWbQe6;c&#i^Qt)*S|7YOwNJv$FX@HIloApc zl8{M4+H&0pg%6RWtdb=>@xA{5K4V)4O-lG^2N!$zwZjzZ)TG3O`PEL&5b*qMwjp>Z zEDi=)BaQe@=_DdmW@VF|LdjRJi~sJzhY-o`F9;v%f%EkuU-Utshw6tjV<2SG2L)iM z`_RzH$k_NXB2^+rCE`?`DwRk*J1YhoJe@Uy7A4#U#S2$h5JbWVYslUZ7%6ZS_C@^5 zq!bnr5s^gnNhna7nwpy3GeQv{3D(e_w04Fy-Eb4AOF{sd28BtZzl6y;6e4xHDT1FP4O(L1q5JEN~UG-pSH8G@*?d_ettACYqr$Jj1ZoBP+LhZn1*9V0K z0VG6_@SFWK6zaeTMTwNM9~~W^U}4cRFhX4tA(6;ng(=ifnS@RCPV2g1Z$Zc(xp}YN zP*Q~n>4Op&2$h3sBL-67jgT?zIuuF=PO1xk3e2w7)6<8u8$c#$3}d=2k@#waM4k$i z(46!^;rvzI{R}qxd;UD(Eo`gz8$lwe)lis(Q&z$0KEP=|`k*inVG{mzAP}~pp=oX& z8j~=p8tGpNjmZ@_;B^V~L~+hRKNpcD;ign@Q)=Yzlg0?TlJFZkI4LHq??!A%$RA-* zJHlU?n4u6Wi%`g$$T%(UhSns}X<;|qS4}NjC`zWGFi+DGkoi2PP$<-6IH{KpY|{rH zef|<9k%oc+0fA35a$%YGYxsw6;14Q5C}iR940uEnEibS5*awYCBnKYxk>U2^@I8YJ za$;h#4qnv2Wg7V`&cKalXOa5$-_-Tn_x0bXp9l`v9sx;C0Fd}2UNRh{a6nxY$%Kb? zGBkD}ofH=j@W+*qI1NgWl4=Y!3o$}N-GIMZFqRwkx0IDvxo?~GxIu4f3=I{Hl%i)F zPwk_bn@*i@pjpIB>HWr&?}|<@wEhN$Cnw@)e_aIsKTPC?C6>xCFC32lU1ItF&(Ji8 z>>1*nxteL`+>vP;-PEwr&t3SIotAv3B3{D0n+F%%kxY|#^h{ec9=C0;!?$+L%-egz zmhC-vXxNl-3+;t;U^lSlx=%fiKTMh*WLJ^%nQu1j4k2k-?$QJ65JI_THt?%bK|Ra$ z39A7*ovh^O4IJZhLJ<}1sZY^lWXNz+Jj6i1C|Q&B0{;7A?V~%?eSS~*L-HTaew9dF zIykw_75>s5)a4(=&uI_wuDz1E5@;jbYBv9LS|O>Fudbs1agN>Pr(jC=K@G-@Clwl& zAwoj0-Sizq!@MOo3ZC8?m6+;YEB^|H=F*67Z*N=n)Wuh`Sm5RHr1B1olgEFlHFG+= z@u`{~)P*v8eRj=i#(q-X)0KO0FwCtcW)CO|8^)>lhw2@cf%&zSUT>^I>Eclz_Z3 z!lDy##x?m&7Ydx=Ba{J)>`t%*x^1k+jo9YNZ(E?RF0F~;mf(?B>1JoUr44J-3hrA8 zMuC?Nj7u_7AFC6<4{}%&$NkyMErj<~YRa;=ob$!a4MlWE&s9$GZhX|Jlu$&~`x-Irlo@wi) zv%F8!>)yA{W0fC628j!{?HgTK`2mqk>Nf`G53#hku>nc3H{^c+)CfD#9NAO#kT&iM zFKEEFmD*bU_XowItlf;#Gv7k*_}^-L`%F%)z_poLEj_mftlZ2X?w*tFbx7)xA6cM% z^zm5}8+0*JF3?f1D1U9e39e=r5Hg(+b8@b^p`6}r3kTM4?^!Juqh)BXzqgRXyYO zRNL(#(Rx%y`PwKac)QH`*fm+z>Ell;`&iZq7e3jK-i%est|I0YrqXTX)qp3z__JA5 zN_=S-%{0}SnrnrtL(3r!R{n=v_6LqdMv0&X2UEp$Hu-&42<7|u_+6Viwc6{qqwvI( z$V6ySb>y_5f})XTgz!ip_~4%7-mXuEbRo&bVQqf`wz7f)3r9Uwpv#lVc|J}&3%~jm z!%oB=yX^!`(>qO0#@>{(mV)aWe&AVH&AMxX%&;Z*?s?gVc2C34%6{ChIjiAhZn#g> z{Yo5^OjwWff2l)n>Fi)C!_?rZdv(TY06fBdr#gTMBbq6^psvc&R}=}+LS)2 zUEK8|$x_@RWU)$yiJB#!69Sh? zeHG1lE-b|QoeNOmlDsHd6ye-HTRSMv&DxO{P3H|5Qz?wonnZWM4|ZYXde!Kkk0;dQ z8T8tElRvFtChLI#T6%{pcvA%e_Y2c8161rr)m*1GOl6IeI92p1`3Y~r(h26T(WcYeY>jf>#T4URXmyMNT1-p-9m~36&q+ZxNqAuK# z!hO6a{4Y-VuOs5$4D%nH^}i0^lwVQV|Fq@b4D&y>bp3aRi3C9-46_Acm^kpx?4JN2 zV1wrqe*%C&PF~@UAQV`@bEQ9lP!JsQ6)`Pon+=LILcX{YMar{38V! zrux)6?CpU-OHE{@g{-uvs{V;zzTt}Mm~j(S^}f0=`LXm%7FM8LioZ}LL;T$p8+j$3 zW;VG02;BaRa%e8xIECVCNZIHa36hgrf5}h zxI}mnm&?H-Aq%LkaV$EYd|Cdsrc$@N1A>-Ei{P1b*M<<6keHu^3id0@2kqh4UC^Z?1h79rq8HUuM3v$Qzpye*6ok zV>V{oUI_T9F2&Sq6SK=cuaRsxdyd7w?07r3F$(*&;k~8?-SH2g{d#Osz(j^gY;UNPXVMm#yI4 zlm~?ZwMq^8(T`NPHwcE*oTtuJ_Qpnw6AWh+x4)P43a0}cFBtiS6R5mjnAWPb8^+3C zv5=&!&0~3Raldwn`?a_j(BPqQeNFE(#-pRfFD$^YGP?)5-z&w8VH`|WslSq3XLLv|eP)e81LWstB5B(j z?jB$-QOPyz_^l`fse8pOq-=O)b`<$g9RhAvtkshkg0F@LoOpb)97Rtj5{t(*tRj7W zDfr!+V|N0wyou{r%8p&@EX3QUI+UVyp8`Ex$?LZ{=r>oe%{{Ze`Xf;(0Ij)@2N8}EDuMSE!BrB8W2$tUkpMhvel?z@L- z+rH~8;&W-{z)Mil1J5%R^RMZ)l<&vTIJ8^JVTNc1(>(XkPCCew+4}MHI1%&^@3)OJ z&2YR5I#j0>(KiU&YjekRMsbJaMkPy75MKc-f>IBnLMXRa%>*063Z>iQbpeN zn41HpvmYEIWW|!X#L13I>;}}$7(i+v!4rEPbn;bAn_C_^R@Z%JW{CEMVzpmh7!V9` zXetI&!pyd9kDk6;zNRu;;*j{_@=g3Ep=4X$`-)`Q1A2)*0OKs~=jmZJ%Jo5vmqb?& z_2}~V&gvx}o8YgXS(N-`eGM?!TV_eJyv1_8o(3vAxj#RD-S515Am~Z$DmOI_geBM40uyqvw3WlkzZCjMI(4Wr4m-PqBy0LO@XJ6UvOAb zZr=zVA^Yu;j%jw~nA$-!ee>`}w--MN&piUc;m?-K1v#IZkcFx~O?7|vGtoY`p366K z;Kbf#Qz)PTEa_l!@+qsMUOiyp?;e}x;NsTQH}fxAK;yi`Ba@L|0KSZ}s{~m_#$**$ zRBsc4D{;7_WYiZG)y%CwpXa>emkQExFI_RG(J_3S`g!3*LcsIIPV3!vuMJ`xa+}}6B;m#Mjh!?3y6!#A~!Lz5VUfX>+5s`WbtE+w0Q$W3(} z17jz@r=`1MG8&Fa-ApXvw!opPdU)cl{zFIaoQXwj0-Fzw1kcZsYlg?YE-ZQ9HHryl zA{cWY2$R}qTL}!<7vS6vaw_@BEzhK=;SmnYBeeLwv^Nqrl;(h;CcyTd_@D1GO{h>SvZ98Evy@`8cRfCK zj^a{^yJU~;lEUsts6NoHz4HcRS*Lq@@0kGHALcVv+-O;{yj!4StPxM@zS?Z|{WV($&zoq>OhfKQ&Ef zj)in{7RfctfzL4xo2*huL_VSS7rtb5ldYG%9DEMlmjs1h(wFuRvICxsS|)3olgw*! zZk-PmybA3~?&cYFplF12jM6I>mV`l2Rqx=^D!WN|`}iuRgi+P}%KFYBHj6>T>%xu$ z30qeXUtalvO<;V%Z*Ui#fSFA-_QylUPR2CJYB#Eu9&Eh?&^&s;Q}UMewpV7gSz|Xo zz2v)o^YGVS9)2ekzE!cz>j1V7j+oelt{Ir74U%(e_|K4Y$=?Z*y6OAz%f679TJixv z$2%)z9a~sc@dmyD`K`xjmo;=8f-CB~`mltQ)J^WY1Z^Ln2!zEHZe@eI_RoV$ zSZ6Qz1y&Ew{t}Y9V=&1mXBkPgsUD;D(=+WDFu88-rUbl5{YuCw7uL+EUBgNg;@Wlfg zoBObV;FG8*h$v%W=;VvPC;g7Fv;~I11w@IfGr|vq&vBqC>(il{B=_ zhddP`5hW7x$O~tf*w`hZ7Kz}<)3nJnq{a(6i7;ytGD#$E5-H|}Z=;$T>`9=btMB3l zy+|lVB3k5M)!VR1rLP~+Zyp&Ch?H&TzlJa54SXR5MldGjHI^OKJ8v{0}bU;9; zV;zbNf&5Otn{vY6E_V+PZy&$pWHU>2$oR92urlDt%rcY1&&`9sK!t@REgfBEV%ShP zMsgF3AWWYMfbj!UCt{GNPo2;rM12^AN|+i!{iTtdUK0P$>JZwfy{ELX@ShaT!~Z0r z|4q>p|9Lvi>CEo`q-g%@g8ly}n*X=R{2vs}|Je@Q*7_w&KU{=W|17@6{M?+1Wzc-i zxW{bkj6dt0qx2q~^RzFy{x!vJ(i*Tt&hA)+ z6%M2XI^@KH;InUq2E!7PfbLS(@~QX*=lZ0V-#riU zzV)o1yHwH(_I~oqHd+kcF`Zu*^wPKKc`b8pkox&Iv;mRGH*L7O6(IZWBvTi@udXy^ zl#Jl7xil-{fTrFi;BSQAW<*=?QxhD-9L(5Oe7^kgDeLMri!HZy&XE;V&5)gryk)za zDwPiKbgJ@8RAF8=x?Cvb+Z~q^SXDfl$$DkSZYZ|lL1n5hIBy*iV=1|EE;jsui`%S-#ZRIM5amw%OZv3#Dyu9i@xHa8~vDh1m9fas5Hw#O^< z#{o9dEyN&|Jz-w0&sbLmotjX#IJGM@)#ewT-nNF`PJHQ=m;008?e^j7lm~xvB$OjpZ)ABeuqAZCs>xBB>{2 zzYIRRUYNFEl9m^bSp8ni`;<<@I^8<^-IKJz40f63Z;NIiH$BL`MUMSqtq{+ObofR& zZ8FtYx0vJH{)<;XV{T}3;{)?w z4>TYCOleX`d;TM7a)h6+eShiG&>bg?$=3U+6PA|1&ZlH;k?*ow z(32HQIt4=qv;j_A1}#;g?gR7ni}3m*N#MFd%R^R zX`Zu!gJir_2d?utYhlW6ro_QH##_I(EnEh(=kveYm*+jl0{$f@w%Fb6PWSIUJnNX$ zx~0OJJ@jf+S5GF0{g=8AMmUfYwN<#$RK`e>7RahDS(`IF*)499H1}PjUG)NawN5yg z*lAwrxYo}g$JTh`jEjK470#F01`mz=9|nK#bn(}j00o(xyITWnahBCm8kf=+1%6VH zTGMpOZ>du}Dp@$=F$YjT6~lI!nciN9B}K;!ggGtLgr0*Bl9@CvJKDOL<97q;?_+~yQl)ggBW~&W(Hwujbw7*XOk;jW=KymQXv3N|?e_6(%cXXV$-V5(UAo}M(*7lY zJz-CY!A{yinYK(S*&kcoqY3N6l>U(azVM*U<*YIl^I@+!CVYzj0Fmx!@Gcy3Onry? z2Y`8cu>D_(=D!_F|HhmDvE{pe$D2qWJNO@}3$7#@;eFOWu|Y5ggIxZ^1_34(_8%E3 zU}jtLGgtA8}q4ZDO_%*bi46xE!b10L<* z^St@_oj6Rp@}B8l_02wooWl1Es=8%1mbH9#4h(>Dom?>6yP*HNuobEM)onKA>xDu1 znKvuBG;j~knJ2LUqk<=w3_d-yn`_OKtk7#E_Dn3B&z}?77+bhxn^|r;1<)xhq{Gds~LBG(6dQp339bLI@-t{0Q}!HTn< z|7LsT_3oVcLY(3UcNWiryu-7>l)?1(s+`nZvW8?UXi$cw8~(=`)6I${_Ii}=fS`x9 zt48=)tja?dQ@!Swbp;hi)%?#A7JjN0@fqE_xCpe>i;XDT<=J#dV>gOY)Q%V*Zw-y| z2VGXGNjOu=AuI{pNH8SqIUTA$7iw{5$WXVBq>w~U5VXh_zGVAB)YFv!j)&))l4N^h zuz%1RUy3}}OYq*VzkfG*trjci`OWjp6<{EqmA|v?t8adJCP}LS@8&Iu%=nA%aSmk? zcqF*O7qWr=WwkuXHw6neZzMKZZ`B!Yllpz(Zuj-6c)~q-!WlaO9&Vf+P`! zo|BE8Z>ZM?459we>Zh>@U@yahgs}J4!5G;>vOap~&+?f?iwDsPUs*@nJuVty3j#CV zRW6%ySsxPFzOFM^D3AihFT4-ysAzPCpO9_Zs8q5|8nfa$ z6~qPx{Gd}z9%FH%Ne1>*mU=`ecdZxc8Eb*+W&!sz6H~3w}y!&Tso;&#F zYQ!+Fb$VU^O&^9>LE}tZLSn7l*|7ZMq%hw7>8P6C$0jl<$|W=k;8E15T%>OqK6if6 z9j$QeCxNrt_BURsao*tb6WODXv;}E5zD$iVODD$+$Q=!99Z!=H-CE+0M4K_5w9dQU zO8O2w@g^DJu@@M@y?iB?_CA^|PS2ZK3#&f8yhJbnT! z;?w)(_bn-?7L8Lf*tvQ8CshgO~ zN$V$iKT?CZyyX--Or+rz3Q1>ge8Yl*(~DCcrN9p1t$HBBq*+?b0ffdGZ2J)OWXb&zlrHfy~$xwB}%+ z<+|oQwo-8u^MsM|b&1|$0!@Pu*7Yf2ajHS=wCYF5-@qt zfvx8mTJUc4uA!-mHz+_qTl)k3@^uZ*pZq@0k_RsqY1x-zGpV@>88>S_{&5zNUobQs z&96Y^TJ2k*MTxpHvS$X|6z?Iw$LbZDyP}f=ifRX#Yd-Ix2$&t8mQLfdUzUtY|BmS% zKa77}RrhgxDX7Dvj)F!aCI8Kk#S3ON{ag~#w_kpaz@ziyYpc(0I{;?8{sZ&Go-b2b z{hy~-5N}+?R7|1!)FHagy(Neq{KOSpc6y-^T*$(~@6)y~Ca-Hz+c&p)j!E7%;ngvo zfVivzh#H3h?sVrew`;9iRl%om|p# zI%cteSJ<-`wfi<+-!0uDQi0e@i&y^nUB5gE`!_Ka+7^lR@(8tFUNs4dX=myAjxPr^ z8+8fKph=iVysRJO64cOZ-#k{0nqg3MeN?lHbwSw@IQvG|_m3~LC%$RwK4jpO)iRGx z$!=X{zL!6>M9L5r1M)t6rl7y3tf~DXgTt?U4^T1qp_#7EqA;&Oi4vD)3!wEgJj^P( z7yTl!IaL$;s7H5*luFLPIx?gDY>|mWk}!jCcBAU!EFb(C#|E@SL<@DD==ItK~%X5;VswXob}!J zEFp32{oGn1RU9gMMFU7n@wi;CZM2rR)T zVZ02~EkE{di)ejjg3%6nuRm;VW8;%Es@rz#;47$_gd|OYAG&Xe-h4f3ReSAGvHJiExZYU}zp3tx-qbT^bt^6Y6>p;`$ z9cw#}*rb}K)}1{}Tes-ENdpVtqW3+0%vV*+i|W7xHmjU_-L^(vRqxbwImOVb)YlkX zb23gSkDF@rI_V|ADeZ?(M$@vIZix%`JOz)m2g6v(^mn~!+)!KJiY+c4AbKk(_v73T zQu4bNF>$ZnjM7}cXCK<|`u+F@QoH@TdK*fTkiHE-P=gwTyb-Y^htp7mqod>FlMvfM zK8c3rC_wqQD~Yg4Yu7f*YX^W7e)x@K|#y| zd$u6nBvQqV6tGjJq#!bAPVUE3dlI^nP@X*XCfgrB#rZt=y9Z7k3Io!}T?Eq?xi^4i>*z@NcG$#=<311{NoQVd`Ogn}8?M+S3}Fm3ARQ(H7I>h7@m++8bN&$ zd4Es+$te^H>vz(m59<7B0ee6IVvGidgd$C+VA+}xitIGh_3r}ia@Z+#c;xHXarjau zd{C%Ua}v%u4W~lQ)S*zbbtueJVrhiJK`PeQU`B#>3yK6rdj2n8^89(&aEg{r{2JUs zA`OL-go~6B3MCCc0SKFfPs_lkWZ}-jP)!9D6$?lxAHv2`2%B_pIOY8i_`A5cxe<9h z_JNrJh<6M}nh^>E2}VG6F%wy3!CA9?P^cWZE^A)u-d|Uv=lZe?RxH$G;e8<-eHbKV7B&%{2exTD$V^ zvU5h*0BS1icU}7by-){E32kyDsr2eFmYy&W3@(W!M zD?P9!k^gB7<28yk^UO&L$`HYFFm8Vs7|UAGtF*w?6Jy*Fs)8@%%ce{|s~8oSB%>?P z1xQQEmMo++w1Z7`_x);AEhE^u9vEs%ifnb82~H#*%L1dNpa&uVW*@RH>?UUhlmiIh@G)%4M*nl=q6F+_W+x5jWxzod*qWiU5`(rjs z3^xxq&FJoz-Ftd4sG)=v7nUnr;b zR2t=QzYsQbF%iY0*%Pmd3e0U7u;FS$1MMbT@4H`x2IJ~cC*G}%&AvFDPcSK-a(@4X z(C(tq^g571rQJ`%{$yNV8OdXot25>j6>OzYd7PsDt4V0!0bVCKw3`0X%GUdaO~mB$ zha5_4G#lP(Htm=1ZDY|JN%xkzz_$tZ793$Vmzd12q2~kG*j8fu=wI-^8+oc&bS`)~ z2n!^B@$wVO!IupsGTZd&pkor7o{%>#ydT@>lN&&7q8|fn+A=luQ6eiO8aF1|GKe;m zo4EVghTh8uE{#{*_DgyIY^&HlPs+PJl~R0vm|#AY|A32SAd7f+F!v;>szgR30@Tla zCwbmAs`xN?K793%HZ4Q`qZlE|N6tipOvIIVniVA0N{gao$9behFVXIwH{|^4X!o>? z@9V(C;^yQr?s7bsdqJH;Tt44`jpp`}<#}4EI^Gz*)u4wB#@EtG$7^m`VAtnJEUNKY zPwslkX4^V>7^b#h-wJoSq`-^@zbl#PPq58WGNVDGEU6wC5)gPnT1%6>uQr(egfE_! zRe<1gN-?-i-FJ(n(kp*Vgxi|stq+s)(W5(JLdueC?}Y0<7j4M_zOU!r3~%!jweQ(1 z^S|0Je1jGCS=UYZz6o{45JlZx2VfV}zT)LV-g<~nd3O)zQNl5I^0EKksu;cBC;cC< zy^?2i&Cc<7(vbUM9F`4Y%-!w!cMThp@RS6sCs%3W;{Xk&3`+N z_ip{wO$Z)UL*P;MUx#b=6SRxJiRm99C+z(AM?whF;px+#2qCEd)bK}A3SdjfKax^_ zhK}(^Qi|LX{v#;`r)lheAf*6S;QW!4{slP=rkr7aMuch_AuD5KWrD0sr}+N~a{kD2 z<>E5!Bp534fe<}519`aumV~N`{`YRTPNizfY4?dp^Jl*-qdr`# zX#heJ>qTGZDzRBFE3KCu|B#H#xGG6>uBv>Ka=u_#QlSjk7_MY)f!G~e{j6`%D<&^O z+zj<+N5pM}?PTBF*Dl_IzADdT!$f_EIM(*`C;+XD7IPjVf!VP_DxOw8E^u5P|AWHSQ3sb$ZL5Dqd5aA66**qbbqV>q3nYkY1(p`Y`p8 zQu>;qRGgb#%&h2|c)bR{WD;j5!Ff`iNig%M_*l-DX)lL3O{?0cNz9#OsqB^%T6p${ zev;yqv@4)i`==5^Fke4fwP0V@UVzgPJr2|D6G21jYrhgd<&E)y?AOs@D_%#~f!zEi zHB=Qj3ZWsWdmQOE(l>W*cKulP2Nt@@gwIHB^HQeYcoiV&&*rdpU9kBwLx95cWcAh& zRXcEZWsTOf*Szhi;=kmh+<~Rqvb1!&&w|9=@vK~PoxwLCoa=16gFAY1`+HUVE$0>e zZ4)$Zj{-mT&QAmVlqc!8flUbq)ASFe(K^E`^H~Q+7k@4Vgl;}A zc{UTI8?y`2H&nfgOEZj!^}T?ioo0Z`Ms?UHVvP&6eu;nUnI?vM z=NknfZ+YAnX+QR}Ke^BJ0FpJ=@u_}-J~|S^yHhw^_{kR6w%*i(uhBaCnfCgPWD9mTGP0ypIy7YtohQE7D}eU$ z%DbN*&Pm4KzV!_AVw+-a&AUU8#iFQvVeIY?N{>*G^i`)OPMe5*ki+4kiYSr8c6;?% ztHiW~X3ci5=$DH&VEVycxuBLfHwn*A>+PZAYC(ItfV;F2cCzJKES}RLo&YbFnfMn; zEX})JtcP2~YEE<)uiJk(R@-UgxUbW6_cJqi^psCfGv^X^!-}L<@H+cq=4)fzcHX?W zIAX_4IW#s|pcR%~P(h;`^5)CvIxd}%#{GE=G4gSjbs7$f)?nI2xgbPgBioubi4yXTD$3(t{U1nh3C($ZhUo$>ylD20Zsjq4@#=qd+*u3KOTF$ z`)I1y=8OuX_{{*FmmHp77JdQjy9TjDd`9`9KSgU>Fem^cPip_>v%1k0ozIUS#^sd) zjw?csvg*chi0Gu$EF9urwHyvch z7FNm8?W$W=lz$T*s-QZP31~ z=w;WCv!oUcUPj|tMZDTXqm#ApeKWnF<(U8WoUp*!QE0@0`g>V+4PeRL`<$qStO4Vy z;|NOSo?B^a|Iow_0}HR*foX9~$8TU&Qs;hj`B##2yaGa!H%%TUbV7y<{maaM{0Y^P;q}cS_g&jLAE3 znadaq!gtJO&Lk|`<*3}z+XA8~SYlN(%RE97L$h0A*A=Y2WAlrfQ0Le$>0vYTy5)k_ zPCRmIc135;h@!cjGZa??3VT=7^!knCYR69mjAM#Gc^!)0DC0Z5g6pfdnc~X$A2lAm z|6!Bb7@7EG{gQ1)F&Mm}!{}MsO@ozea`bk2lk_2eWX6w6;!@VW;ZX_i8*u@J=q;P~ z8)O<`Nw3>BFeT*F&8#x>+r~Dr&ao-v44w#sn=1KZ%$(Yfb8CC;!fNo1y`$>8$mp%y zl7BUIB`@1%-Osrlv^5EbScUS6v5D^gBvPq;&OKtnK;3K#fJ50sy71TfX zOT+~o>#CTN?%SrX%LivJiYrDWwfD_|rB!3!q_nXsqStL><5GursYS0V-!W)A$9U^* z{m$8Y^?*WLtMa>qiS1)CmT33p8x|pxYyMdeQYv~~ojkhM`ShuuQZsS;C!i!%d)$Wc z#LHb}Zufq@ETnj+UO zI&G69c1=PXcE~X{F7tybB|20o;Z){uDho(}@Q@}s*2u7d6W)gd3U5e*a%X24YabH} zl}ZF$!hv+i!HS2(GBGI)MSwI2N=?myxU!)UPSu3Kq-MCnf+gP&UBaKxmWIM;Z*T8_ zkP14L$bhZOKlcs{k1VhMfLi5g%{NpkVQ(Cg-_6U9jv=BwVSuIHtZ+)UG!)ivc8R>^ zi|`pv9~9PagbBalhV5?PUi0!@6@)>Inn>K_Y2c);?rE8~zFTcvJ%O=_iN)^%@8FOr z6wI1TI~6K1VAf<9oDBByhDv1}iV7-~r%ojTY=3K&Nzkc8!X}MSbf*jx_VlhpS^_n+ zewjn0N;n|4gy0gslx1Y<6=b#Q1Jz0+s8+&d11_6BC;-DYA<9I?uS3{I9`P$_;edW6 zG%V=>13vUCnOV4yHt{!oP`HR(iS+VTszYI(x|MY(EJUrmty%|jr_x~T6rA_25$cRO z(#Kp&+r#s;GY--khYl*Fu(dbhSHiGOc)=7D^duA(eZPJU(@x(MOrfA+X@tTmLPjy1 zr35iwOW_w~@EyiNJWHgT`MJ}Icf=@#UEAx%kueG1g}OU?L^*c{mSu2X+b~M+OD_bV1(|8kaP5Fi`;!Ev4Z=Xy$3gD^mGQ zDvK1_DVdw!hf42nplN46g@U09a7IVCI3cq-`=GFpPz4uP9~1^`802mQy9GhK3{BI= zK0baaX_+vD6iIxA0}+^i6U~Cc;%*qL0JTdW6gu>mk(v6D&nrw+7#J9=gWYT44;VH= z(f_W2N2=fv+jL?cvUD`qwd(Yq0lZyc7LSI9mlY0c-U$f_$uItOyC7xigb9hCJz+$g zYPbn19agJDt$OOhf2E$MSHbU2{vTGW|4-cJKV9Gl|Gp0YN94(-R?DZndU+_ak*?U# zb}p-)@?~t2qF3GVkky46t(J<@bzNlq?qm!mHlxt#^el zFiw|CdunjRuDEVwpgV(l_pk-0A6}8F#3J#^c76-i()xi757pK)xvC_G`)J++%QC#n zs-%i%M2nL04a3ohlnu_DJDQjIb#eWd5KqjNey~6y9#$oCN1WDCU$*u6&5g#jwDi3x z1xk0_IjpzeLP)_(LdbabEeyXxj4JPQ#j_?skG>tDLcb+7PWG%`d=bhCzE*$uAZCD( z9Q)3`MKqInrjPl>i0fHuHH^-npy3G&4j}v1?1oUYc4CITW+S~Z@zXCOH7=r??tEzd znF{8sgTkO=ySMS$ohaj10z_7wE(roCHAdvji<&4R*7F{^RZ(p_(TC6yo4)MW3eGEzIPT7LN zTM5))4yXTq?dE>3M8Wt^F6{S_V<9B~^}+Ia<^*}eJjsP_<%b$>4{(=4cx+kwG>Ba_ zzP#HQ1JcVF{A+|BL^F+O%gUA%^U|`mch9!otvB%+x|iv%*9Gn_#xj^XcsJAIHO#%Z zXLAo#q|YhCnXgjG_~lx0H}P4(rpWy0+66AjkuZ7z@U)}T@e^m12v*0H{6*<04SQN6 zK${-*irXnHfo(M}O!mwN>sveJDFo#vVvN>z4z(kQQo$6P9?$ucoKb6Qu}Io<^d3&h zR-UW2-fs&$K2(#v-|7Vd0aw%Q_fpeehx4gl6 z^|IQW3NAfma5sNq`&vd|jP+w}l4oP@;c3Co*CiKIupRWL%{D1~UVaFDN!B%wANA0C zY31<85Z)Fz(;9`&TF%XT2G56c>yg_1h&DY2?afNL%KK)%vAqWqVKuMEiur zM_rPiB6+bDnjddFT>OczqsP|HF9)t%V~VT!ZZjFRLrR<{>_%!ycDldWp!*&{M9LWX5kh|z}pbp_Z#l_R1FiyVk`#y^*8#}0j8Ep-0-A4dwHeZEABJ` zO&5YIXd;o+;XgKw@I6&u7}3%ZbJrC_1|Oe>fuk zb$tFWwDaE(C-OfZZNY|Zg(IiO(W2jBB&T!ycgWd#{ zFK<|1@dr{0VEE7#nk3M@y+Y!W4~rn>0+n+G&`E>^N(ndxg%$Pyliq~pzxXATjCXPz$S1(CZxf| z>#L43mMaS&4d|nDSV_gbqC;o{Tdc==J0vU#rcTzrO>6H-IIO`@+>Crr36jN*01pR zfONwI&2mhk*K-59Ar2;Wohx`0d*Cut$ zt`yEj_1_X*{YA1MJ!b*F+47rR6xSa**Pxr&Di)HuRl%&Z)S|d`;W~EGlBz`%NFxRaNa~!jt|LO)<@)n(6bb z&bRiv-VW59S7-oj;g}%96#ce)WHoI&^*vuZ``_!O>>%_PdcV)L#jm(qU2lx*Y_s$Z7l?4g63q%IitDMd@ z7k-J-@&cNjfb<@9h5J71(I@N^m4iunMFjKp*5|70bNNCZD$W3p2eFLWR*i`tmTBLY z&NRI(OM1=!F%vKX%>)+v@y7FcozUecIe23I zux>L(97R`Mn)UDq4eXU9n$+ynas`#_s#x1E{_IO2_n9=d6W zaWJZphG!qkd-0BW-_tn2w*B-Y)T{lf+;KRazC}XuM---H1G-%k@WORYwI7HJj5rbrsI;+ataR1YG1i1bz8%x zbsvyZ@?2NXnU+yh(~l@Hx1@0lTijHdWp?i-NjNW4A;Jy#Z2e~y?g`#Baf^Dv?&bHo zX5)_WV-EAj{)J<#3Vxr@0N#y{*g3D2w7;XMnkUy}FDf>ECs9gGe^GfHYdu0=me2zfLgh zOny{)@$n3c`D+e7plA7|cbz^wu+vqm?99HQWyR=NFqg_*>)HWX!7@5Gnw-wfJ;Pv6Y%pff7!7&+Vy z2d$q-X?XOVTs+Fw(P#yw!(y^3H_+(iv<>T9-jdP5+~t0Q4HPboco$pw`N!>t+y=zj zcf-3%D;S0ErhT(rpG@!P#; z#?m9)7u~`GeuAs%WuqzeQxtR?l=%~+#twD+*b-L=HT33nKNelQmHryMp}pdIKd-p- z*AXp~uJ!G})XeJHwG$NUB?*=5<((M$ps;3S3QgI#a!*CuD7B=g|NAN41uXo?SEphR zb~7lt?Erh^$m_AwPRD>}`7;JT_)Q=D#AssaoiKD5!q!+;y0QgiJGxN~$tiqxw!TQZyn;&UcUQo(^&SFe}n8E@qFO`XRF*b*wnZoy$uc~wmt_(W7pdKNw@d7p&z&Fli7^{*Z?0!P=d z=!W+sJp6YuUv}dN`2~p^l(9rqPA!@>ner@HlLEftA;7K1aM2=X8^Kl&$XjoWcc5eUj z>)7O8V$V`e)8fyfj@aTZdDPxOww%}%gXlypCl5k)_#X{Goga#b74H`k~$onsr z1r5cFIW$4mdxPGG4d=u(dKam)KTIs(6HBW(`(-_6<#q~ASR*C_96X|OS3QDKvMOu& z9&X~XDO|bwFlz#f|55haVLs>kbzlqwUsA28=Y)u!RqSdl4mGWe>HVsAQ;wTu&%*NG zlWYSH0}mCRRnElxe!`Bg3f4z%pu@qDlV{I^;jey@B;4z zi-}vE9u z4uoCa_VkK_M({JyijMv-$t40UV-aE*M~u+Q1Sq^jnsK1ovY`np}wxRHYk@1IEhK9knMg*3yA_!Xgp$#6I;1L6SG+f_e1YRO?ODMQ3KVz4a|E0Nv z?(k|D&{ zLQtq;_?IPc6GLo^2r%ork-UX}MJHyOh$G|*e<}%Gr}EHR1DrCh`56%c^1tnBcp0+ zdHo2gLg(jC&!a71tk3^ML*>t(GNAGa=C{%8&(Ax<-=nh&+_Nj&f6tClsqAMz{>Sd1 z|L=|I{{=Ju;j$j6IO<9$9SJ{7ylNMrE_EeZER3&ZKVAUuYUJZmyU2JgAoS7+*JX+` zJxBCHob!uY&5HIizSI^q{adselFb#zjv#|y)8pPzf>gxHyAxvDXp*9xmn|LxH;K3` z$lG_k+n~y0BjdWT&ddVV3AH4+oxzs;6tQ4h92rE0P1VWqsJ zwECIBb7H8?7t#AU6&St+jTu(9Ouo09=s5)#zS?}L7t1ntJ*eRqqLz*4-Thg<0w!fq zxelfCe!tK>6==rZb{yhmHmhsPFE1J?hnz!?HU|Em*1Mw`;?VFIPuEnhR%YM;({nJSo-u0(sGtFK3 z6;69HD%#MIV=Z}l?!z;ajAha2&p(cEzAStn)w*0!UzB7i7sv*{wrSTds{zV+2GN|N zoF?1Xgvnt)&&=M=gNvU4x|U$qvjq=gqVXev{3L z#D?OSJBk*+n9zf!!?U zguMzP--b})th~%YT>I;}8as*dt=BM4?5+zP3xRY!>GAImzE+q-FgttG42K2Z#Bi;Z z3$yUIB@3`uyQl#wO^qrS7CIN$=~U49?-9nl+5P;bf$OcDk^>pZ{;l@!;Lebcnupa` zFJE>jx#XSi<4r*ZEeUjdyVU~5qtu#(B(M{Kmh1c|&|DF70I| z+^AcMDiVe^fx9$a$6+pn+s5I5eJF{?L?=E;=)-zKVm*6&uE+5Gp#UGE%kr3yPfX1; zz%w_xc0N+~6uGMAxRqB}W;9m6HGlY~2=|lQzyEfq(f~DF_IJ#1B9l4nB}BB#O{==v z*THlvy5S4gCWp+axrT(21QGa3=b0u`cAHkXciZx88DJu{*i_oE)4%R@5*cg!#X zYZ$?N5ZQWZ+lIBm651ykR?Cpu5moI<_r{~=KsNSt>QZRp7S?ridVV1>N<(V(| z`oqZ!PtYdCDAi0It&vN&ATuq1LqE`jNsDKG{gA%tRr}J5*j{>)_)mRpqg?cimls4e zL$FSy_}<4|wCZTL!_7T3{ollyb!XDee>f)oBg}+SP2~7qhdM?c8r=SK*#Ex*H|zh! zF|!eld6tm#7YGPo+1_6vAdrOr1OE+%0&@!_GUtDXp#Zrn`ZpK~ki7E0!BEiD4C@8| z0z(1vfA8O5D6+ZW2LIFMh|tR$$jlj;xgayw`SO2)nI5IFm)Ci|gVibdEPO&C_F_S(PiSYOE)7PcVtecvndEms9&a^>7FAd9i^D01c2r&i$%u@{r&TRWLG&NSdiMOJAnmuf;g zwe6-8dgX18{+Ch;Rf~sysZ7NaP3jXM`L^*#9hU>0cSEfz9{OfRQFz!E^m?t@<-uky z=KeAL;8Xof6IY1trRy0oU6N_1w}#qOJ*r!oergz1aL(#Af2Z z`GOB|!J6E{^;|t!EDJs=VnNx5`$LLOnQP?UZuarY4{7c{?FY{p<)s|V7s9pdKx&?KWnoWE47=#VPZ9W)AC$p-#twqwcw|< zKv;MVWkGk)eST<*vFLFH0|swzn0ynT|X^ zuG#-XGX%9?Y9vZdH38h-UE7w~R|A%KD6f0x5jcT>@z?I=X|)S&gr@C@w}nS4%qNHT9gaUH*@wQ}m0%+V zp;-O4g`7t|#BvyhTG~lVt^&tn51vzBa0w4{ zX-rg6MrQW|daXF~OXR@5{Ng>srK>C!REfLCg~>Fe>(M5(wqzM$w$)GfK5>@;JMvQP z5{s1WA&+%S&l#s)=X+@SuI}%5Bj2}7(6f?Bf_Tcc^tezR);Bi;)K$vUFj=(tRqo2X zILgdACwzbC5+7I<60jJQ-~9o0wTXp_b6nv2wec&^qx0=JHW8=y*P^d3}t2jzYuax@*LP_%Ukk%le;* z7r)*hLdmxmVOPV$(domOTbaAss0W3+GP7N_^U7 z@VLEy@#nEa^4#hMHt8kh@R9?7PfE+cXL!p!B&WJvn zpMF2&=If;O`HZ^X`NkSpZ#}QNp>~8}<66-tt7qnxm{Ru{PvUOV=p0>m#-}YTzIWXRwNGhH$L7wVfz6E}dV30q&ipM8obvO6j0l%z5^4pJi(%Bp$`ZFCS zZ{peNw4*_C{gE=@DbXCEz`5>d%RbSz5Qb9L2Lhlxtqt!ejH97Y4_B!&0{PP7%uwd2ZK^##c6EK4Dhx{ z<7{7Fe_E{J)V53M6r5;Z^E1i-W+;u{Drx33%mWOs)xAfoG2HwU$97N5oEn-w|8y_w ze(#k#OQUU24@&~bC{;aUE54WoM&%W^&2Jwm>RNg}e?5CFbT>FEvu#xb*t-;zmfxWh z8(5X8?R#F^ANn}6n~n=^k@c`RtJL>zL1&G1gxu{`aV5h_Y%blqv1=llI?e%U&k9Sb z8+&#!n879Eh_w9T6IwQIF{}Hrsp&;kzkU;O2>FFQt9-j~^0*AtG=JGY#S6aoEVou# z-ZL$`uwv_gklxJYUVgKpt@F+axF{M`JggXYk5SS3=8-n(g9@Tcf*M}cA1+w5;_^DU z_<$PXuy)_J2j4zd?O3&R9#BYTwDe-LYdAPLyN4ye>gokE3x~8^7p?50j~Ohjyu*go z@=D4ooBGDKu~@mUxTiOQw~O)yF3~SP@m=-k#$#sdJZE3>YBuq*xDzkSi1h_41sHL1 zvyy{H?u{1u9zkp#n>Y2EyWd{IXs(Y#gE!Tlq)lb!{5?g5ur<=8TGS z7nIDhwsFn+`Ev)zyoGZ2NtD;P59uB5B?I=u3z?tSwgN1ZvT3g?lXBcMGTNzHI!CP^ zU+{84+AIttJ$Y7DyLybzA}nzuU~=xj{c%Cp{MTPtSWCfY+Z_P@IBS?R!74 z3uK{g=c8Sz7u7R!T|S|@sO}z3V+8<*n-Oj2+aFH1fBf8GL|HgB-r^cxwk-Q~WM>bD z!#oP!vTj4|VYZLMgVjgR&omHGBG&MmNT{GkP%@&fM0Ay@sc9i7%rh_kxcUxd`> zKofY#f5|CBkeEs=7*lD5LcME4q29wE^uuWY(oraD8-y0!595=crho44!Lk2`QPLr* z%0GM((Xe6AGcd70M>xVJIXJV=LMpLfj}C0of%NH*t`geP&sZft90D+f5vto@Lgyvn z%a_G<3=GdIz0HtPZwre+O+0x14N2@h1k~M!V#Nn=#K6xMJC8!4;@}K39*&3Euyz_w z305e8vmn1@gc z7V2z5Sh*d7Lj8n*>x|DL>wJtNg*D!1!b%1JK#&bS^ntUnU;N_%*UCnrwBh0?9XR`M zf=b8+p&48sv4bOeN@HVFxNcBU`7byLm6Q>L5r~vBD(e0NL`PXx9s*M;tx)Ixbmvr_ z=_lcjpn@4yn~g%fg3s&V%0e#N^16K%ULwpdzyW2GiwJ`J3i;y?Y&<-|b5J#j1XNm~ zP$USoC56kAAy3HXQ79Be2nt1Mg+fuap-|NDGa|~#KUL*)^rC;7a}*HuBqE-KF_p?R zXFT!@NFpFsA2Ea9h8PlpNMyh9gm32s-_9Gpoe!Mu!Vl;R$C>pVc9;7Hz%>pD4ZjDy z;kkKvFp3~Qp8`=&B4%(zK>DJ*3hrYFh&&TcX2Xz$5SXz5r;qa}sGEdOCgC)djlzcQ zL5Os6dItU*b5JX?{6{-^mbGvm4u~Vq^vj5R6c-k=5|WZp2*GYDxVXqX3X=b}f02KL zyo!gQaL&;G8T*Iy73t%Wk&}a~e8n2M3Gful>5TnHxTCoh&W}MC`gD&XX=d+usNOMq(E$!foYh=?v;{2hCOB`RN{vrdtsbvvt~|xSja5GG z&AtxWB(V~gFI(F4$>}H!Jf)v}b#V2%Az8f^kD98Vsr`*Ea7|%F`_xy9FsE1CLXAt( zy`@Dw?V9S$m6c~(VQ$9Q`#>V0NIj7LC`8e`EZl!6#5(tiR1I~n`z|v#U*b6xv6_f8zWo7Ee;R;<##$>EetPR zs;N`bHvFYF(bURv0#;}f#f5BQ*(2l~f@2w3m7~@by|Mkp(+nKjd&}DGmp~K#100tj zmXKcc_cGhVH!fA;8oZu27S`Qwh!pXj;JF1zdu7O%{XF_GUGf_gKTPcOv{t@98lSqc z6|3g_lO?JP$XcYlPuy4bI=oKMy^$xg{C0}3q*M6$`-Y=VJE`G6nU;JlmCGlyjEA9*>u4x|+4N339d?(KUP8q%R!Q-|^yTSD zd;Qdhk;%7SV_;!>f|`Ko*qlw=w*;6ryz0f6uQIS_hclIlK2dlcP8Y4t{{}e0DC~;1 zfSJ%}qGJ2&sqJ-B3z+`*a!&A_^xt6AQn>+Li_&Q=x%I}j$@B9cyn6Db%upZ{KgR7O z>b}>#tX*5seJI0-yCH0-^2AA?J)EwxY=nbZIzm{gDdguSe{-B4P-eQgUK>RFu-tb0 zlGG$D|CA&Rt zWDL=(8?6zJN*j?;I;K(s8#HqtSYH`Gt9q#G*%mz0hz1r@7r#qlSAq8fudrZ^4;L+7 z6BHQcUf&~386RL&QPcoJf-FsvbY4L3x^5bdO$qH1fAS53+oKp9ey5i$sEre19NZT4 z)x|D@n5PDck-y(+Z~0l{*q;3p6hm-s9E1SY0te}$g_2HN};enS2D)4#&cKim@H zNf{LCCITwmkl8I{b{m=9nRod|ZuwbRleCLPXS+u51+x_%<_ksHu=2TLr`QkFPvW&% ze*)Y!`KZmu%6bEBuqvG=@#y<+L!ll;BBh}u8=wTbm_|54~TdU+%>r3o~EM1iM zb4Dn4J`nr!!dqYz+fhm&u%MUlf!WrSNLUT)Hx3G7LT`uNJsCw0^0CEYy$$pwuq)kq zQXg|UEr9o>^fXD&6#j5O_LwKrwh4_htI8bRF*v|j^GrTRrq_=@wKMqchH$}b&g~Ef z%(Ap!U6?M$xv?aSflKDw2r%~+~PZ{=Cb*iMZOfNY+y4A zv`oqa9Ge?xtpZCz&&@Jk^rpS2xn3yeA0TtQx5-T%1{5Q78sF7C{hpj$uq!9}M6hdS z%7~y^-mxqza6;TUSp^A=O)l*E`24E!Z>JzXjy`&^&+^5`OJR!KyIg3OPkF#2SNxaN zEUiBi-%Zme<1*5b-1H7vV*0|empJtFfJD?A5Osf>(7lnGRJM_Ml}`iDsYvafV8-2k z3~2?*bRqkkZ@^bFSDQK2KS$3^oeeAS?)t5B+KQx*@llzc1ggTG;aOLi0?V<4QZmca24`{f!S zKoV~auIH|%(7yOkP59OMRf;#)=SPyOgMNVtFFCii#k=!7wLzlOlU{*qBfk5Wq8{&+ zqwz~D9SlYS5-dvr%wX{kS4x0I;zfaHy!=xMG+C{OFEz# zsCKcuQYN2lb?PXrSHR%bd=W~~uvJxLEZSraew5JeKJ5b$Nvrn*x;d4T>2sA=D*R&# z846f}ByP+w1H$5x(VxaGd&-10#3Y8|nC=4y{&(f1+1@#A6PRi5%z=5Vmqpk^lH)XM z+u1sesRZX%QnN-srfYb-Fy8Em#!d&bONlSK4i->j4RdQzMU~wAUNz_KYftxi9SX0^ zr#+hh#`4Y^W~-qh4<_1_%29Iz2{q?EsCukO9?;kqNw)TegRY%1@wLm$x#Wa~Z66nH z9mV-$eM%{W|$~-W|0T$ z<$CO-v*Rg;?7{b}Pvvi1DZP4#aW0kl{qrx|baPBpz=HCdBbd|@qLzMn>U)pw8~@NV zI}v(xqVY%VpNlm<7=gZOVrK8A2HYE6rJb1U?skK!Mrv$POqyclzNR7K&fGvKa`q{? zp^zblXSWz&yTxuVo*4MRIO|Xd0v2kFZFV5=k-%(v;C9ke7 ziy=uAl|ZMqftZ%>WzYN3Z=28RTl&9qUAcB6ENKCJr|`+H8D-Wqzi#v7)m(b!yFE$O zgn~Z7&TdLWJHIh1@JbSQ-n-8MpF6$>y|{HnMk#cHSb7=VioW?g?YVstn7x=+)be$o zf!(v5TO(whNz1_I?!#qlzUSrdSMe!Db?<}9wt)|eb~6V=5;d>-1_*SoC%kwE#hPfW zyw<)s#ciJfV|nYy%z~g}#Kzqqo)X=;=J(TEQp!^14^m$23hR2V0Rr3O;wygKicb&p zd{fdtQB2yUHc!r6xO_eB`3e=(F#%U^zmoF7uYO7C?^iK}o z-FX6Dj_SJkHNX8K8d^DLfo6KmB`x>!f+e4aQ)CX=MJJyppr6{hc$h`V)^*4=t9qQ< zn33dxW`F2%bfNF-C9ZpDbU-3H;Ti4Y{MS>=(iZlSW3*g%ygO(2Db=&`>Q;6i zc79w!F$kFFQSsbJ02PbW(Lc5*Swu~5_^-G{q|E#zEbx6E_2@aJgpBv%9x=G*yGig{ zrMg3p8W<+?TjP3Umlg@ho}b*Rmi7B2p!piay;wCjp8q90aoydI?Uo^9P0GTWE#A}6 z(w5KS!jE)10JR3^xv#J4G`?E~MY9=VW^Rj3DOzrCK3=N!{!!=~FbB{LO+Nkdp{OF7 zB`k4iY7cn(JBNREGdXL@IO<&B^1oKPOvyXUM2zg%oOveGYIgAc3*|t5OGS{q+Pyd;pWno9dmyj;0;KaCK=`iU8 z`iQ9?mabN9d1LW@(YAFgk$HCIs`7|ulFv8&M-6*>FTn8>dd^FHpHa>}8TH5cMT87r zICLy(_vA8*C{M7dy6J(%fy2}@g1T*Dh8*j)^unN`^t8UGWOCte;uHz^SH$PQ(IZ0d zWM8LIn&4kyznf~q8Vg!DzerlE? zH@!L=Pj;WQ9spb1hUHAI8zRb1exVIY8g7F}kIX|G4<+gWN;<7s!UTkGk%|S4)95!S zCr=U5tFE2CnkQ*q`9_4sE^BtG@xugItGYiN{^-c-k#eV6kbAPzrFNKHuIMAW>Qy?f z3jU87VEt1Ac_tn{snUz@PnaT&nRHKHJsH~PF!s~Ab;~pUQ6e$)egDh!edhX3Nrgxz z4NgxHB$IB1!iL((Kk7+XF%44%5mj4W9?VyQk&aM68SskakJ)>0Xl#9ZX9t0j`v^BW z$o^NwH}XEmkQG5Xd8VH{wL+m#ArMlApghXXGr-tNE-o$}2#^pg3A=MH!pKTK1WNJ? zAVl(#u&AV#t}zr9qM4Ysp|H%5VV?b;ifLx2-p$|S^?M_@60R>2(7C$ylZXiFCCSLhVWBq#1&pYK8c|j$DR~8@pgf?Z zqvL|qO!?gP3kZM&u>f&iLwNY2?865{vIyYC6DB5%TgAr4$HylkCLe@Oax7JJmZiPRpQ79XwrDb4;rglXH$3hURp$T=M&kBJLQVaw+tG_?oCIzs=pcvBB z`qA-^)6SUOuFf{UEYG)s^{==gqNczLO@u5#0os*mQqM!&w^tQKwl`R~OPO7W~rnGK3~br7|#L9k6MT3E?^9t!nh{wJ(vn%{xlO!J~J zIbdE2a_@NvR4eA?kn8|um>n>$g@gz2Li#T>VdtMSCUx zV-bb_gnRyvt9ylm|Gd=mKk1(Tfd-xeXD?_)`?t&eOa|2&{NI;D{olgSe=i4QX(CNo z1LRA|O^@Yi2P8Ew33Rg-zC2yFGJpRhE$ylbV06H2n+b>lNuxx4ZZWk9?TgCt9$fr%~^$u`>v)R&I`kseNbcKcsMY z(kQ75FqKtpH6IHyhU+riDO1GK^3Owk=zFB=b!6&fBGjas0?u7tWKT>O3F+BBcY5<+ zd?fJ{WcSrinc>*I8~2 z>Kt623o^D)sNj!;nyucsifK}%Tkkwk=U`XAd+5M74FZ``c5e1&U~ojt%#qaX%YWxF z{2Fn`isvdY>GAk{V-M&Uxwl0W6`5Vv#bA~)WWGoHWGdBRNL#MO;CX9!1}O_jns|Rx zEG^YKjL-MQr)rYr86lZz6=87Q*aEAv_$fpq!YGau;?T&5 z@syVI9Nv!|!%A;&ip31ga}@#I-GS@JFxwif4LtQNoSIkLC6sJBApEfrzd5?;N8YMjOb|x;%OZipIPpV>2WaA0~ z^&ElQRbO_f&sSYB%LVvz*#|?}G)L2Xm7Wc$dqwzKyIl#@$6mMkRGTpzD=z?jMvvJJ zlM2?2!=#TzyK?!XYZt4zcCVQ2m>rCwzn;AW?lhu4)Q!$0677#|I$l!9X=T*J-tpIK zFYQ$eD(?b{aa9k;Oj!B-}K;&G+uTAQi z)($BZ;7Y~(5Syi2O+n>A|Ls_~tquOI4~sIA36EX)k|=(A(Sw3-48oi*e9A)Z8xEp* ze7C>w0G)H59Muh`RIdu~-nZ++(wJ=8fj;fK5~9yHlSfBWrR#(x<#?;7dKP-P zzymD;;efTZFaF=&YF132BY5qr*60&n+jBKPvwd-=bON-mlb2wN97ZzE3_CnpsL%Ok z|4N~FeByxUD14DS@timab&C37pFqiAnG`zOCd${cQfC^mSi^F7ajlG5!bBz!5ViF; z32s^D%tnR)6@YzrLtvG+G7U%HwE8hSj1 z8-xFFL_9lE|4(7)zmJLk;~|p-@63n7WE+I2dLT1TWafp;yyrRp39xDp%5TQ2T1;C#N_%a~A|S7klVBM1 z`-^-YdSQzLk)b6N6>oA`m%P!ayDVTOni?mFPc~V2pl&v%l{*!!@J93vpc^4$5Qv?= ze*;KhHt0xxh>_({rF}uK#a8&`!?UKmAkcVV%=^}(<6LeJKH10Hzh9?+`xb3BXD@Ak zHHSmQo~j32EKd!&EoLVw$NMyilE7@E`zCvp z_nPjDQZ=8~wH>J$2lEFmAwloiKLa;9%IQ*SUYVL3T7lo3jX0cYy>8!ZU%&itb(G%p zgN807P{qa!c<;5E@yLg$ScBToiItR8 zo3f#cXx?ME$v$3WEJejs;Ij3?wE{m3=1Yrq4}RZPTaykv#lCt_RhENy)7I`M4EXfZ zAnk}?u?|Y;E%CZgE2f?pXZ5V%Q2n7vmzI+5iJ1^s;r6ZJi066Ca}b4-o07NibpLmm zx7V9?6F)8es^?dB!4A6cmX;8yU59+?wnXnl#G@S7X5j7m_;=$$eO=aMHqic%Uxh<& zNoki{C*C3jcVR&r$AvP!n=D$_AHK~mRuefbRe3tnqFcGP*Y_lF7U=aajvX(Lv0OCF z?7BkvD|ur!eJ$~oZ;9{>fA^i=4=X_S(A_Cvr9h5NQIe;NYx(P(jpE};W8Z4p#wV&n z+S(YvINwW*y)T<($svUikGhEUn!6lXX1;EXblzg5STxL<0;T1mDRd8mzx(VG6vuE5 zchVlwo+sBtuZmWEw?Z~3r31?IMI13^?|n1(F&?yC_O;+kvKk;@PGg7_6yZ8=ITXVV=p-qxjJ?urD}!m zO-!rh{45n!RjG3@n>J)}NFq1E;1aPhZaLq|B70PxnpxAwTe7Y?p9S;GM1dKm;}516 zjP(yB(<4%{`92!&eN|VWjJPeUH&EX#)!Pr=JfK>idpnLwjlPt=nP?H^Ut+TLpfEiA z^gQ|6kH^F}fwxJPY)b9-Ip&vaMPJ+3jUMwQanHQN{I%x1U7FcOwh5>TsefFrDM`s# zdO{JR^N`BeWM&5sl^G%5L0a084@va$#?2BxO)_V7uLkk=beEqfpytS9DotPfU|T1Q zuMimoKAnDw`(g#8g%8c*L?>5z9otDS%Ac2}+DV~eRWhGkA#r-k|1v{+lL;i1ltHtUid8n@+Qx6_rOE4KB zbn$h49^X=<4iu!BPdGp9j`Eis-)Z*BF(_?3x)4f#-o*2#{_J)`_(Ra+h{+-2S5AaU zOs~my={%9!rHrZOM>g2s$iDncTl^sde#$v9dG8#_q)x3}(h_?K46uxr6h=1Y=+23MQe52KEscSBba~T&{3i z9bEBv;A?fBPeyupq?cv=aM2G@m zo3c~~e)9U0%L2fVTtMD5y?wRba-nOcL7%=-=voTpkT^H%3$3xkAwp$f4W6A4u)az| z``Kit9EXbeZ8mo0P%iG%s@RoH!I5z%P}a!r^_qe<7xU;u+~n>rl_<_4t$Q&!vQGEf zri}#mZh;>cd15Zs4KHrlaH*ev8#p<|DUuqJvR_A+l&)!)EKvuBF>!P$BW8J()`AP$ zCQuSnJKXQ{HABzAJOH1p6U!LzW^DKPg6M;SmpBX;XRUe`O4cu)T((ZAV&kufWs%n{ z0DJCf#RiYoF)8eECiM&~+)1=e@UAE)Ycw6=hTa~V!T@PEg_C@JVh&!-kOZpcw25fg z(8Xf<^4R8E9+}>a`iu?~3MRjr8Hp2XDHqQ9T>F|^fg+^88ReZ` zKF2tL1QDm_s484ZB#xc?P~W**F)cF?Gmq8*u&Z+C-()W7Wpr=uV7L`bwHf(4+%xPP zVOC3g9arW&4AvQ{F|F@-N0+pZ<(3-zOtSHHME5d}nprkD-YlmPSOV)QrV%a|NA$l= z2Px(6Q>Ktn)!scGz)&oi6ihNV8ae_RQjXtAyxDJu^?k-4yTR_C)yeCeB!5*JyX*Oq zgTV?uuuiJ47a91aUPSC%gRkB(YIGWrkk|RUmy##+4Y`_-2N3^_6F{#tNzpt_!5_99 z^Z5>kZ2g9TsMU+b=tl*7r~w0{#{d3x^Jx&_2`3#v&w(q>D1U-u6A0cH zqSo$9+_ky8;s7Yrue+|Xh->pog=JMyrR7$2*lY=FTi<;?v&3U7=aUAOaXWCYx9?WH zzSnrjm22r$?U**A?S9LR?&=Q{hZZzKkb_CY-`P+4hO&4fXU~~CFfOCChtLZhMR7xZ zoW(Kx5O@c~whZ&@cU-$q?fYWXS>P1=BpT<7-VA$ogJ207(KK)mig|KucS5mU+GA?{ z8-tAFP&sD@S4yE|%z)F)z3m&o@Ju8Om2>F@+}#7`oc43*RKHaXhY0)R(%77e-|KB`Y*#VqVLlH?mqtE zNap=n#38JgJ_~t-jWjjGqhrt6ANkhso4a3=U0g{RX9VmdxPL7|<4bmWI*b_5m;GY55qX$!i0V(pOSw1DwSPEO5d=U5sqXHo22HCJYr?8~E52=br5clBF$iW$XI}_Ue zKEXRd!9pCKi!hh;ss-exmdHR@G*Y1i2{cp%KT3jHSEL0ADqY)gI-t@QvbeSNjUY^T zZL+`ro9Y6DlT1udy2`;Jrh{~;LrJRTb$^I@X<@V{;*mdNyhym`{n*N?+Pa2@riH~Y zVbpSvAs~azL2!SeW4VY(Lt!l|(hmfKMd3aJt@$2aIB?TIh#VB0pC2X${uPdi>^yLT zBi8cCPcw5%=g-43eJjkCI2$`bh9l$OE3UtC;B&GBK>Dj^}v>b3er*Y_UT{f0*_!h0eD z@UDmuk_Z7;1G$+I=1=ewt_BRQfI}DlirGFPgzHiZf0K~IO+!Nih1DD;yd3wbnP-Hu z<#|DsmXn&u^tUpT~7UxWl^OCZYPH}jOJ z@UD3>G}tVH4R4M>4h+M9agi`!aulk2o)(4bL0;F3{IPEy59-&EXYc3fp@MxL2TItH zKMo?V8=5CTp@!#S;G6e`+JEss7Lxy8QL_C0e_rbKPbk?- z@azRG{{tnr1pW8r{sATbdpVF;zS{r1S4V&7^Z9zA%ftK9ryIAQ`R5!f(HHopmxP7_ z)Xwbbj|;1^T}cyD74IT9RK<1^w+NY?LTDLh2)C;>yb+$#81cY9c??z-GvI{(7WwYV2JJss#< zShj5()FYIHj?o|MnPumUUvRND-mX2F!<}UTCix}Ot}98DgQ?`vmMcoun03XjG9Qfg z$4-SmJWF|&_sv~GNYN+DGBIbt(^lFingzaUA@553IJxWT%R^4S zzb}xg-hQ<}|HkYMI!B=Lqv`ZoA))Tq!_(tB%7lPdTMVC8LKe?N} zORyjF?*%%34H7;Lr$D1|+)KhMfelz?y)5D?tD>w=jog-=_-4r{PT65$M8YyXCq2$z zs#^^nUkhjzOmxNIZm(|>ytA&GCN=fs4%trngYuJaYs{)2K&64-Jxw+~w_o$giDS!X zvFoYQG7O>|%Vo(n>)%=gN5QPj94@i6&}Oaka{pvWa-x|$1Ec7vM0S(p6xoI4S1*Ch z0@ft!g*^kVpqII0e-S<9RVk{}()0@My#q1_lUuuk z$jh<20_IC_9>s+}lq2>NFtpOfrqT!+;QInvlgGo`9cYWM>O2n_tgCw6)EjwIZ045P zzBrApq+YBX2q^WzrPfd&A-J)(V#@tEgW=0-&5P%^R9r)zrN&P}{dbEIi^$YuFm7Tw z2(H|m+o~iY3f`{vtG!!OqxW&Mqy{``&DAfq5HqK^XQQj?u}QNj8qy$JrmXt&r`~=R z>C6xqioPL5;2-ox(#%#@-6ws4+-0v>C6J!KUsC@apBTFoFp3Lc3R@t@$`dkcPGfPg zGx&OiarglNk&#{JtMQs&D8OjxFC{VK=jq3u7iD5Vik6V-d+=H7ek)nV=&Mh{*3MvV zTPBm#a9qZF^Tzt;y34*ESuAD-&T4Xe!WUg79_vg4rXuTX&DG?vt?*`rftX9*QQ|J$ zax{?kBI*|KqG41|J;`Q_c8JR1xjvl|IY!| z`Bx4Lkcj)g@o10;MG$}E(V(gto*VpyM+2DJ^H&}X zkcP{@@n}GboO%3(ME=?E&ViiVN2$__=3=0S zMKDrq%=go**Yz?IVYR0OJ$Av1Gc}HbrviFYG1nWxj{y8@OWde0uRi#lm$o2!PIsg3 z>oc8;Dg8j#tB=>B37Cr*&C{@)r_|q!-5og~qf9%$uDStKiY7nl2Bu@a$p9SG_dbOU zCEu;Li6PSsy*bn239LDZ*Ew#w+zKhTB7Y6utDUUjk=)?CvNK(x_@zB)P|w`iR`_Fj zGkUSWLdIz#C@wv?6h+Y0TY3ybH&_PuvT+M?qBZILD-hZ<7OA zzlU7Q`HO3rZ3)7!8@u9MxGb6Vd|m+Bxud=z{nY3Oc=sxw2JAK5YI(+xJjDX6p zMHcOsUf}p)(9bP-R5FKoSe)5H0W`uEaNV2mxEg5KT?tFI@RLuFJZsdR&q5`su~35cL{BPgNB zZ!Y{k=lsSQ=kdSy9q&Du3pQ*fd+lPa&%EyI5|<<^Zzzv znQ`An67)bYwB$3{H`G|(0))ukd+}dPXwAQ15^xalbF@gVIDBNqgm-4BspGL#jSKL$ zi{B4ykbW{sdHAk>q%J-GRV*Pv!m;sS%pjw?s+2bvtgsYMF2t+eH1RC4!&*DCwlN!j z?DSkdo6c`(Z#4fmAgbx#%6i>8PH#+qlrWWc?QO~ViVTuc`_)=4O&VhH4Zv46kR($| zgS!~n!P@q!zx62@^uXhAYWge`z9lEICIeU#RX=xMG4MBRSF%rq*^tG%eOHZbDPJvt&vtz(F_=#^RnPT1$7_hU#A=d{#+x^-|u+;lGh0L z)uPM-cqu8{(Vstar_R-WL-YEw1q?3oji5P-yD{Ip`>^|2MUuSu%!c5bcUo^5r>BxN z>Z^d@Mt!8a-LtU@m#Y>LW43P?=H4*$6RLG*v&dpHh&}uW+`g}TGLDNP2#GA1Ra54j zd-14JV%EDtrRPw!xLGRWibZf!q-SX5p9V7FLPhEfu@Z&i@Og;7D z>HXF>D{>l<6}C|E*xRsYYdkSRRWMgz8M`!)gdRzJD`dg9oQ3nAe~D%R7{dD=hEX8+ zD%o=AfYo7dIPSg%y~-@w4p(P=!kopAaG=9s$f+9n=19}_s+NsT_yC6MbzUXa*VpY* zx?Dsz{m%oR*5%NMTwD85Wi~?jM;C*5j9rN7gQ$kBjcaizB+n;>AVpM@gQzI18@@|7P`Ne(|_h;8lt5$W;EQ$KZs=sRPcTEIh z_k#ZG&Jw=wmm(VO=W?&_%^tkIFwQJkbaz1HVGpA++psbCz|I%gI`c^gL!u?V?1o5U z+INa7v90GV6>B(EuS>&YfpGc4HjT?R8*v}%_k(M_oRK9;gDte+0sSYmGOSl`0>O(> z&nrRX*9_{ePk%WPb2?@ysfx|RXA3QBuFc!re?kTtXV7o-DUCUyky1_;qBVOIcsD4R zebo=nT#_)jkvJ0y@=N5p`-b=*ofn*Uyl z)SskkRP|B%+bO+A(>SHIu$lJYn528dz!>-m6UQ#=-ao8(BkE8;Z$|UpUT?hPn)d#O zAo(rgW4sFi&ns$C1`b=KAo7UMFrY5?Wq#P@ zV##32xIO*c)UQ8+b4BH^rj3%kw*;y0Ms~tpH0RV&XO+JKC>zBHEevQ$%g2L70qq?JnOj#z;07idS&*%E>19;kTdlGJ$U(qj^%7G`x z%C3yok>aM0DQlA2_gDnJ+L^TkJ!;ZTA^(-hWJU!n!sHC!y50_9bcr5 z%KAz!V&XAu7ct}vu4dmRBI{~4G``MZVDpN%FL&?Z)A$Z+8c3 zBjWkZ6+@%RUl<~BpMR3kdxM}`(Z($jD`J{Ff!_o*Z{`hrlhE>y=NpG> zGTZvUuAFjQP0p{QR5S>CR?exRojipSKPsFy5BB;3ba%w5U#{{Sn@`}Av;0(BF)iMq zGj4a-#1|QQtz~VG4(wf4PDpwAv!G>c?yGKFO6LihPw+I} zrDiD)pk`7X@eycNC(eGMMa|;OUVZ=L6z7HEc<)GVV$2AF^LiWfVO?%#zGMf>nr^!O+G z8KnAS6abL)cy3ISZHWjiD~YS$)Hf_HLYe3?5{9(qrwX>V zzthq&0XBIEvYx{?*;_xvI^-LAa&;08iWEcVanXx$}v1L5{hf1V`5?-K01?y!lWput!!BX zTU*=ka2?Tto`pOyoh3X${t5xCy1J&pJ=mQGwV+6U9yAz$Sq))OTUuHF50!>J^D_XV z=}%CQJ3kLu_YS15B;@c6;4-wmm620$hSs7?ZqK3M8fnvinOigfvG&yTDb(4VMHFFT zV%|Wfz=qTx4`1+-ubM%p`XCx-rDef1Dknqhn&Bf)tY7REQ62>ly;=z#k)3gjON~Xy_ulg@~V# z{bqphnw1N6)fyeZM_vtq&QQz@<;+MSmaqu%hXfiHl^>Kc!@J?k1U3|^1q%>mY;h3O zn`S||X%Gq%if_xxArC~aKwK7Zt6;sUg-?`hN%B9UWq2R0BBUCLVj{9ap@`uxlEBZT zLH`p)1sObCDnKO5lr(ezoMV@S(pvahfpzBa3S+3~;WG@va=5^9&Hm4V2wV|?1uZ)6 z2Em?3I9yx)$)JLM6COx;!tz4O+s`iuzTV(N0{?6_^n%TSh4?4Hbr~2ub+$;*KLDo~ zgg+mG(+p>!P$SUCVDx_sT_FXB>k=@(YUb0AqZ1T-B+;`_I2cIW6c!ei4Ld0`Ou!{2 zr{>~C)b9xD4ML$VS)ouua0tU8(gHmb;EXWu?eb-~mH`(sB&B8LY;TYvYYvF)9dRSE zw}(1wTPKp+(GUNC;xpj=I57)_mXy@;E(}ZnwZf z&1HGr9jc%nTFL2~3i91xSbRNZI`C9H-mmpG${rIpyxXGovX@qQZYp6xe@fp{N8{_Q_;b_0c2j6WD%Ij@k$0f9$doI9W`gl8t{#0O&{o*|p z*T=ZYBnqIaBfA-g8{_hG@rbKkAAEElhsi!h5%ADc>srwtQ~JX}-y6ic4WT zeI_qsG3$SC$o4AX z#x3jB&Ud%_dPDXBS|u&tkwmD?@;ya|h3E>R^&cU3pVSKG6*kDttCXsL0B(LKju_5% zOW%8EW(eB8c_=u4Z&7|s;$x6V{|PupB~sWpi(7RR9)ErDvvz%s^tBSk(lExMAN@br|nAU2P4aV z#wU`8MjxFubVR-ruk!T+&IRnaw%qi?{@SRv)Kv?R)Yhg|`>1%psXCod^j-=M zIVy#xD*b9+(}j?zZ3g;Uz#z>~bMC3E>j%M9?b5I@i5I?fmvai!3Ce@ zmag6B5b^qsaxRf#>IS!)J0L*LNEu!}KR?ud<1>gDmA>mU`au=%*3C=B8uR(+Nm=i) z8jIJ4hvyjf(l}B;pktKq@z^GK+FKPzc;Tl7!>)Br0kwzOD22)jv! z9eu5ce-Un$tT>T=@uiv+;mX$$4T;*9zX4OgC+97nB`NB!Fct{-wXLMxK%^YY!J_yo9QbD(--VZr_9LYNcBSkc$l8f4PUPwBY`vCuP@cl`2C zZsY(b6_LwU-^(RJ(Z3#cT#IVgI$xYgL}{utH(og(5}Az#a8RDc@_Rep;ni!ccx_6+ z|Mcd$*{Azoy1HOuB=IwGR}9pR{S`_o5*f7 zb^pS%{5w~A^#02`;@O+@|3RCDNw~xZKTs`jBLj~V{>nW82`OCP{|onoOU+0N*#FLd zffm#j{Eh#DyDo4A`!D<##KXhHzwuuH8>;@we*v;K`#1iJ{4;{+)@Kd}h4Mv!tsk=S zM>YY-CU7q2zwz7fy~y=9_UZOwNp$)275s7ZOP%E$yCUMPSmW(GkyGRV-<(GL^S#^G zO1N&(%PW#xY`hhcbPp@Tb^GlIg`e2eJJ2VEh7)79BgeJyUh86zCR23Z))jT?(`7qq z8!Qq17)gL1uOe<`<=H=_aC7tw?-0k^dY^w}8JXH;Cd+NGH8cK;)rn0!Y5 zH(*IIb}K$|{xG4AZELEPd6undT=s&EN5FnFVIS)K*JpsFKQ~I|-k02oqHJPp-gjv- zIQShn%OB-Ca)e^|T({+cHx2QUmok3beR8kyQ^IDnJ9C>OZ?g($nMCqRKKBY-1botk z(@6m=8kt$6k`kK>E`i@bxfgYLpo;f`vCCeQr^C8jT4fVHdU?k0jT&?qc zy=!2Vqo5Fn>f?jvE^U?lMlsu*ySfKYzJnhzVWM;g62=CF=UjGgLJ7mOx8 zxj##=@p({0I2z*fLvMAQul?KH_Cs*l@fK&<%$m&wJo`&*SLPEjh+1YWv)69ytLFZq zFcYr=vX3*y12dj{QE1z5xJ2uj{lZl)$*)dItLh*m9#tb!47$JJd6^-&x!5{slyf$L3L(m3;jcyc{Mtc}848EoXu5U^4X=5lj(9CW=$SM$DC1iU$ z{g|PJRm9T2s8;JJ9OlxCopyfa5Dx3|#eYm>WW1vj((!_CqT`Z92QNhokf z!H4nJYHEtV2T#$33lHwi;9*rq$)V0odeIUB%}#p9x7bd46K0-cBvD%LUS+!%+tHrJ zMBioZi(nY%15($eO|S-vSobl#l;^T977LcVA3ukS&fYVlu~v7C2|bMqstQv+gnkbA zsQpq;{-=FMZ~NW#r%I6@xUm;Zn6wRmxp&gQ>JRjD?tvLE-;I8p-8=6=W_#z&3Q=lp zvs6aUCXl>lV0uYHUMK1KtY0r@gsSd)%MUa4hbUF;!k&pOm?w!(M9+M)JEnM8-!z*> zw#2`~CF4p+)aWkPMG58GRR?r{$2h8_VS4?9mQlz*vT0Vw&?+i6xp7)l*6wa(P0u%U zpmHy?vAJWENzE$iReLqQ>jWWPE4qL1_4+T@9)CoCKLpAPjCbjJchoFg{X@R|mL+Ev zZ|lD#W@uEctdYxu#%c^2H;irY$=F4LSGMtZ6jh@MY3MJ-)a;|1hsM9|J56r_T}x~p zu}_4DPwhLW7%r;&KAXDW_hNZhVz8+(rgr4_MNm&n#bKTDV$+}qOUtk?v$2rWPx9ru zcJRd70TH8h{4UTkZrtI%l(EOmd&A8mcPaEH5Oa_R^1wH17l~mM#)vch8B~5dm zsQRyKTL%}FuW3JdQ~zF2<0fQDT|dx6K%359r~3kBxTtI3Z?>`p(t8O9KaX||<8sTQ zMw$EP^FT;+>D)3Im0HdRG7iHVA>kRlpN>fB_)Q#AUKTaaF0O)~r&t8!JobTc4WHJI zxoy1sk_*e57U?u}Z#q9IZW!JJobH*GYnwYq=)~tV;&HeKrbs+q5X4^aw6e~?;C@7B z57;ra-D?GW$1jAIwM7&-yWZzf%T_4vFR_t`3am7po?e1Vr)N|&Os>P@vw-NNjYjA|B8Lmi>@!^ zl>G7r*`T0`$JRYGA{t%X^?AkSZ)m7{H!iuCKwQNyICt+Cr!w#?DBJpWBK2rx`-xaB zFLAQ7%caunrbYe5!?(k@>~}pt2@X9sZ~G!TM{@SkdO!+>M<|(Fu{M38`*VSBzuX>A zfVIF7zfNSKd zp&MnC)U4NgHh!QaZ9V;hQuE51Pqdu;BL=zj%K^KDTXf<_47s@KL$v!3B?Bh5u1u}H zx8kn71RZLaxQjrQfQU|Xs^qn2?I+mA%6d_sMwEJw3<|$0Jjh@a*S5V49=z>bWDYN_ z`1t)8jfq7<$v(YqVePx5wP!$4#mf43c#zGZV{Ypg8Cy$jd-F9J6~jdp)2NqK!_!C7 z{tut!PGEy;YI=_M6K0kJ>(_1TaLsYX-ts$SDLi=SUrDcH)$nW^P?`Ia4-t&|7G-q3 zllRiGa135q+asl5etiNBsn2l+f-C|CnR{`?grcgJj$X;7%}d{Y!)D8ZVtiIEzROiL zjr1+uI8^6^WBX@Uclm?zT2#WG=Qr&U1rFngB|&794BY@IQvUH*fGzJ(_?UL)02_p& zLX^0f*)Ndv0VoiK5>e;{+wlQLvv(r)3P?-*z|h(*l=wkr8-zlAhtvIlpAWK7s6#l8 z;5fEIk^am76$29o2T>kER(i(yAn1ejFJlEhJ`v?>I?w?XdZ3~i7(#dkeNbUW6`ayI z2t|Bmu3!pV%FWCWH7lGLw%l9WI5^x5L-dOX35A&L!2{^40Ff{}a!yQ!n%#fIhR|oA z21%)^g^EIS6fRWpA|4A3jV(}42uD*EiV-R#&jhiMNw>9ic6UR|0YnOk;N-Qn^kp(= zt3XDMU{zSq1r@3==TImV!yG0G#R%_26ce0>8Tl5-g1Q-`ph6Vtj9C#0EjJGj#L$SK z@(+!q(|~oxBN2B6c%GoE>vg8*gh;(QEq}5U$*1~(YDNVHp#ap}!U}=)M|S(_j~6O* zfrU1(u&ALq0JH}{suEV!>xQ>|AEEiF6~tojM$N+U8IC!48M-T=pLr{QKh_G1&_)36 zASAVj4=N(ihK>SI*i24NM}B6mK+nu9D0mrhgF^}dmRDqgP$=0fm`MfalZRg`z@Z2) zD`lb3q5o?pD>>w?T22sM-u;t9XlaKE)nkRigdP)peea~^qR^~+ z=O)o?iecmx8tTj38Y;YP?vxDv@qfd`vy)|H^9zN7PIj3BWCly$))XuB|~E?~Yqz&w_qCCB4*+ zFQ|!lE_&0|o=g&u6@6Zv`VtvWC~IcaIZQcT{X@Ovt(}Z%O1?~bq`p{-&|)^cb9Jc9#yYN8$%YxC!C!iL%IjfcjbN+)Ct;I>3qK1 zR9RZ%T%(S$X|cN-d9;xujW?14Dp*yIzO+~JUeWbw-TZK^%uagbBWelU#iq9Iu2VAT z0e5bXjhxD`evt|CelS%q%-5q8W6-K2S~=2us*ph4=K|(#XMVQ3AH$JH7xr>B-K?ZL zZH6JsQ!As%HB)XlQkV+7bP2S2JsUmT*P+Hp@Sw54MZILSe6M^b-u7b+xh_QsxXksg z# z{~${5PCFE}j_zoAh|7`{_=$t!mOww*BM>0goN$vr=X7tKx0+LrKwU?B^bLllKJM=w z`%3bRArerb;7?cGjx~;jej}QBHU6>D^Qb{%Wrv4GwfeVvos`8uNpi+$V<$~sk=#~P zh9VtiMGH$@NAot@Llz0f^vwcJ@a{uKLP9K=-#*K$+(Pqm?8FgY#lX2AOOYOL+*|GX z13=bICT@!-{dcOS4dmJGTTV96R^P8Ya(=4Aq&sE=mYtU z1rn(VUn0!%E6ZrSG3W7WTUIBB&1@ST@T+las)9fkky-A+Yl@8ss>=}$pNobRC3#zK zUPh}9KhJI*`{*Yt`1sD!?4u9yw|H}b)%2^2;nQp`xdRVRt=%=_N8y#W13x;ktO-#%?lf5Ut6%^_WNIBWpy-5Fz0TgTKaJ&HuwS zyAZAk4+;JX1p!=f{VNm%8*m-{S}S^bq&qG!clM=S!n+Y90hRM z|F3ZLAFdfVrwSdt5Re&+Y(kJtD6$Egv;6n}&42!{)}TP!G#}N^7k5jOE=*L|e42JU zwG-~UrmZN=ex%ZdQ`zPKILV0w7I~|_Q5s0)ehb$(j7%9657?;mud@B@s`AW`2?Ud+ z5N+-D)8v2A|17&WK$N@?o8+xHs6P9Ynu@IJupR`S4l`|?w^?E|oa{-bZFNLd&qOk4 zBvCoX{eH4;l$HU=V=yw(1hw01Mx|toq-Fi?AAaT$ETKc~VaUoQziq<-`5bl6R4JEM zN*jx>ESpR%@0N7lC>oiz8I!j^a!8%H1XN0*7Dwz=Z0}vh&J->UBtTv1m>?C4UBh$B z4v6fT^(tCAfnp3C6Z)r2Dp)W{RBq%| z-BGqvn;i7L_C;ILz3s&aelboou*9-xAxo0q#^tpfyeY!@t7mXbGf~G!{=t=EmCZ*Y z8{nx&I{MTTKObk82~oM8_|T}NIdbmL8b(j{3~yA|S7LydZ?8Pg?fldvJUW4uU%`Ck zo6Z8E;gkxlGj-oJ5)2VPpn0y*{*-*{W5f`L%an( zY%wjFFB8Gt7in}&k=b#*SQG=Ydx=~}FR#HmPcpz}R7-bqk{1rq8qm{pN(^)#Y+Q=b5eXq!ly}mK# zL;Z)h*-)_hS;U6lGW6~7`(6)*AH!bZ9$ftS|PB{GNf4N&J`{H0J0N2j}e1{kv z$G1Ji&+ismbuHp{5b{ zT(r2*ooCb&7j%c{geyg!DH6&HOoL|`Iv(i?lUfG{zR%`6$rVX@Sv{j_*|zn~)0b3x zeW5i;&IwK}OCa${59WRHUq6|1_{YSy*{GcxeaU?-Zt<^za9(zu3nEx=$F7v8>En~( z4qI6@9lj#(JNUk0-chw5G|`M=7I5y3FxW#K_PyzpO<32*?HfEbLX!JG|~E^!%XV7E?DgpIOD?l8#Pm z82E+8!6%{$%p*Gowttf`sTl@W)VKF3-0=&k8(BZ15O40^A@*t<2D79J_HI$Fcc{4I zrSy0Dc-N0`QtLJ&XGvLna!Zb>o&Y?-S>|Ncey@b;(L*b|z6F&lECRZL z;klsb&EO)Fqmy68CORGCxy!aLzF|Bf_D^38d|D4GtgIgcqA|r4IrvKEj$SXy>pJ!0 z8o7|J~a@Q;jdKSK{J_ogL#Du^hbEQ_jW z-|hQ~Z`=L5QDX`Z?5k8qKKno>Wa{=X^%n-cnAY{m)LAC<7xh}VGwPk*)&Rz^VifBI z?Ut{se!&m>e<*7Qr@YLo{jwsMGqLs4-Y*U0Ry6iaQRcPxtndD&X17Shq+-+#P5Hco zA*$)!*i1qWqN=~>TKOjz*VBI&QYhr)m#J!TKPm)KPV*#}`v*1MKyBv;5vkCfLMEf= zq_o%X7jR_WQW#mpjxR7DM)L_>h0Iu2b4h zGp~@u{IWw;aI5_iVU0>)nzsh80 z;j)P{$>#pbBT?A_OkYn zOwkIwNJb~MbRx?OZNcqhxXLDATFa*pJwRZZX* zUAuFDd0EQUv-X6P<+WNH2_>QIQvsgOcR&7qoAdQM@GabBvoE%6)iq2=D%z(ImA>lh z7QsM{PK_Mm=ZwKM@;?O z{$cSY^TZlDkBX`fUw;do+Kx#0=%#Wq%b0lz@B$ck@1d?zW?H(IsoLH3-^v^n{KlER zLMY|=yqQAG3|Kj&k7IL6s~nNAU3Ch3T+Jt}Z606w?&t(V>c*>C=Md2SY3me-M!f2_ z>0%Sm)OIT#So$pzTH5guGiKq)u=g?OHAC?##!PG zet38cXYAWIL`r#Jg<^nSTd;oq`VIA{m^iR&`^h2qUZ z;X`+U|1o@p*0QjT9*Q`x{jmptK+?zM(;81TtVIka6Lle%W6@t=g+6F7t^!&e zSfS_;y(AJt^##_M&BW5uHbNc=2;t2mf;W#CsWa^)oSY2aG;;U@iXf=lfS0M}pth14 z77Z+f!ZI?Q%eaXt3)Pd5LsBU~{UqFH#D75@YA2y?65)?XmX&K3VsVB-EY9EtN_SD7 zK`87q;iUIHNNat3{6Y}H@|lBhHj+H`0?|%F{s_gBg(ZF1{jkX$#+$)k9D?IaJeh@p z0!0Y_#;g#1BI-{974&vM+#L{C2Y3R4ph$FB7_iP{lvr4FP#TQbVIT|=*=-UM3N{X| zGdE#4k5Cp03!#w0BFG~zip(k&ghE}0_bdV=5&5mGtdfxlVkdm2sf40RsH(KLLNOvR z^31>%(T*Y=_)u605hU!wPfALLbELrW1R_R=_*2uepocQ#%Fy5d!LV7`IfV${N9caX z8QDK`yY1~Ig!UVQXC3^AcLsdEAgbgaw&v*2N4W)s0ps0{Y@P7n>*`FU{ObfhyMH5v zoWP<`n79OgUSM2dcWoW8|A79lbCf95!rUnx3bi=*1%+CgJH3o@5SlyXKtrv}QT(q7 zS^q+u|8cJT|D14>M>;o9TCpk5!K(6?f}b=^n}=!G?vSQ`FlMiE3#Uv8Xd?FQ36Yk- zH;>fHA@sTNybb1FCaMy;iRiq>o)t`T1K7V~oHJJPUJufkzU{yD?w+H%nq{l@@bx(S z2lsy-3{!y8cO*`6-29pLS<7M$UHdb$nrg+$E}AZmL78QO{ktiEmPS1B_{XF}v9)38 z_?@pdN5j>WoA(c=FG|cD-1ZV}1=D%Q(xisD{n;w|xo^nm+gBpGHk1?;r5s6Pk7~xt zD8Q`i(jBSzLA$PxFIufqI^+jpqN^v`RakcDzjj=eJXr;}y;md)*fjK>%2gyhTJ2I8 zma*=9LnXFk|Mccw=o*g~Ah>=%b4>Js3nQcTdxcjoeB~zxFYHtHT;k{Bg6c=6 ztqzYbW%7@9ZRiNOfkt57xtOugCnhFE5i`eWk4bhkHJ`K3c6&YZ#%z-du>i03wKH0Y zt@myav2e^8s7!?Cj9xA;o_WV*`Qk}>=-e>y6PONVZT;@GJww~6&)@rXF_c=vjP@el ztEALg7 z3B(Xh{IN~oYVwqG=nh)%PAqV7vhyBMWHrx7JIr`T68NhxyV^vqe($PWFWbZ=r!WSf za`DwWF{{x0r{hV?TjTwm34H#mKgLH&3(wip@1~vx0iQ1Y3$h8jH!Mr%^%mcJ(UT() zCZc6=_2Y?p%f4i@Kmc}o?2Z?!o1XEWuC1pBO^w|9=(l`sj)ukYiM*1+bAM6r22%7F6wGi%j3`M-}D0U>1 zi+F47k7nS@4~}=&CaG|LKU@1?96Ox4$aDW5Frj>h+w8O=E$6B!kP1ACsPS-BO-EMq z-J3(-h29~gx`OVKu19Ci*Z)N3^&Mq^T^t)T%AZZBt+64vMw(jtNt<@;V82MGWOQ4RrNhxlG10Ag`NhPi%Pe)InXa}qzXSY@OF+WUbY{QJBZj40?4<0i zyS#RWFPHh8H@RL?RXE$9qOw62Vd2Y~FT?RUqw8bXm`V>WJk7Ua+dWpLauc!ECLb3E z(osiNT5^L8W$!QYu8h%LFR?!tF}!5fX5gruK%gO;185l{>n5f@Ja*>Q-y%_$N@IHy zR?(`R5`06RPB4L}Vi!0H`)*o$JB7MD=vujcetUtof8p8etzWYe5BoadYm*Y-$DY3b zy%2`al^-4JGq@sr+gGe^dd=RYU|nst4AX5F=!*zo6)54!Crc|H@~R1)hJqvit9bOo zGfz`iPU(f$!430n&a!%b^w2qHLNV#+OL1QL0_zk(7DD}gXor(@S&VTV+FDpO5|=LN zzI+z#t*P$5utxbcm1UN=Tutc8e_7N)-teJ{5b3!8FYxm}-m;PF{{QeMa`8|7@A+7r z@Sg_!K$XLd4D=%ZD;)%|E9kFu5Wt1Rzfw|wtZn~|l7dxeL;p8Q3gCL`UnwboT`qs6 zq)6Y&Un%K7fHQ0^4uuLwh-L(`i9|M0$R--Gw)vlh!G8lz%wcezHP>1#mD!D4QKT`$ zU-~7UN~d?2p@){+>)|B}u%VGu(RYrYOZ$G*+oBmacc-m!qYSI;`D!V$n&+C!&cLLi z?04}cI|I^E+Wn(T>6>+|IeRb@&-m!4D~?3H=?oB?txt23XR6U%eE(chfv16=0av-; z4OZsE9EM++>~VU)_(M3e1oo91e4!>c?P*$Rzg$}RjD5|{aLfQrEM?-yd2lOEW92@* z02%?Gjh+?d^Uq(0>?Duw_1gp-W+k5+xa($VS9RsnTS|R6tiwqI9tf;mfmoGFB;*TRSA;O zl&%1^YcloDo#nzEemz8dDzzy$I+NL7VsMpv2oTs18UL<>_p{?2v%QUPq|%=$FI8K7 znO4ciGUr$tbM#p1>c-?x25Eh*%agPt7=eW8IkJ)cF<;(Yug4#4Z@tejtmT#`TnLK! zUbKE`HMbI^lBMH(IO8xkhpkkV8X#K3t$v9@_je$0Ka~n>W$H`qC5>VibYB0UQ^>IK z%RW{9U41&;i%6kbU?C+`!EP7zA@c6s$v=Rwipz+%Z=kreoh)TM$a&DH%4- z3}PK>U!_|D7aDCeksc2c^;c#0mngDt*-C-kBIE7S_@F^B zuD6yw`+36PW7lp|ts9km0bB&?IVmJWw8@v%)ylyyvM4_jYz;h{0I9Ts8iyV!TUzq+ zX9Yb{8T+rfmG}igLX(yGx=(q}5c`vXun@nOKe5ra-LG>yWc_}ZrS|>A541&Q{*T2g;J?ipXes~5U(MGLHC?k&G-@Z)5j(Z7{M*v8S%?(&iEDB0ML zK}};g;zc$XG5xT8q@+YRsP^qH~I znObv>Eq$yT>YJ9qccP$tnK}1@Ezn6E8PO$Y(8{@$fpt%~6er8?vRN9_C2M)Pl`X^=Xb?V{0>qgZMMxaWkx_6`+~V z1^0?$KQ=okB%o!*Hku6H%b5|SEAAeoq3r9$)CIQe@d4-U&LuIP{~8a70zI84Kg%-? zwVU($y8OQPEbbamE#j9ZIDW{H*&p7CPCgu6nWLdF%yUDqm%{6uphG|pP*gyBde2%| zF?DR)BXd^9`e_jjFQ25HcmL9FdQoFrSI~ND=NJ&#IZ9&USo&#g6CE3$OaD$>cGtwz z0lN0>tZMMKa~X%0iC@M#zU4O^P`3%l`DK#Ycw%-@<4|8wC7cZ`pBL+sF)!J0nBXML zSPwa8%2T%dlUwOl{V1#EtpNgF5DVDVHT9iiG7AT}%+qJlc!QfKR(=q(iN{wq4}SVA z3(TE8{mUtCdA-bgH@1L#@zUe^mM=%A*qje&SxX+>$20^hCeIZ%w0$3z9uZS%#jI`* zJ}+A5dDgcq{jeagWs_P7JV+ft(FsTYFW8_t_X;pZz%q;(w#}*XC^i3RHHi&QXdVnGmJo+`eLTJk^ z;#>521wZI+JfyHC&CBS*gx|D_mDQcxl8GqjxdIb=#}|HJ;xe97w+o2?@9)GtEi8M} zadg7LBa@w5zQHXhrD(sfw2w`8#nUf+3UJuRRFiPs%qXQkZ<#o_dceqkXLL!guIYC7 zi-nGh_yWM>eAFzLY3ki}NFKeIvnemS^aVAb5nZ{PFhup>X-*NSZ|R%EF!fLETp=RA zsCO$dv$_voT0gQ8#n zj>-KJ_W3ScH;%x6foE$U__lj!^4k$PD;p>nn~~0Sz7g`Ek}ICe?5(!>s9H`&b`>E(=-R$$?qe(J!Nz(rkGxvY*QhdjnB6%8X(@=Y8%_xDH!_Hcl; zmv3U-%FhcyiE|4yGKSVJ9?3n!-wqf=EV8z!1jS51Q2etjW_CTptm<86QHKZ3-NTdL z59xrAte&xTX~PUAVB-wUs_tI%jO~z<3##k*va@YfF7u@{5}UewMmJ$l0hqfy-1kg< zwXA-_DXU}%9Z$$BwVg{qFL!X1TXB@H3`VSQ&>ET?l~o75ACS-CeQ)Kwm17QsV*swjN_lvG>b@hRW>-wSMs(;SUt66TM6c$sCxOW{K8?G?GsE7 zN@YnI`zho%fp^Z@m4rq`GAR1pZ-7SkEUS3%o2Ge(xO@I;_l{WYhncwx@W7=G38LZ` zBoVr%kFXxKIW0QSzq zg27A(DoTd3L1Jjih!moegyxL(a}eS{kz_+7oa`-}qsaNS^g`Q&F`FU^B6ZA==0}6g)eDlgUCh zCI^uuvfEG_iKz%nsRacfnS?f|P#dYCt&IpHVH-VEIJiTn*guWj#84S|-#0lW1(L}B zY2}8uD+eK%FW|f{kqKY5py>ZmMgC)(dM1p7dp3v|})lkEmIp+eWgTu~-rPFRTA*ur7~Lk|mSW48(S>A`}w3z3m4 zb0a9!>f9+Qg6R(-rk^`if}noR`F};2X@3GrD*tcwo}Z$fY7d=>G)vL`%Q-tdRYXp^ zYf%5?j2##G!CetChO9?+P~AZq;9#YY0{*Sja|^!Menlquh3rgjD|Z-V8u`e&hy$_H@cDF=?A)7K!WNFSV4N z#I<7Vh!0P_zbf$n`f}q>n{xe9#a=IS=pS}B*z^sRdH-l3H)C02?24SY3G^Iu!qRFu zec7pP2+EX#;y9V(Rz+^dJP#ekue!hDmJ7I!TM0vlx~vlI6+Dv`Kc@Zq;&#{Y>g`DV#A7OTe$7rLh1A|59Nk2}O{u^YU11UR=aIzBDHEPC@B z=RegyBV?kkqNml--#L&VtROABMggv62ppd~4*ZPiGPC!Bb4UHx`U$N*6NHya9e%qz5qoBR4^85P5 z(6?icVhhAI!U~zwYif8Of2o0->$v@(8k8M|txqzr#~&>Qf2WOk(U zVQp3LY0;Fz*1EotrryUv#cww5H7sAQF*FA`_w8B>`CMMSsVn)(5j{1(ByCnKh#e8T zCj3J5gR)#P*kx%pZ>`kt^!=1UJj5rkH{<%+F7P|8x;1k;{=);NDUj;%go`h9vjtPS z_tAyjjJ&J4vZj-m1jmkbG!c)@?y&=T3=gxeV63|*{Tvt^S4xj5lTx~QEa~Gqe3x$Z z=*kQMFRu<#LLrPdO8mZPUtFCW0!Rm{lAU@C|xgXm8!JO`z zo_Ne!+r?sxvM+i6A9rsV73JIadk-y*wA4XJNJ}U!DIrKV(kV&_0uoXp(hXA5paLSP zgo1P_PTD@+AsE6&)&b~oXmi8Fz3mviO+n4Jm%k);v%V*R3iDxc5 zsv=@fn36@e06%v_@mg(mQvu6HRn#v&C<`T43!1JpSy*iF6+5I~09~dI=jYyQ)6ALz zXT;PGG_$FhZcD5sB)AI{47|wJF#^A@i(Dfm7cHkC&hr^JPpj)O?Y?u=ipK7Nd9#oD zn&d;^7ksB}x>DJrvnxqu*ez|bDJ@-yZJ_zNHCFF>Mz7}pkb1#w&lYODQHT2+(Ar0b9j}+ho6Ri<+rtH&i>iIc|^RRkpFuW z^L+ojIRW`N`r%J3^u-eYGskTDhhx4)a!i(slFk1a0wROt{|W(tH8L9hFEA89SIl2w zD7Z-X{tFBRtuU79Z!i?V7e{}Ep#T$R|Njk!{=+d}%=W`i&5__T47mwMZX#yK{vE=+ zoV=ufarIW+QN7&i7)9bT2W(TjPyY0sD3$URXR{$MAa)utd3PsDbEI#MP~Uo1Rc7U$ ziD+I(VH`O&=G%z&6X33|64PL1l?_N$`~z9Imk2S~ip|8fZVUIKN|qH7)B#(q=or@~ z1It1>=fT_*k~ZIgS{$>1K}XvW`X}ZI&ael)Xkt!gD`Jj4SmR!Wux>*r>S=#gv$bMZ z|B|eMqQEzxxBPT>WrgyET26yUKE}X$69v<=!P?vEWjfblWb8sRfH0w>O;-R@DleaY zm{aQetHLoQQ9X%5>4({$g#F|Mu7k$Y$1j(wMFJ`6Vqbk0`I3vCB&7bc^hv~Xpm@!f zA|M}7zGz*FKKVuNai5yKX~c9>@`>rRZ=UjD_G1?&P0Ryk@a9|96RM!TVP#EedSACy z?vPqNv^%$aCVbny@p^95JpoMNukUbaxO&Z-Umer0(|XSna@BW{HK^*jTamk9<%%C5 z+~ucOru8=YUTD`Ba1($3!+vWU7P}_;5P0|CMA`$#UrN`d4WxNa@B=$ z%n#OugK7pv33)k5!=S%bmsQ}r&UM$#{_(4a_h~trsP~J)gos1xB7Ljpg^Pgt_d{89 z`dg)B2uIg+m9Jj3w=V77Jq(PoU)mWhckjXgj?(%uoi1{wj2@$P?gj>i0!w+EZHoZvGKYc=I*CiQi>4R+1 z+hNpRmC_ZKqdH;kDrx(455Iq^#OoAlHao?-to0b&f0z~Vn37?oqAPDG&s!^*`i{}> z?feOXx(~wF7dT0MfpGseenP)(JnIWnC_zzuyJKbsV|4*xk_Vvb%m%(x;}|#G6kMub1*E zm_}ZXLociVWl`ya^Xa;SHJA0zraSgri-?PK=AUK!#wv^oM@>r?0|GaTzR+e8seU96 z8Kq)!k;YOHqFtXIn)>!-O_XzId=I1w;tpU7F7NO9&)hBvANuHJ^vj+k;Jn%-O=^)*%_j82MI`ylJ+4DaP|$CTuoMyw!9hoVJ0Yep z!cI7eXGYJ(<{V*dPZRZh1)MNxJU){p^-r;nG^bA^MHdyn9X`UvQ~OTiW`XM>$3D?(*)avw}+ScB~uv>-lE@Etq>S)hw;QBV@kedZ0&+E8(X{ zU)_A4U{XigcJm=_fThyN>e&;Wz(}HXfsom@JhtW4}6nv|QEZxzFwg_|N%H-EeT;1MZWkqf7$4>&{U%oAE{$Xq%8l8|)-8(e@1B;yFRxT)>S;QryWad%4 zD-HZU)%8In1NeXzOH=>kAj{}2^hHd?DA_q3(IDdvUd&} z*%iL6pl19$a-P??mWuyL`fJb^Qc_VhF^{@qy3=zvCVK@P?Qxg2Q(PIk{?MqQ=S?Xf z*X}t&Z^1~{b+Oz-<-woXiKlGE@=+dI|%K&7}U(?RA~u&n*4>ihJ4>Chi3Ie0IBiYjwz>t)_xYy#j#I5_~6IFadhw4d~(X@s`);hj!8&He(5oS zRM8|DY^s|+Oz6Rs*0A)5XlMtl(gydeUAzh_hL(ZXCnz@siiI5?*4Ng5--zD%?T1%{ z|IlUOmb}iy3`;8om%(L4ZSY{>h_A4wV+xON85LX4*Q4V17`Mj45;aiLxt~+9h6d=-AZk z55l5B4?Z=6p-cBTHqjM+5n1inY%B&fv$ADG5s&QcyjeXjklOf^U{Y!1l&DGAW9A4u z4Mkk;h)Ml^+2nVt>*!rm>EQjkThh$hgMi|`b4)V+yXFbq%RDMpu^FTD`^-{z?V>Y2DLXxIAV~d9%BK^5zP@x|QD!frwP(B#l#5{PcBI zd}_BAL$3}2*Pys9fcjy(YQy_=Rt1C7T|1A8`mx`AC&tOyq&KzoU0zgvng@*X&5Rs8 zDRhRW9h7`Bv8~-p1ebaBY!cI(zD>f%2Tfq?{5UiB(-x!B{lHhHy_jUw41%hUr*~yi zKlbeMh}pdcyI2p?U%wiccvLpNfkO7c&)Vlje({uM!D4t!<3v~mI>5en8lN=y+j&IG zV@XoB`k+~h!7PPUIXFs6Gi7)Mml#~Vp=a#;;?38g-OC0QO)WF4KS^1x1?KRID#c{C z^pBnbzh zdg4zPjYUXe)Y5@ua?qL$7eTD~LGS|M9Snek5s>i01|&s)6q1N-Qv}%EJNSL!*oJAC z)HHBl9wsd$kZ_1&3z;3&Zw1 zQv?puvi*-|8~&1VNZu}FlMul|;QFbtA6X4GJv;kHE(vku!UE+VA_-q`V`9S^9(*_# zYDq$(OsJJKMPNYJHjFEU7f2!bg{AOtE+Fg`YQT8m-bN}VA(%vtj0_qQOG3g56_bC+ zBr+ydQTeWw9rRwoMVw|KCWPn?LdpiHoP^(j!bvn_;v_t#|EiOR5u#56g8oE-{v(^b zFm96-z=2tsZ%UzXvLDV@>j|MLItJU0L_Jd@{fWNi7cUc8yBDG`t^%=RepGVgg^rfpv;7lNqD+YOv1~;@Mc0{ zi5ys{g>2N4l-4schl)w0Wbz`2(iUO+G??JQqyM6nj8A||B*Ift0|M<|TFF@i0+9;; zLKkvLWG56-D+*iB@?mJ}1-68-2*|19j7vE57qpU|ZjCER^} zL6y6(UgDCD4l)@Q3X_q`>SrP_kWmQ+hH!l&Br?92`OilH4G8>;j{uM$)57Xu@N>%| zl+e&-@!50~#Lw9c#Iupvb7qtRC>jw(L2S-OA^wq#T)eCOkIip{XT$|MEdLX;`5!;H zIP-tRZ;qh)pIPO9aLj-6jvlNmKkc;Ddv^yV*baTSJ|a}sS~m+nspJDEi_HOI)A~A4 z_Xx6%ldhMJFWN5O3>j{{vE*LH<}=kV5`LV1%W3Wgs5l7T>hKB#jS9hNY%kKEH8_2H zv}g1)?If123tKDQ476(uqTQ9JG@r^o=Tji&X-L1!g@15GuBIpVJ1c(Rp(f}y6FZjM zdw4<^Li0p*|MM6vt6Lw6S;#tPx34nZsbK>c&S})0>K)u05gl8K3jzjWpSj&RWM85Y zC7vE}pL~l1Yn4s4qC_`kCdhWV7C6t$e*O-vw@)0kAE%1i?0jgj1F*c4cJfQ8IX>uI zDs82~Q8s=vwjMCW;89(_^RwVRZ4ww?N%dF|$jkjoDap(cH$ObYFp?ANZ#~EmPQuL- zS8NOLYhKb)D>nx#@q&>ommeX^f?vh>KDh~ov>Pe=B&x9jA>AJW?kwiq_&8>J2TE2a zjo;J@EI(T1xA-10T)!YF`CfSHJ#_`USh%Hg_&Fzl;%AGjBPXzc4K*#SesC zdq4CQ&_fFSRyM^SzbX=uPg($#XkJ zf%_}%q(Ods!Oes%^Nxn8tKTyYvu}w$k z)J`!lp!x=nh11N#)q*$iZUytArpL>AcTC_^wpg!whG?1!ua2mgFqPm-!rvey5Elto zOpR-Gkd*>+0enFsiFlXaKP#k>8OZLG~aNI990gCPFEcI1Iy^Wv4 zzs_iRh*+kHRb8~Z#r%?&v?oO+D1gW0M|6u^*Ri)NI?|;#%c+UOKA=AlTS1R3)psd- z^XfTh2&w#>|MdBeokMU0p>d~>fwk^=gT0b)yA76trV_#eWGdb7W<~)t{WlDFi7g3z z@o0YbN?Sb&%j>Y!E{)VV1~~HJ{Or=cmsReKc5B%Yht_|tYu4nA8l`=yUQHB3a0qE>;+a`D;qFNC47xRqQf#<7MZ$}Cr z83Y|Cty8azYmE_!D~ri2{p@W1io8y@5nyc&k|gpfyTy389+`FMZK-rwKZ*Qltl`JO z%6qiNc8b98y#ht>#ANwaddCn82R`GQfcD_K<7$r@uL$xR36uH*Q9Dt9;+2=6bo?ni zQQaC5XLVVuX3zl3?zvY$Qu!BtkSK={A@7#xM>I~LpRA+AMxrVB@=NC+4LxNSxpP40 zBxq|-TD&{(qlZdCgPmW0DVD53-|BqGzwgvJN!02~aTnkhp@?~9WO0to9!EW-t!*lI ze_Aa&o;ByqO-jpawDHyeBw~0j6|v!5GV($EaP4>bT)(Q``)kH8?GoWrO%#SeApauy zHt*4$(UTs$g@bE@a(cz_+`CQ@^m($8?b_HAu^?!LA4v}O}(??TxKluILC!p=4-+551_#?^uO^x0Q)8X%0U6r((yMA z3M`Pp;(y_w0KN+QD+dM0C-MULQssZ*pr8qsWB!eU{(~?hpnm}oi3FEX$W1hI6NB8u z&L+Zx_|H?=e@ZcL?N>z&vmM;&@=&EUeiouFCh!CUCw>AqT<}x2Ql?)ia3VpMUvY5{ z512)Cs#eI+`KyP0!6EJbg~ur`OvPcC1lsBj!$a2gYPtz|mbV_HW@aCS9=w;b9AXe8 zJ@rr7pa(_mxxS9Cg0JQXs>K|7jwkPEd*;0j`8Xpv%O%L4W10k%>y#O!YE9*4e~nx{ z5@Au%JFuQpqQa*0u}kgoXoT)GR>-it-BgZBlU zDQW#$@>rcZ>f_`vlk8Sa4KUhpYpR#InQ6Tk93hG`a*V`$F+=gfOn<$q<=eSB8mE2r zMv4}*hm}tnF9=^zkzP_s@`OUOoK6j_-Lw6#!u|D~LdP+m1Y4?e%z-x*or+uZowPS4 z9mPEHQ*Km+?o&tP!*vry_H9u(D#qZgT5_DZaxVLuoKA~Ys^E~g_PDobXr2;ah5CW~ zqlgb+*GzSP{(ytPHwew6TIc7=dirOZ!-1)4wD){vt{si|V7lh3NUy8SSU_E$B!5r` z{k+#5vJFGA*0?CIaAr5&LGS|4&pAOMfHiz)(UY9pt5wO+_s|~9eX_y(v3xT1*apNq zG?6uh=cX$~QLb?smfq(mQ`4QVE<_JFhv>)46jkoWky?vw>R^tpRPPr0*m3FdQ1Q3a;zBvA5-&arrwH z2TwPrUJ3+!Ninx?e+Q$&1pI5z=VXFaY8-!)NQN5!Ry^A=_9IE4s_t@=$nR!L$x8fM z^{cC0tO5~YB0b-?HwZ`yze*Fc050YMGsC|5SYy<4t5^PLaV?BmANS6RfBWT{`UatT z{{k7FwhW!w1q$)KwsQQESTE!_6(w)l$B;P;sQ5Tmny`TzJUe8s-7yv;wf%xk3?*D^ zDQMVjk%2VHdAz2Udi?&1}*xkxmrRf=& zq?;4uT>4afK?WX^;#=d@Ixi_8xc#fAfiGh_xLTjAn!Ah1y1arws%fBb|HA{k!sibq zfo;fKL>c={$?y|FHkN4lqRD%WE7Ks@?sn^S<*D0^K*@o4| zOv;lS->o8!kbE!QG%yYH?^!)t3)XuOR8ZQ<{b|KLzt}Nz@;8g^&>n?OXkuw2;5PKf zyAFw5{UDA<2Boz2qtLeBN~%WYJO%|tUq_ZAL0s+ZFO=(2y5Sw;ztLnJp9Z4g;7wy3 zVZXj&|2``5ISb%Xq~mLVR&Mv0GRLS`bi$Y{rr?}vlmU-h#_u&N^(sJe-oP21?BlSq z%MuFR3umm)Vp6Kt&PZrjr1Tx)KQvBDkTGcKT0gA>%{^qa4!&`3YFf69{6mJvXMdbsBA~dL@`ZwljYBfD z7%Xh!EBBL0soo3h%i58;+={~!H%{gen)^mE>Gx$VhgyJ+d)1lW;TEhK_UuZQLo8O|b zwo6PapZXY2K&sT*4N`Dehg*z?N*z=-iTc0{hAp-pY~Pws}NFYi6rbQPKX zZrxPo+>|1t@DO-Kj!j)AVbb#@;n98Eu|Z+{@aY;AkFbGrRAJHP4gmmOPZw5q$=F2W zK4a^fc!vaR9i7pO-SLi^Tsh`;d&xinDte-Gng;I}{ZdK1x5lfBh9ejGHejIelT6(P znv(YFb?~;b{@Xe(A(PZ9=~dOx_=1MP<#maB7T(Xp=C4WEha`gPzLRrO7KfO@1AIYI z<>C*E%8|+A3&%9dk%tQIh0CVEeG)~t%-e}w@X)Uhi_Qw$&NaBy_>JYzLGPB#b3#ft zfF6_Gk4oJs>khAsPDalzZ||{lCLo@WoH0hoDexi=WNl#*%G*CHF0UCBidefQoBg_B zVdq435DVw)h*@gU7ZCNS#VKTq_xX4_gPQhj$Ezkx{#ic>Syq2ti!ZX;!(sv02^CFx zdDEvkXC!=zDtYt!I0B{)0mb!=lQY;fg3_kI#wVq?@#hsqONU49KJjlFJAPfcdP4>( z1ryV9^1cjBf<2X&t-Y5$pM`%zK&O5Z`X#<;HKM@xDaRrGlhThus=@4{dg_~!>s~by zj)(Y6Z%0YiwiHZkXhl2F4T39{S$#np9=n*7foUbQ$nO%<*gcO*%p{?py2fXmNNEwj z2|+IXt)PM?G75H|(DIfJMsaCZZ~wfOuDrQTrcz88{Qn#zu*_U7*$Y=#rD4$hm{CBY z>6uH()V5ilbc+4=>+o+sVp=B5tpQ_`lYoUR=_Qw z^E@JJ8|Au+rk-;|0pGKb51%Ku4^EV`%j?&Uaqxk0@cZhvF{r>Hb<6D=-VxUv!Do

x9>+`MmyZomi4ac%df5ve(cxTG|EmhMGcjDSx_^p@(Ake3D3jh$mF1Qf=;{)t&_q&!+r z2yW__Ja`Qba9P=df@c=j@4I z$q*R%>xP)TsU3J5#V zK7ICs>O15#!h#j3p+xe`Ca*=jZdh-1(Pi^TNlEt$w)nvLSB?43;n5$PH;rY%)roJPfsuC{k4=)6m!ptN{!-9f>oL49j@;*s4Lm#oD652C zdk8R*EjeUJmp2fCs>)mNa7iLZ$`pYijU1U-1Pq*niJ)@G`4_&FSI9&_(-hJ*rLJX( ztZ9O}Lzu3Q)K)%*72_UHAgd(w3xNIT$bsEOaI%q0<@iBuWdoE}nj#SS@O%N>N$C5A zMLdDLuYF%Xn?aG-^gBhq`eyxiOTPPFletdN>z33P_dmECLEbGLd=^41}c(A|eg1 zmT;UL2kCE*Pe@2kulNw;wuo@RhtaJtwzaDp-kbjURA6)VF#@qQdrpl&Y|joO5IeKy zk_g1^?02~0#apre{#F=L&4r6^|6f2gU%x7NXWLiBFEw4}{UT`4%SPq0C#@=%wB_@B zYWnH+DKI6aR`Zp<*$VVbGL|ZPM{Ms(GErKqL|7OUuhMex)qv0HlSZ9fuH@>kYqB>q zdHX0fE*0+nQqsl>F!%fc-p>Q&38SQjx3BIBxObfQY?1fe3i|EDIe7GXq}}0xID7X; zpsS&Tek{;yyOfr$dd?c-@vXM~ZFr=~JF@7LdOn4=uYl!ek*nQnmXV-^1B!38Gq*+L zeVe%6kyqn;4)$4uGjGD+M@BjI`|Q4Dx*_F~8E=Q~>C=!?&s8lF``*HM)%%GY%*{&@ z9SHJATYg^+63o4}b39m)*FG`NSfV0AbidO%1~4?d6V+edep)$}u~Ybb+VZFUSH=e& z(a&2j{4Pm6+Asl9hSkh7?YIph?uig6r-;F=wnCA??7|v5xt#b$(As_72DM-Z7S(;>sUPxuZ+-a z$GbXIuoD7Hyp%_-i#N?KrEEAB-+SVKH}c70Bi+kbKu5m1jKLuZ@GTEZ6PfDKT$Loc zp2w0n!?wuDEhzpYyRlpLUY&&VD6m0qjV3F+@uI*|V(4wSZ`U1@}^-T4f5KakLGWKy}R8%ohHdY8r;&@h|U*| zWRgyvx>k3bS$c;hox?HfBT$SIcaTxl_s41Kmr%LgLB&BeUDL)p9hSPu(U4O8&=JgY z2%M`u3F}zub6iYdw6oD_)zyIt(Q5&h9ZXl}(xEw?Dt}wHWQ8#p-;k*oJdFrR&4@ z{>{rEx{|}^sL@j|G^jncQanOKzD@(wITUA)rZvvSOu3%`y-D@yGlcM^C-2q9e3#HX zzbyaEG=AHb6*P6z-JE110OYScLh!Z`^wOF+1Sap?wU{Dv=efsP<8Vgqh^G1YrYO+Y z()AkxzRtMJx;^uU`BjvA?Ya7w>NPNNuuE#nkIlfN?482m2J85v_8^9-c~`&httEFZ z#kygM9rAfKJS^=4n3tmqThd-t&1)FC9*0(I?4B{!5oW{Qd16H#J-jF1L2IV{!rcuy zL~O=oO)DIc{G3K9iWgW-tL^j(gkHg~^T0=n55}_QG1DdrcwGL5Pf68v7Tr?F?|cmo zvj2sBFzyc0?{3pSqx85|c6o_`69z5#(-)UUR%Ga3!@LoS(2!XJc9)Y~7IAV}U)G@b zwT1Euhlv|Stcuc)g^pO6jeqi-2eTuaPie>-XX!rb2TW6rIxY!^ZsvLJwODp-<4$md?8be(DH=6W|hwJ}i5t>u~V9gdJ)`Wp5 ze`SII`CRiiCJ11KiEfH1J>~S{$sn1d$w1-kv_qh^6hwv3Ri-_L)GVY8=#*0U;YM&820#-`G#-at24q zu5u6j*3R=@#y?uAQg-ITBL5^_t@8iJ(3ReAwo%$xm~P)kV%fKBNTXei2<8r?i;@i0QV)d6CMuJ=FKoX_F>p z!zalwnuyK8w$4#^v!PXsw#CBmk5N?HyIco(nN*z^T%`R!z6ScAfHft}Z+Apfhn(LH zSTAB5OpT`;w#;VTtLhf@cuI25UJ;a1p%}bui5x}G_g=E!6Oh+@Tr8E=LF<{o6QX-O z<4p({+pMV9u3^21))!iLFgMd?vCpm1e6#fqYx0_S$V-D9K#0(Yy}BRXeu<1+Ip+IF zEagC!R@`>M`7ZGXQ!Pc^*WmiAogXRuB#txR7-VZEm+-Tgr+*TL5ht{UN_FjCDSixQ zwH&()KXZx+{f;QfY0*j=`w@uy8Ewy2^NNl@g|nv|Q0ds(&!YH-bN2q)gIgZ*!R9j= z**%qA?F5umjXKy0S3sG9z%Vw4+}9TLDSwI?-EFJyR8L=jB#?MNCOaj|XDJOtqO`DU zM4So?e#L05@hIi?oGe^p@$hP_+Q4|_lIA!Levmp_ZQfeLO=c-HT#c>LduK(#PGpK9 zHU7C9%gwGQ4xCw-p6gBY7z|gGcis3F-GKhnKd+qTnAddzi~X(~N;F{hu^LqtOlb5M zE50pKjNjfu*v#-lMw^=0RZ9S!mn6G)_hF>CW zUooC3wl~)by&k>o5cX%hI#kfjFjg?zXG-p1nKT$Bk1>vZ{{|)D!U6F+?Ynb=xnd1dPK@9<9r+@)RETTsCTQn!z}8}Wp(xdjF47NCoYw5K12Vkz44x;lNn7> zy%bGVnJmG4FjGmZ5mcj*GLT59ov9Zz%qA(@=;`~{H_M6RI7^UW;vr7%>i~gloVoN) z;!8u}_dUqXZFFw9^J3-*y}r?Fe2q464P^;9Z2#6S`|5-0EPS>mas{UacJ-BbW^I$( zRcL>u;oY7BHFq?scGZPvXU~VgPZW#YA>c?J5i+A~ znL9^|m}hzMRmuEO)$T6l3uaM0>*Hsctz$sqnoV*!XWF*|e8b4zaSTiUz^t1Fra6_H z+c;c;qEfekiiUS|;}Rhymx#&i!QBV1a%+_Dl`va+`sP%Ng++fD0uw(rj)~?9clT23 zR?AsDx;`+cnWme6mkwy2UIE2@DL}{YtbXpy#ea7?L&?(%lkB>nY5aSkl26?~_E0ge z&@lqzhfygX&~UFR*+d@V8M^r5DC#+fguiU!wzPZjB(l2?e3NwXE32-57{+>^Q%uVX z@i{D~|9DJD<8{s0!YNu+D^V*L zII?5bdq>zMegv@%K9O@R6XrxPJb%9opB$PM)kpEJ*t+*PhP5PK;+{lv03qk5et8Y6 z+gQ4u{!!JVcXgeAUMANnsT;y(xSB60tpU7W<}Gd#(f|f_#k+b&E+2bkjBM_E1iU*I z)gL8fmD2(~<#VEDv03lWVrHt8{rDd;ik&l9Iby!}Jo0lT*^*ZnNWOt3tyP|sh!mfU zcSlN(l75_X3EkGR_6dtGDO*6p2c(qHs#93=1()%foOyTO<{{1XJ8G8j@O~QKI>ey6 z4qTFkf80!XSsqc;GNfpjV_x?pgFwd)CAPg=n;j znz?PcbV%4~#p;8Y*K>h@{|rUR3Iz3E;WH^H>XnpJ(|i$iOf4wx;OP?(l9*Zwb}sV> zTf4Y>J_~#GrsQMY%=&Lqy6Z9;58V8#1~yUf1%a)bU&O1tj;<*xkwGdc*OcO&li+jo zg&=$ly*(M=Q% z%@Hg@a!c19EUxSNep8GxYS|m8SoBdD0GBNJl-(Fjk+PgJqJDO(Pp_3l`ZtFieG5h* zxW@S?5_F8p7M4Cd>aL0wmJLp&`8%neVh#E}&rRz&s+SK*?i^RD@c8^oCkx`nS2T9|#-NLEH!U5L$U^P4HI%jW2ADhvPrpnrWR*}AhJaKB zLLp@I>msX`)@dT|5bbgDI{|4`XPmt5NxSI z+x9;^QbQB|60HUVKswqX95OOCF}V;{`doB#U$o#{G~nO_Asc@(VP$)930w!NDG{Z! z2n6Ci@fv9LeASz7}0BLDQ+SzOBKg0Qo45EZ+5}88@rIj#+^2+?bY~GMW zu3_Q8)TTe8N~Ee16&k(aS|ol*NhKNr6Dg>KToUg7KP^Bob(4w)2#ZL-T*eDsr3@UT zu9EN{G%2rmM_B_ILum?ADB;a>f%C`|fqv264VQk5JO=I%iF&~HALu)W26w0+g}Vxi z+cySh9L@w3 zQ^I|oo|#)%T0%jEZQg%mlmO}|FOn#cwcap`@?V@1Hkjj)Az>Z*1*U|{3i=_FDB-d< zXR%-uC6Y*rz>8>5Rw)jDk;E-2DT9ARl~7g*Gbo`2{68_}1GGmGad95XNp~;(v3^7I zH(WFY{$)~;0~M9X2uh@+5;9pNl+DS>%dbOP!7-qw5~fkYJk!o@WG_x{|G@grh1>g} z0f7#cl!r&~Z-Vg$O+q|~_??MBKZSE8> z5Qj($`ZC%jR2as2(Ng|zTF?J^>r7~dK>c4NLI1yt&!n6QN`HfQHq6@08pX*i7XGjg zhm*=Cb|&+i%N5mFTLCcN`ZQkp{nBMqc0$dUDQ;2pzCUHJ9m`7AWszjz6f%&4v0@Kd zw}juudo3B#5;M*w>Db1B&RQ?+Hak<5&z_iJ0~rM&mv#|;!bjRP3en!~ zc)MG|K;2Vwe4*a8>}t*BDPg@5e@<=GJYODWoCw|Cbk}&__TmLYu!{&*!_vaO-Uc(aq5mZx3^xiM2yd$7=LG3lRXmy zqRc|&>^Jz$k3L>W(_JC)qoAI59y;@iHoi7iE#=4l0}SErP$C|s&6wug@#1zcYL*Ol z{AG0PxF1wHT*&y&v>aTma^aKv-GJJ&KdBLmeQ-ZhP??sE_VCjeqkXT%Qx;Lsyu?Ca z8D_COWFM;b<8?goH=K<-0wiCG6=}Zr-937J3JyxR$eCn0KD_^YeveVz&27&&JGhMR zs(TjG2D=)%QwW&QZehDttY9=}>Ygj%YxHT0MUJ_DRcS{WsKrO5^ZxPs??IuB3r$ z=PU(-Z5}0;$G<)M&0M5cXTNGM4>BZr-Y)aoQ@JX0$i)>8j$1TwXB?JZxzu0GoY4U}g{+;CZ_k=^KUv##!J!z} zvLIIBKn}}r&-NUO+g7}Q^&XmO*K5)_5Hee$PPmAI_W-n=_ZHAteDGT?CC-QjrCptB zWSMx>T2iT9q4r+_w1?2{dd$pB&o5}5*O_Qw1GV*)x1$y9F`));+1lz|X|!;{zcsTJ zkrf9;uX(8zafHDrtbGek|h~2W01L?VM_PaF{jMjYb+tK| zqFAGFZg2**f}?_}?GW^h6LlJ^ysmb#^n5mpAu*g(`?a7RBbrW__`9t*@6U8_kBWDD z?`Mp03SGSr_oWAESj;mIY(>r_UKD?c_{q?f0b+_MaOwBIzcjHYq>AI~Ecxa0Z0nA0 zV41#<8;aWp!8bIl&#=W>Gac>Iu3{$m1<8M88;~2vE9G7OMfO5|?cd1M{|nmuH{kPs zdB{fo=l{+4%;A6FW<3&a-h-dB{f!I)=;-(>83eEt@~?yx)I*=n-v}vyuSfn$NWtmZ z`Ckbspr!jeAqDV*`Me98A&r=A~z|>O)7GeHcJFwLR@?zSPh@3|2YFR zY9pSBt5TOVP!~qOJ9b9Dicj%6aXF#H48;KA*w(`dNUt zj9C&$NLXiL6Sq(E`<0+$7+@BWQp#Y0WvZ0n7r%NC=oS;$2?SR@^7|}8h#e39M)gC*xb#wK(0wyTr)VaZa+O2r@$wux97Zy)PZG)Pt1ed?vs!;yJ zSzCNi^s7ubK^})x8K6=R0y-TVJ5PTyjbx-S-QK zd7-3U!pp$4=MRn(d)V`}{BVo(x1RAW1nF({Nw)B&NI)<;qqOf>baMih(%#*h7V)w2+gJIsf^d?OsS8iSCzipxtyIiU z>G|c1zR}O3gcgoJ2N=f`S>nAREWUG_1iMBTIHh5TbU(CSRHdG@nykT?Eqr? zb7r16)!d&?H7(c5x%|jS{?-d3&Qg9*sVj7e5##cmIcWp?U=l<54=+}m4sawq>#=_0 zUS>3r1XY)Y`AJLXR6V#CO8KE@SM_GM@d;J)Pj=9wTcF3+YaqDgar84j zd(JIn28V~0vv9(YqPOcUotru!-s`v2KW%$s6?q-oh`Ky>#clq(yV#J@L)G#@?0fr< zftQGo?_NqFW8eYx*iBZl(Wh2Iedtm@mls-WQxM67iNK43*$v(Chgi6?8(!oQs^_bb z1Mh+J=S0IJ%X-X1j;}#=INw~)096|P<2&AUXrFmnypm4CL{q?=6rUZ83Rl;N?SEVd3R*Knf}N9I1WOIs#-eO9#Kyn5m!-l;1Nx?}{|L*#&~>Hk?j&ikTp+TYR{! z@@kn9<%SxMo;|%+FX$hPaPVdUsy+QqacftS4w<|j$}8haX~JqbqVmQ7P4|tP=Z7ND z-4o6s@OG9c7TrCXqEa-MzH~bMySz|=R|1PuQ#Xn4GEnwNy4-gUW-X!uoS+kwWIc?7i#QgQt(ltchm7z zz^z&MHKKizm^^XYfh*N{R5ue1-(o=guBLzd>y9xf5AZ1NTDSBKt*FIj=Dw+wo(mg? zMpt%m$r#lv9aF1NC;^XxQ>3kz6wmh%hghIwjoev3vu#iwRWq z%7&@rnw*jeX>W~~#}uhmwXD{ov^yv9=D%wP8#k0*jm@vn*|~auXzL%_B9k+=u}^zj zQBN#>SEKOD4{(OY>X4fAwybhw@fWeU)WGm64X01Q02U{&z!e%M-zu=SiN+;1bpk}h zLsR7tEd+#*!lJKAelEGHU)ji6yV(r1nOHD+V&W%yRbKv}jk=dyS~oCzNx?lRp$mtE zolnRfM5O5$8oT+w?>J?R`Gvx!oSKEn8kzaJ&ekF7ZRO|;8K5+=$ti4X8=&L8=Q3)@ zDza-hU*3Q&!!G@x)P_*Hi4RCQqhgG77*b|CkO!sZF0hK*IK;Je%qLh_L%`+ zi`_0|_B*8o*qL4=mw9%e=hwoa)`e~Nv;c8K%Z%Je?BrQ|!yvb;GMaw*cWa{^~Es2%);hOWwWrrmA%oQCYReAqmNcUjxAb zPVbGdW^WjxJ&X{*#Np5i&lFfpD_!0G+&1!)z%Z>U)of9Ry76Ozz1FtYKeU%Je|#LgqGZTK+i185jq-NjY$PI)u8 z=l(o3qq+&3MLnbkn|X+KE!g#Jy$G!Bq*e7!Y+?~J-09cJ>ECpVrZmOSwOBc_c0fnf z&O`_3RM+jCE3inp1f(=|P3$I)1cltv^A@*G2x(cP3mWmMjlEuE_YPq)b1Obf%snH& zE@%J#)5@;L==8=P@g$^0Fo%*3K(s>dhd?mQ!k2lB4G8crXbIy2uOY)4RaBs45~-Qg zfCG)+xJcQgj{cJiy(GM%ujxM_l#q&HAd9;F12T~%lPCxf1P7Tz327x%O+r;$XjnvK zT<&XF(RmR>36QlpkXQakU(TPJ@{f%d%ns@&k-gnGkW~J$fzQn&UDFG2^+kB&m*6bJ z-~S!5G^82+$NEo#KgpCx4J8y&UiiNuu7ok1I9I6XU~xBcB;n1KYJkn%@FbH7g_KC5 zCufSl{!byL9~4qvWO2f-a#+-<`VeX<{}onwkyiQG1J45%m3w;nCM3RsDoVIy4m{<; zs2D9Nf$5u-Ro(F60?H^yMn=Akjv`6r zL?8#f0fE3k?j|NYg9VWs{(r6P#=*@*0Ej4&-gj6+dYPC6VoJe3T1psF31ceZ;QZ;z zk(8FTurx(rSRuz6u4a>oz(6Kc+S>UcF#hN${SYV*9zxNhgTtS$a+gO?RhXFM`3)dd zlt?~#fhHlFMAFI3{ELp93#&H& znx{^na+2)q>3u3|mDq%8ObcWGfKd)1;)-wA$J_BpGjL^h4l6C2}*|y9s2Z7d%+&$oUDc`wMy3 zMv9R)Hj&G1A!+NjAJkOB3(f;}e^t zFl)!2_BlSa8@U8*4aKIPa+;21dZ%9}S$lAK;%7_Y7=Fa0@y~L0_dQ8+VB0+;Mt$t` z`_~;!e2~GDsC?A8?>Hi `IG@D19Hk-@u3{%Ajb zehm-)KHv&8&t*l0A5P^iKWzxY7?CNf#P@1je{Rh#BL4sJ_SRule0|&Zrc*j47TpaZ z4Fb|29TFnaA}J*uN|z$tf`oJl($byMNH-#FQ15K~UDtI#_i;TQ@B7cY4rjS%&z`mS z8im>One%&|q3>Of-Y)&&KSn~g!_s(%_o3xwfH%ahklsMA)L+;;7{*oa45uk{;n9&U` zpz}?smQ^8ZrGCV6Wya7sA~q;xDW4B2zi}kRTSp4rN}dX=oRGjQMNdLAR?{PBx8Y3Z z`higj#`L|hR9xast7H@dOz=WxClNUnlbr7vl+*81-G55w2Yl~{49PvIqKzQx6Xz~s zI9Pa=+FN<&=VMDdUFBS!t3e?C-Zg-@vUW$_AK8n=gFly9zK>I1=vX44uw{2qeO3&( zmyU0e+8~L*2pD+cWyM-nf1a^d(}f)bcWTS+-7e?>VLc&iYQ5VHvrlVvFqce?4L+*S zlB`_hQ5&J)(m=_ez^Oc7*c=)sO#j6Z1 z+aBV+@q)KNyw%ayq7+wK^X%2bs9*SpWpA4mF}mt{Y~JVhxLdRxf(|8;9dAIa>l(wS zuf<+d>up4WQn!*q*dX@ca|MNN2ADvnc(o%o-)&(*TFUsDkllOB=Du?gN$NWG&JKqB zlXFnCmu)g>yLsq1X}FK(_MOPIxLMHYqGyoIg7$U#M-fsG6CoFX_+>evna_~9J@NiY zRo&9yx3f~ccXnalH8WC#-P*Vs{#SRQLOg6-s`7@%jg>eu*^Rv`xvV_Q(jHww{=PqQmm>`0L7~lZuaMYI z<-#2i`vN6Cggc0sGSiZ%7!oxV9nE9tPXMWrh^h48ID={PXm^N&?&NTsb4|x!wWx2> zl&FYv9AZmy;CM{YLd;+W6`adB7Aea zSgBTOrR7()R;AzCp5pI+7LjUV{VgQ>jcQ}(qwHO8f6PQcl^&n^tpeaCfrj~5<2(%l?Vd3bM@bdAb{c=|4K#ybSL_+WE7;p z3oiabMgf%d_*XItW}%$KzmZV@C0PEIjQ#^P)0XC8uyi=Z%z$^9@Gfg<@W1g)R0BCu z)+?tApQ$;>`A=(TkF@Uc{m@CA+rnDBUk-8z5O?{_l}J$IO}{~Eb{i|2n0?fzOozYf zExn-VuYJI42tKrt!(wqFO{^W-IXY=eFi>(HriFZ*wRzIuvf2yl>;RquGL}=kn7g#^ zk7rSrsnV>Nayz2d43VbwR7L4(-tnqGwcZ_|&cdq59sU#Tzcclc^O1mv(v(Ta( zca(u`=~U@kGaW?^xs7gxu+ zePJf0G|AluoM#`ZMNVWZ(UesnW(~PNbd)Y>>bp$O55gOw&Fz?b2*TeE2Qm-On*L0d zrPwN7dmGPeG5$ry$MeB6{eo}Q&zeB_okZhF_h!e;{!uGUwI6!Xw$%kOZ;($CuSM0@X-ZG zuBgYB%{~2|Npt5G|995Ytx(}cEnd@No4CssDY_6;kfLemnOxeI#S)2lcZ7U1#8}_g znM-T0Vp!!NjAfj%8<=rLvft)nmbDl2Yp&E~dw!C?I7(0_fq`#1>9gv}#09CVF@|tF zf>-RAhsBYzWnFJQm7nNvC#Bg;qJ6P;i=_szoZXEu-YTvY2t}csYfHR7UsJig*L*tj z?v9~U>cXfEXmccbND*#>FfSD7MLQB`+SeT7*X5BnZgB5q zwSowEK!GF}>?B_x%*>5B?P)#!X!h()nXVJ<`;Ld6SWoDD0jC3g*ClM%taEj+iSIQX z-cp6h{MI(_(@2LL2zk_HxK1#$ z*MWf>V}&K-80T&>h~2i@-l>a^@{rLD(*a19sb9C2&SQ13zn1MfyL#}{fa)(x5z)yH zJUWwEh4G#iqa_X0?Q`rn4@y<6Ck;Zu5TFg!%#<KIJ}kEb&xN{9p|_B5wjwY8%*;N({K z%c`9?yhI@pbKKfJ@CaKwz93_Wo;(;k3(U{50(r=6U-2Y0YKb`Qoip>wW-06fRxfUA zU=xZveN?EUvI1;khfqfN$l3|c7?GS!=G^)1yH5UTJzqRum6UbPt;zt$=r?KEmE+q- z1Vj|~L$e2lPEc*^a|cKE#Gb|FbCqh#38961Nx(Ni89u`7Ip4B2x zSEZgP00XnEnft{H4D9mEh4_W+4_1%|VV4fg{x9SBMBM|^C&1wu8a`ms=-x+Xck@AJ z$t@(LatWQT6q~}>j?m99Tf!zTYA^;a zMP(wEk=aaq>YicPnD`_9A%llDpATlZB?p?7BuJM@eJW6gHI=&!Q@DAQUV^oW;r<4lF(lkl=fy%3}2)1Ot4pFLd zo_x7K{=oJ1>TTw|+>cwwRLneO&1YN!N;`nVZ+@GMP-=bzJ80m5y^j z%-F;xzJO(JNj*B1?Jf*ZdcGYcGjj@~=5#w&644xLOV=uLdl9}29t|G5l-13GJqy*e z3RGVIYC#LX#ug-16M2d5A0ND$4XlgGfq=9k@FSN{&^5BF*-J`&UpzspX6)?OzIsB! zZ}c)EE{&89WYv zx~6UAkaFx4YQx0L1m&`|>$#fdw`kzk)`D9qwEpB7CccGrRAKSw#cddK8i$a9(UZha zlkN(X4E?@cXiD|RPv>_PQ#!!% z=8sE}hi1MhBQu-0tdhnK@5-8HNW^6{^yA(Ym2B<-bS+)Ku=sDd^jr_L;}h5LNJJdM zvx@>nWQ^*@H!MC(0iCLTVxgVL{KR%_kM`4BnPWyR%v?!noAMvWc1;SYz5?B@$5lhS zgi=-7KEuDzv8egQ>dB?`42&!iA??<{C=wuLGkZO@_ydDQHokCh`QZFEtxG^i-RiD} zN!Df-DxD;V9Y9@0p1IeuO}BO2awtr=bn zY#YC7{4T1X8Exzs(#K}v6q8%ka2u77ocmrt8M4rWnSBEfEGnjyf&&_%(fQ#S9S9Ub z9)P-frZ50$*&sRueO0}Y5yEfeLpGGbufikq3!!@L(o$F%9Kw`C@TCH-cdLY?hgDTo z)zEqkL|$OE{xC8q0q9TtdR={E+h<5C`44_v$%0Y9eJF2&yW#K=O8(h`$RqYYE|jK_ z3nerT0kjYqt&l(qX%-BDj2wbwkd}>t0?@;6&O;uQ@Ms*_KSkV7G>(Fj zk`jiRh8?_c8ZY&_DW6 z=v(;G%GxywHp)LbNjQYWFoh98){}qo%5MN94lWxzMCMHYrILh3z6*^k1TDhww-JH1 zh_=FDV$j5I3^*mA_J_hsN!?dag2aSYaK$9Nu$%Os!ftpnkh5C^JS^u=S`M^-@)8WL zO@O*oe^`na5h^7y1rpBh>r)g-iQ6SOo#k6Yk}veh33YlEKn3?bY04roxg zL=>{!92kORK-k!)ya`rH+6lJJksE2Pu)NAM5u zhb$7HPZbno9u9j6!P`Hue;M)f(i?<8`%CEQ(1pEl! zM+eial4c9|RUCgiU%n#6SF9++MCRk=WjWdi)&;1>+!2L)#O$Z3yhKSy9uLajm?%6Y zv<$@@!AZZS&U_1o%aT4!gfa#??PO+nWU;mp8kK&@FvohO?wCX%o9}w|(FMuOv#Xwp z;+=~AS;vsVlB1Kl9@zz%P?UVh%99Ya-Mx^Z7*m^RJo!1WeRWDyKOd5}H7q>C z0(CmGRH@dBXP8evH0o}MG`%2bepIg-`1xY^$xzk~z6y}ed%l^yUN~|$)Zyz!P8#Nq z1UbiOaq}^BpW0_A=Hk~3Pk4PzZ;u!k$_va%_MEr!~+W#PgwhmbzW&zIk3Ip zaKEbdTJLpv<7)>N?V9Wo8eUH~avYRlUs7GIVGx5~@f>`LVL zqu29X;KgnW(;b}0_61Ttp0#T)L4I-Twg>%)uA*zlY4wbBUkiUW93KZcmsF_SwAx{0fImMIrR2UlKH0TS4oqH%%sI(Nzyg>@B&@`N=jeiOWa z+9ke4j@Bu$JHTe72nb2}>W;0i5aaciStZo_$^q@dUB3=2obp*My zk#PQOjHL@BNunb0+f}Fu!?l12eJpTnMoxM)B8u+H`pTIGkW5b=N16|w{Se`4aP6g8 zKy3r4`{u%sL?Dp&E%@gi~Chum;ixcJMP0;C4D4?F17sp8Sy$6y?> z<$`d*G-Q-3Z{MNmZWK$>`kM623;pa*oMg5=U%i34=dfh_&%#wt#NC}WNu7^9dS7$( zn8{p+5B#R>J9e?bVLtPWud3bbzOM;`x=i*b4QjCstNM$g`brY$zw zj3w%x`Nxb+Q-wv=*wMbo0ah*yi3wjy7^rx|yo4W8r6{4O`p`u!lFsfPTfKYFRLr-M z7kayim!edKx{p)Pjh!5F?tAZ8BRxyr3am>JZ+IU{dabe?`u{iD{7D3Td4fS_3#gNv znx6S97X&{bdzQa(K|o4I{#QN4%|5EuEJ_?}V!oTuSkeCGBPW=lX1s|aj zoxkx>_zCFaHH0w%43-TCnmO?99lXnhcX>PuiSEo^_tD;?Y3Cz*${F6IEUaGv%Dqj7VbZ}uVFMbc zNS~woEGqu1=V_}>Mbnh1pMMEffX|g`8F0c8lr|WWKM3R$kC24>cW#||cg8de+)2Bl2_7TGL z8Yv=a&;=GKY_*r2k)K@v>y{n1cjiKm*X3-#)ne7@UVb{BdUILKJ}hy%Fu-(8M-*q{jyEf+C$ybIYHrV1AO|#bsQ1@$2VjE5l3i^(${THw1}P-B z4nntTPB>l#y{`e~4RmtaUD=k#lZ$spb;90HiQ-jv9x>kyWyomCD4Cq&{_r+joQ9zGL5c#1Fpd?A{jwv-dN1Q5 z)x=+DJ4XJK^!K+D?2p+)-L~dbK#2$LFGaDA`XsrRA$#~d*L8V~O7ixG@=v}LKNtsB ziNMN9hBpU$D|AH>*>C@g&1gNNW4n_3Z^eF`e4`i7BRD{$^zTab9gyrMkzi=I-)U>~ znAxTMS%igf`wXxDUd#pfFi&>>8f8ix5I*e?1WNLNYvwmszMp0Jq%_;hh5 zTqPewreGDkVb8B!mLn_FliN%Q%JQAx5yCvz1Rtv_>P`)1XnkJCA?T=dm&KLs)DN@f zNtzg=IX1WIzXP(K_nI(XqPPF(VdWrZb)#8fJi z`fNGqIi&253G&x4KPeeSP5K1Beu<*wRZo_@W7AYF8?bYj&ecc4r^RTVcE76oa?YC` z;5a?JGhyuyd=lm{5XvOcA5Z|bkDbpf!IF16}hdzT9#?>>mIVyVGBn$ zoORAei-lKg(sk-|Lf{r)c8h#}-)0$#mlX{iHSogv!dl_;gjW|?c_DMiDGRKPJY4Uk zF(wmQaQQIsR0#iQieGW^_@4IqfN6xOzljV$PIMANcK7^gD(k5HT`k4Vp4RcGnLA#Z zacSnK>x24fu;BJksa)N1?crdvc|xuk9agCF2M(6JUM}rSVhRT$ZJ_AknI2%Pa-uV5 z^P2s8F;nG>o?OMvFX}IQH(APmkMe=n3mjcd9dt?AX1ju?)TdETs0-9`DT-z8ZcSzA znbL*>Iabs)dD}ka;|OPx`%bP(h)=WnVi*o)AS#RV?WsLcwv}6XlfIo>?==FZo|#i}R(|K+X<9oDB~Ug^?-f?m z9dXEQCQLxQC8(LI`t=v9qO9zh$6zd$#81FlP4AWLzAYwOfPv7*&gFVYplN@X)h(oC zf%{n_1)Dgqd{0 z5(duwXAVD+V>X|^E86+(j$lMa=LP8dQ7b#p2)Yr4r%sKTw~kC+Ut^M+XN-+XkUKxl zR{sR9iiDo7UeU;FImWe)?PKDT>$ycBaBvBU$>=yl=Cw|NEhGtfWxbe+hFJ=3nW+4A zbUG$B&b#7zekVaMQ|d?P0Oz9~j6{-qAW?Fi{E|CrfPkfijsG59L8s^D-KO;eY@ib~ zHY+!>Ywv_5@7IV)qoiu|zVA1;barLq1{%Mhxhsgv{J4*VilOVBlv8;vDk-C98kv2K zOTlUBUR8%}>NN_82Dqby4a>hD_x8v_IpTBQG{36!gwt@jCFOoKcPY~X&QsnaF%9Ph ziXH*{F{4Wd{PGsht3M6nDmlFH4~i-u0cRL*(rY@;(CDDZ_PW_`oC;cj85OPli)wX~ zSfms*f*>F$trnB*erDOw=p>??b)Y!ibzP`SJcL#dj29}YMb}&Q?J+~EJAi0hroo|uiR3=H>_{} z7+2KfRU55oKx)s|eRf^PD42ku&BrkX!)rno(0@zO;Zrvu`)BQmPcJvD=`*I8|KS7PzPR0l|`1+(p39Jx;@B{UU4nmPw(u_)`ZEyAHd}DXVU1l2cRH zFeag<@htcNjh0t9Fe3WkCk>ky2(Cn?dFTr1>pE8ui0fn`KGQO*M^|FVf;IvUpPfl} zFd-%PeCW|4BV`vZwF;9G@wa-W4{OK9X2lCTxg9e|*g!8Ru@ak*jaSAZD!a6IjK|=S zS!~vVh9jW_|GutKz`0g27 zgug4OZinIU0juDebq*EZ@RDKn2W9IgO!u^(+It*a*t>;6k~XN`7DU9xeSj+`%b?gC z2wB1#WVBh|@ULoah{HgL61Hjz=_BC^!u3`d-UhsFLJb0yH`agxYguMPPw;FU=Eat<7$3_XbF4Y3K>gg!C(atdV?EF78XGt^8++y zaWTYYp(vp0nwtLMv2nP=BwQB>wHsyREYS^`gd%ZPH-96*1(GOGLxn~{g9bo{&l95+ zO74bMu$Evq;z-C#6Kc4)cm#yEp)zN(h#(ax3S1ir7e?M>-mpVd z4n9dv{~Ki_jJp*Ec;M|O{O6`fT|iJo+yL$(33*7~2qN(zNhG{n8*Zfur31h-P~qG! zG7FMJLc>IxLTboX$e`aJhVlnI`ooalKrJ4c;M@OzS7??{U3x=96TEJ_8Lp9pJX?ux za_>Jw!9P%gVgb5)AiLJRZ_tqY&?q+&$t<`+5`uk4&;v*z2|Iz7Q)p-?1nBH02I%)W zT&)66pQ53q{g-1a0|OC6BAJ*tRN$IpxKk?}LaJ-PKe;9}f>tXG1+J6S)`5-y9QOb5 z(1fnb{75sBmXF;&vA7cGkFv35m zABx!e1fOS1+vl#)#SS5iRmd&i58yY)!-0NYj<9b*!T0!(9RdM?Ileyvj0hTocnS6o z+&6`x{4qqxf+0cG>Ih2~u%A8DaE3__gCQ?nGs9pgOJlJ7ouxZ}GK2n0k^0#+y#xFr zFY^CoKKixAHC56LmQ?yn4pIFd=&5wQWP$KsYAgQ@J^xEp=D(rmf7uTh7vImW!w!Ak zdvug=?st8{E{x)s)?!~G6kFeNpO)DP$bYdReSp`U#phw@#(*+j$ZYVr(-k-s`UFMJcawr0^KpdKhJH>64lblF!m_|o)i#!lV? zkHZ?{juMYOlwTufdfqe+n~xXS#2?)|xixH_ed|0N1rQN2^tvZW8oj)bYMc2OOyWRR z6<<@S9}(%9(~s75$^cfsX6k)@<6KWdyFuuXD-2M)NsYsT^^-Nk%-bN>fXUXG$go?}0wrG&^OZhwHD+ zD&8GY%7-CqBICxs8X8%2HZe*TPVfZ1tRzv2DXqcS{Cy_MnadOL5;20@(mwt~qR@ayVwqn_T zhva}MJzb|`G5se2pF!RE10eQ1a5k}C{;P>6b4A69R)llzv+#SRoHiICoA}X{- z#TgRqg02dMJneDipmR@T2iJIFp7y&^MULI>36k>=7bvE@kPWL=!ZT+z0Sf4tw}%Ki zk>YZbcubNUX*E#`^ubbGRTh2F z;+iV*+n52~+bB)D(uyay_?9JvKII_fE&r;Ftj1vPd2c|xh{bmsRBHVga^U1Zdx7!m zo3BD);ZY;*ZsE39XZrK%jr56m0bu;?*DD0S3I3L`RTd7m#_P5=nhSza?B}<82W{tA z=i`CR#yDC0Tuv{PuEa36D~@jU%WCI>t1>WCw1OZ%MC|~G9N4mHUml!bCoDYk>&vT8 zg&NAyUB3mp1Mg>NvuIx4is_J_l(Sz+*ee=3NmD`l|S;UCyk4uL$(Ak17 zFirDf=b*oUPPoghukKShh{ele@vIZ~{*^t62f_mFEVed&7eK1EMN?$*YuiY=)Y~H7 zx>|u8^{$eZf*>1#IY@PUq|PtQ^y;vvZW_fyPG#h`|2*H@S6f+}i{8NKJ#X_F!9$g` z4O6V8dG=2(L(y-7-K!c9!ZE?(I{pfHM?`he<%3&kqhTmnmW64 zRoeABP@l*3yI6a*vf?AfW_D*8MpMPVlg0K!-PUTIeXKu40O++}52+MFCri_1k-BtZ zrHtPbgzvf(aU4exzC16!o&jD_OnAcs5eAeFNeU6lx9LRt#W+lc><&{js{F*N4IYDo zzCGV7B5mbhc@$jnI-@i~%znem*5KaYpCyy4w2+%*UWiH}d^CDtm77huH{#8Wq5p3I z>9Ej$?i}6hd(-{jr!BYUm^ZgZ`g6FPoe}$+2aL>FYGsv7A4m$JUT>-o+gm*Mc;p(7${AR*6sg#u3)n#tJvsI1;pC*^TjC?LYLRb97nSfAGicBDU_)! za_r{3T;SvY{NTvy%bLzL!WJ8?YDlHll`IG2;Gxm(QcP~6Ri@nPHx;0YQ07XXgvl#A znn=!{F>YT;o)&>5WPsOm3;mF(Jn;;?{)K(qijY5`B)0Ap6%nWUid5NOckQEoQH}=q;(&yT=M?mVXSH-htB;3!YOp4^N zZLPW>g{OAiu3f*HCf+5#Vp<2q6iw-49Fb+ms4LpFw_67{&)&0aXb98{5ab2g%tC1O zI|Xw}I%#PaYzsY&cf%B+89gYLA^e3{?5DU%8tcsj@GBwcHQ~=9hE|f1rG!cQM1w=} zhhq9`+ljeDr~K}xsDQxeV#=m*Cx#+B$>}za!hwvglwVpTTUHlm*3yQrz6&@B@P5D> zKz?T`l{L4=)HBs|pLdOlS5`CYN(LP%t`<|FHK3!he1oZ&gv{$LH15e4FR6fX$D)mBG)bM3@-|ka zA}`2(=zPEB%BGqd=HG+g^q#YM(b=HytLgWR{vXMeZH&y|8HMRR3c>Z`2jLwPrm}&4 z8G?oQ114#{ce=1{BM;Ta0ijlbRNWbt);N_c13X;%^!iki?Vh>QMFg6zJheF4T99Bu zGe6zj+46M(c@JqjA}hkqnRhB&?iCj%TiBqGL?YNHGNi$IBsxZ2FRO2jFzjJwRdULz zBNV4E|0CTNC*u}SHxUi3J3%_xqY|XZ{Lqk1C#zceL_X|R=(iQaK0R3_$oIgsj!osu zA_-o~YmyWH_e`hgA-Cu%bVLd#@+lf8zJfQ}NC|0fZ^SR(s%mD@$D@TmiLmetbaEg~ z4oT5u^<@MU#Cke#5zR$VR@5x)KED6jCi}yY@D8&lHyeQHPY`H7ZBOMCzsWiSfTHhkccqhjQn=P0W zwD~=I{jN%sS-;>=`O?GzP))yx)rh`(aZ-EX{^B@4i7Q63D*Q?HW?VIy4|?*X0g#PZ z!L|}CoxCN4^{MWMpw3m;1fzz(^XQa%GaU9ih^&0J``sIg$0AFG2by2xk zNXxO&%ZE>-vC?>GY^i*__FJ%#+Uo@Tz5%`NIO(}VUYe33S|fW59F6vnC@a|4#q-Ms zmgIDD8a7bt|DAW?1M`oP3^(tCHH}|90$yo)wfNqBwU(P8HL(v6mAVg!kQ6r!?R0Sx zs@^L=o(_3@8X_!EFlUR8+5f8n7{uCE&M|I%Y`w?FB`S3~{z7d30pq=t>X^$+A>}w4 z0q~jKWBhv+y&X}_BxZ=SMgNZS+!3PRF(bR^xV~9rqZ)Xnb4M&Xt(DxnW^ic+n8o&j8ZY6A;40dlI$LcwzpQH(3uhFD&B)Y}g;=C-jV z&0nFE9c5ggZJ4_1jAkkL;2bYYF?rKk>zV#av*1!Jh*}Ot{7X} z$P?5o;Ih0qC1{!@kkZh38JX6}f=L!TMaxxnD6JV2U)chfRUAKYbqh=DQL8Pc9D3uvA^xZ5h_RRb1@KeUgGeOaf-^!EMSdGau9f-$gj z<4I`hY}RJV4>4U}=pLC`Ub*s%{zc~d2QPDq+sCKZkHuu}JNxH0w6xFu1O)Vq*&Tf= zcl27fajEz%-ZXqe415`KPWs@f| zwqnv}S|$q=wSfI+D9a*Fr57}MVJqkOBwXr-qwMOg(KE~I+sBlEOI*t@I6C9&J`CSA zv1RKA9)o=RiJ&bLi`}(@J-hmDa$v903c|eY(45lf}5CqhHCypnK$Hh zHrOLJ@{1M}3s@8Nnt5A0zW6L*YF#;b3q3x+p>zEgLMni+L1eTGo7)Gst|g!XcQ;S} zQyJU9B}uLKTcUd5A3lM#V+v|^J`)R%EOd8nQ(Wo=_X1lh-Nm|g^RzbJ5uz3F-NgR= zl$NeBU4fpJM@VFRhyNm-k^CHrk!nKs1fi%7bg96tq-i&RLaULH*VOWp%hK7Syk+)* z_DfEA)A)WOHo)r}#jfq~`s;p7MlV_AB1O$7w3-VI9LUd+Fr7d~uL*1kSN@<*34H%N zy}Z`A!!irUk7DTC9ukj&j!j@;)hGsF5qa{usBC0;kC;+WPRAv?c!NRoo|dVT&-@?p z8la-3mxi>GkXRBPb^{?ucu@Ht{s`5lJG&r5^wH(HDGcW74;e~Ai+dKNl!Vqj{b3Y; zl#*Uh`b(Ef0A#Y*c%8+!bPGk zUN<61$W#&zwoPTpt~CizkxT3ez;ch zUq#!HUJ^>b8K0QD(MtY;Ci@#&&Rd~cWN5jB;1*QDeQgRWXM!R4!vG@UKPBrZ&|L{A zo*Ocl#>lthS*F@;h6@tA}Hre4Eg<=eMu<+V`tHoP@8H8n$-@(`oE zp_CA(ga-cH3WK$q!jNxBr9X@iE|=`->x1gkhlfXZcW*S4{xFh%M3euxxxyJGlr%*} zy#$dvh*1I>XcSub(WUc;A>TNFBa|J1Rwv2$>#Jov{Z0j`^*hKnZQNk4Gm zBt$Cp^i3X_`T9W(l1xJGrlyc&5*jxMdJ|Z%KMW1>NO%dkB!s}%Lrr1ePYF8&F`=nK z029vps~|vLbK~aP(AtL3Hf45&@y8VbGI3q?|C1>N-+cozAb@!PAK>o~gY7_vcXt<( zh<-mfL`1zYNcfjK0_5d-+( zFc=!#s}o}O*C-IQU%I{pVf&>FSpJ_&5yky`p+!W4yez0dPev z_7EG!`}ydgY+E9VC5v#^f?aH5YiZtj>L|IdC=1XbTMDh5w(8SazPfUhK?qE*;pxlO zDVo3&n|C-Xaijtgg02O-*9wOR)XkC1&zpB`?p_bS=3TO39h=EEH~B^bMmq(L{Zb{H zsD`qCpp2cd_sdU6>QuZ+L)r zlK;XxiUFm(b%eH+>7f&&Jyr+_Gf3%E;tQ%2%oe@WM`;6JyHfySz8r=48ZhsV>g~@< zi>~;7@Qqo2%>udlNvO4Oqir0ZwA85GQX}aWI9WVqnphd+51sjBu0;DK*?o96A!U*c zs9veGqi$b5Z4%hBT-rEfA4)$^@IbU8S`C*UAf9X+1(t{k#pF?6`(=^37EJ^d+(sG` zO746jytG7RT)0)oehm2R@guL4&=h_Mj4RoFNUb6n5c1~24HU?0txG7HAhZQ%;#6P6 z-VF$D6`**oIls>fNPh8&TXSR%>G6|>ykuDdKspjGkNI=j9Km#o?e}f7mNiE^uPx1; z^+9758dfD_H1G?b(BadYL%q-abCZ|0nQoDF7-(48i^aM8UAs8n0y{vEU@wwjj=y+2 zxuyB07DLhNHwS2DiAr%Vq6Eneva-*>*I`|$^s9x{%O^`eCfYfkAMht5FSGkKeH#rA zjzTg?23dJikB4&t3NjtkEai>`zX(_|oVQVD=ERfXpxLbE)qr=}+yhY^_ira3T8%Gm zfBXXKeP8Z745H0;*4Yrf%XkjfGlYjH4aJp=UDH^rIJtiTL%#^o#v`{mN6NbdZB{g( zbbNe$P+7lIKuCTVYY)V|@SFsA6np7>a$ZsdrD9AL`o&Mh9`RdSzl^T-%S{Hi32UgI zsQA%c7G?sr>jV<)MdJH89XO}7^Q;jKOMCWU1J`i8JQlH6;zRkH_XQ6fTE}EuT@rsx zWbMspjP`bZ1dLG~Ty~Nctu02=3gE1 z9*NlLKKwzan>u~PNIoT(O6x2Hzi~LWWvO;Xh#93FH_NB4(KCeSpUM-dCl}c7-7;%> z-n7W_f6;)JU85{6!T$FxD|mhTK1}kTUzq=^(p~KM-%#{_Z4o(!PP6~B#(fzAq0k># zFC2(!XhPX}f1!zxqat)B`U_12aj&6o4S%7kpaQZY`WsaRqtLgbzfo0icy#<%stO>> zp1)F609kYYm8!xIDkKCgTFQg&pTh}gF}y2*cct*IYzg_l0Z~=lOe=?{qW8pKyiCK+ zj-QKO>}`D4z<6GIj*|2BR*@}W!bUNzE|7R`XHyk~fpT*o^Z;yQYgmjz|W-b-Ie{ zo!JSttH)FjwCd|LT1nBPVU=$eRVb2ELI&^=cckJrra1O6@T zBuTZq1ESzq@qm68&Ei_KODbKhS8Ys*c3R=tQf6_i2KIj+MD$_!HcboG`{cYN> z;_SfDTFr>dn)yYq2sP@&Z!cHDu-=inGim!U(f&|fRWJcAH&h*;t#@Ev%kQho3u!FU z=s6Pje{tHndfuS^Zj34gT-ZptK0>YbYOQ;mIEWT^!Wdg=)Z#1OeO5S@qVWj%EmV}E zWTvoKH~+Rm1)GOutHDOTjvBvxzesTcW42eeI1;S?jyU1@C9_$+k@?1N=T?7qC}ntD zne(3sfsMd>l@ zwycixx$~_mHGY($beaf6vKuWd=@q3*0dgU)AIa{)7gDXG;DU56hQ5d6ODa{H)zy{F8~!?m)nBn!5DSm*JT8+la&nkFu#k zSunkprc`_FdGs4SdC39u_sQSxzvcq7kGHf`-=(6X+VdKVHOR8*P6}nSsuXR4(1xt! zu5N5k4bK`NhAf^C{&(pHXr z)C@z8&hOhL<<<;w3K~7_CA#b2>>tzuNMWcd+G$17zH;Wd)lcOLTqZStyA)3;)=yFJ zKFA%J2M-95O(>i&M$}dX*>v~x8S6F-^dXQv){|1R$XeBq` zyK}#yrb9~gtC;2!%U%W;FRs$F?_XFGO7kfx#gv>^fm_t4PF4|X*gcJ>egVa!c*KhS zS-BnkXL#aSwZC|N5CG1BIZSCIhxF3=!Tn3>`p+lycPs+hchUUbo7zQ(EUf`{g=^O> zsfT>O0?KD;)4R?PvyQG(Xz-3(V2uo_hS1&iMk1L94pAkarjJpnM4q;G2t2kfBoI+_ zcJm4982GYr1b))+N$NVsRd$h*^FMm(9@RC!b9}D)A}+B@P}(r56(5jL3aj|PNobOP z9`m}c`7^2DF1w|ZXW_CFS~xOlt;Guvndss>SGLe)o;f~$gNjGSEu`X{o(Fykt9$r`#bz$pzH5T) zJvx8uPcyJd*7S&dxIb@*az6mXq{ynhF75pC3)`>&=bDj?lQJ^#UAZ+1)5Nxj&#prs z_>RPQM{EXiMASDlwR+h`hMitv5NJIG4g+Tba>nNN0STF3b}upTiSBB8eCn8C zyQB8(ZADFeBWO7nw|thCNknruD7SqYh2@^EiHg?8g*_w!5_&m(8c`sZH*%}pcxe9P z#Vw402{#$#$ES>yOFJ+D+e%7OHX$wWnpm&huCaCJT1L&~+XWH7ltokL{1qOZ`m3;* zjP^ru;NxE~gw7^hT-ScaBj@g0)<$`6n@QU7`TK?ShK1YqzF_7Wm*C;k_dP?)zt}x( z9|V7|{P=lt$-wg+dQfRCLB%Yg5exebaP+Q-;ae=@i?4ME6zqCsvBn}>$?V^iW zd&fa`5K9{;2$o4N!>dV2W2sx*{>|8i z9mdatUN_HzRr~jqwcXGB*`nMs8(N5|Xj!LEZ6t5cQ4Xytxi{_M073TiowTOq`R&K=Z^xiK6mwRVetuT7WWSP64Wwy=1{e~ z&8-hCQuR0E6dyYm{6ECKcRbbq z|NnpNnU#_GC@W+{_9hA0DiT<^DgZZll*_C>{$-=jel`odRyF|4OcN?_aZ ziprV+Bv2C3CSjx`Y$OhgrcN5)K>ZWrw=)Uvk7o|~i#dwmWSrD;!%q^!Po6SIok6Tg zs7*rA?O&=JCCwRnP9FY;Y_P@838h5j$=`$$25BO2687MPhM|oO0R)sMrQCM*X(tjT zks~s0-8yk7(U7Ga{t7sJcQl4kB(hh z8DKd#R7Z(Opl^tjbN@@NgeBeF+&t!gnU!c13Ux6JMT=xko)maPehG(=kf?+-)C^(X zq%Vr`#IS^clZadi+l#_s>-YpAmB@%dWW}e*Cej!Bl?XMAhO<9|KlX`Vc@jDa3)^8U zAjmBtv-}HJwzRa+w6wOu_(|AS6_$1P^!6`6s}dG=FRwJi##3;z-@%jc#s-`f2f|9& ze99L^2%D6{>`7$oz;A-|1UfiEVKOo@!Ttcyu4IPegjt@Hd9#zTbMRbLLdYG|E0Lj@ z27@S@Q8=*DTU%QPej8nL6cz$4eNlM7{YnGFW)v_oGQSC_@yKa!{bHB0DOLSPvh z`YgA&7#sS#C8ZGXBHgFT%F!q&S;EOGkt0zB9~WX-B3-CzYLW5VwM0W^4#(^)%uyhm zL;98@J-1d#0vK?hWC```eNiZ{G!*J# z8j8vr4g}Kr`XK-_GYe)YxZtIJ|r^ zN8y~rClI0)P=N{PV}1jwbVIC)}aey)c)%R<7;-D0-W=di_0?uQyzpB!Lpn7OUnqM)0!9izM%RQ50xnLP*X!I0o zwqEs;VlQ5q0Z^ZDYQhsujyC%u#CO%t)%?3|9b=7g+x$u+tT?o|asa5^gH^Ux_y`j? zK46&80X^>NxUFT~;xr($oWr@+vSP1Ne55$Yxtu&J#k}vZg z=G1M0Qx+7w?XqUP}OHO|LnKLPQ4Mj`6nQSL@ zA6~$j=8e>VNnGiKIhE|OevoGXo%$SRTW)5mI)Sb0y}ep#8&Bpn4_}K#+Y>jS2EoNW zB_Yma_suHo9!2{+Yrbv6YiO^%?aM{d9OLa#>99Oo*PvnZ9lG`*KAz>7@NwL#B7Sq? zo0NBIbbWMI(qy~=D=wZD1LuPqJrD8jsBxvMS|?7n328p|tF4`%9xX~y1J%=wB7UCg z0g^Akx*%Igf*2*sqU(I{ zi+w+PXeP@}tEHpHxd}?!$;2Z~35ykK_P0fRe(-VwNmC)Jo_3o=^R=)o z)`1VF%1vs+XGY9DRze4+xbDt>1V1T>ocemHy|q_N+5$p)R|%WsRki$^ImUGRYr_ha zc!9#N?-xW{UGivC$NYnnO1e5<$e$9tUU)Z>E_{0@U5E=jG9(Ul;Kc7Dnin4JOtAmr6l6$R*&5~F+`Zc})`}+j zY=`O#Q@h+JP2_V9XybjkQxvQ#JlwTV7FhY~M!+b(ZewyzNysrhHLB3y4xm~)Mcm)k zrx$dft2_LDmT#-=4aNELjlf!EtgX#2iJS2) z;|n|>X2qDYU>f?!buRMiX1e(kvVb|f5p&m#&&Llb&R@vx1of4oKQ?_6eC-qFlIVh$ zj4g~~&aQ1ID%N{)xDH0W?gb^SPsYpBLV~))HnYl1DzOF1rsIuN35=6P=$Z@V_P+p8 z>|^nci#L8u`(2Kek*pr>^-^t$a;Ze2IW~AQT50ouru1||N`Y@}gBJ^%3wGi0~3hI zG0u9N3PGApWNd=$wZ8MixqZ?viYnf{;GF?CBpHHNtj;Vhxkijg=i0Bj^Dj(G^>D?V zea<3VynM95u<^!Y^b8rsJ(KKYjKmYTLcydnt&$jD- zpA-KBU?O+^w-C>h)uu+*e@?J>G2rvhzXQ(y5#mWg3JY@nKnDRYOrH4@9R#pu;*XRR zIKyS*KT%TfG!iCc|ACT%B3OO&CrS$X2L}I0NddAD`6o(>94-hri_!BirwJjNrO2iX z*_5MO{u|r$eSyW0^nioV;@q3@k#ovcEcsSf6-i$P1&92qd=uV~0u-ZfU=<0yexPXa zJcRAo*4)k`nQS0y*zabba*O}5z5&n^+~Q&)TG+9YVC_8jx=$n*2=w48Xca261pfM} zMco1ned_YA%a8WihRfhl{!o}R>+#vAJd7Pw-V)E~n%#lsU2z8Ybjy7H%g0GyLZ0(U zHpDB$E}@g-4qm(4hx1rcgIulkJ1K^Zy{wd7YPlVsUzi$FNou&+jI|qC+2p(zY6LyW zf{EeZb~Gxm=}H9&KYSUmf)Sd-oeMf@%Rgy6S^oRXtWkO|}Cs*C3)oHF3 zBvXCz5+2n6Xul?hJ-^XLqfS*idueqd#-i+3TOPXo6c#+}6`Pjp;ELqBzL^)>Mhqcd z>SHT#Q)Y-hocY>lPoMKUS=>8I;Xt-Zh(xr72v@}2+I!HtU_gs$b&dHTuq?r=Aor=X zL@xlsZ6wz=j0*5{YAk=y#cxsD5q+c`lDsDn^v(P1z$utBKk139Sk8-Wh3$6~sFYg! z#1OCPjBk(G)Qg&i7LF$}fasN5U5en{MzS2L8hzH6XYe1Gv0N{=e!W}WKM?weQ~}@w zr?*jS-e~tFis*Z3CPtw;^?aE6svXlg?~0b)^Re$ho&1@+ic~I}*;1?~zsKaFlyw=4 zr-ZJlmlt`yv2ggH1XyB21=h90uj;;(wYJ2J=VNBBCq5LfGJUZm!EGSjstQ(bC@8N! z{*WQnC1;z@W#ry{xX;5%-qo}r<#FqS-Tr$J$)Y!M=$hvyE!LRFqgCq7e=AEqF(>>r zPUFJWguR&^s?{&z)dmzL11`9u$eOawm((*dcVea5OQ=g`*P7RT6>=G3fsX=?jz%5w57ew8~G-}N_ z`QZ2q*dk0f*^MMrnvqE*E0#|T`AFOqvCv*TUqoii@*~D$54c>395;DJ>=s6WQJd#H z!SFoC6YEWSBc6XxG2W3G3pdzr!fi?K>K>`#zJt;Gi#fM($ei87NHVLrcqY51>YgrG zf_0LnqTBXY#Q@Rp`;GZeWY?Oi-e^0^#JJU&@W+M&&Qs%OQuY0VPJ1jG-Ji_h_f>P^ zd(Zw0lSKKG>Jyv6Kp;!d!gMV^%(@|CdAs*6SCw!-SwghYd)@E(JchLEDsmv()hlwA z{d)Da8?WzXdK#@r5#V%*4P+8tj)-@yv#bgObI(jkzts#C1ud9j9cZweW4YH^P;<4x z?b|OSm9)Mw0T_#oH zM-a*F_bH%Fw8&NKK0)`OM+o)@^({P@#>M)0-woA@wRivZXFDk9U=PHzYP8wu91Au1 zFMl8g;tJPjD!S&!=5jxU$4s)?Ak*`q+OBO-i7s*UFo}iNyYQ0tuPr? za_hl1oo`%2@96rzmcz%zy#soVD?#Dq<1@~#K3_5Ev=-HYadJ5Zu5VmI%KA?f&s~2q z)7Gz>*DTdMYZjQ~8}=~*0TZifZz-N@Q6r14YYj60b#TDO$wpQk5N~yzT1S2=C7#R z#ih*sWEL>0MSok}y#;vsDN9#o+F01zDVejTZfx^OJbYEyCD5`eCKYgTmPrwC3EzIL zw)*nG?m?M(d0pGk$~kr+TaVPLhBw{s$G@-R0|{Ld8^^GZm;@Its{14sRt$dK(=jx& zb%_3s9ri4?q7f_{`44`(-MVlTAvoSd_rJ9ek7?Z*6+ z48=m1Z+Ec^i?+?sNqU8Bi^%AJsCInj>(7e1r-k#o;i`y%mHX4@&261S=x=A}1Xa{S zz*}N24ejTzC$KK%)qIsQwsQ8#Y-GRTwns>PGcI?>OAQd3kt>HkE1_(B9sq$4{-f(J z+7~V7aT)mHKP-qEl1=~uj6;3X1SW26$IpwK&aERd8aF+HpFT@2nmuIX7Zy`yvZ(BT zze-GQY#kE+W^Q4bK}sd$z%L-A>3|wZo}7kE1wf?|3Y8EGK|$}NNB8drIDZ9C!k!(F zQbIs^0cwV@^9|A!Mntq322Mf@BMz@6;I(8L%$r0c%D=-V5sy+%4gp0fYBosXqyq!Y zn>-gYKLBzf z1Qcbz&MoXlAZ2fFKa>kk(B#n2(C{z;621wQfr;Hca}?(O{((7kDbt`!39paRP(;WZ z1{54f;N*Kz02w6~HZpJs2#H7#rSbv~Y}yUmb|V$v0s`bPb@GxRtY{Y(my!96B;iD+ z2pTnmADB18yh-GSg*gfv;>mw&|Kp1Swhp(D{!0-Nuv<6Mt{W-+MyO;A(g`On?m1af zN@^9NQzA0uNyRsut{IJjxszzzzidk6R+~8r)egS_)G6O}K)8pH+V1`p__7`jBzqE) z$&;QqJ8(cENeBzv4^K0mFiA+=AeMw+vKji6a2^&!R$xVrGn+38#h!-3Ly{%^w~pFSltN(qc%E7!Z;CsHK}57vL5WWA=LkPu3O-yxJiupbZ_g+hg- zp~yoKoiyqhQV$MoN~GtMIf|+ZF)AUNgj5p#=uL0oUmnyc&5;BJ_{hGcL7x)&1NiQX zLe0a6^aG)k2&ep=p|HNb4&Shyq$j}m1SnIUg3YF2#T=41CIQhnJW4R!^@<`Ig+g6z zMxm78G|G_LBK9&8p8x|C-~l|Tc30QX($Up-g`Cp;cZh<=eWb+{5~Og(3+YH3keHeQ zy=C~i54h z6_8K`nD{zjj>4OqLZc6{aB!_|q3{B4Ln9OZ8VJ#--$4p^{}Pw2BG9B5C{pw>Jqks3 zQqT@XNLCngi=IKjoLfG4|9{@#B7e^(;};6`2Y>Td@qg?h^}ljWsjYwR`ryBD%?Cm! zcc}dju378(@B5+tzg+Y0{Q!FMs)@|e2o)n<{x7cmEnNvA<-CmQ;L@QDL+=_Ty+9DM ztR))d(t-7wFmu5lo*F0XP5T>y&M#w|V{I@MXH9{-8anh(IVs=Vkhn2@l=HB7r5Rs5 zMf=DOQ$$CbZsYj|*p48fF9#uyny1vj55t8r1 zrj|KtV0RBl>l@&!-EPV;y3T&6M&nw3NImZv z_|=(l=8`7Yhv|Gj49Z-UGpl5C`rwep#xUfSQFoco z@9TnZ>FG3iLxa4%f>EHl)n`jCu06qHHGN3N4kojAPV?e zY=@UfI?^h_Wv%}BXE{JjMhoB0i0k3xmq(ppx(PEAs4A&i4xjp= zn%8gHTkF+;-KPVSm_93?Zz4f=v)fT*R$lQ0aSh+&mI92h#%itO04v)#ky;K(wCS>3 z?8u(h9`pF_ z4^1xy)Q%)3V-N%XS2{{3sYNpUaw6ixfD@k^-Ov%gLnMhosW zQu(Ghl+Da1tSdh5MZLR0Xu0ovroIN>)6IFb<1-Gx$#ye#`Yf!hW$1G&Z_b6G(WarW zv+Cu~thAsf`g`>lK=s^8z)UXtBwum;RNE=?g%4`0PpI8WCSUgRaO`rH9)JqZ0$fK@ z8+CDlO+2z}F}3(_rxl7f?aC=Hw-#hoh?@hl=Mj5rt7Jlhy2m>=Z0PoV=4Z2V&YF4l zHeAia`oUKe@e8cfh znt0ZT33uH6R;^{CCQ0Nfm1$yZw-K1cIE)j#%Il_1<({C$aqdM%#;5H?8Uv#o{y=Qp zd6HF-!6e^e9@p3)WmF+|jfDM~Gq%J2e60ncP+$cm8%LBN$RtV5aYSP!wiCV<oth{`k;THzTN$pb4Vxs>Meu#wN{vk zm3q@-#L$~`I;Tz@kC4~wV-nl(Fb>OL{fh46XR6iUzOSJ-v)K%Z*ur30&A{y!HO95Y zs&Dj$IZ8ak&f7bfg7YfEMYda=brA>5*Eogg{p#A-m%6&0&TFI)YJTawL{O;ZX!Cf}#o{j_CtUM?o)J&Z(*Mad|2`-FSFQ;q_(I2%vug40 z6LGEcKj&Bv4EXHv?`U%gI-Bqx>OI`Z^z_1_i$8EdB$McmTo4cu!+wW<;G+OmpZt-J z0@#D^k9-t3-h%Jj|G-B9eEsl8J__<-5z(LcC~~+U+AK$3fD5Du(5yr@Rmi3q+0>wY z{u|#^Q~3CuhuZJqo6$%eQh5WbcvTCL_Ae&qG2$u|N!XsJg773oJo@G%d5v*ODengS zj!=2M;F}d+vkn((QXMd{9DoFerHZl+(a*cL&T*9FRUYi=-^@7Z{<3Gea`4uo6gw7R z_J3_#7NL3)7RnO4-2bR_tW2n0s!xS@iN9$x_CP`ae81qf@0K(>RiT0RVKAz}7dv1c zD^``HiQvO!ySrCidx3NzH8uh54o2aM3PrsqzhE=X{)#}bq}i=DYhG+t>T^In`~I70 zwKk_ozOF^cEsN|I&yiIQ^J zvc108^~z6`7vxsG@*KX?jiD^o<H zp}*nu9v~s&c%6~{lhjw0lEX74ZmmqaPbn+1xrV{*@NzWaS5ga=&|3+mcdoHu-ca>&_He2LHcqFeIH9l)}q zw9LF*S}$Sv*nCoGsl5lU$|w6-(NXPigQf!!S{ZhJ?!5X|*$G2P@AJ~c_0-R1tjBq$ z8BvE19aq0UdTEjbJY>!u*hNs=57V#}UVyouy1MyM-8%QeHGS`p+H>?10Otb6_Zmrs z+xF3NO>NJ%YPv7pBbvR(oEwtnM}Zy4_ZAG3%T-q=PF{KX`2*F5Sf4T62E6IdtC^&4 z)A|az9+Av}4HbgQ2k3XFBf@TIJhgYgS>iTK`t_wV(5NS*_JY)mE5K?4GBV24L+92H z{Eeo(lL80>FrTZWKiZoMjf%H6kpRpFnUb#aHW~{rXUn|@iJDOylAAQ>+s3`HnIq4$ z;6XszgI%`dVQ+*b<$iP~U~Ysj&;HA|lFVI`kd2%>hoa%&*h(_1|FYX~*R2q&BoYBE zN}3IwE$`l9Oz4fi$B4-VQuiJ02Q-TumgJs4U1e2Z4qQ~2`#wk>Twr&HG&-pr3&iaD z_u9p%(jMFt84)WxNY@!I!&G-A{gv5M)>}y(Pze_A$`BoV8Byz)X^9^fnS615==*Xn zMsMsV-?QgO-9{<_?)@oKtVc>VS~+32lgxxCyCO=o8r!{f=?K%G*2d;lgMGJsp2?aH z6Y-`TQyzZzidRu_tJ%19!vyIqWj2pm?7;2=lN^dmIGwrX#z4#L#gnZ5G&-%;;D%_O z1DB7JTDO3uFJIBnev2;OYMn()jUx8T8~gW;Hu)28@EJaFnk5zo&xCP^TNmwh-SCqq zy)^=+HD;v6kIiW|<=@rcdjB9s12m5^rajQun-Ac~eA9eErD&_={`mymaE1a0ZB`3E zem1}rf}OpWUcxLyUpW+4MV~I|+?==M8}CDzZ^oa^H7fx8ds;c%N3YZ5AAYEFJmPeT z(Db{%PH<{EV2>+hl$}c#G;NT1zn@XIxRuL-g`K+V;CZHeGrBotaD5L0Q&`({7o5@< zu<|yv@@=JLdqE|s8Zmi2BAfZ5s+DbM#Pixle0p$R%`dvTv3o^W_HpvS%$~L5gRY^) z11xQ$0Fysi#scBv}G@m$iX7HSU=WTBMfQ!P0SxbsfLWl!%cIhq0z!7dJ_d5a@ z>Dj6sRNX%O>5E(7(CJr)M8G<5YzK>31Gsr5q)r_$83mVq`l4lF=kAsAW@uz|VrJ`@ z%G8~JLqs}Or41QzK&^HU8CZJ^y1**P1UomJnc!iC#%GXdfb{=5~^*tYFj;=G zDgvu#W$U{*kTxci|DaQjyAxkH3kUBcI zMJ^_P) zfrk<4`9M(0m)+X?+v*;Xw5sQ=(l4C+=qoNyq}tiu9solknXcTyK1x3OXHvRZdO=M3 z8I7Nh&s>WU*EvwMaqk1<^g_0e!v{vj7cN-(g^v=`vt7|LyPH(sd~o5SNOH+1Kz*ej z3y+Rb%Rlwg@mXn`n9g&TB4U%O17iyp?|RMxJK%^lYb}P7b0d2+q4r!lrns?-=X=t< zqK~WQcQ(iKo83TWQT3KjC;dDxhmB8vyp)+z}h&TnAVG5+(nA5-(sFjd{A478guB{hxKMB-k&j7!U`KPD6g79HKQ6iU}kU0=Uj zKfa##WquduJl`d;h69pwN@|gb`Cx-7Jf&lY*$nGE{}umDwxA6rYE`5V80dE=Z; z2{*_vOCx+Vd^xHSo3`OXkeY7S@kP1MtnAe&3Lyy{L(gc?GqKL2;MN%~jR!RM7^v2l@e3Sc_9-)ju4X70xf3WnoYkrr8v-&^ z`u?F+3mw)@1LwJTu9tk-JQ7qlaLVu8+E+EYVf6xZk4y_o->?`Q{fu$pQg~8EVNK)g z;yRt4<6YmktJ|u=KuW>d^GQPT>o;ANNv-G|m9*@kua`sm?|ukc@69eS=m$5Ogc3nAPRPdD7lm?x7pPIQk}?WNM5PH5 zQhCy{8^weqRGw&*5LBLIal*Q9hky{MQ6l51FSIDl5sMN9ElM;#)F>gK!ud@sn^C7u zm?i8H1Z7G{Y`x7$Yfh-;zbe36TD(JBEK1ZSys?Ew z!E{Pr6y}LRdFFSEpaUpTGC_M1s*}IFc(b2}P0|r8$;B-oa8>CVqE5nDwBQ8VX)v1- zeyodzYAsYJVZk>n`A$P&8^B=*k1K3f4m(nv_@hXx@&}%ed=XT6;!i$-e3JZkN1(8$ zFOWj-QpBL#$8hz$x`(NX$dgzIpKM0q{8lI7eD!c3l(xCK^=%s>QO-h#5=xYF-@ijT zxwwSCw7k0dlZc#x64F2_WKhG0Ndq6=i9(6gdLto~^pI;}pD2{(C^D#v0szB^#V#vc zfyLfemEhrFQa(YHFpU%1qS_9>ElLDWo^+%7-Hr-AE<~dImqm&95E)RSgbx!bbq@#( zMo{Gmqs+}aNm(dB5;=#Vs!Rw~{^BXN3Q|x;>2b-@`~ejUGk$KR_SLVZe~jIaFW)`UvA2 zR8SuMuj!qC)6V~R=lt*Z^5iWyvibE7?L2Ai{`VJ7KKKic*8T%K|I6F=|KGu#&Q>E8 zUdfAQmC2Qo?WYDU3yjaceQ74J;e9a{}%|i<=qPfUW7cbZeDE~zFlidj}%?&gaeQf)svI(K>v|JL4V*R z#Y2Av)yHGuru-9HSz>o`77Q~1ZF!`^-5YC^#OysOY&pBs3R9PSrhQwjn;R8z5i?jN*N^T|W{9L*me&v+OD_ve3@KS89 z@XWkV!3Q0)PDTgcDPj=R<6icm&+OX$i`%Re${^0(6hhwrcVDLBh3i7M^|%CC#qf+TcL&+rvF*DJl_*aKF*5_z@i=Mvdx0xo_wp#>(4c$*j9^!CI;N1Ia z>Tm9;P#K<-#ugH?#HusRCfKtNh96E9UB-Jf|G=TZM7pNF{*8GKjih6jx6Ospn%bEA zvY_Jatkk$m`Vm#L&wY8`*0dXH0t2*HURivbT&!CCkiG-rRXh!vM13O{7k@P``)c8S=B!&X@MQz?Ff24focUKCiQka zimRSpk<$XNd26UK4(@)moV$NWFnn}-;u^>?v~tRlwj@T`ZU{@hAmz4w!@_5D%Q}x< zV|l{lhvYHv{wlfIH1nFk3Nt0DO7whmUzNS5h`%aH>wo@BVkvA8aE>JiQ-2$Qoo$P+28Q;CP(9s=Oi}(!)e7+Xy4Zbh1_0uNkro2%e{y8x^_?w zVf?65u4(_M>wn|Slk@8T#o*4lzgTBK!a9+-?LUD+0BZ{V2ns=G7i?kp2Ve^3k>~k8 z08@Yu*N^`MOaXi)^G9F`l;E@fpMWWVOXzPOz8&?nS+uZ&AAJfLBtU*p}8%J$I(Fnbm#{O+bl=7P~jfu(J+4>LY z9NH_3WVgYKhqnro(zrPC@9Natk**v)Z_MLktUNBfs68VolfEVcGLx4&>Lgi3>cv)= zUt39v(MCF%(H;kIR8b{+{8-_{0~QI-t~r|BU6zQO69#g|KX@7kcaocH&{&`Jc@=ao z`+#%HIIrluzS!A>hCdj;*1WULpUB#eW;(;>ci--4m}~&ZDSnxWwi#M#jDJ|Ds6Z~J zS4g``5Wt1Aa|^S6X2H1+9HXw4{tPuRN+KJc%UDcXBe1&K_YF&wvfC;0s~D#(fLj02 zujiHC=f!ZX4%kq0XyQ28@Xk zST~=NZvFgm_|d~4v@pzT>OPpct>j>#No2JbF<415>=Y-xAh=Y59k)@jJpSNw9*zOv z_LpOcRs7caHDddh`hL+HXSJ_-{)7h<7a~8T-kBrl2i|kG!Yh(iQqEERNyB=r>YG?G zo~7xsYOKBVS5?j9&Vhw1MW5e%_kF*6S?iO@19h+B^OU(o9h^Uvx!-$F+D;1rZ$e!T z&_M5}%5wQK%|$oGG*5l0`h)jF_Gxs4w$5Y0;Pd!vHs0Hxgm0atQpaI_)+^(4qvgp) ziJLncBActIo&^CoC5{XH54>ua zs?_as^qhY_;Xu7;%dYP82ejX8uW8PAXA&2^Z1q<;ZDEcTB>u2vFUqfoBIc-?P#h%g zhqu^X?3T-rZRFfy8Pb%_v)r3rFUd`TJqe!Zf0qZRBWoO(&Yr`25uLD|gKXFLpv}N~0Xg^TI~)vnw2K%cQ3RKkIn7n}YoJcT`dB zr)njZX`B@AY}w8n`{m8YUs{Ioo zr-)hnm`4`z^Rl1kM8jug)h%N*WbT1$%!}c<1$-XC=_Rcnw-_&}d!=QU|2oTe)uOPf zxwCf~05%O{=YWu|zD0iT;PgTCKHGUgaoxa*C3c?F?4PGKz?~732id>U7K*brK_kQJ z<9r+$2G1vqA|H((_{H7~?*k$0TniFty=lgYbK511CzoLmvob&3=Pa<<>#j{K5fo57(=uc1&e0ef@CZnss?~)7XNj%+xta*D}DZXq-P1 zv_`?4R(JZC>&>O*j)OUTUI7K8n3n_NIHC%`seB5bL;DE_zl6Nn?fMZEKKCVk8<(uQ z)}CVmASkO0JkaagxD3W-ZV&ydmJZ3iQp+}BF9!F+t~thzF6r>qAh})S zf`a47%nFO5#^G5H7Ugq8z{$hTeBtvU6KnC{!D&vRo56#`jPh1-q-+8*moqBnRsc>x zZP)nxJ|Pu{infuCQCHmRh6Yhu@Fk&NQXmm6fv9$~6ecq`Wx__VY0+W7Pg61tDi0y$0J;^`$K z24>mL18hEZlgN=-6sMwzt+RV{$_FAV2XH?+F}tdzk5@(A=IP6hCH$y(^r3g-Iyu#) zxr`inU42ks*tA@t(=fc7d1rddzGHD^(=jot|9M~V5A(RP>R*%~ZZ(zoZ9Jdi^{@NP z#M&0Ye`r?4oO%PJ!;H zGbYo{_VsaO^(?w}5wEAfg-h-&GYk8q@+#($jo%rSjXZopBfoJ9s2bi1h}*|w0wQ+7 z;VI>BKkZOhyWI6m>Eu_-F9%nY-O{sr773Z57vU5qkl@<8^DxjlBJY6jMj%(r6gi_K zuU5j&5j9)I%_;BNpY;j6IeESQ- z_(jp`4uQDTWt05=BN8AfedTsq`x()Y2#`56@=@3_Bd@ZhYluMACjFxYnf3U4at9K; zObqUlI!r)9$tI?%Z(kQ#y3ZvRSkxh5EG>t6BP=ZZQ@w1`$~zLg=u$I%_`GQs-!QF* zP&2-vc>oiimQDPc!`GF~EdCl#WZGQf+}r>fldw%UWRd?~$$jFAii+vz8XIHWFo9E=nnQ0Ad2}b@Bt&*6 zJkl41vVvc*hSxUmVhf#52xA?cZ$mEm)EtEo83kiSp*#6Ihw`MP8^Mq-63eO^PHMWF zp*Crb!iGiNEv;!Vf)XK7ZSa%rXcP+d&KJedfefU+y{oJ5#}X`uf&+S!uqbM4>!&%2 z5GGK~GJNT#81|4N@UN8!L$L7bdikhnox6jrnIT@)0A z$|RCP`MY=bUqO`Duag-X8bbhi6De?p1QIexxOX5j9yg;g|g{slZzVzimo2=u(=aP#WeaYNU4%JOqYDZYM3Ne%q9uUcM;u z-;5GAF@N+ZFeK#_B$RNM*Knd7c*#wJDkZ$+BYzhKz9{TMIEvr{|J_Ll^4ao=Dx^cz z@2CZ+QvTJSigFTi%g>+D=r3^0%;F&GGCl#Ekq`|ZEKGuh*wP#ZEx@@+;Dr=k$Y28^ zNHBjF{hgr~5&df|pczH?kGd=;cUckA@xN9CAhR?_VV_V-IGGdteW9G;OfJnQs{+)> zngBA8MFFJd{T}Sc^&lZ3Fdq;8KTJ(cOQS&tAQ=kdX@3I+1!vHW+#qW=iu#5%UPiG20A;J*fOj-twa7k%*ZX7$VOTFD-%FNhN@Z+f|0WPjDTj_!EC464b6|hlZ zJk%Gpa}Vd*fq{lvDeFy@G6|e;`X*b;-Oa+lJk;BZU!M6|7H&V^Zvq61q_P)_gzUNQ zz=m)e!i%0>(-qq9KXhGX$xPHWxDo|$gZyP^1Xn+VGTfX$693c?wLzts^)-3$-u%?} zRGPjbu#voT0W14J-KYJgeYok~ft~A7)xIFLOQjOCh!A;%H>epASa?FvM<_eSoQ<#0 zGkkcx+Q&h*+nD%H1KmDOWeJ!>zc5a4bXeI~P+Y;}VOqGP8|i9o6J2m?SxW7z{YDVT z!gcidlCL;y|88d(HmYVkx;W!i==SXALu%ovW;X*);2g2M5x=z`(-mR8)P55=;ta1u=c<6(hMYnEQetNUMhx$8-*zExXqzcfEO z_>{C+qe&U%0yNKKx==ZK-M$z8#e>P(RR8pQ^ViYI3g`f0w=xwG_e8LuWuO#QysKfe z=#+k=19&{GH@dhOumEFpTk&xKr4VdZIw%98`H(dJpIJ5q{|#sP2dCpz+RNv%b<8Q%b4X5?u`0@E30*<9EX9ynI%c}7rs>IT*5S>7^8|`y3s!*rsiR~TI*Vm`(o!c zaEPu^Zt?V!?v`?Af|qy-La6SJeHAYpKU@*Quf_Vd!2!8 zqhEX~pX^w9Ho<85*)umtRYx`+xsCk-6@rSt?!^I>UPJzY9>TZRmfgX|C%K$K0uG*S z%C#+;@+>xyr45p@?+P1b=V-@s%%hb+pSt87mWK~Zb(DD$XGXKX=v+_ElGH!jo&8)! z@dXn*CFtSBdPV&1%&gGEy&@A@D;#u*WYhs~LQR{`x(_!gx*qrz?A;4E5d3vNTCu=( z_?63K2gQdVJE@m9U5sR__2%3FjfR82E?>CPJ1mFG`p14Qm<3faYHJMdEB0N>3*$7R z!9#X~c!5h~x!RX;RyATFWy`AfGPJY|6x7&T0gP+jsIzM{``w_P9c=@3JhBL6CwoGu*~L9h!8w} z0t>YNfJy-@tN9};1+e|#A5kgzhUCip0hIzAT=*RQ2UH5!*w6nFl_Ccg8l8>kQWUBQ z!J2Q7O*67-fg8-$R?L%Q|L=&?#UU#3Fm#M-a8RG*N1|kE!6K!|DCL}u)*A6uzw)>& z@ND(rU^tIKlrH5gyRW%Q|20m6xt2U0P%!1UfZ!S~i-MO~98$KXlDcgiq zk-|n~M0n*Xd*w`T)35h{8MhS!Pj%8ESWBNLAu0c9?=hDLR?l|u1#_FTGW&&sAfK0B zK1&gc(5Zi-+%c|2y=!#O-RP;Ilq5OlJDj{a2Y|Q5FdZuYZofLkMN$jX)#e>qvD+@h zdn3!xf6UyFPZzYMbvBmR<14(8d3A31jau5NFA9W)?cSK?%vNCTrk5lL?0k9;ozz{9 z-gy$cG&gvbjz(OM3u}WI^2vAIcuh zzTlDZkHF%4HsPu4FX?@+*50$EnJGpbe>lG8(0OHx6_3$rL(dPCcNL4x$dpP>EvO4d zs_A4HvA-j8P5s%MDQUM=HT@ATH4vU5xmw|(&89?c{yfjdd8GB&IFNxhIA8Ln#xSZj z66o$1M>kpaUKAMITJ+W-e%0MH*?PO4S^w+DOkMH|ln=m^a6orcqj$;Jehvq2SyyS( zMl{#=OB4G(p`SCNy2uwn>Dg)aJljwYxtEnOpTk&Gf3$`hKJ$0|T)%Tr;~Z;q46bOn zyvJe{_4ck9`hXoWiyd(-ng%a)T=&hfc}^aeNFAWa{L;%IR@zzRwceg_VEEMc-RJrK zhQYb$f$NVCdcE|3?$dGn`k^&W;yYpCz z6^h9ML2Ce`;KORLKr<&tm8^{u?#O!6m_&o9Q^F;m=7A1Roa3KBNT|OcPjXHg%Z-3H z`NbB6x0HD{Co9hZ``u3LkU$lGaEqh!EXE^~v4zI63r?#7^w+8nJ_Lrfg^0eHnGcZT z5CYQpYq{rJlOrdR@65DgOwqaN_i>^`3Wo-2tJ9uEQF?<@xc9%sX8NZ)%%TLd?+XPo z%`ewHpSa|9e#@{R>hnSuFdTjRKC9}5we&Z>7dB&gpY?R4T`U8LQdS>pul^4Oa1CGO|l7}|6E4%Mp9Kpeme08eU zAy-!TE9{RXX6<48cg@-N0%`W%mv_XEyOM*bn7O4Sr=@4+2lL1Ne0U#Ca@Pd(+Gi~$ z)wi~@!o{Be`x`c54pf_LE#Glt!fsdZTj+!yy}?izxQrDY@rA_X0g(FfEW2mY*-u|e zsWzvVk~nktChek)=-x#pes^)DX&<0-oYQ8~BX5!G)ZqJz_F4Ydpoe{(&cpb&dU9&* zky2)$#h{@i9VKC5-BoBW5Ur`nOyYanUD1e3F+xs3uJ95CkROc@c(mJsFqR zpoE1#c0E8f>U7PLlm)pQ4p7R7L`Q5pr$=_Du~t#U9#Q|YnVwf8c3-L=_I3ZxQwWmv z^^H}hDk2_I1y45i9NoLQEO(3amDQtD$!fdPakk|kv1$BgN$fsz)%H(r56>_U|CH?d z?)R(5D!PuTjpLMFAU0#`kdlr^S<}SI#kZ_!a*;(Y;uHn5j@iw~PJUr^S5U2_mzKNF z#wBmJ&eX@${-d~r?P1eRD~FHQ-tN<^<5L0sdPj50`jUbrM>xOX?3AKh3i70ae&ByLOPnDq)t8 z)3j0g{f}Q1SCfmHhv|f0==aY^*aJhe`#(q&!lX`%9w~JnvB{g-&TT7Hf50H+db1d~ zYV8XsWpdrtc0`PA-Rr)vh$(33J*lkw@bi#XKfm_#jG%cesBGyN+F%vE@B27soPblx zw3UL1O+7Y|msoS_uIz)$4qjbhPG|Ky+79KK7R!w z;z`+D*NtHM7+2$i>8B2KF{;j1IN`NxB#Jje(z^`7o%Evenz8ZqO?-NT+|t_bi`;zT zn%ar)_l#cEzZ?I~4{oe6Fkg^z_YF+RY55_o<{Y1KJ)Og>HT=}>{S$zRd!ZJ5g{Whku78;$(B8{B0^^N$c|(SWyF1) zdcQyS_xHQMzaEeKkNbB&e#fKpbQ#y-Jg@7@bzRTnHQJ?8t6D_FFPVN8Xlx65IgCO5 zGa>IQxK$&i=4tkVK~+CCF@rXAP)5$zA+eNHNYd2t@lSlR8z5=uc zVgz!kA4tA#Q$4B7OKU^E+pVswE0Fmg-nwcXeQEd}P*OED~c%Y)GZD{r?1Dl*%Ldp2Us-zx#+y!+P%aeczxOFlzSD zJFa$g^4Foqlem<(ol8d|_tWY-R+)2ZwlIiTIKbtcW992c#;#r1Qa4P6Z)7cWh~r8< z#+K4?>RU8j#K{G;TH)$JO`Qig%onRMJBDpHiuSIWhfHpgYrXGWKKCd96m@Koig@QY zBjf@?rVd5#nmdMnQ1MxNJkRN16%;kG0^dX`R`HFz!{R%>;t(?k*v@Ojr8YFTDN*x8 z$FdQd_9;54c5>lSEtH0?u11e^_cKQ61GRKsDspf+{LN?LRYKDu_s~eU@ zHBJ4Tmlb@%&*{5{hM#olWDvi}%sr7+Lg#m97lbg~kjnJHtrwCREYt!H$@BP!91N)zN1eDU4h(X+hr zuk{;VcWWAfvdT0ltAyK*34(mEMNH6y|Y4qWLG9G9Vxj zt)+Eex+JfpMwO{ase>dlF8GRHi}Wl?fCnG$I5w=r|Er!aD)X39rxNvlpO8x$T2xVq_JGqjBKRn`Jn653 zU>1^G4o=huj+R%QP|JVJ-&Y{6yng)#iH`m~NbLRI?YIE|dtS0NrK;qy#``IPXh zUhoF>hS(BL2}^{~JWhXjl403bv%!9iW$ zP-h8m#Bn&$1l+#BZ4%Bj1;;d`mM82MrY=B(`^p*~6j~CZ4sa3@O0>J`pIBH}e@;nB zEpk!J7a@ejRq!E)4#{Z*MlLi$&1D*tTtYl41IJ}w1d)Z)pA>h&b5~Y@g3Es+7wqjF z9MHrCC#QQhlc^zFrkRqLK`^_(8Yk9^Cp5Y z!E{c<{Q2~EC~=)WK7}A)`WPGelS}Y_x|KbbqL9t9 z$ZV{D;wuGwhfHY(zepYlnTNk4wqNdfp9w$mzK*x?Jb;y)1$;Ene}0-Fo&BA6?adV` zqLAl95vRwt97qH9X+F$s)mZ@Z#ZJe`pMy8@YL)gm8oawau+@py9y)T)ol$8G*}iBB zu1$ugwkr|KeQJo%HAonoAp%4(Cohul3sYc4VHG19BTuS$ry^X?VB%0LfR`&FQ@RERB6 zx}oJjAEHz5%*Vm-I;ESd%--D4eAwvu>w(9BjC?vG$KnIN^FY%0YvTA+A(r<7I>y^w zXRS7h>1Gc~fB|dc_DgZ?Mb>@|WzX~KQVf~8B-0|~uh@Ex)mASWY=VF=ewJ|SCml^B z(;Z2yKbImHw&{x$&eOz@yyuwvwjvK!zs5(LDIh97cjs}Ua0WBwj{V5Q z_tV>6=k~?k7D-Q}v&n3zYZOQZnT?Myb-ypBlXM@&?q|(J3m0uWZ zZSLr69*T`}S)>{;=l6om+Yf7JC?$pT{L-a zVo%qdZ9k9KV+RsG5AQaUsI`b{AYyihp7bg&ys+lq+Tm1ff0!rvq7ekVQ#BbM8;cC_+aF{7^Gw^AjN6e$#>F8Wg;K7@ibJkN`GxUNp zh7Zfz06@y_-EfG!W-PeBafcmw$A((*&m*x z3U@iGr?5X?}`meZalLJr}xbTDqylUs|)tf(-@)BkzbmR%ag<8!MzOtnCl_ z-A`oar$VI>W|8c0gCPd&S|#I9_+Ae9Rr*xo+a%+V$61~Qa3#4A7tI|k zW71{{3x+Hnf8ss5jjf>bMPNeLj~);EE|@!2I~A0awpPW}Y48mHc4CZGYT~S;ne{bA z+V=Y;o}Q=8GG8ld2HteL<}jxgDD*Vu(0O04K}x;W#q|C}`v3eFS`tCwCuDFqi^!Az zNqmpi5dBYILVxzZBy^&m{+A(fjPngH`~6oNd*?sUvkZlv&;avSVhGsSIsQrv;nN{3 zvHS};1!gc*{BPtGoH$4RLQVm6`}~!h0%#lgD>((TbMSS*U&!e{(6ecJ8(rPrg{#}> zt_9t-PM80;s!rj~W{G*MFb|%-I~D$?^3CxAL?k4mF4*Qj9#I=4mj+LNb6?q=yr9Z@ zbMS0YmMo#qTfr~0_pbFNNV^}t6LVq%&bv5fRkU0BgWU(QP6vH6fz9NVD=+h6RcG_Z zsH|%H!LKS_Tku#wq4)KKDkwfbd$H#FtH>+dqMHi5*Sw58PJ;xxoAO1{diG%|U(a*r z2x!^=o=&Y?qR*)l9IrX6GVBgkQpY^Li+$Opx}2@E;F|PB$v5xTmg#wphxF$>{X@-+ zz}c!}dRivuhf2|MA2#M`f|Ea=B|$!E3Vw;i#?hPXUICpNOs&jLTON~DbEL0{>*pnd zzN{R-a!A0ITl|50mr4Ny&8t$!UJp5N_vS9wO=x!uo^mZ$Anl(lyym6uDRAEztW6Rc z-1=l`5V;Rj^0dXqsH?PJX%Ol#ePggWrtrE#2jnY;HEr^&$fd?u{Y-bY4s{!Ogc+TD zbo_jn2u}^{#(}iO^N;K$E{o|G4o4mepAvr%Wt=+YK)G$i*Bg%WpH}WE`eY()4Ba)L)UjxaBVY76fXr=DnYN_Sv8GLBG`ECz?fahe2t#8h64T>aGdm zv>hW?*En?GugWe+feFdhu^6swFwf)zFRT#c;e6U!djd@dNzWOQ9uNR1V z)NWZSKhWM9Iojr763LR$VE*y`0+YU3gjbO?y|_O(#H2l3ShQ40D!e+;NPZ@GY0ysm z)cUzuOX>N2Vf>asaB)eaXX|5U^vymdqQX)mA>IrF%K;i{fsvJU$^v(;RS;zpuZgKA z#+Z{*6X7xPfqUQ^qo(p(xmd3)?V)eSK~KPE`kLc`@rk<|NaSUSop4?TH=4^@OCf&J zH*vm6XwIb!iY zMu`mRaKB`9VRjMP(r%cTx#v5_aApL6HQAKRVdEiYsPmJ5UW4jppsQ z*!TV{iI;RTH z816+|XHcC^jh-O@%1`@CZT#;|WS1Y9-*Xy>5N0udYgLORmP{vHQl-Ih0 zPX!8W4qRFUPo9m0U5cTvct|TOl61YHe>uXYdpyBvBkdX!c7T{Z5wNf6UWs+x(heruzYf|dB%pYWovAYB(O;vSFQk zs%~I-&bfOt3WQ}nJpFZ5uE{<8!zKpn-B%RU$}buh2+a<`MSYP(No!7%Al(}jjd5HNedxcpvuuwOwg~k-j7wCyzJLa1>pk(l0l-K!ZXRX$ zm+co-uX%U$j!(CYEUi;o+`Si-T=5$dpEC?pGwCl{G`Nu}>I6j7$y~V`l>6hlbw*$4 zXFlnxy6;$nK)vXz3Dt|~l8*+{Jl;qJr1$ONiIr6D93|vu)_*7El?AuV>pPEeFI>@m zo}M|vebGMm>ye!Ev-nr7T|*(EPv6IA&wECibjs`e zMF`>8IeGbYAH}?_TwdG8y$W>AYuna$g(cNBVegZ){`1Q3WTp-CbX>fbM*}YB~tBNmDKpna2gTRRFR%%XL2j`&puc!GJ-O@^*HhHm|5NwmTPj+?8^2rzqP9uNbUKy`HO^4$UF99$G`-w zu!dgd+mgnyuUoWc{?F1TU_2TX_oJu-3`#n7A@Q3==H71^Ji`jgyT<8^jJrOMPB4Pg zeDaDOFC^Du@)z_{2G>a)sf#{jx6`vcG7XAK%A-60C5c2TKJELQ=HkXA*&CRo90FN2 z{d@Sj#Vs3b;+LJDfUwA{eFUFWL{i7A^xX!lpq!?K{nLb3*)1RYHnA-uh5$XY#uAR2 zzVS~h_oclL6*D;Wch>QlTHNP1cIeaQuuXyYo6BmxVZD7!iqC2dtOGV+3vzMCh~%Rj zZr3$FLz5Cv-b6xX>0VOxk%Ut5TFg0dRSm6sF@*%DeFBST7tdd_1Wu6!B^5vLIJiaB z17lM*_Bn3Y^b)y5PR)OrTiG=-GY5Ia4@}>VRZNq_E}6G3`6j%s#Zou^ZRgpwt8e=7 z)yHRG(yV|^`f5?bG{3lJV0dbI*U}pCX$}Lcu$+?W{;>rtz>)jDWt3Yhtf_mG;*3;u zTw%lKskD#VxP)AWmCdxwrr=SEAc{8?U_G*c zM3X8{qAE{FC5#w6@qk0!C6qCu>b9FGp1chij`1{{%mm$;!W|B(yEHS0oDloO2=0pj zSSbWw1*0bT`zWwP!z%yOextqL(DRJ~%7lcJl=KSd`aWsVd7p;-!tfprsffmCY1u|n zu}?&nX$XKS%YUrz-7t)E1F9`ittCvUgiW1jbq)iqfZXhh||-tU{J^BZ=alNlHo-Lh~; zTXP=&A(M?Tn-X=h2ccN&N!m%*H}cd3p*vAp!WJR4MhNXYkBWI7kAliF6NKqsspUyb zB^u6I*Zkp+=NmFf-#_|F>~C-^!B4)!NtaC!WCilF)z#HC6NGAgePe42mXcCY{gGCl z5K3Q!=8v`#jpc;qZx%KlN$JZ_VW|WsP==cdoJ4gRf$B8WW1ji|&%z=^2xq($h2&(bk4GK-LhOg<&-k8!%7Z)SBCoDRjjN| zQWtCyI}-%C>xAp3 z=bT)ayU+<|?1EQ5DX{vpMgYIk*N9-D8L{x<0Ne)QW8sgmbYgM~T_89@^B4XkFkl8m zAvp6G;duC`QJwEO7Br00qGbA*76W0MJ|;rbRF8#`Y{qGl|MP9?{fg>mX;fq#NY{>E zCGx%3mCDysA(GqkIHUG^f#}ihOR#%yN;fn9A+q)E5g5rmCMZb8vuDDY#U2UYQW2S- zBLebKUR1rUOo(6nMYTbJpPTmtkbLcI#oL?IdNih0T5_OmQHeHpv&m}WoYjxk!w!~u zrrquNTT^j+w-n}rOgWuE|45b0NXTS>+lWu+m*WqN4(>zYRl5fmLF;^s^t0uw;7$q^ zC)sD8V8gCS>%=(Thf!U8zNGt8R=r0-O8kNZMu24|7b&@-JQ2oDr9EU3vZz7D=-7F_ z9PO8E8TW<>Q4^qctTH{jZfDhA-TvZIlkaaZMLI(>(SuTj4tQ zGiKT*sqK4y`-h|etqks`t)Fe>l-`l?!d@}9Q}-0^Gc7Pw&&$aS(%tRjK77Sut1?Ki zoJSL=H2}ENN=tA=E4MsJvB(df6R1Re+K>|J{9w)g&Xl$J%A42VQ$v@UA4SjH&-IY| z`w=Vy4A%|m9ICwxdlHj_=m8*$_+B40c6r;SdkJGN+Ijt%&`O_Dt zq8Z!}nD55(EEdGduY7CpZFkFtQvy#gui^WgM06j(#RX!e%l4!9G}ts^vqp5K zbo4tlJA7-5v7Q}Kq+~&GMZ?xIP!)mAKY1^Q;ANak{2dKwd|W0UjV1) z2WNkAT?Mk~4(FX)1YZ>6B|Zs%OT10^&co$~(UdK?F!^%{%;egYa}@Se=czgku_Xj8 znG-)<;6K2$kt8Mp*V%eT(;M+{rPJfha93Y+|0LS@>EA%|uCip2=jLsqhhT9^kV?~t z`$4LUw=Os4&;Y~O74555CEWMC#C$F}bB%!r0(A=a_nwy+=3)((4Atu1hbGG(x?ep! z(-bfhvy7YrI%E|Z31v>7#TvY?bRssNj{>FEnyZ2s?cQi}Dt6fVf+8fTk+fBds%lk3 zxlH~#qp}LUn`&rKb+2}duaxaAf3j30xL{ovzO*XT#|WaxW{ehp*Kyr zko8I`hHzuVg?_d~`=iF}tY-m552bFlvkY+h5@P@m{L~}5BGrv6`3cLHoZXwTI!Upn z`djOI`8XkEFYsy30+XT)yD)6qGQo6Bw*^cIro$eyq$Qt%Cq|DND*DELVS>PrGC~W& zy%r(k&)(6&nsLmnw|TXke})l}uP$TyF>RvpWQ%rG^)h zYqbxyqSH)(v->U$ZfXn7YKC5x!)J!Cm=?vQHs_Y<7BsItd`Z2%0cwA6^B%}y=?n+* ziGKg8+9=zvkX&}7hm`%xfZ2Xx;3uGTNiD7+RNB6Avb~g#&+w%J_@cpgjanunOqE{b z-oR^cmgxOu%De*?i7aF7^kl{7o=i2$#q_-6mf1nI`zp6#6{Oyzqo(*q{CGW|mDFm9 zq)g#v)TPCOzV1N_W2?^Za!f%a>3W*^zwDnGHrH7HKDIo)oiXWm&FtKO=70h9|E;(D z-)2)E`-f#Vp)3IR-*)s)uzJHvRLN93k)>{y9Yjydh1DoOmsu&==^3s&2_-y@|~B=TF6x-=c5Sm9~a zdl9v_K=?i5YZvsx7(yOUvX#XVa}TZkQW_v+mJIT9t9e<;wgenpxd%%&*iCHntDh{K z){OuDs+ANIgDRxhYL+xb&7=_!-PAtkU>MugM3N%9x%9N(Kz@`&S%T{3eX8vl`tyO0 z!0E*4iz3=*Y+?(Z++^Mp%g?Q1+ z*K*9;%#TsRB^8n2;#aq|zS1(gccOP^(wYimf_>NM6V9`H7M^>2L7a6~9X$K?K&jPE zHtlD}XdFw`=G6!{gYiHSe*xNi38|A)DG!0M+^BP(klp4Bd(l01**hNCKcWQ?zW$mk zp>ysRo>f$V{b+)bPTTAsvv=ihUu*@$JQU`;0))&mg2gOBzJ}bBAce3gMf6SA!Y+1c z_>6zJci){$6m?01Q}ul}iM~y*83Apo+9WCRu(1|bEt{4N>bw0`JxWam8TW$CUOo{F zeI*5C!ezY`Qm%Iu)F@=1_WqJMWQmPaKxwfp!>rle>tZVcwi?t08@o#??>y)uu;X+{ zEy6tzPq#P0W!(?YORJRl3COSZa8YlOSK!6?WOb5%{FUEB>fC{(2SkMsJo5SKbOp@3 z8F7fU!xdyrU(0d+S&ccvLg?P~>s}u1dmh66i~U92b`;!8w|Ov2blMM*hI?pAVFEvI~eremr5U>*p0wHwxC(qysB;=6Q6%coml|05X-DMmy1%5sAYuF@Aosu~ zFZ24I@VyTmAkIIFOL{mnxc64gy}q!0KdFv-29G!Qvp>_Z2}o1!OaSs3g4zE9SnJGMa-m8?*2WajI;DUlP<-X6@!f*iXAj#BFGWvraFCd3L=4 zKKSP)tjHYq9zOkwCqVi=aLzbCC;pDqOtwGWeF4?!8L-Nj>=%RkAXrq3Na)w^_JC{g z;;ljLX5|-|Lp=)0EIdHs)+6fmxDUFw6chQwlRjK{E3KaY!$w{J$0z<>RFwBAkjOd4 zrv6LjR@NO!4ZMBR-Q;bdeXXoPvhm`t+=)@|sX+&WC2;;sFS75mM)uBY?b=Ygy%;Oa&KzOaBgcPIV zEk5?nH8L(mclI-wn@UxJA2KjZe#`}|vVyCHn&aO-N_-8Hul(()U>DtExuM`WM(OvR zb+Lz|{elco8NnuGe17gVKKD>2dY8IpGW2Nt-}*~cUARG!}8}SY4k(Dw>1nwN$tSU_>Ar!M>@_` z#!!xg2DI^k`=jzkikC#r%2)S15aABL?i;7Fl00aU>2Y^o| zIOp<1->yAtPmWuy9P8Q`O2#U-rLW2E5W}X+^Tv*ktGa&dQk%F1RrfG(^TIxq*rbZF zbu2C5<1Z_678)!3BlSbN99x{G%5s50q{{YJHJG;h*g`heai*lU;{R`wYOl|>@@u_n=R}Kisq_1ij6fLNk zSVzR9gF%tdY{UF|gySh=EA1O15ofPm5w?g#7V#izz+Q=l!yJ z?ASxzn9`;zc3B_Cp)VYvWCo(jTDA|%jtI#ad5prMG4SM_<2z>#F-}oj_4X@!-^mRG z&1`+cGk5lI&Yp7&i_K!^)wlIaXlUltH?qK~b2jk@QFXKUt`)s_4B+~QQ4*o2^oc4R zBvKKXT6GWN_wa=yKPygTj@*?2TEszu-pln2`PJQK3& z8au~kmSEnLj6=inp|Jd;@TT_VAN!&f4?;n7@7SEIZsJP@{hqj*?vhc!z^Or8K0G>! zk<0G*aO(h+(lJRGFVWvyN66k@iyhee!D#0CQPwYViiu5D?ZxOGIApiVuUI^zOe-XA zX&d)hOiRb!-M5$Ml+-QPkm#2M9bjm2mrl?ryz4V2j*@EAFIL~Uog;E4cJq|%Vfunn z7zk1z7u(jU+7l37gmHvP?vjsBYn(b``ktQWDL#qw@)0eti?^gdq>wW5Xx{#fBa~iI z{jQlx&1UZd%3!&vgXu2SzN3iz%vYg&67+wi=m8NEp zQvIu|{KF}2Z5{6i1cjsJI%vBN?AL+wM8k<=;1&xB7yfgUT*46#!P$wn64kpUr@Txr zudi=>4@c8U0r&?fuWZ4AE#Re$tE)p$j|wd{uW9Sv!a*I;ZV%yXe{w6)i98#jymA`8%7?*~a2g+YY=6|1&>|lg z6#OhZ2hFZLkyb)&B^*UXRA^9V1@!x)36^N34zz)fjl(NXzWOa1RH?^!vq;NLa^Yyj}9DohUof$2||cMTNKou;^Vgn z3GiWrO;#`;7R`ng7r!K>q;G&G!=ll!#>O1e$0U%sqt0~B>0=fYyB|{`2=}x$`~@d= zx)Uh+pWPu6zkl-Ol0V?{Ki@dH@c&8K5bVOxbUXQ-g@0`7|6{ub^5o=umB@edE*~t{ zDVbNtb-a63s#&)2rHErK>1~PboAn=Wq%PvO)UT|A`E$FNwWCzzGlIkBB)SoAhC6zw z#9xd^&Xxw@V3%6DfzAu3dfN^huInTiXUaY0mcdmzQM z;0VL@wTc%{stehUqJnAgv{|={XyYCeEG~ev`J#5Oc~xA&UHf31vD+7ew`{|iJ^VS&g$}z@3qjFX73!y z10+k6s9 zH7A=}uv9HBni*TN#6uQ445}SICazu9P*zAZ*%>EzC)LrFvD7=LDAX9Z;=Qv^F96I3 z0$zqoZ4T9Qtz`}lW4Yzj5b}Clmny6LHJ1^Y>puo+nSb!$vde#8a}C~7!hFiE$%kLj zrYTBbbx~sHp=S6j;Gp}_e}`g5jKcVAO4Z9eqg6to(08OU)yqqh(Nm%m5x|FqDj>to zIyqHEB6d$GDgntdrScQ-`1oe6if?ox!w^WDm`T}xcjxqpIDOza>uM?4S`x{`VEBEK zs=5BV;;|bjk2sFg@jvkJAyZqljkczY^R)7LAbwr*RCK7JO&?*+zvy@%i`JoZmR-eHqw30R!v$A<40u>W?r^pRWnr zM#}9Hey4R^(R(0vTQ~3H(OK{)*@Zm#T)Rk$Yf|~+Qnx7|!E5L9l$_3q+~(0)2!H<> z+^`m=H%tE55X{i=H6W|UakKktp=-_?b)kGR0=h&7ap1D~n4eN7cUGxlQrKdnI19&B z?tBT&Lmb8EjUCw^IVh7{aE75LHfL0Bsp(I zD__5V%ZKmWT3d^>bh$Nn@>W>07+XQNIW|#CSK;|mj4{67<22&7i%xR!;qUKs1Fo;6 zOu?(s&lawEjEsHo+E=h6#fgT6v&M%V5cK7{1-RVzeGp>vA?v6C3Hif&9Zri?BqfsF5$A9PRR(@>a$7v28 zmC#u?`WGpgraB=Rdx7?fzl2SnvHtS9^=>_VCI4bMu$KDvNr%(3m~XCSH6cfBWsQt_ zqt3OHZ`l>!Zxa-nY2%RYT4@g?Fhem7Yc$)_YBr= zRi(+*=@LzFIhcgtECXzLs`+;ALqEUC?%Q@~=^ zyfcn3=|f`e4tDlDN5xyRzu$Sxm!PF$;jI4I?zj9*YW^Os=qV}v!gOH&Y{gI6Fkw_Q zYsPb4#)w&j59sWIL_En`@qJkWt$EpjNbu^$g-CzR<+u`|&CkrziT ze=dCnSY0X?=h>#zCRw_kC)8gsj`z&WGTJzsgLOnxXe8^+eIRbR=_kvvCq5y&w%yAc zxfVm}H~MO4u6)^LhST#?iV>JAofp*7<_MEcY}h;NlRNIQv+6C_yY((o(9@g16yFb& zyuek{(;6qJPn|lZaxC$TRP{cTTf0ekh6J-Q;I*V7c=0GI$Sul$uX>i7*DEbs#UE?0 zWDpDMGmfO`a}LArIDlDp)-|^zWF-0**AE3r9mo!XjJ7z zgm?1cSE?erRp5=&TiKP!&h6Nc>UcXtj8^H29r>xAabtnbRP)1Hz6~INXza=SY8qHJ z(;S&!kRmqEsQ9GlPJSCFTih#G$nZB}Khq&adEW5MOYhNGUt$4R_hU?X0fw}F~BYV)6wJz{alX|VPCm_P9itlzZr?jc-QQ(>-v+(t&-}{ZLiQLr;`~Gj*&&%J7Y?++2ix_Ob6-eNa1>V*S;tc&1Y?f~8{ zWit~y%ExmG3KHp|)@9plIPxt{uJu)IfKE{@gbuMbK5y}jzWeG>yY0P6KvaO-e40~l z&R#{X18guc3UrsJlh=JeSy%hl%2{oQPvgwWv-|?>_4L6n~Y!U7hY8UHQwS zky4gFgP>OW*hL^Q?BQn9-871i7Q=wxUi0WP47Y347K33dZMR`bnfh;%-*En0X3WCwSs4j z?IJkBX(Q(^y5@aY*i7jpN=fW@<}sGM1MDMO`ezP_r7hk11{SsiUlw-{jqY3! zQ&Kav2nF?>J%o(D&$3F_Se;jJnYhlLmo?4r>XT6m-@=j9)UpAuPC2|En?Ge7oz)Tc zy7AWL_htUFO?)D`sF5GLyCFiN;N0yyE;-G=s-6w(2)o5PcqH}MeAN%aArxnmD6Z_A z6anhDIkfaF<9g<0Bqa}<+UR1yStO;ONU#O2m?eic(PyT4CJ$^WRd zo`OousssOm#NB%!`UinW>=%!NcO>?sq7sp}h~M#tDBdv1YVGCWvv%^n3S#$>t|5E| z?u1&|#Yh5WzGMFU^R6%RezI^~l2cW*cLDB?{L>4#BoqR}V_Uu;rwr|)vZg368$N#e z`s)@Ymp~MFL%>Z+?pHgvD170k0j?=bv*b7Lx~y$A#=G~1AiJExv}#=hUl zWTGwT`8=QQQqBe4@|zxMZ?dbF1c6jy8ikBbSSPbz_d= zHjJHIQg?pA0~DLFFRTPj!=yJ18-}*Y)o#ItqSrZjCH0@a5YnC%RMT?_4Nt8CEv$SG zqMAn+B;}QR7gpBEmF){KUYL32vjk84rAQhR`v8ARm`hWH2>o0^(>;c zb@O*o(#jkayMA5$Qxs>_tzNW&&OxEjkvY=a!CCYB8VUUyBFfckqQq*hEK~Hl`X9!EKsDu) z*uK%=dUef@G^g9<4oNt9ZrS?h-Dqmni&nxQ&;(fHv2O;SXEz!=&aINvib&2XF8?$~ z#H?oJ7M)nyG>!pq*%hwdxDy(Y{OT*an5w3wXWXljI#{Y+Nq$-1Z-YY*rlnSPdnWc= zv3=4Tz7!5_bbPq$6<(-m5IKB!Iv}2u9b5_^vv>7~FJ4&ZeDwG@^A*1si>apE4SNpf zDoqcsU1D&fVseS+Vtz%(Cc8><`^@?-n~<1O&UYd8Ahc-4#00~{bQ;n!GZb=}ry&>? zmT554(gaq4!*L?6v~_@4fe}z#`A1#}4dAG_GM@NEUHKvjf+F}j7+$FfZq4X4AEpuL z^ntVyrIYY7HroE(Zh}Be3CBY{Lg<6J4GnKwpvG_ifHVXfQpvy|^hlrhLV0Bxg7YWI(geXl<&~k&;K7`<3x!8OC23q@ zD%t~HUI}lLDs;e-oti&{@*RYejg1qNGyit$V4-L-?Igq!`3~n^PD21Bl#o$ENQq)f z*nYlE2A$w1loEA;!-l7SHI`6h30PRzI5^}_`oRAf!Qr9&!zk7MY1%x)&czD(@v6BTYv_ch@sJt=_AwipWAhCpJtpZEv z;e+KwREZv%qc6;@OoOUQ`0;)tf;jskw12SWNy*Sj2fC;C^B0N9$tm!9@bUOE%>*HV z;S1@n;mAM@;Gf)As@CFnkX|@Pp9y>q2pOW4L0NnayL~p|-HFguL7adfZ`d>_3YFQBeW6uuvhhFI*EaK``Nx zKy&r<2MmN~`j`T-OrD;^2=bdgX2n4GrnBKMJ)wvvZ=L_MJ4F7|HT1tO5BkqfAOHW_ zte(rD_pMtYcyJ_v;?0KyO$rQ(XPVfTJLP*CT}%6z)1-k0+0>VLflpb#Q+qnCFg<-d z`lP-YejD_2R;VR6Hp=w@y@BIO4k^;O8oZwFGrQz>Lgs=dJY%mKU&?4>N_;a`4KhC+ zTgVWQyW+N1dXo4!d_}O)wT2u==cr$2rW2>S#hV^DDVX@;UKY76<8VX&ITMZ^2->jUUAw|B8o0bG zM0C!Yr$Ga_(i9Bo9)8Lt9;|cb1Q@;tRCqVncGdS^nGef;4dc!vTfz z#&fk$u&a^Bo26{WE7(n3h`(;$syRPd?87dZ6$>oVIn-85x?K;e(oXdbJ@1?O6>{fl zp@s^Ng!1F((FM<=&KjQ&8c#-7d_$Om)4-2ED=o;H6hjg*TN< zdqZw~bDHi@(Q|XfosS@_R{2;B0azz2x(g#3OhB?jk(tZyHu_ME%%l z4namLgDqx^lZhl_qT76e|8ZBWv%*(-aQT5W3q0L5{*AtCoxw#jnf58m9geh>uJ`M4;nxVQZrp1qiOi29yts zL{yNz8BCQT$vd~Dg2%7Ucb{HlZRscf@ZtW1XA+28(kRm*e-y7~@5TJ~@y6ZXEomXv1rGZ4H4pKIBA7 zCF*RB{pvWqV2yVMyX~d!j;F);9A|*5{u)TSs^iq5Eq=F&dgRi%Eg4M!Uwy-gb$!?@0@KNyEBU!;~l<6fTZLI-0v^mIF6E6>e&qdcy#Qq{X@LJo{8bV zYpQz`bw$?8@dslicm2(3;kqBW24H_-rY-rYuNu>+Emy{3yUp9qDgC<#w)+*WI8(AA zWCcKg?rEXbatZ}vE$9@YSnqYgk0Tk&`BZJqlI_gw*zF9Ev-Ui3SBH*@%;RGtyQg*} z`ze;6LT4yGn$gibOjK210IJ5~RjXA8-pZLfR5-M`;{*$ra1%6ktwI~56pvpvCxOn% ziIlzDqr3X*i=~!wyO)A|$WnaX@bJ^vno~}ulbbQwnC27x=9?dv8aTa8?eym6l8#Y= zDQhQf*-rI`bQZ&pGUD)A=Wm!0=)?8fzhOe)4jmhR!=>OwV$xr6DX6Y_ z_g7pBM#tbY?_Y2!*n`fSzu{6q&j2I9|AI^ZA)UR`b?_AciZ(x^yMA;xFun5MLC)eL z(tFSNJ(hDl6GNPKhIyEI~h zNsAA^x|{@ktn#OlqAe< zc7aX$$MUl?BzE#i@)dC1 z8qJ~o{{9+$1xq6dE6sCZBi>IQx`R(kQVLCj_^+`^M!<4W*C>Zk^-)yxvbm|~7tH6La73|!84 z3Z<^=1%%^{+Fw(yY5M4f*oCt{zxlgtp3=lo_foae%(?!GS`kpFF7a-tx<7N=N#jCM z4h+T>ZN;f1Jy*LHBlTr)UX}+Y9AG7VB8}(Bdzq|zLzX4(FuXG{EminQ<%7~lsT()8 z!DEpf=8FaEM@T)v#UDQfO_-xt9x7F&g$_?BGN$(9>w=OulL42`kmL6AL=HYmQjiSt zj|(N5IE$M&cPM{9)~y^gVbV*R@p^NVx!u}en_Mq=ud%wderdP&P=Smz?)Nh+K;Cub z;C^U!-eG*$rYDV(QnVt&xrAQbQ4D@^EU`0tn}86zC0udTpRUjJiTVqQow`t;4?-Hb zOg{ou3+hS-BR>JlSfYoc=Nw zzt#ERT^M35@W}KP@yo0BG$P=pW|{GJ1`9*2qidh=LS2{#wl;mZ@nScQxMI(d)ZUzu zc@b^ge-|uzvQ*zs3#z}=RJ_LMYUe(9hpd77Vt880hf9>?nIs*+Z!S$q;r^M6xJ!)p zhdt&7$+<+IS=uHn`rP3hc{`PMAH37HWwJ`woVd+$80P-a~3dW1jxK z?V4hWSMtxnep(RJFIg~R>b0tTfvw#2Tc~@N=@lEzvYgJ>ObP+J=E2#3hQavo7qix* zxWrd=-|6ECs6zNK)QzyoHHCxTZ3Po4fE>J(vW9nYm$q=kUWZ5we{7G;Is8Q-TV9Q# zl~S_s$O5ChV)qA841)Kg8;D?XjeF3u;*a`k=M8R?eO76fWlcceA|%`7ZB~1Vs_EM z7x)r-`kbeek8RvPRD2LuLdnK=>#j#&RQ#8XoqduEyn;Yn-R)s|_4avgNln8?gMeX(1X5s$Op;uHBdg~t`_9jjDaxux``dBup^&#}{}dB86vH}s2^ZV5&8!yl*isW_y~nmRu$ z{bJAvTEd_Ud-@S@h^obmOa@nB-f#_$Xr127s-Tv8(1UUCy7D7lR`<#pkmHtqGIpNc z@Ws&DFTCUz54uZGrL<;p4??vGVjH{GZ2-o3;lRi^MYqwD3$*&Kuv7AJY)MPU2`M+P zcw%bNE*c0+z+^%#mF~H!xuNl)nR4__O*6zXLJl~qemnUOc97mnYt#Is`)>PFPz*%-Bz)% z2i|3G2B((R$k?=8)AOr(4v4vgR3k~actW7nnS5 zWbW;k`Mj)tY;ny!rTP*VuZl@PLQX~NIDg{R(ugF!;5y_i`V6 zp=$i@AfvI(A!aAOqvE9R}^<8WMF<+L% zl$JTXbN&}U5xY{{)&u7CMr7d@&h6a`_rupt@FqlMLZ zOo(qc?1P(HAdHY*Bidvi{mS^pUFT&~%)|@+D-Sow{i~|!*!lGBT|jvIr!5Bd>ozw6>%HM2lY%Onld%-s`qZ{E{1w+~Lv$*bz!C00~Y)p01Fng4}P z&98U|6xR32w6O}ZC8+sg;l{tm;L^3)6D)Yyt=ctuHxZBD18gSjkxp5D*Auje>OKLC zTs*hcUwfrww|8Sx2#CvS=>j7s&-lWMZ^y*f^(_L^@~fIZd|Ldrfum@Ugh(k96VYKK zI7D&Dh<#vcdV1FLmXw0O2i@l)Swd|24=4RCuj#EqAgh41}M}{Gn7zHL)Nl~ z0G|D36gdQyr=$|`4}=-$m;lmB21e+yd8(sifiggyw{5@}k3^*d`PA_5Ib+95;QzacGp{P<~f z{3)4)Av@K`kTuYp5=j7ubQ1DONRHmTX~;y8pZ2~(_2m4*U)srUuppfzgZjx+_2iGA z+dF&5kV=x1Q&2*JcG~=ntmuVI6d`n|L@X+)VZIJ5D4(Q&@<~{in3&mQZ=4!c{+3W0 zplBhQL`0OQo!^j5D(mRkBYoe$(WHl`KMLgqCvRjQ`ZS}ke39}qfNDy({r>R%fi3Vz z;t*7ghK5H*LP_N*r-Y(PXjq9jR-P>@gX!lL75$J*!X0|5r<{i2^j{#KL0;Z8+h<=oe=h*i}(0`6e^)2U}A;#djO!HFn-Q%c0Ok_<{m&tE=m0T&g6 zV~Il|3<)Ja|W`J)mVP#6X%6lNw0g#{;U0~9y|3vMw) zw6F`F;M8>i>M5b15gpkSO5|FA;WP@l5&&n$1~A|%69!y0!;1mP zt~H-Vp->i?sEd}+pwrI5**O$ZFC!Hji(C;ny(AFqjFX;zs#0!g^+#bLAF;N#(^!b@ z7bXf5`J{19d!tZX(`zUc_w)%Xw5>&KHKB3s9_lNMM)-$F{(Wx?&EwhW!cqs9)J}L30`b~H zm{$rfxD7mcR#zx}r2G;{Ul^uv%rPMOh0Dy+V$^(Y0b_5XD;AUSmZ-DxLfB*`_!ebR z%UR3G{=P#*bGGuc zjIyQyg&u^i3p+M;E$ytp3N4sPGW>#qz?9p;^ivbxbH>rn-^E+B`etZ#$t$VWdS8fpI+e`yoG)Uk?PB7ZZx%Q{V>=xLH4+;FQHgKh$eG z!q5JMEAz$OIGPl+4yRO71m$Br5H-uYAgf6;TJC@Ev*`;Tg`nBHrWS`2fUx+f@Z0oA z*F?$e0PV6#vVcKZzbkFN)k*K@lu>r=c3=VBvgR2}HL1V6#Sji7!+R6Cbu+1tmCuY%Vz+Gs;_fMfT5dd^$2(Fn(o-3h zi+dzjwevIV-lT^pCrJ8vIjbB)TRT{)=>zf$P=$>+?x~*(OOzA>?~L`{ujgdmOi= zp9rRl^BYj)G7H|9!80%Q1uT@95kz813R)#0?^*H+0=DA3Y3j5e&8psZU(UL=<^054$h$8nRcj}1%jXaKUuPAYGLC{IZ8+} zWlS+>=n=5o$yjpXvpyPRMFUTU$Zkk8eiI5#(lGh_1MQHs53?#@UNAIBF#5*$iR}fD z-C!kBOh%55ZlYC5lt{>SmC?H{)1SAP>ny`A!E)_0>dQs_iy{8FhT8<2SUy{cjb8$* zwPt#B>+*arE!F(X5%Kic_}`Mv{~Qzl>mZCQ{6CB}PbHfzZvPx$?a|jVLHYLL6HeiWy0x z&XrLl*z_q}BPV-&JvO_9cOHa4y_;Z=VX%LIhfm@uJ5RDW{wyb!<^s->c@>QF({9s1 zT{=V2iYR2?L)uE%c0XI6Nbpr~wFZOvtHex}?}fI3U_~=jvS!i{quwg>5C^r(l_sU$ zcC2wXwx$2IJ1*shJtz_ydsI;~-M2;75ajB{I@sp*nd5O&SH^8sIVn*xz!B?T(srmohH|D2`ti<1?jB9Dfr582I;>J8j!9c&Mq|@+>PMC-%a4^L2~y zZH^vUZDyL@3*a6iH|lh2wOQz?8dbs0`g;$Rqmz32Uym^FUbg?D+b?LNckIh;&WS=<3% zD@iTJ+0mDkp8@p!R!^-TLNn|5-Ygcb!G5uEe2(he#wMx#tE3;{Uy^~~VPC825t(4c zo)y1HQ5gQGh}e9V2G&bKHml2(%WT;|hWF)Kp@DTLe1|)x1zS>aAJIsSa`%f(=BLLJ z(MUQ1oeEk1`weN%`&26aoga=kFi$XU(=`a3yi}I?Q1wLg3b2YlZ`m`YBj_AD$-G<7 ze9v1i!d2~~4Ga1$o%HjY=f8o#Oo^hxUpxz9MO!h#Zu~Y}a?RW2rVnETL(C<|E#g^$ za8>o1D^Z`E{&gXDn{*PFN$vo>cvn6X)McdzBhEC-@Wh1-oU8f|wfOZuWwv2o$VUny^ z4m57&5Zb(0q$#RWSD!-O+gBar@;%oWWDZ?<%<|GrFfGFCX*NFB=ZpPpK{Db!6k493 zENB0^FA)2J7k;G2Yi+~W?>**RSoxi6t2Qf5nK5!Jq%%3&<}Q#(mHTEgGwikHMRrBI zyfX@E{x)WFkwL48yxei}_|}m1@&k>REa= zc(pAddDp3JVCd_fn4&@0w40NA9D#Bp;Rgx;DhF;%x+IKE(4v^)m>ioW=SB$Ri3gBH=T&(ikXeUQ-i;o}AYtNeYdfRe^Z@avp} z5#gp5YpN2NO}QFHnlJ9Jq{o{;7?G^?LI$Nx@D~f$OwUv;)h^QW2D}oD>u0HM+B$or0AfxRb#vHy z-PA@cs}oe(+E2{JC2O9XTmE5c@jD8a9!#=dm9_{;ZJ#;B6cAD}u=4c|pSy)dWRjS9 z#j_B%<^Y8CEe=jnN_Y*cI-a{};r?1A;@ytT3JKZ8Qc^i}Bll_h*HzpLyb4BcDLDm2 zZ(2Xxw0x4*|7B_ylj!-bNrsKRm?4Ie9jP4G6)FWxN{%) zW}UfcA3Hqz1N+Nmk@j_^`1+gXKWQf(#rDbuV)pvR!cv?6=rg0oFcGatc__*SyJCWaMEGaE)l>wR8=u ztR7lX&8}(c9|dz~MAfW|D|#`+rFFtGTNs!^I_8LlrSgefGDg45GRpzGpw|NmAAX%x z`E@2XO-FCb(zzE0pVFXWu+0C<*A-w2yjTTW&N>wIeaBXoCr*>ZF*3UE|t&>XY2e+&us|QbD zx47ZEkA@bp*>eJl5)K|qA`+wkhf?s)%Msknvg=mQ+Zi0vw++7UDncUZ)GG!i;3yXN^H z$3!G_mfm@oR02+IGjuXQt9I)6$Vh&PdR*3c-)Sx%m(bYzna9gvQMGi3+&0%Ju!>$i zy|u?-{*j}ovgH_`&n~fd>R8zt3ovsEd1qCwfuFyKwQPNx`85rw-AeGN{X((|YKLbI z&P(68CgQ9b+6zqkz_Z4yjE}}OJ!*zV@o%VVy9X|1o72~{zJ2GItsVhBED%VUyL$zW z&40%Oa@ z;xBGw!C;F^)v#spJlS1~33rY=7{v4`#cz7iua@A@KSR-J#3_NSFNROn9qR6~kY0ev zNy~WJ_TyV939s;^bWt65uk;qsF)nT7>enztsnf?JuH=&Ryt;LGlYo@d(9S0+rhAbA zu#4&$TPA!*e_1>0=og$36TkGRw04);vz}DuqGHlG8n_nC;*;HQ{b9L?1B13_aoMMD ztC*M7^xR|f5ropw*^B~+q7uehzJs2DNU%w7Z~y9dL{AA7l}K$-A*Pj3RtZfjkxU(k zDiKbJf?k!Gf9HeyBaO502O>)*3In=TvKgQ-*r8h`BxanjAa{rIHXa^6egQ$D({LTc zx>D^_SV;qgmGEaWETrihftB}-pm$|(Fa&oH-NAt$A_IrPJK>NWVV)XSHp7T;I5t8q zpFE9$vdVHKApEaz@S2*x1eI@ak%sRkn4`0>=#L^q94ld`H^M8CL~uC9Y97EheIeJXj3s0ajS%2 z>$X1%7ZOXvtP-jz5lE*DSM%Pfni2{r5vxkXtMUO-r*S%gfm!hE48qC6!Xh~03ph|Q zye)y#O9;J6OA$y3|5E|iw6d}qZd!dK{7DbHzagcB@!znfPm^>YmBjzHie!r-d=64c zI1&MTA|ag4!L>r+LUF zN~oXwEubXI$${P89UZXK`xHy|^-rTvSV+J3z+f{JQo!*(WI`E5Gb-f(H3|oWloE<5 z;aSYg<}nH?D4_)yQm5icL_KMAfX8#{0gG6`^6~ql&>@wCyB_biVp0fkR1lsm#cRexxi04LsQ5kwn$f`bb5n})d& z0@EkwQP7|hN;%O`g46VC66WBRfc!@1zs9i=vedO0CN*B<9FZa^LP?3o1mz z{#5S4RGJ2Gy>TT^T3%_szvD=&89eE9L`1B`9$uU78WV=YdE}xGz9&-cN!qjBS_w<& zLodwxGIDNBnWuk;x?26A+J)phPr;T(GJQ%mx-S;~jeE*h{7ODXrzj`mw_3=DugkJJ zjH!Zk=z2Naxrj+DYeM|P;wDukvz(rQ5AD<|T@sF!?#N#7jP(*9M3p zmNlq8eo9c#50J8m7{Fv@5a55-`n4>8NBq`9)k#S(#uK94Tz%*HR>ZEFR>Ivyzu}L# zTO1T>YP+r)&BXT!0XNC^`5H@0mT_+qQ|vxJ3+%H}@>cTdN~T`wJ3Zch0-(*hB#-5e z=4{Tq#Z*sD-R(I>qYh5u$8{#X(_K!sjGRDC-_#^yB$s5{c!-SPJ!9u>{wIc-KGAzr zc`vG~jMz8=wpnNW&MMeyf=nFh)t@oM#k>N6>vMaN0?*9YqVVgc)$D;H6D-<+z=FtIhW@o znQX*XZ~xZ++wj(}uQx3T=|IlKz;18NIEMxC4fiUV(Rl5n$%U7zLKeK2)+GEz8Zg1e zIW1w9XnYLkTX(V#_9st<&SQVeFT?9QpKHY1_l0!>IPq2LyvFFy4@%t($x0_4KeT(j z(~)3}-yGd049K}zLEU2S(;*Kty)FuN{z}o5hIhT*&ijkDjAJ{-RFC6$c!4mXU#7AQ z&2oH>`^>%dH^g!|mt^{0uCa;LxD0kJX`z6ZSOf+4Z7sXA!$h?E%p(Rfggni~79Y^L zl?^U&fbaakuq);ZEcw4 zU6G6;hr#O`p#y%`8ngDyrPN!w-vG*o-*>MU$^~A29u`r2Is5))7XmlCmfJ5GP1%xv za%}Gd>aIL0Y`Zt{>J+!BNAno;f-2v;?O1l=`FR%4GY~L0oEFL-59Wq6>&=*2U`} z#%yl$zhseZf)nVK^62HGUTh^F3Bn26uw63n9p$s^<3CSN#w0$%nkglG_5(lNSt+_u z+r$b9V=sG7ut3=yULE%|@F5}HsHCRFwJXIdqB^01aa#v1zxW|B<%-p9AWDy4L^Kf!O`O5h#K_;Xl*|IJ;I^_3DrO5WuU1f8>V%`kDWcqXJUs zqw^<@3Iq^8mOpS*08P&S$Wei_3v5^U14ji&*Ug_eDzalC0v(%{Mxn+L=J^?!eL-du z$ZT@j?B5XRzV1g_Ef=f0DEZGh2^{nU$LL}69XsigTG8^D zV!R27(%xp+10KAA^`i6_OC1m`aB zz_+wDnnOFI=15rvO*K8QPGMY3z>X`NA}+^cU%^8dq!BL;OL(wM)|z4-pE#N_ZMn_d zv&F`UOyjTlxlLK5Z4Qjmn(u=A>7OpIl;b1N-uhxQYFJYhRr$hT7Nk ztU?;!7N^=(TaaU)hw6&@I?&T>nxf(JBV6ke|4a<6sXjVSOnl?3vqN5&S^W>Jb+y34 zp-!|X)4;oD&doJBOtu%+Dl* zW$%`1>W32C0~3v`R8%vq4C3F+46padUd-L6`kZOfiGM|8E4~p|KMcsRV(Mx;C1Wa) zpQT6n>4`cw&gdC!xe+c3aJ9s+Zfk<8uP~FN3N3u09eMhh0OzBX^w;f7|6S& zwJ|Q)8VJ1VKDlw9bhfwOQwN|%KR-OzxcGTY^(3Q}dfgyY*aR`luRE z_cngp^3@ZP*`3AMqzcyKy^&0hcP(Q^to=uC%=i_?!3&9)Dr-Eti5n@G>9S z>;onCu^XTA?=!wDtEJa`wQf51grxdfV0EPbB0&3WXemy3WuV*ZL&;fVUcQ>6=Ld`{ zLNzmjWhV<{WS9U)dhaanMVkwL$66QSDNO5XJ!mf+J-XM`p-YZ2{@6VfEU7t`U@t4C z`S?jpi>n{pb&i=?!Fp#yV6oi0qUHdVjWKB857_OdF+BUY zBxyw5j}9aa-jfRwb5@VYm@mWW&JXVS@t*H74!hXmAU;Q2vA#CI8Ef1sbmNGB3+>2N zR!JSHFFfP6<9x`%jjR2nwd4aXxDl3E^TQ;owxN%SjW0O-X>;cRIi1O)jLN~ETL+}< zT!2qN%l6sxp_L6%W)aEEFAF~jh~_p->qj>4e;gM}Dn1Kx$I_qNY{Fz<(Mq~1E#HN2 z`_j|*{YMl5jfJ?h9-AUP$TMtqi=d^*=swCxu4$HGCGFO4Ge-x zP*@BK+98RJtfHzWp|OPy?is&uWn7Ye;m`_~3WICu&Cko*$LIHdy{iA^SMqvQ*Ug7J zGBxdJ#Xh#Uaz_lfw)6Ni-8`$B`?lph)AgI#(rJYCQWXkBDu-dr~tfJKX8-Fm~cW(F; z7TekT)tm?92QptXweF>73O*-jeTG&P6&h{T^l?MT$h45`(Z?lRpdVdQ*FBF(NFk#i z+`m9UEgPCv@!<@ej{B3Q9y)Hv1dw0&YKbkDPu!+Q+%tC>jg7~&V0E2>u6(GVejded zbOwmyGGDDQk9o&8z8X3>uN76J9h2iW{fR_7C{FR^5xzP|6grppdUAT#rlhgu^B(R^ z9p}W-*9$zl&Tf@0o!tYQ;0O&{qPBGc@G07k+*Uq&Pt$gX#IkX8{k(9_S2DVnln8Tc=`RrF!QeZ{?zP_xRGh);QaP6VAnBnc|7`4 z`f>J43PzBLg@2yG;N#?MQB$fl$F^JM^9nNau61&v7f+WKZlvw&*r;kV$WJjhQ(6TIm@! z9}xb2LbR}l$0az>xtdHSZ^9zwVLn=|{x%&JhVH`KH$#QgX*;WEa+T{-IQ+6lz0d~zp* zl$S*8e3IUD(HS}wOeeghVjNy40ZydS`cLK#7$5g`4wytlQObD*2q@L+2GY@o=fC-+ z=>V3|sW_DkoN}t}IL4Mt5?{EZW^V7EnO#sky8*banG}GEwz1DsB4Uc>*3RkGqcg;S zRq#es_4@%74jcc~;Kvjo@eSsAmIrq{aw@6))u?%M-t3;xq8Zg-&Mdy*(Fps-L+$+d z=lbzGquR8I8wXTO7Oy`YUUW_>Z(BSs0yUJ7?;spf9ZD!sn$z&Nu@(aIv@=oQlu7>W zMG1WZjf|}jH6etOzh#sc!;ntzQyvN1yrB&xf+7==a*Giir2&lk_D7wqM(TH?cP0u| z2Q_{5u-+gA+2n6GN`Dk+Mi5dfgh((avkTJ7zbWOZrV;{5Lg+~eYZK;vBau2(aHV0h z_xbY|kafKXN2YFuj+79V{l=8wx2h89dcSlUeGb*6tZZ^}3JO?A-}kLsz8Hi`8nBQ3 z?>28wFaQ4$`VH^spG2%W$6lhB+JZtpd?y^;uag#t>H6x?Xo>5Z6E${;#QL`f+pCl5FB_8lu| zQHf|NF_GYJNBAtKOw<`?SO}_wl2v%#;1dh|P)`jikxp+|nQ+W3e`rw&r|fAcfjpH} z8lX^l@PT~zVg+!sOUwEotsI2rl&9{Lr#;_D!}r+a^bf-A{iEa43>_3wXmE{p&@fOK z27k#Z;VpJE3LT0mp~m&EmUlcnLSj;0Ug$c&2kl@HpUU65N&^%rR8~TKc~w*#c6y(x zDj~dVhLXx@6x3AuLro=|%;4LDG=3v$O6bjrl#PvpWAyI;t2kKk@nokyu<$$xQ7u19 zO-)U2YHDpYrauG!=8$S{Mxs@|lo_U2QA1@iw~ z4}ez$cm;%nRFEqH8YV&L&|KlIEhf~D8U-2ipoNB-m|-AlWkbE`4m6a|^a(x+b!Ga5 z5QP#(^3+ix)1GkDf8&=ZPvz5x|5fJsFXo&l=qEtw^cf{+e*mPOzmaE&(KPzMorc3v zlm`DA<$s-td;Xi+^FL?sVB4rXX<46z^Z~X+N$SQKeV61D4C<3uxi9i1S$Q^(u|bm< zgL*u-hI(qUhm;!{2MbOkcC2i9Rx6w8GQ-5=+az$lywDn*-+xXhrX^)T{GgGzwsXN^ zIf6$*DxO08RecBepq3=#Uu-|i>V2i#lz4|!6(=}_hHdqyvUO~v*Iw%^pb{{r56r_( zCb}(07hmZvtmN+WTvrZTc_!Ce2Oq1Z1dy%lU#HH@48vSjy;sn<&nvZK_x3SL{zKcH z?ZlE)&OT6N-AIgmjk#|2)}H(p@8c{c25Q6W*#Ucb_jPC1m}kENTl7~qAB`70KYVyU zzGBlSP(E$N%-kuPOTLem7exJ=fUABN_ zSjh;=ziGD{`Dib=bFrNhX?0Jx8}8hzuAmx6XOzhy?TL5_-dXY|r^q;AM88J+Ibe$u zM_QN-efJlN+sMA{KA$?)0^YQTWj_dRyQo_bXS+)vt&p0|XdmpTA`4ikY}(WTYJMZh7|hN^brw;x)r_JsuGYt#ROZ=>+3d zdrFMowXY0rF_tXW@wfGip0<4S4`0d3XBTDxQbTs<+s&rYmUpOj&xKj1(KX>dOz@;& zVAAOyrj=Acf!HfD2fu7aa78(%=M}u=n+`}^(RCxA5=!4r(5>zNxdAHX1ROVg^74k6 z?-ub#kd>-;{-P-4OF>&T+vX}()C&S#ZgT7;dm$qJWJ&i)-W55$EIaYVVKp;f{>Hsy zIH5!ZWNij<@?~6Rxx_=i_*1&wJ#aAFzRWFdz;Rv9#aI_Y%a9>gk#1D+-RI4z)e91a z#~XE9&h4!+pSWMVUdV}dQv?>z-hb*j1FhDNQ&!P<{AF!7x&(%Gn$+GN8U^Q_JR1OI z@5~J7h|g$^+Av&PjCm-|mwDogCm)ejAsM_*xEQbqE?M8i@cjAZK9?a!`CmJC3j z8uas4%RZ2Lc)(4?isrZ|=(kxN(Ikdz{{o&HEM`l+ZHjp#yf0o?K&9XKF@oT1;0azc z7xpYkBwF~;J3uf0&~?MQfspxWmE<7#cyf==L@)E|9`T2I&HGR2&Qb#IC_D|JtCL<& zndLu!SJpmr-_OzDhe++-QzzjWQjX#_aFgG7Zyd8wh54OJi!Lc;>W;eQJ(qbg%QJLg zpQF})6ansA`QF^PbF@F7&UCijq?pFIIAd36E7Cyxrh>3Yyr%%1T-pEW{q)V)KD}%Z z3+uWb}+W8#`&AKgjuocVGoPn!KGbXf4|$+mxAjhA`$-lt+=swot>x;Cp2|&tQPHkMVgN@POm#>dNumI2#$J$zZbFVN*7* z;=V@LKn(QSG8%T-HSlodfl2bY9$ho#^4^i^r8|_(`VP)%pXTg6u3%~AFl+;h?StKV zlG;vBEUHcaGR@MqUoQrVNUHNpJ$Nt~?0Rp2x82QjQ;?6V|~=HwK95h6)S_muc_t zzGJvrzW#36uZErXpima8-_zvLL#TSS*PvHNe{z)GaLO5x7Tfi<1e*Js4LeVR&tKU(Ty;(DjF(v- zD#G(o8NsT3Pg>5csREePLi7sv^X#XKL+}OTI|Mo(eSbZ|5fV#*E`Z}bF`5TrUrCt; zpbPYVwkevOsI1#tH}n(`!}Il_P{cf1j>dNdjk_15T(G_-^Uxh~l*oBh8tUC4$Dv?+ z#H@9PG(nlZ9=szTJX6|%S}4Cr;8GVFR$`V(BSz?O$mrzYjkVcrkPq1N8NZ9ZZ?sjZ zR~#^ok6LaI=-Kljh~g13xGL!^LiP?w8YLak$D}_~P#hhao*?F0P(xh0Y7xga? zeR9(n=v|##SHx~p3CGdxaJXLmhUP%+)&=nzNvuAGbz61PP!M53UZ=P7bE>`6Y<8F# zZJ=nN*oN0#HBNG+T;YRu-8b;qcbulRk~&6d`}6%~+*?a>QyBuqD}h!fF?SBi6ViLY zj^E=~=GD6lRt@I`ztf0wZo8BSJ}>C9RaEL2zpT{Z1}O zv&3SE;*%yZ{Kc@b{W&mb!_;55Kb(Dl655HRxYJ@k-7Gaow9Ap4#6_NgmZiul6se#k<@Cd*W5- zHq#}C0F#KjwhCW2ZR#9`z75M4S=IS3y15b^+@Y7IDBmZyp+Jv?(?!k8Pg5S8*wCLh zAx?5YK&&5Nn4Qc4HEA@F&$azU5Z24~>W}U)|>d8s=_goy&^$iMjLiSEZF-*EU@WE%pDl zP&+8s=g~tN4Qw`;+*bL*R+pcKdtXirGvJAolu4W=Q5=k(ui zYMKi;4X$Ev2w2(#4@~h~z9KFu?briWcF_oFId#qaGIJ}pj!tk%xm8Sks%qc#ppi53 zcFz%ki%c9MvUfBLy`y4q1nz2?yWYmP%O?pa^pm(1 z4I8k}k?TCJ6CIf%z7pE@$qR^n-nl@3BjkmTrppGmnr`M9Ew9M(&)6dJ+Rp|kuPLem zeY=d>hSu+B=P!xLsM|PtKTIsEU)d!hqh-0#KBesu1Ky5r?cwr?-Es7JnAc;Lnpri2 z8M><;;pYzw$HCBFA(~LUDBloF|gY)vqQuepNH^Q`@ zUZ$_sK2aY@C=A_w13u$ikuh=%Nza}?7EpHx0>iA_-T`5`ZSOWOsp&Xpy&A?349gU~ zXO6|=8CLn_7c~g|+N?BbGE%#`{pE(!tM4}wH13By&U-PoaIEoYT-wXN|ajYLiK4ZC)TDHgYpxjmALvU^QPc9pewBm*~$@UYV=Tfv`=n=EW^`d!; zsGVA9^fQ56xUzy)i?X_Z{Pb>ol% zFiA)wsc4-!x<$do=lZ(7fte(Yl{W>CQ77kKzJu^x9 z)HgGqkBccSaPNcW`DidLV#{?|7QtlY|$`311Ac0V*cHX)g+0(nwH6n^7`cPv0m?QVSTHI{5@Y8$UR{D1Teo#iw>2 zhRL}2ghUs14jTl7rFrhe1~zV^XmxpmgzY_5^!31c#i3N7Jd~>#ES?DPiw-CJMmjZ^$l@3?bNln~+v=s-ygEO?m1~NqVZHY-(=p zLX?!h`@WY9pf4q)M2M0SiOzwbG82XR-i$)6`J=Gbk@^AS+99)qB2p+4K)S6C4#`fn zmA}a)6jvIckY;e$2o3A(RMr%{Nq5^|*5$h|uTDAZj90V+W} zsjRFFd*NX>yy`ulB0^1VXXsanxK%=trH`)x3im%c%K+cZvteObr=dE~t`d$>45t!A zo%s^!Coc8>D+j#!w_zo8tb|pC6e21`JUXG{6m)Zhl1tP?vH>N+G7*|NJ3qg)dTLmS z;7j~dx#ctpg>?=oqGl9|7=k$%3XU{`Bkk}Ax`j>Q)YO3fAFU;TT1(06u%uuoxbzK( zE=|l3fOkqRkv?!Zjw2iu<%Ddwvp)*u0`HK)oE_Iw$I88V z!9*Qwr2QNAf1kyJUGD5$h~g5`%4rm|s5F4_Rm~{OzYHo-R}4@939y24ONeg8#jioJ zr7P{(ySmPILDL0@E1`fHDwrXu>_7E`Ma0X^Ft-YhvV*+cyT~rw zga6ylgxX63D7}Q!5gh-t0UizW45XJ#FtrL6)G)JgoTi>5(&ck-b5&0*7k`VxT_}4-G|Uk5|L%cDwj0_mzu`%V+(r6iV9gstXlQOo&9jA+Na*l!0o07YPmD*wjj#IhILQs0Mz75piZ>u=etxf;%tV`s}Z{)m%g0 z9P3DT&CHd0B@ARL9t0`8RH}4z`?>aNj1tW@)1F;H=}R1+IkvDSEBY8H=J}{97*(QX zsNj)v$LxWs=P}8>+^1d)oaZn;c>uS3P%7}^=Fdt#g~+AtVE@*I+?R7{5}a z^79F8)xYwsG;ZE5HDzCEdZG^Ud9-n^*2tE!$Kq8f65(jm9ro)uVck5`BNP3YV?K=y zum)JBMw8E_<&IDa<6+mFW6B;N86aKp_U|}0HmMji1O;ul&(AgK=WHx=1RP#%XMb8( z)=%7hjNKiQZGDnOngV+9PTaS05AIacnBu4?MdJ?qLfxt0wDO3ix5=Bf$-NG&Tii51 zlT;Ev`;|%QMZJnnt9*Wks#OBxUOfAyb8ga8KySnCXW%5Z#&eu?r@jRjI}Orzs`s%C z*6f0J@5@@#@&o4|9d>KV)9)y$7wjI_4B3vl+AMf-sZDo>Fdg51D|HBlR%PsS$8^8d zn+xCdQLFMZesLD>iq?56C)6z&jOP>hz{|-q^!V5FvOz-!0|&b&JTKKAJhA8Xx*mta z^;N}rB$K(*ZfSLO5fI_TUD_DvBfNxjBM;rs;BvG z__vLUVn4`r7=L#TqDKJtLiU-@k6oNem65QDTHNqw5p%U;*f zN6Ns(H=54ZbuyfZ1SIAK-E0^_zTFrx@b;_Ro;}xn<;)#YFhJU8ip!Y7M<|^~JZgXJ z)G@O4f^qR#0siI1DY5!d3E+o!wT&&2lljcFN#596m1+Q)y(xI`{25cUl@VRl0@bB$*YOo1I69p|hq-$fdziJKmuTD)sk?1YrHu(#!n} zK_3eWg8d2>V)Y{oF3uc9_mso2!}fSj3p3EkO|8Uk;XV_L9vQyU^2v;kpLFD7`v~nB zE9#yBdH!{P)GrhizHzmQ0Y9nSkA1P2dZ?z3 z7M4frwrcI_++E*t;v9Xnll3aZ4F)dPk}lqU$q+#E`R$CCpoqX&Ky;M+NbuF|^kBPJ z8A}7eqwrRNN&^bkzk;h9Nj)vpwHc=~|& zM+V+Woj!t^H+syN3hPlj=ZXV;CmVP_;S1`wX6JWN8wFgF3bWYo4l}JS{hCu29Bc9@ zB+;wU=;(Z?%A^0!+2;Q%d(zv=q zNY`y_uGN0Uo90OFIj{=Mjaj7ZiwO|MQjg7P&$!cXYetm&D7L&)T_HI1&O8{vF1uEf z#QhOi{4!w+OQFeJgqk!@%a3VHQBoDypkjn|S*vX}(hQ+r0cS+wC-6^MI*o+{Rxl zPTUk=vXQ#2DSU2bcJ+N-uzw5XkF)vntlb1s<7=PN2oBW8z@<$8>>|~>{zW0lPl{4w zPksbEI!a}_m@U(IpJD5#;~nsM8E0v`n^dgv0XLeQ&U?MNlVzY`-1Q2&&6NZQkCyM}6xcvX_2N#HeWC zGQSjGQ)2|fGO;=P!2d(td>_KmNZjdu9|NHxLL)sLye<|J_uQ>dmZuC=I&-vcif$n zaedwx=UnlhX+?SculIN@o_(crAO?Ww-#YZ>K41VdHBy=x7JNp zGa|aEt_j*_Kd&l07`6<$sq6K)mJkfj-S$tRly)RMF|`eY0Y(LH{k9-p39S-*T&SK z;5$_krr;f2lihgomGhiDMsHJ!9KwdmbN=k)8Tm?9G^ zCXZ+=oK|+}gzYo7F^K>NpMZFJLFMcZeoZ|ypTNio*eQKW{JvXk;g3t4x;c%YZ(>zg z+1R{fFxlBN{UR+>R>Qj4E~A*6cYhL#wkP@>;8h(>c11?hI`Z>8(c|ZYM|Y?erVn^T zQaiNmeD0b)>I8E_4}4(Bj{963u|Z*_H&Cv z|Kre7_RQTonK%`(`C`He)e>GT>I=N4U~ZFnZ*=mUlxln~vq6r_J|Wu;h2-aqs$cH8 zYAx91Vu0xLJ^?j#otK$!JPJ)%Stk*3a0{$i#N*%+3hNptydVzl>6zy=l3daXj!*0C zUd6%Z6<1OZifNX(ts4Dql!EaFkTxB$`%eQmXbn>oI?{R>vyMJs( zZhhb2E;@mLc*e*on~D~&_WaP^GZ;Vi4gcZ$&+WY86YJZY>xY*)v|rDN3(;IY0I@H7 zL|g*PClh8B%R6Ro3O1rs-Pn<-A0cA4-{TOjRR`OmkFMPlx^qd~o=g1RF0Q#Xw#ZQQa<@VpS~5*MF}o?UEZ z-S;&nPMIelDtY7^Cb^t?cth_RrDar3QO{3=T~J!d_E|yKDX*e7NSXe*Ws`?~>AK?m z%y$)IL#e>6BU1<;gCys zMZ5-O+=7Y4(?Yi&=Dw@x=>JZ_rWNw+dF?3dPgmAUs%vToJ~R)T2R0=d#t5Gr4UsiD za8NXvmC^`F&n!1OE_vs20{__b_3N(XEoHM*ocG2zl;dkT-w>Qju$Oq8HMV!k&IzP- zexZ?q>w?me&pNK%ipgympJL+;%*dNrS~();@(%ⅇ(3Cyr^s$Sz2W+!avXca^d3Y z4cA3$hc+rpUd+TTa24yO%=ZWJZU)6@JmN|2#)ns~DPndgIp8ySGm)khod9ENyGN;S z@u~TIo~Bj~tnWXH%V_POq~=pLFm(vp)v^W|l>|gGHm(;#Z`=}AD=6s}%m1>+El?fI zCe#5U^N02Ur>n1dPw2#iiKBD62%NDw|r`+F)Ac;^Gpljyc1XsG9G8J>L*fZf}$Q zF@4V5rg8R0)w06AMgiM1@sCK_ygFDx#t) zQ8AS&kVk6SJ2_#xz`pNC5RszlbIzcow-1Uz`NFCF;O3u&AOVI5CiJ=oJvKy0f`gxg zN4`GORKk2p_z!g!a`8_>Wm$P;^=BAQc~+f+f{^|wQxXD~@ZnjZNaW17dIbEVt2`?Y zT3T9OU&lL(raa4~gu#@gcxT-?XDreXAw9#9mo8oAgW5_F)DVTIBL*iHhnobvFIkTu zQgD+tM3CEA2$l?L$QmL<@S>r#(gvlgw1@GO@R9gOP>G_EXYrh#iAgXP^^ckoy1%pi zp_&p!$6mraIq*zhy+TD)LM(|Id9X+alHxyTn zjlp2fnc2Nl%u@sKTzQsNiBCYmbpxK`nV=FC zQ+Xz*gm6+&R8-Q?=*$U@!jotZO;D$33MVmxo4G&Cr<_3$#L^$3{zp=2YwP6f77rca z&;WP1lS&Vm&V02EWA8ms=KD?mH=@$o4dye@1ysHtRNWMaZVNh;xtEdEeX32)ip zEqm6V!m5Ar6*!@E^ybZ5{7}{m11@*ln$2goe0xXiCAE5l76j-$(~t{=%V!e=(P zsxaLu*nL$C&jUc=Mp51vNp#%l`-E3Hv^KW>apZ)jxeRtu3b}S%h8k$k=>Ir69)Ekt z@zdw7Ykeu-4ZYys{|AOAG#mxbR$JXc^v)IWB_{{crmvm-8z~t+bjIyy%)vU?AucnQ zXx&tQ0`%#xM3oT%pMMT>kh2$4`K8J(2RoyZp+w8M<`V zuO+z+4(Ujy^eL`wP!E3RQPs=`SEspjJeTqV2EjO8kJ&~buA_b)i5MH z197Q(?2U_j%S;N&q$*!9>pSS0cx06$-m*WWL=Oi7^dn!~k2w`{3sNvYb0|CVA`jYS*S81PDkzuh^bZt4k$}Fj zoU`HbPygsl`pu9jJC0Thym+qF!zbLsjyCHF;N8JX4LuWiZ6oIE0i@S)h)KWd5|f;i z#L}@b?6%N%dI7G>8mH;+@*b^~9^?VTnG4?I7ULnYzXLF0#jt))8E=4rOC7;4Bzlj# z!J@3E#KxVt?ftK2RUg>crM!xR!(4(ul|#dnkRl1+U0t5=thZMjcL~=@$O>P)@Yv7| zUr|-72jI0~5y{sm^NnczjF|VXlqH%!2Gf}~hm%=jmxugHo57>4prQ?83PCiN^)xo! z&QD~HoW9bt-E{V!r+L$AzQlqCt8-fT;} z<>O4d&lq_DY^kD~1kP`35|I=>?hKY6C7(5D*Dk$tz_CS=w^Ohp22`us3FvpM(zCK1 zE?;cwu-*5!_?dE?a;X^zUAB4ZWekcN5)64K+N`>k(_AhRg-~2v^l*ENyl|%Psu*mv z>ly*oNbO4(VY1Hyblc+_yI1O-`mJY6Z?NF4G00$CU)5^>!|!Tt9uKv$zGxKZqxp<| zZnxepp>Z!aZepOn5pYo_gYEsLbDjJjvxJI2Qf7+q_|81hWkpj)`#KdvW+B@*kqP2b zir-%EQDpg5XR~!-=hh3|X7S9a^Qrd#o^6>hDr-rpGVR=&PrF^{yo zUU|;a^Yh(IW6GWP21cCA%jcHkR>@9AdcW7W%+6ZQ*5Vg=Ui*>N3Z686bq4As>sLiO z2rSe5tObV(qHO0+tgP&d6R@uSOuhSCrQc6iQ}{THN9UljL?0h zatrUPZ>!{&faeiO?T&n^iG(R!8vx=~@+CWFF}KT` zqaqG;HBE>TJw>E-Tyc-0_z!qm`@hg|Wm=SbeN`RNFJMlw4p)&Z?m+NG83tQ+xU#}| z|BFQPY=P;Ifb+k+CjM6eCw!GIRQ=~w`hTrYd7h%N{lRl+@UP|nIkR&fsyE>W(gk;7 zKVhQ!-!LJ7Hj=+$LcqojL)8C*OTitKZ{#ny6j-5FX8(dq0jyE^D=r0Z3JU*oacX z+j&Cpan)0tDTf>*Ag@YWVoz!GoU#L8%oE=iGhUs#;HoY4yyp&Y+lXDQu9OkgW6bnd ziWqwZ0QcO*SHlBRc+2y5-5Yh8Rv*;_b{S8!lUwAmgnNi)=m3phfdO~$WQd4+l;s3y zZZ$40wX`9u$gTMb7 zxZRZ7K2P=_`(t|U5s@tK&&JoZPj#PNHs{Oc$x#k@o&x*|APn^+=Kms4-kf8!<1bA} z!dCbr{C>09$Gf4}9uW|CQsll*i+uL<)|-dhaVMi6c->mB*s{#|CXN?dT0YDM9c5n% zk;2J&0hzdKqn~{J+M~VNijnDY<1D5sOB)L&Aapo;@o>A<3AKmclca>=`*ChZGRLwe?!f3kCmhmaMg9b3mnh4tFAN$M z8PmOggG=#!G_P}gReo1w&LxtJI_gC{CzlDimLEV(%)t3}-5dDJu3r*iOY$X>x=B)E zDtqoZz4{W~RejoSqh*eZBX=SJws}{wRd|s|eyzc3oVJ??afFS((t{9^m}vh--9V%c z^!e*KZ=^`E?sGKCd+3{t(m^u``iUAh}`N-I|Bx80oA$^(f>YX(j& z@Ng3%{06MV?mb_)_872DJuxCoGCrx?xVCWlqfq9M%>yzW?ppu%toqW=GsWcrj@7&6 zUMhB5(>G(s8d>mOMKX-8t*{ulJwY0``;@+?^-;aV|;j_oIw_3li>L*cU&2 zg)<`mvX1KfT_GUxW}TtxT#VISKB|_}Vd9@hyL;-2EUZ2j$E2=l=!za-av{pzA=!yg zN%W-3p}%|nS*Jz53gYYZb3RjGv5HF)6jnHI*m&-Lp^rh%Uw2Hgud2(~$BiAb+KI5X ziTdP74+3uZzG*J4$P-_pS6B(aA?8>&(o!87RvBcX59o91x(nD}z3OPYFh5Osghz~- z$2c`5_*#Qtd`CJ=(X=-FbD9Pa?rn3!i$FNYZb?Q#`-EmNtR5No_`bY*wZ|dlw{#ZJ zn(G#IdV{eg%scvIIyjKHLS?Eyb?tI@^jm-7uUD2{0Ph{jZOK%oy4lxd2&OaB^;|MC zL6-7`*GC?l?0pmL{Q%<@J6*-e9s}0hYTR^N9;s9UB`@+q2isEq zxwcns!s)+OfJ=B)CUZ3}&MhxOf1ek^>n9?H>2IySI3yMExK1zJegHH&r}yid*v6VZ zXs}&3i``H(o0Bw6ZkXMbyW5XV#cvB-DiW$Gg>UHwJ{3>AW?SA}-a3y%27@j?wREg` zgeT{M4gyBuoTc60_$0Ji9zGqzlQjG?T3#WgU9>!M_fyK+!T5FG@Wsp5HPRFw-*WJ7 z7)yKEJDh~;pWl2wKJS>kHyKQChYm10(@M&zdUF+hT_N<3I(&V5&iYCA>2>Y!l$Yh8 zwet(zH9@DG;ss;Rz~M1WoNF3BIfYa6Kd%X>=z9j=01}$oekr*PxL&@I89&!<1cc|l z8<@kSp}mq!+PkD%c^(jX1y6#jTqjr=qgxj>^gMforGj7f{^H)FF`uLC?CA$1@y{|k z2TM+GNNI;;mDcv%wR4JW?!u>1*YyYpPkvVonzyiS_ALFr%B5xD^>y>Ah=l9_v$*FN zyDhhfk>#^hCXkYyKwzu#g8hv_Ou>k@6SNO9pQ`^{pQ1p(Dp-ReM0lzS>sC!iM-HAr?i^#})cd6;ZrJRxx5jwlTz3WW!A!LB}gh9!@ma+_&L~kEY zXzx5Z$HS%?I)g(j4ehYz<%gM|{M;R%(1Y`Ark0s+(WP`8B1gWjZ|-8!>6rPZG#$v^ z1BTC9hqW4Lc!Iz5QV73XAb%lawbUHPDIazI@^Jq9DKtQRQ{Hzl=!nLB!Y=duHpkrJ zmD`pPQrdoZ)O=Y4+edNDvQBL2y4J?u(+1 zS^DQ)(yRR9ZiV{2qugSyZfvH?T@T)+_VIwpSKw}vjP2se-UXGzr?b7>PyO190|H0| zwI5nLgpSh#wmViXk9_kE*ti5uBC8Jxh_B0;CY65aHL-n?Thc>t9$XPIFtW|=U&f{~ zFa9*KB5vS!M8G09%FGuc;)c#|+X8%e8I+_VsJz8}QYt-ZLkH>Z}?c1Yh}689=7ZJ)#?VwLlbOUrz>d`Jp_gpU5g7KN^XrAI96?)gw# zKfPo@?~_pU{Wl&plR!ZoV9$4eaWKL6v_T;QxBSA%UEmg9h;BRScXn?Ihv3b42i9VmJv2 z1aVj>SV?-`9|5SM?+Y-i@{jW!>L)2F>FBTALPb@=oJui?3n=9z%Kv@l`&LjeF@yh~ z!&9(_n**HD5pGU12vkm@q@FJI2=2eiNp}e4V8=NuMtkJt=jWID9K|ZL|4~n#xxQb$ z!aIYMd1ddrdmvCmMO30jzagsY6V5r91*MZvYI?>fQ7M(9KC$529NM3LZxoa~lTMx$ z=irmUT6r$0nZ$vz$upNXJeU`5Hw_Vl&mVf5Aqk2oKN})w4Gl&D*ia$b)-|*6=o1!{C_^p@d)(Jxj>6W~ zxqtFq{UPZ$grpzd(!yy?>c9uQ3VK}yD(M~IFh<61v)A9goG#v;c3vu;3Fh#(2{gGt*i=*X5yfEiZ|^jwO=C#)3A-xe;JH+TYvA zU=uGKO2m~?d-dLzx)(vlvKwnU#yb9f95q~EF5rc83X9Y)-n-Y{@;-bO%w#~LNvN^t zGdR^pKA7z%11cm5R*80UbL#R&XO~P1bsq;e1|Zd~eL|IHWef#*uYsI(-Y`*LDKkd| zr^F{aQLIN_J#F(-IdS~P2=^(dCKkb~;BTgcS}N#*I#Cavz0+V2sJDBfAVJZ!Wg*Bn)vi*qqULc7-@B(AYbS4&5 zGM)@7y2PB9O1Shfe@8pW{R!HNJGPSsH$!zi?Lyix5NBZ0Y*vmkyunt*u%9n{Wy9Ye zd(bIpMnZP!P;Fjh5uAHPX!s&g5)`@Z;8)f3I^C({8^Pq<%`Fq#po#|VP2iU_`nvK8 zm)g%||CMU2R`NIMtp6MMd zv6aiUb~b8&;rv$G#{_xdFSuOV^PDT)qQ7UIV6$d<`s_;uWYtjI1#wj>-$-yBT`(;Q z91lsOZ5nQBkABvE)l(kPEv7{DtpZ@oJ4w#1@%;X7FS|PbgjY;zkugNqWWkJsG<@e` zRj?DtCHYdQ$E@X^elyG(_x-vaXYDtPK6&AfJk(VYQ3WQNfH87-)#MFR)Q|7xt=p0U zd2TxTMmLV_=hfF<0pTNnM|oLo+6 za%cyJf4ALlYgs10eqdefoj=e`sooSByU|B!s~dEy3u|pEoe*E65&P>(7cD3&W9}@>Qv$ z^(tNX_|;32u#i<&&%?nJ*Mmdl3f{R^#bOdm_I(#}z*?K`Lc7|^FC zUZDgJ+@|iHXN>QeOW znOc{!C2wsVR?|?eQMv7txdYTJiGy#XI3`ZKbilYrMF(j1+Hox|h|XWgY;N|I^_K!$ z<3q7|LahW&Q_P==rGZ4DxP@Mq<^G`LglD*-9Ope6L(=V%5<-LgLc#kTfxzHns#~AR zkK0iLO^?}a-~1PpdG>Pszs;#WPWFd!Ho{vm=+*cuAOx^p@~?mppt=eF21)@cB>Zon z6rk!R{{~8dtepH`K`APY=C7a>z`yc;1*QKm&XpM@=qf@%%{A0+9kts)?KWq${~O~h zGwhEUrYuY6++Zni4vE6C8pfHZKTrSo=r9o%llPt`IHapJCANue#guyE&MgqO%Tm+e zBpn*`!c?%cqVglhPq0zDFxW=l`t+4FUBUT>-BB=Fu0!BQFHNd?lye-iO$#r#j})Rw^rU-Z$c-%tGsKhR3=e`D>zCw#SIDi@lzG3#n-r8Y`re)FWGL+hn9tauC7bHT;`C%pRmRAr!tsZ{H+)>-*S}nNM=vK zH42NlAqtPJlv9(5hYUa5PCkoOk2R~?5KeZ*T|7m~!Gxo2B*U`&E!TJW#T>_ZO7ZK+ zjK>F(6|zgWm|s1}@B$4K-ZQJ0Y?S#CEOZ0b>+gy}w}P^b%t&tcuk(`i<2Zn071ihR zABymb%yt@;(`Z~(HnlDsR#z&&RM0XNkk!2lI`Lbl4W6!_&kSr;X}6@cu*;^o=uRN= zK1v|nnCw$?to*}rn%qO zCfsrTf$q)H+r?>_%q!Cek5zu6+pJrG*v2O|O}Xf|BGWr&m+jRD&7De_rqEp&Izq!a zE{nMEgD9>cBT?busz#GfN>BRD9yJ%D9TG1`Sl=<=!7yWjP@Ldtwxh>QJZ>jkhgX9A zM)cYUb?9BHdz_fu{07X2>N=o$Y(B~Jq0_4eRs)#$S1zeh%1w7pE9=ncF?j2#4=1LA zM3eD|qtnOElvhM*IKDX;%DOsxWQhfyuXo8wC-{~j25k0I4T#>FTMT!UqvM|oO_Zy+ zRKBJseYfJ~fP=~cMJX6@&69t1Rj)_=-OIF;55ZJkPgsLzsVoYjEB5rn^>Y}(7mpQ& zB-oW|3&wj@sx7uf{Q9e>1}MaeB9wtJaOR88A9 zA~h2Xd^HS7De1&#=aIXoq4&@_xngVox1{X6ocohyA{qfJkT-&jr)%IHpV2fl_KQ)( z`jYnhT0wDQ?l|Eg{K|{LMc_nG-@*MH3F||TyYH(Uh1*ZZa<1KuKo^yL`sQ8Z=!z_u z`gVy&R!L3Apr8d$;$3A+4?Z~!w^6|bWwnP^pI;QO^$I=ze8c>AOTM&La;2bmR^i*;AwUc`l1f@8<^Y?R zXWZLQ)9YJ|a%zUoGmC3G?1CCP7Qog$)b~2HQUC7!Rehxne}6nj42t_qi!$3>l%F4j&m`^L_=tI1*Ev2 z9VOJyW8M;y*K+U-?mEU508Z|2YnyhDudpi{X1r*|AQ8CpveWc&+3+T=kf!0QnqDxZ zu4CmH6oVgBfk8|mZ}GnSBBR|JS^ih#xApqlRUR#nbK5WD(?({g*4(-4A{-*0znC1~ z48^n||A59h{sV^?fDhJgj~iN+exnm;>L%nBE^MC=-&eDH>A*m+LCmyjYkGr1Js%7F7-!*vXo>wy-h+VC`X z3o~c-lp@Ix*otPE;CG8n;g`}(>zn7kwYh^!Nq<{T`JrcGe&do?A$VIhvP*rHomJrk-rhu5)X zBA&ghZrmc}llOS^!#JzV3p@^sX{5R06O~%q_#5qEcEjSbSm-58FWJ%Mw9z91g#tih z_`&LWKRR`-b?UU;{UXy9dhOH}+4R1NJ#2n?vzQm4O4997NMZ10snywHhx!#MJK1{5yK7qi^jok8qt|uGn-o3@2)+*3|ihF%02li z<23MXj!!^pI3%2ynT_#l0(bN>6QQ@azZ|F_U(UNp zG4G1;A3M6h(<^Ztk621oL)#@efBcw~^0J7+eLZ^@k7Nby^#{7PsJPoUPGL!fl#jfz z>8=SkRdt>5j~M-|sGKmOdTAc#fPPGTOP^xwoII+SlG&3!jy((ERNWLc`zjilJ8{)C z1|T$TX#N-tD>QauhV&|nqNY>W+xHFVSOn+D#8hlEHXdBEBDG4(F8F+fMZ?I%{)YS< zZEoi;(U=dv2ABM@pgIyoc>*AF3WVcN^5o-xvNyxRQ3SHE5L%94e>Wj)@P^}$VY}Qr z7x!}u6b(YJ_N4zGl_VC5JVL`ZCW7-%`sAD;${_?v&-d?VhIXh7{kgKXMgXM)(6;?g zO%5p}j`%3MHZ?%0BgL{143tm1{w#44k%Ci5`$Mh+`PG>)64eMKC#R@vZHJ1Qbb_tj zsNn)F@DMkmQZ^x4^-f5F`I4vsG2n~u6LTngHarOoI0+^^2*IlVzephc5d_Z=LGU4WClrQR`$!BS0B$}CeJL}{xD>627xJ)D8*%a z7L-TAtmJUV{fkB^N_aQO^5GBHD53b7*#D{2}x zLnwxX&oOch-r<0!dL1=5;U`>%2!OeoDCjDzdjE`bYihx$No`c$6qH0789g+?f(Gr7 z&?of>28unxGl_s(WEO%%LF)S_905{D_<0Mg0ZLQ_S^BqN&HvEQ z|IN)qe^qeanjmb<=)bPm)Y-*%JKFXgb@W@cLEK&q70T$jd+NDe058TVs6=D-(|u9q zqTSQe+&&d18vPncCQCw=y2+c4)L_=X`FChytoj;JB zxtqyGGwg`2f%Aew`8)`)3-Lh3cxg>8j`FIPRYrEKmieP=T&jF7k+EkFmo2_C7u%3<86{(tx{ss2`Lue1|>gjdx&hp z_4RryaV68U`Suhh29@ZYtm(}17Vu58}Z8uViNRy&u^x7hkDZ7~NS27amX^wuS zO`b9a#O0u1;rXlB%e4t(cYN$DI7h`4aXE1A**U)?S>3#MzrFbi70g=(ON6BgR{PMoRwvk*^ zpF*F@UtzCr#F`eZe>6}{4!)i~xq(Jt!{L?v{G|aB{(z)gp~XT=>B-=cK_%aB&I#}d zZR4=i`*CJF3mLnRi$PC|CE<#O^N)&|;YFV7-?6p;OMLBx4XyW5js>&rnWoz>7ii5Q z0t962yq~iD;;XTY05>J*c@~B!6Wc$?cP>*7^2dhsDeYrb?y@S}dU3sNzX?R9m`tyP z|5|RD;^n*Kbu9C3yy@5N&37jc0^CzxJ-j;u7E`BJ<^tH$vL+&fRQBu!bfv@9Df-Iz zzoBW=_VoH(VRKNq>HjSC%r0e3rXbb#c`-%23zV06nsB-VMlYc&KV}?|rbK z!GCEVJWzM3^6S9Rvq3X8P*fSD>?aN=s}-g~$u$OsCjBYua8k&98ZL3+AaS$ywAJ?& z$vzGNXEc!YGuvwSQR-v9dG3cn=zHh_SDCv;S#+^Njy~TJ0-To`D~qMypRXgSL_gZM zZGXL}nUp8_SkdFem_W6tcoCSC&?7-ZtCzT6dO2e+r<}*AG{{7(>G!!6DW$+ETY0n zOCeI%VQwV%Sbk(M2wbM^*WwJLHbl&$>n3Tknf%PLXo^LJZ_+m263vaCOav_42g_{^ zOPDmhBabMrY){}%Tx$`;h%HoK8*XgHP38s^Yj;YvIe?EcnXJ+|CPJ5vZy&_o%*&cN zDBgdZ7Li5-UaND78dFWZqF3=6;hm=`?# z+ROzc&)t>Jvl`!yvmEB)?7)#UaU`(&vMeo2*ZpkBtu;KHrcrX8CVakyI#e&YdxCi& zKY3&@(K@&Sk5v4G=*9m#3Qp)6K)qg9Apd#QKK-BNn+yM-%^nomG(m;G{Dlhwn3VEY zE(mg7p|WiLXFdvGeEMJcD42(N=M*&=k{wp8-2W@W7#3RTS zie>&n?Y2?79n@}jhT^}W&4{>B-5GJc!ZqU=h8sGHM)J$#Bb^t13%O*a%;?W>@Pwzh5tbkd6Ekv_jPZ~Y|$)2ujHF#(|!bjkZbfiUoM1@^9gWsf^wvx}2a<{O^ z-y1E8Q6|x@`i0&=w}K6W`YzVe;Rk=dhkiD1rXSDTdQ?!(YRnn4zZM%E4A#F$e~XIk zXIdd^zWy+Kdu2@6{i>Pmn3$@oOu@|W2ruyDmudcePtx6`xpvNF88Z2#rcvL391f-{ z*7_;!Rl(Lkc(ho`sK3~HwxMpm#ch6fDx^jE-i!3iZ*C{DxZ+tVK%Gu3^!IN4HaP?F zbq`EdiR`bgQ<7A@x3zjL7b%FX6ae9@u9@hJ(BO`6A=AaKq?~|~UBPRa6G*-#>thlISj?cy3;Xn`fD>9`fzIU&%0s6Cd5PxRhM;&` z^b^yhKoLhx-h01ZEVUv3FkDOgjPI^@#8ooL0=z&-PNBDG`?*-ztdpANs2Fxn{b67;}4^kQVVr`;*Spl}+9d0goG8;oZ`{L%H0 zlfua7O(lJZ{P%-4mKz~e18z6>@CnMdYXO(y1-(Y6CkOs}j`X|9+l;cSl$V^u=Y9RD z!sS!m<;VdO$?XCG!D+2SrQfmvo_tGbF}7WTVh<38ZMAL>i_(W+vEJgM{(KPvxk?WA9@Xo@hpGI>vVNu1!fmF=EEOf<=hh`dh^OwS*5o5M}h-kdI{^P{t3n` zEgkrn_7Tf#>Mka8&Eb-$vFeW;YLAD*lOCLRO=D)F&yEG1}?Wkv_%zS}GGQK61h z_lMzru4znxcx~RGX+w>NiD(;bDl=WeW~6Uk1lxG_T1dBvGIcbr*GUTWhXAg-^X=L2h^2uPy3FFN}fKz zR4|C)5aY)WV}B$z_%bByP-5xx_Ak&MEOb#|w=Q!_n9kN!QI@G-+H8N?!i+usKCZZ)TK9-@kQVALW=^t*cgs;~V+I&tf!@iK19npC3@Ib?>v3H5& z(&cLcR-WFWk=gkl@OVM<+{*Da4Zpy?9aUZL&2xZN;Q@91^T^oi=$%agB&|#!e(R!{ zf?84I!f_M@wW)vjhy*Unn9M!&LIi_fN!zU&Fa*C?yzpgi0in9CWs%(6zi0UM=kEue z?`Fx_EHWBfJHWSxmTpyj$0S_$Vb%G;O+ov-w_f$F>UPgKF84@HI_82P#ye>l9Bzq? zU$LBneqnFx%yCQW{b-gC?7|IdUcH+FN~-!sej*-TugAXq#AoMJ%dA`Yb&5+xD|_GE zC9$%h1&poiQ_}I=l+G`o{dHB|)aOZ5Zf)b>%r-75?RBvSt`(qaU`j~D&cC>-3xn7> zK5HABOf_}N1ruA}A)NaG%~2t&6d;HYu_{ye?mTq<>T7ho_YLi|Je#Bso+Bi@Bt0}O^s#zyZV#cv4ryUjK+3>HDE2<(UyQWKTXl5J#(GU|*n&(6g zSiagu!wHB=ti2rgzWOS&s;;S>OD0{zH%taW;P{Nzt9=ER`+n~3Z#zP}~m z=D)`1x2~D_+YO{(UtOf;;7t;9i*H-oK;yD(rIUO1zHMox&10uRy`2p_xb1(-A*T?* z#3eKF8%69LBXW1KuSyz3$2Cx~-*FEEu{C`I$MiQvWrxQ&>?1yE+a}B$Q9s#~uugwt zfy1Dc4W>4)C=^KN{~(?EP34tuyfD5IzHVMUMSLwbag4&N9RQP2cC~zpoHD-`)=8x; zsz!co_^vU}@E(SI`MF6)f5#p?ewtKJGYBLDLkh|Vjzr9B`ot``Fy2^y0lncZ)+So6-FgqOdxJJWySO#;+0@J}i;XyAlR z{(ksVXrkXom$nEjI}y3Bo>Geg7}R`%qgDaVO*<#E-<4$}MC&Z?BrJS?><~ugtTWw= zw?tTd^KG=j<|7VzF8<5|S}}RQkf(Tn`M%BY+?s-otNXk9@wugSaDq#vU=%k-bA|1` zfm{5?H3FB4V`d&%hgZ)>j;`4F#e%~4k0-1KwOo8tkc;&QLScxUM>UjF!W>N+S`k>*4Hb}*QqY<$ zEq%r!<>l`wp`aXObSM~U2nCSvFlR`|{%PsXLbY_eqXtwz`X#19D0#*sUqVjzk1_kg zYm_THzo4l29h4iK`LX*4;4FhE*fs?33}?Z#$QcX-88t+ZF<1x#4eBU|`uI4!#<{s4 z(7X(Lx}g9P8ym+EAw~s9!U1KT#H6HbH+cLJTwXZNC}cf?3mN3CGwmasRS-@nqccrl0X!RD!Z|Dh>Sg>j?ethk|Y1LbfZ{cN<9=nqE0vs z#mUm)w=*CgdyX3aa@bd|@(NJxH_b3f@=Orf-hKfUB-w$Al0=1O_Vx~~ZEf#7(?j;M z5G>f+jcV?OQy#)8F=6-3?<07+$ME{0DDvdw6#nOqi3RJsVR|GR8~dLC$?Jv)K5V+- z<%MEMXv&7z64FO)oh*d#Pq`GtkSKFDoX0Q=A%mXuKmFtnO)Ue@q>xYyX^2q$ab`nr zHmYYTHZCC{_1*ikW~nN8UDfd5kMOo;202SWAcaot|0p6Mn1mS!@XAqg$gb{h^AJz| z5k#U=5Fn9+S*;r=lQ!Ab?jbSxMM_wF57SxUI8ztHsp(MDf-K~ZFcN-(b*7ADs7EfM zs>)eeum78afD##_z$7G;s4N6k2qXznKvLhx;?lD>ZR$e#oRIB6if9|RA0 zj2gjN2sop$kx{P-p|lu6{<9Q>QWWPu3uXOKfm=~CF^L*ehR9<@bQIl(&+j)w*kVwR zP|v{urN#3w3E@9^wUEd{{pb45JYtTJnCK8gH8BMwu7H96OhqgXkHHS?XHUgZxw9iMcNQh$G!34i{~s|Y^8cZNb>weGb@AgK?SWYrm2AS1yXS8Ptse^d z7m4r+awvbnoQatAiU<8a9&!)I#em#^GOyj&IZ0RU%#mbQF;=Q7E1Vqg-_ry14`zcS% zD~+~mpW$`99bTxrYe0Nt&_)Ut8~o4janZ`qtK532isUjFw9tj&__rm6+boaF?vq9W zW!)@2EynDv%|}>tDq!e~opLqe)sxF=iR9uW*HWi}(dq(=J7}#FFK4QrMbvTblOq`t z>^PQRNSI}Ie+NGr2Qdl}anIb)E$!4M^=f9ly!|FHz8=zB+gUOWY&n~rNP|pmIjzh^ z|F-_V1{LieehcpenxdlB_6BcXxxuRxPhK^&9*<008+-VdusVMh{0Ho7FGhP}G-0U><@ zixOaBd@4NI$HgTft^exJcYXN; zaBy~maY4gW zZEgLaYt=ysawiKcVWn=oGp|-Q@tnn&Ej$T7=Lx1=E|`(|B$&l!Y0jIRVg;8fiCnf^ zbE-|?(T`T-4SfyHnN@XRk^8b{8u(47=@9b8XB2#&Tq|qX#uU)HbMRFfV0~w@XGWL% zCf^VpJjUFpYO!|xe&WEl=X?Qt8RympK>b1bIO+1YP(O`{2ESkV4@|(8_3!8PXlt&AG4Jkhfds(XqA|tGGb*X0W$4@*R zy-X5YF0Pv2_8a?xaQs6WgT5v8Ss?0{Z=bZ=L3$AG&KvTWPhj_^D3uVw``)+m*GPHh z0+fM3&!Om+iC@(%&4=3g@BC{f@zF$?8w@$q76}j0_V0@Wd`s!fgO^q1OlB_w147CZ zMOsoiKXqkt;IdvNjlLEC7U17u>Q6Rk^S=?}$oS&si!Z5}Ts4KR3k4;a=v!qD4LU$` zkWBRVRsJ-56>Ek3xy}EBxwj6B^6T4vhwcuMTBIU~AV{Z32}lUiDJd-|AV`OFr*tFI zNQe>wg0wV>Sw`^*t4*jzrZ8#?NP05VY6IL_M z_$yzu-attv)so{~)X&g(limLVGUo!6H{m}-58Oz=RlC1xga97P{8b|aCh$n-Z(1pU zD|mm^Nw-h>#29T|s|GX|d2`ex`OS8Fg~khqzZUOK~~_%#vsbVwT@UUr^m&PC;ap z>t0Q#?tAxe;Bnql`AMJsGVuM$hP$73-luHRP8T1J8|Mg{1vFeoG4TPA<0s#TIRz+a z&g&U}e3VaKZ|K81Lq~b?)mAcQx^~#|;hf6BRplM9Yc-4(wOa;8QLclWN}wqF?y!RdTDn$P}~h#jcJbhB+y z4J+CUz8T>8F?zlJSxk{^R@pC87Gq9~E!xLmxI6<(<~^%T|3K%@!%^2c3JEXec=EZ2 z*;_h|CKE#DK*v8$@A#epsuUKRz~+?!@rQQX79BD^D%AcNQ8(#Q_(7&Z!5+Ed%oWuB zh)Lm^)8SeF+vpx6AIWQm`*l&#_ zX`M|9xb-)nJ8@f+##mXrxn&uj;fBo>7F@7ZT}t+4jJx`y5O^W@>{pN+h4%M&t#l18 zRLrtK#ThZ}lGhtfPq8V+QsTkhSj$bLn8Ug5fiaJ#pGpkMdO6wmCA`MvQ1l<(Vs_;N zS{*c5XO6w;<=HJLo_tGZwx1@wTnxopAG@nqjK3>F0Zv|()S27r^}%=jZ?$td55Lbf zFz*g-5ik~1tZvE_1%S8}oyI0!-qFENIX1^QfOXL&;s9^y$RUW$yP-14a}6+?_iDKG zd!4KGL^9Wp@;CFk_mn*{=yCc*)5J*>lUxsMAG^F++HU-Dz9!C+R4aazcR-|hwqwvx z^14;pj11*6=&m~vt$od~w-7wZm|he;pha79sXx;o;aQz;{?OA85VboAZt3phd*tb4 zce~mA0e?LizS_I>m{A+a7rH?uH5DL3rBEp)nh@vqh|_4xXf$nh#p~{SHMxzY9>bpS zSVI9!8m{GVX07?4KR;Fb4!gE77Af513|7q^REIilyA5k+W^4g=xi7SGoeWtEaL6R&$!pffVn@c6fd!yx;J zP>)m7t^SNN<+`vWj^QQzq=SVw(sFWoryKUdWd{ZOsG|Ve?Gi=NIt~2dZ;QUPIhrFj}PW;`JJd2EF z_t(?tH|?8HqM}@7d2pCLJHZR}pX;_{F_U(rSOh}@KVsO{73M}B6|T>dxdqU0fEo}K zt!bSr=h|U(P|t)LkFO+!kTEUk;lpvSKH+fheq;^ktyE$@ZM=!Q-L6gb*lneV0VStQ zzfDQVNB1hCd3FsOkUlVqjBb;1c$(SpbrTbtnEndCn^$e)@Z>f&Lug9>5;(=@Q&2H9 zO=`f@v5qMWimIZj_v30$JnYu6xhkNf&In?vH@KTOC?(y4m7BVQa<0U3K}OS|=*j+Sog@x^ZUcl#$=Je1ZW9$Yfuat! zTu4-6*%uk#Y-Rb|2d_4~#&3We)<}u?&Ye4|RZmWC?xVT;ig-4SG5d(Dx5qWM>6zF7 z3?3VYu!u1Vn%ia}HKdx3ZVg|i_fSMl93v7l>V_eU$E<7n+$X=RYV{}Cv*7T;9|F?q z(M7+GP`K{D-8n+gSOLcdLcJHSNUk~^dCQTE-Zsib6*#4Lba}pZLIk|3yHr7N#Ng;h zOgvMU5T~VIcL_pn<;J39?Q|wnNvKB0*Uzj0P4kHIci%|aZ z8d(+d9}tk%eU$l;p>_I((5Ii-2FY|Fb%EhrSo;kVoA}F0OvbF&i>tUaCcZyFQL z%5sRJ;!SXsRpt%h7ona-p$xz-`Xq|B@iWt-7h`kccY~udNjSvqyoy!#}9&WKAzWi%NX|F!Y;_Aqd6bBJe$}?Ec=r`tu8Y*_feCWOc~P zs=C!9mx9;63VJ}K`R0=Rz%3#DM^ai=;nNFD5*oUW-4i>ZuzNJxjRO zVzCP)4FLb9WWsJ4n#|G7WlX%Q#;G~wRhVQfck|!2jP0T_3Ec(CX3zW*x-rNdL(_6A z-|i68i5R`EZJqgb{-)*Yfo5bnXxi4XN*w!Ym^gw(+1y6SBE3sOWVjt5t!AA*%pq6v z2`q1jRBBZnopU<0S=LEe44p+kMZGE#%br&Ig+al{uLm3v)3FK3E7W{wC#6<1jek4K z#(7iZwzRHm)n^>MODv*5^HJfu&apKmQ+w~Y4{c-9XPD%Efu)uGIxgY{6YIAT^E9YcYWI&&l*BofkAZ1x_X!1%x%dXq!+zeppy(u zn@3!|rl^y+exegod^tU=WRYz``YF1_OW<@%Bfp*AF*tb=mqm+Rr*B>Efko~8+9uJk zGo6f_ifh0oSH*Dctay`U-D3O~sfhm65vN%Edm3R22jBF9`i2hL-c_vYqGpayeUmGz zYln7^9kW+C?`m4vZ|_2-&Ik6s!Xb@R2W8+HSt#lJE7eCqK}lIz)dA@?j|}041(irQ zcXu3jC<^%`Lm@K92Z51`tURQImk)1W07qd90=MYGDH1lIBQtzZN=l(SdS%tWj3QwM z_k~p?^ojhVLr%{woFFifh$Jd18k_;$7=ggZf`DWT0)d58@SsH`0>|fqkGzD3ETjla zNJvadego;Fh)m$-Ge*!uMiS{6i4-W2lqBrQhOOt4x22?IASP*Mo&^a>IFTis$f^Z_ zegR0r^EU7@TOS0lgN4*$9UUPJ>WXxZgzVsjb0mzkftfZascFWDORzN?DM;oO{7Z=Z z)0*7{C+W^Yph6`Qp6i28;{_NwFfee@h(0tnxe3i9FCgTUcJ7VJ&uzUhNNxy5HfhcBr10QPJn3ksEx zafL#qJ`$ERGBz=VJN?Mp7ulr^+q9uJiJU3i2eOiIM8f}oC|J-r5{i__XrF(eP`KYO z(`zr7Nz8Ze-ot7%Mi3)gwlCz#HV9?FS~G^YB%Egh%7I8;a`Pvg5U~X>`DKj2hTaEA z_k*2X_)P5W9UdNE$dpL?0|-pQuzMsfiL9#}T(YRJS33*JcP&Wu4)Kre0XzdBDfzG5 zD0O55H-sgTxTKaAge483-vJu*0`P%)tM7&7!3BC82rDQW^0-J`vb4+?Mn<(jT+#;` z9>5tPE(u>v)yQ*iTA(K>d~mhMW_8%E4yj3~!?u2%h6FM)Giq*bejce#QX!RpSdbK5 zTieEkuR2&4p;1{dGzv~aI*ULc$e^VE$NAu&@F>blSqStC;on3Ki;dFQXR{F(fkOZ9{WzgP>mN?&e-0@6$BeVi7J>2~nlAqzdvTJNq@aD| z)_ZG`sdPOoTQbEzcwKdta{pfMCsW=*3Fk;Kw{JclUAe1vvp2YZ<$GcksCr5n$i-B$ zZ|L%4?Ne4dFx0WTL^7f|b%^smeMvN|WkHBroZ-7ubVoJ7?sCfJ0?!5OzZ}*-o&ENZ z!lNkN5MqmJle_kXGOa{dtsfhs#X+(2JHw42`rD%XGVe&@mE-ytcZ@Nek5<^wKRQ(7 zZ!UwR-Hze%WHJ(7`e1MV2~sLc1FVSE=O-BNtsGsh-fg7>Z?|ZAy2f~UqZYJ^h?;%$ zhJK@tWzL122&;Vdww=;F0@B4v752*h;)Sh^oomnAfBQ8gggjKlAVgb2^+W%5><6~l z1*Ey0{OIW$2HK-v)~7RgFh?Xg_SamMimyirSd9i1G_gK99Hsd#?^HQppr?w?T`4HENWmT2|@&=Z1h!SMA8 z%l1gHrGANOWY^aUk*V-+f*!Z&qeq1TS3ukF@2%)E+gTIEkG{GcmlMK+u6?lnrh40v z%%;mfW>po~gwOx1TgLcJQ4=9z-6!v#YU)UpUl&~edQJb*UADSspePkOc#YiiEc)4n z;q6sWXgaVHT9|Fmv`^O&RVh7!325_|FTEj`9qZZgR_F93Mlg)a9`-1oe$`tH58aSoXjGC;qs zi{^V{u9Z06{Lv7|Xsb{-n#otvN{FzK4ro>h-kQdZe(k#PRA>G%skLj_q#atnen`}q zJ?ZH7Ff-_NuG9RyFLn*{-S^3`HSZmIW-)rM`#8kD6(O{jhf;~ap@%QANRNoT$*e}a zs&Kn>)zabUas_kr(w(LU4WzS8%HjAh4Y1f$cb*} zXqS=}Sx#!zC8q;tf-8k=eSG3ChpKv4CN(d)MpEW~m$rIf6H6pvZ`Ak{6t<>xJ)STz znq4cji$hCJy1lAy>B9C>CPiYT;+$Q{1Mp$3HTJo9W8Yg_){Kt+%p&KiyPuzcQBmKN zM|Udos0LI?HYzBJub;bNS2}{?+Fw)Z z%vgc^hntWpuhj{mpu!y%W^`KGgt3~9p+Gaq74_lq)2XAGZ(Fnp+C0kJ-B+q7TK^A7 zoS)!F1pJ4nfE$T#GqZoy2LW6N{i{9*ke}Co(@B9i{Al}|P72_H>0fnH;N|V}SDh5V zW3IpIq@W2Nocv8E{YT&fw7eO4=_!iNem2wh<%f7PbMBRo z!C3c`ua3Q?H%hnYg(xJ3zUp^fRh7||lpM}BNh-CeH30i8>_cY`tMMPMNi#n0zm*tt zcl2kcd%t|plmCI8Zzze6_+19D_VLV24q;4^HiKDI&Wh9`s{Z-VG}pB5XR6r z`DZGyNQ&{N`~(rCmHWBcHA@xq2;gc7SZ4|wJk_Cr8QjG*QKq| zY1ZUZ#d~d_)++PD3Zn8jQe|$JWEE7|Gd-$<*^hfpPt00MB#Bv~%UZ3^HZ_2CL zJAgNEO_Wp3DtqU*M%Jl=(o4W;$}IBGsP@5Uy?4$+d1u?Y`Iwm2!F`Xb&l)4IBzBU3 zTXV$W{T8g(eTZGGOf35>T_*Gjwp~qsRdUq$=nMPN0dM7R8rZ>lk{^!WFtsFNQQ$2r zecpZ8@Nu<|id>ZbJOw(Ay$VB(pUE;$Vh8)bzY(}pIqHOzfhPoJ(?&Dcwq;;w90mL4 z=F%3|+tz@hn?Ce`>=hhco9*^Z5mtetY{TB*J^u>V3<=2`Kr?slsN{aa&^8!nE5N1{PI{1K_fMY*bwY zNTa3jrFA~v{50ZOVY?yrab=SPehkPAAndk%#QVx#Hyt6%rk2yX)U8E9cc;XVYz5Qc zvt}|-8p1R*r72-|=fuM_@T?E&yfm24_T4ef;<>}Z@r?sUaIRXLeR}0SUs_>(d~;2| zAXf%O5S0j#6dC^*Ez31|3IJmKcfV6y=AE-)8&Th~6wy=?|H;W%fDMl24|ot&PYF&+WRz? zV&39sxj)DGJTPMrFokgRba?htrfyfz@V@6VC~BG^Q;r$zP`QtW^1z(o5UirD>>ReR z^5VtYmq|;_$a+cOLh~gI2>G5EeCzKd-dv^ET|> z%ft+6-8GK}9pdPeBu^7f{p;RM=CCE#H6O zDJAvqjeR`~O3dh5+$WRKdHCu?;Q{as42g&@pw%2gQPB}D(CEcun&TF!<+1WAoaNJW zw9EwkOX#Ly77&&Do6aSvf&SWc zZk>vn`nEZAKzE%>JFBqn)7o!rCIKZYTi3AS#_@$UVja(bmp`s40lV?uZ$5YZSV!Pzm5d*sQ>vg~ zecToUnvUGDSq+V+JnYJz(fp#iHl8g#6T~;O-}VeIpIyIY104NRN}5ToNh@l5283s$ zi>ulvbquc4F|hc&2x;vhWdf3}X@c4=Ayq$qi}`NugW3^Ur=6Izd-sji643Rj1TBC8 z)pa$BSMA*^Vo~h|(RFPf*&q6Kwd_bg?wXT6o_c=+SbI!4o)R;gyqH})AO*Y%VXylx z1GYPw4lk!M@aUXf!E^t(K};$}HaR7o?(q5}nny1F;c>%LmHo?t5@vS-KZ1dwO$F_2 zf{-vXobu)sjrUD&=LAb<=dW9ZibyC|zOM%jt>D!X8A1yIo1c#;K##FS0{ zK*x6pi@&6tz|Ev;?f5)W%qYM6`yP-_>?Kft{Ohvt8TEsPI%Q<<|o?`C+ z>XTPLB>l^XomPUhpVhR+SAlahtDc`iNj)ZGY`-}lwsV?0JjZ%6ewd#Bd{r_he<&Vg zPAscfWR?I?yX1_5nl&{$&#>scv5gaaelabJCt>gkuPWc#(wVt38v%97Iyp@tQ zJU+M0t();5Z5i*RIqnwo4NSJcU;_kB)5=Pw?Nd+DQ}#J;o3v%0)X1`ndRpS z$#lpRl_aU0;_D$C8d-zq2u2|ZsRxfTE8D@YvQcPcN=EO%<_)!+&arQ3*wiLwSwlZa z=yePpdPT*7j2aSd$&T5T&Hdo8hHl*JchzFE9P;0_Fs$Xo*NP5!M z4atqc&TeR%>4U)Xc<%3yG+4|+T+WA!@5pQ$7;J;|%Y@2N^{0zQ?qOrdP{J|l0};wu z1d^ddMPNhY$cucNFYqT*aD0Ut5)yCw_6;6qFD@M%{Xr=)kl8kWVr_8oaA3bWArVrh zV&tN|{w2*{9!uSJHLQ76aQi?-;7Gk32<`x$m<)1L_f4aI! zAxsHvC6UIG&{@*K2^n&GZrB2pA$=mQ$zXgFXfTxC82n?uFLY>kF zf&Xui5@wH^n`na|q+%I%VJsOALvD~HC6c8?3Y5s6^+cSsYGhM4%)GxqDSaSG38!p= zQ#ZqXMYecnAuy47Qf>dxl#m(ifmU0PEZl%3v2KcYaAlt`5Ff~15> zB^|vn)F9#13~(?ae_u>c(_@Ck;)4LJu#irZ?3|oRur5$aWfkZ)2@B~qd4WYt}EeON{^09sQK_C{5VTvj|{o#Y4Sw?b{o13^qL?jof_RyI1-_oQp zf)XiB(g0?NR7zeX+9Mak$$sKI^W6F#5$7~P=9a%LeVH$L zq2@pV`}}35QK}lpdzR;SLE8M`c7smmIW1e@Yws`cE&b`%cJL~t#%FAyMiiF)Um%vw zKxy3kS&r?e1WflT#=B-Hr6ay1Q~kmys}=qtNq!*H5|<|PBewPtipH{bv~pqQzT?L_ z@|3xT`U02KP$m}8!Jr_Z#NrgRYi`KUd`854R2qKL>Ag2ltI%A#fXdJXw#hs{ISD`S zIfyz+zRY1?oH2(&=grb#*}aT_UWCRR!2RB|K{2*<$D!4)E>;tdY#QcLxepHbeC@0j zQI8jfMaTwnd$-b~qqt;jCUDbyUzZE|Sg=zJ1+%&=5;kVQW#y%#Zkvwd{3I>$-Bnf9b5dJVv%iSsa zi0j^ygV7zi3C+c4MP>}ZQT!$wN##qcPa=1O*YuLG={*W_@fIAn#8Z4yLJLZN0*l=q z<;+5NlTUN86RWRXYEtI;q6w-5*Vp+xhm7edJwZ)&oY~~ujm6*6`V@=74bFl+Mw4pz zlumed-&IwjTF*c*)^CwZilslS+9+#Mmcm}-5p}KXhIFdCDqGjLbZJEcy4U-?Covfd z?|%_%4W11|yIk$NwUcx&GxYIyXNPg=YM}n|{C%h^=3jpKO^TOE*X&`(BcIEQk!(Nl zau~?d7RGRKs9m|@Eg9}%I~4Q2?Z9D1Z%`vlrp?*^1{vZcl$j+{m~r@^`|T1M-r3rob$~BA@|~2?bkAYw6lM1BA9KD0Pj~; zBp?6&Qgr*hYREk`h3FXh+f{6Kd9DId6rBY)xEp{=?@<_O9S51oA*1I)DtPuRWyHxY zO(EEl0L#k6J8c;-Z3#%E1x7~QA{-MGv?#TjO=}R1+B!{WBEP-&?AZ(^Am%v}|Jl13 z>(gufj%HT#fvKP4!~KsP@qDxMQ~W9!oxrjKgB4NlNc4&_Naizh9-hKpW1$=hk!x=g z;djG!{wmNs%dolpwN>2!e?CiqP?>*perOU~e>s*NMM0;UJPkS`wX^Hd-Y4ls7kG>v znWxSqD2cbeIJEOB=rlq>wLZ%Zlns*Pk9<>;AP@7xB^vbo=3M4N-7;47{F4u=Y%pC% z4=_kvVf@XTMwupuT48vmEc#@Cr^C0OKlMV{<72~yQEs2vp>~E9il)Osz0cz2usyLkFUYklFvU_f{l z^nIOv{Fmc1!=vJ<%TeK$Mz4~aQ6+XHo1#-m=p=!*r#Afo`H1olCJQ$k5?sq@ojxaf zYn(w+;63_c2Cs`&h>c+7iDAUUef8%N*TpNse2|If@e z|Cf&RRA`F*HxUp(dzZh8fMDwD^k2nLaC~z5S1}aO(85OAzlfm#1~mRv3LSUgwrZ#588F*}WqSV?Zdh>idvCmmlZpyfC zEoa_Pwj5McPN$}fNfAFT;KGjb4--Z6UF$fd-C&nXuOld?=voEt-egvz-;^)&sfX|d zs&I^}a&A~st`_8IEtx5L{sfQ<@# zU6c*7>hD_#O(I9dOH^#7O;jYvZ@s=g+r-dj0={@W_VNe;3JU2s<^V=FlSLz$rvjZl|T?Cjz@L-+8rytsT&3lg&j$O4Kr$$ocry% z#0{1}o}=7nKA~YJr6UwAG_OUg#>Q#&0ZqjDHH){3@obip-qf$4WxoGl)F`4-2y@9t z%jQQW0@QsI0G{T&gcSV8%idWTG)!MM>Y5_IKe`#);39A8%nx|eaDx52zPNUf&@b1j zK4UI*i$!q11>Sv9iVb}|Fl~_>8!Jt z`(~hqb2aAl-btQDp+;70I6?Mz?C+q>`)P#gXH|$^wP3WE^#Iw+)}Q=CXx%x1bfudG-CeG{Xfs2zL{hb zO6RDdW?`4DAmIBn$H2*CLI&tl&UMqQ8Gc_D^gW5JBzsob`s!`PB#W+9&>o(YhM_Nr z%Wr8P`Qnu^u!JFZU(?PhAS~_u7AoKrkkF~^8=5-;s0?CC<@J{VkBD_tVG}N|T2Lbo z|9PoiFv4L5GA8wa;HT2IVODO7b=Sa=tj)&}3SL1GX+AB3g7QI&!HJpgpyJESxmwg) z=Ey9YQBKeM7!ejvUZiK&Z61;^spP-M5dz{-FSGKiYKD(l)vb!k#)(xQc$L?T9E2rq zV3D)R6;*&!0zP?*psZm`Y<{8ek?Mid%k+kh?l0R1Fb4LkI4d=LqS0X3r=hbIUUS^=%cPhZq7oN_#P8lJGh zA_m64Zs8JLlQj&lnK(s#Jurug!}2(V2?Hz#U{KAHXyY^V}auxG=%V=Ja z!?T;TPdt6cH#xYpC+uedLrT%9RKb;Q_T^@Mb_2UX&n8rj#$E(A`%Nv=+)D5OJoe7q zVdAj}Y?>w$lQ(g2bIJG{!I+2HIuQ32_Z3A&a-{jYI z_W07?RUAUSCuI%qhpXE<<`!_&+ZH(hE(zr|6&E%`^Ut$yZstgya5q|CzY~!;D~sk6 z5uKDb4|M#JJH+iHe#zK|EM4BespE;}A2H4-69|xTj|_O~fN`Hz_TP};Gt8=hhEnr zM`!r=jUOKXuAtftEq%|llG@*=$|!o^?f&(lZe|?XXNy?h@owl@N9Q>wq!R0=l+CmD zns|J}1t2K32utK~{#R-N?OUV5KX*eCS>4>; zU2$vM#{t2aovRplB!KPK1FgV_ik)M$%P;alDkd$Xn2KHZHid+xb8u#Ec_%={R<(^v z%IF)T;H;ULMNQF?zddzvLpo8ysO}UbZkd{Tk%I%n$+HVuJ5Zr!32*f$q8m9~k1+xR>XuNsY(d~a z@e;aF!usrkAiaqFfexHgd;72f9HuWF9mBgmF-G8Aw0*-wYZMd{H3Cd5CKlKceSuoC zb7cM7juLUB1%co)M&Kd?fc}a9foU|i`1pl{wRLroc%{C9F#-$O2#&-oJu5IwO|66f z4OgN=xiTUWK01h2UN}+WBH7A+vVV*bWPh@MkeTGEnJ{q)Nmn+(+!}~iUPR(RZ%Skj zIMglM+b=lFKdL3%W%LWk5T9A5Vk~;mRPK2 zLh&*SK?DU`DA~UI0KbS`AeQiz`(G{KNXimfP`O-LS=+$7FjpW!hv`xzq;OuySYjX< zOL7YMA4;DKcLfAh3jz(AD^SCy0IA&4A+0zWp+bukH7^uRm^XDtT3QAckcB08K{vy^ zt&1*r6;+M@<^Y?8Ks;?hAY9?c!Hz#!Lg`}xJ(trUn&v^v} zW|^pP-5?+ytE8lRU}$J~IM2`I<*XbELVNaH27%C-JtsqEDV<}&Fs0c=1hk?&udhUY zAN%hm3OzwDE~`WA{P)Y@dC~3v{mSR(rzro%HIeiEuXXy*?DZ%o$R8ka&DD!*)VuwA zCU=y7FTnq@^@>J~-h)hW?3h&ySuCO7n=(|Dm%~X%PR#Ne3x9(<>^qBfZ1HkWN{rYM z&dFy4V$P16xMc$thqh&X3I^xE#s8BYT~Y}_wo%qK2AfkU3f z<$gvBMz*U}+B9_gHmP-lsf(&F(qW(PJb#~9_~K(x0-dFR*Zg>-A+zVSJU$O-tQoFT@Uppt z_u`}dEn&&nd`%7?LEJfApD{2!gL=XbCPvCM+zMjH@}6Nb)oNXmh?M{)1tNY==`>76 z6Blm7mwU{n&smB+i{xy@Uaz}KBTIsJH|t@Y)&r+7om4K=1F#w(v}ZxS8#=MF&S3k_ z%1$s|wEs7TaIXh%h7;wLekO2D9GkR?__Qp<+adsmN#yz12$c$4?4&CP>7(Kh$ikIuymK62t` z??S^ZwodSH!zXXt2nW|KPCf}8FjS@=7xaa-89TdvOjpT`+a}eB-kai%G%f%K`!!05 z`{KkeigQ{cI|$Yb-^XNaTM|3my@u_^tLJ;|0*`7TVB14SfA6;cMC2i6J}vMRdS~<`Dr;e@M)z2 z`d?Cv3no$HntZy66uw^E)cc`#(8-ETv&g4U`V-T>Lt8SRdwE!`Npml|1hNJseh2U~ zFZ`0$k|=bSt? z3FY*U3=0);QMC+-XNt=nz{jfD-jqb^=3}V@(p0FPaq$kGpHj={n~6VqX@C0gBT(iL zF&!EoK>6ml7oglZogyBt*baIE2r@q2XF_M*!yf&>^04gJHS4>KMBBhzt)wLsXn#R* zfy;#UuPT(fa8=(T#vz(zP_;BD`m-S))o zF2nIM=*m`(c<+FX&w0=8yV8K8;=Gh!yxnc5+DkfnsWdfr?t$#O5PF=%qJm(J4lP-#Gc zmgB9pW8Wtx1(DYBr^K@3)?hw%%Dt1jkN4$8C{3CMyhxf$)7@huqH{NtV zM_m8&7F+wj=caFy|G_oCz*7lr9i-Xy|Ev)Ln1c9MjSwWKy!@+H3hJR3_TRKp0NZl@ zs+EG@hevjPZi8fN1x3=z++UXYhofdSjY`Fa)UFAhxqrW z=09tPbqG*pxU)uXtu0Vbp3Tdqb|z`D{)_S`u46Z9yBWIm-d8WN`aasDE<(Jn_!=8nOo z9zfzAmk=g5^l2zxEpOG|$gl0mkzO)LEKVI6)kMfk5(8$l9r`y|OkzwgXSo8M_MNG& z*R<*C*e|7P*hQIZvT=Z+B0)b3u7TgJ=%XiMM9C$di;Zr<_TJRS7BYd;1?C=rLGqPy z1ci&VU1r9r*NtdQSI)b|b*y*rG506QbcGgd!PTkDC=EG&zq<{wYUguWzV5_kC1ldw z+zNpZwX5_kW^*ET@aLA2l2usd0VMLk4aZ}8FW!nWx8V-yq{Q2$d zkHgNr0FM5K6kgTLVhY^xGw_}@SBt()|Lo+~ zRBuY(L>{lR!3p|U(tDK$M|?wPFWNxF#OiS5NI%ES@p$v-;bQ@UrZ}4@rz`hkH$G2% zV()DQWUIRGV_0rsbLh+DVNUsEUJ@~Mc&TZrRiU`C&*2`d1_Ijc6U|(7hIzwdgy~}t zViqR~#AnKwwqu;?A8D`EGy^RARgJcx;>1Z@aYiY_VR~bE9N{aGX}|p!@dx#;N#ubR zD(zjvI>Omg%qgF+Em>VVJs-(TL#XVIU$9@2k#jKztAwmyiISe&aGQy!o{lOmQ= z?HF95VCfroOt@WX zA#wF|_8omRV7N6CMyPRiyL{we{lP5Tik+5>H{VvC^Ty)6B5_=vDR4}@^|o77oitfL zu4EG{G`;OU{HrAW!f6HfVfWpJmqob%RA#<1mDFqx#$<>_qhmN|0v8`?HWL?E`PqV^-+gW%XV zpYi-d(&|^OA~@LsOj>3xo5-7X{2xu)t!_JE!yu%CGqbQ2DHUV@p3x6ZiyV74@$jT;Y|u zYZhIxPU#jB6Q9+#3z#?)XP3_JKYZ-${ieI0MdO%*&sf%VOT5hsU0HSedN62S2`t09 z#8cG%+_~(t)Mdl@Tbacq1Z}(~FA8f2>0}guU5Sp*1f{Y^&K?iMRWWaf=)Ue}x-A!9 zJ8kYeddw#eR2;*8-H*%U4H2(vWV?B0$y4GWLSjGONqk#DOpXZ3pbWXMH?N3%Am$-$ zVxp?H_>E&cdWOmCP&dWMp=It65DY3?I&jG3H9Y;^AV?ThgCkyb^==(wiQjp!cz9jX zDE=6nQgI7>k$(_&8Ss|SKUEb?tQ*VhpEf$Q@FoDbEQSogXbV^LuJ@8{ecuF?!MM&S z_B}%jRKUeAmfX3t_il>-a7xSihxcwAvfh+Zd*JBWu!M72#lo@q%Mlrekdb##80ckC z)O!E<2Vw3UF5^A-w#&ClC`aw0`T^amuv2@H4?SRIvuE{6cwRGFiOAFy?f{glCJw$l z0jTk9Y>1Wj6FZThxMqBhPT4POL&(^|Iw&S>g@EO;UrL>noQ8pMR?7k<05=S6Q-aE)JBR@2nSFD83WL`A=9d{xY{h<@NJj`mL1(5S?$DFEA* zGi}7w5xQhIRDy!5=!F6^@nzheM7@M@_b@oc9=zGbDrL@I*D#?NUEHH_bkEyUwuXPM zXEfq>?)t>NtN?@@oL26U6-}*4?IUA&6t~r_N-920lW^Tp@dz%uAxcnTY`5KP5ZunJ9DN^`EpDlSGfePC~Tp! zp4|+!!QA7IQhhLk)j4YXFWxc>f$;~o^g$pXZ;3Sp1x&bz|Lr*?3I;~P9a^MOCF})< zIuRxJO_-De`ATH{X#Dgj0*bAr6NGl(=Bul8lToBrN}dE3JJH z#5Na9B{C=H=|u~861;A57Gx@slG{sUqkL-1KMs|%hzpC#Ok^Q_IUz_2J?)Y8Z?duv z-phqJiIioBC-*P7N;u;o97k{*XF+Kaj#D_!;5au%AP`vy05wWvGdwy(NbxWHDX$~B zN|=)axk{ugNyy79APhUdb&&Bm7meTlcZW)21o{P62}L$jQ=}eiZUF4oP$_t#5Y-bl)dr*=@I&hvMAW%uyfsJ!4Q0R$?MJmp1z_n+?Xi}r7QLw9#1Rq2Hdod}>Z3AIT$qpvaar-Y~e zEeJ$_4+0VB^T(bt2Z)Ers zoMa&jVwG^u(V;u#;u5^zM+*W#uJS^k{L=#uanen=kNWLemPKre#z3Qk_^gFw87 zF18SAF1cukFE4-dW@rexGB7qa9w3Dzm~oMd0^eq5k=(L}2P#|(nDqaR8iw>+Ku7w( z;$@tew zlUWIr{{id#=PNal2?Fi^_h2V|$SpoKvaj!ZT(UdpqYuQdsdc^My(B*%i;hj;q9_&( zES%S?iMdx_`x8ntY5HS)#W5juaIJq`H|e5WH}R%64rt!JOvrH?&s?$Q^32l*ihSp+ zv^>Is3ZGvBLa(oVe%C=|K<8%ISM6r6W|r5NG_1YCZqX`4IAkyjnET``d>I%8!p7H= zH5y3#aLK74fY4xpJ{yp;E*yc^%p$JnQO;KB3f?vqnt z#SBv^Puy(d>;%Em*UgN_&k8i0Dzj)ZBc{^cTOSj&da$XcM{WudVM!{15{WYu8fubR z(zuT=uMmAV<0GB$>GWOwtonq&C*`h89H{FrB8VZ2z5#~ry!Dj1{_dVJ^DHaN z3qIR=U(|uY{nn$(c;CAW@mg}eRRt4hCD-G%8^UJ;ScBzKG#=ywa&2zQRunV7a9tp# zcXMX}_l(4?ht6WWypF3WHiKyy#ME~Miw{PNHF|#NU1qobB52i^EuAz+GdHHH(EI&P z5WtSM<{WSTzp8udu&BC*|95CXx_i+gDWC`_9fG6?N_VGp2uLF#l7iAH(j5X)Do6^7 zQo<-83ew$Y4f@>od%eHs_}@9_I_tV-GwAHi>^-?Y`x~;;9|*_mh8vj+`$b*#H*P2% zPp}NS;0nLl21-1!$^O0bjyTR@J`;G)U&_VB^7)Q^eMjxUQaPY=?+r*!?NlHPbx^}! z_nUWl?WX#DPT%vXuh4>L$9a*iBTqdbOgGy6WEsCAS;WpV_OmkP^RT2Q(%1lU`qOu* zvy@^6z*tIRby;8Hp&XYTmZkLez@9|=lFcPFgv6|Ws$DlbHDK@BKd$ULRA17?!x~a+ zEi$>eaUn^Zdk1;RfgfMGUJw+9Urbk_BUr%2b_n6G5^r$p{!-?;t%=suP_CWryzd0! z2pP6$guCco9!IfXq;O!9LZi!w;P@1~OJGXvMAMZDG;D-wvn7o3?(SYzM)R9CRFDa? zHpp)?DD}b%WX^fZ2SWO&g;TW)q|3!?x}26b+nlnw1e@sN37CApjt=5!u>o-=LS>A* zccyRX-B-!ynW(P3R8WmuP}lrT+%ZL*srLvNS{6LN{b-d_;U+d+4q`H*z?9)jc6OS2 zy3J)*-Cge%P@MzRt}&9QACe~>G34r`z${%RB$@8nl0`&BcXr{bu3(an( zoCugEpy!^7Puab4V{CtZ!fB zi^~J07as!SF(Y*bcY964Wi>?PL7@e{xYbO;x#7HW;lx3pw8+}u*^_ZHIOF_IKjU1P zofZot4TlJ3%fw5ui;8!zg6-1&wovp`{}qMT!$wkLR26kNoR^+aA0{-kxQuWm4T5?7 zCeB3FthHj}SLz|-QwO$Ht}ng%lzAKjBF3K)5l8^(kCQv(R-8%ga5nO^V;hbpYjenF^q;?B(?7%$7x@)|z(XO<^QZ+rYC(YP`ERh(cs4_5E|cfRl36K!m)n5= z;-?E5yO7-Hm=^c(!?sppkjUk)c2)G+t7ukoz3wRI>Ox7muhY-(g=d%*2{bE>y#~W* ztvDVZ#++~3>fZHCJ50wvLar))vLFmrEb!j`z+4G#ID{8QPAs%Y)NI^~c{43rgRzTV zLKw54&?T-ed`I>NP_h)!SGmxDeGd%!du5sP^>)Z=5`Hl*NP2NJaf|R(6c|WOyzu>j z>(-NHij^1S0%k?xk5&wV%4bjf_DkOt1r&mWF>MDaRdaAdd-zbTfX^)Y<(d14(ufrm7sO zYdxfk24O52p;&{N0hlHs`ygKq$vMS1O_oQVETpM`uB`+`aF{RUVrX3|0`dA1N&xfF zwpj(sN!g6j5s7vje?)PFt|s5hIS8sBX1QxF*^R z*bmXXvh7XpYnKKJxzY3Fo$tdNv~W0@(s@R#WkYapToEHw@p>k(tiIv{g2#Cy9U}@m zrP|jcTdSYWq_`YgY6Uque%$6JSj9*W2J8k;xffEtatrx|y(hz247%x(^~}*Uq)Nwi z&CYi%PZP{pcY9+;N-moprac=pjo{r7G_^o4u)q&}yU<%cVRIK`aq?~(uUcZNM;`p( zl-0aP#R@zlJNq*bsW~O%c<vwV(%e?I#UhO8x2w1qJ;z_g;;AY;Xh40+9h`f@lr}v#C0!l{$|mm{sq;3H z#tRlddKKDZQ~wEEF_+hmsi-vRy^y~_7)x+0K<%SA!uH7QpsA}hyZz-nVC0Dkz2jCG z&pdi_RcD|lF0H?w&-r6J{h|MU>(+-yTflVhrWK}E{!GG!hX?j+0un2k6C@4yYu`O& zm%L-jNLmSAT^(Qyc^+2j=~16u{otBU&Vu1#)dHFBI(-fLH0hEzu=}A!F<|VFJP@O$ zCsQGA8`dGjUDcL|J!XYgNlDcq0Xk)hxb04jgUR_ys$J2Y>&q(@qwE9lcF#wnGYQFB zM*^myoEoKHS3R1Hh^177=-wGm#G8bcF2zNc7PLLsR<;9KT88v^OQlHTVo74BV}|8= zafMtVw1T4=A}OH@WhNLv^iw{!>mgFS=^Sksg|(_Q&YdWpD$3rsM$**%S<2L5fMcon z!q8of#j)|HTqNO&de=OwX5Bfq940xde_j)(GXW2=q>?S;TykYiw=7wdZXX?#MCFMl zd=J3K^NSczpJN4gr>;GmY%U*@;kr09`J(SQwm}JgEKf(P$zrDeBH_pYwdc6pLp&P9 zfA-k8##J3u%mZ%k9y*0P*5PsalhY?yKqQQgSJcTRI6Uelzl5r;iLHCsqvrlkv%AX4 zjp~{K(IB?>hh0?P5ONERLcT{qHSsgWBYj-LbQ-HKHjkSpSA9VE%Tb&f(}->sleTZP zqAG#iloZaX4c~~RTm`Nzqb0cl_gXfM>75H=@)nL>@$Fyd56%JB3;fEOx(+c}1+^_Z zfJ@WJ;!*Om{E^RVSLKyVTuYjc@QE1jb@VPBVoTi8_6C92{A1r&xnx6|+dm#lnA~$a zVZBy0%mt*~ko?x3&oF>X#aM2w*Zxs(8A&isQSUf!hD`UO_Z$8EtIrE31e6>~;I?T?u#T}Gd(0QF z8k%|sC04vSK?B5`A!DCUvT1BXGjmgapb05x**5PJWImt!xFIk`OyLBkcLPJaGM=Yq z)Tu|vyz5ufOGqD?J|!{^8k+p_eUthIFmk?lS>(pn`3tv9x_Y(TX66J#-&2TMI1Fw@ z%%=ztJ5+JqYpewHQ!5_&1*o5k(1un(Q@dcUrIL!&HTuPs;~+75l(@pf@}<56Z& z-Rtfzgp?NrB5Qj;e#NJ|F6)s9YCa)ni5WRfEW#3>71a-K(X(mldPkO33{CBmyLRoK z1Ls*dd9FSAU}+QoqO`JoWP*1lH>{RNCOn$7a`BSLuog%^k(O217L&YfZu?Owu%bs^ zf1W_wg50tX`LnO_Jq7{b8o|7S*UQ_=FA#k!=oUMpZht=@>t*|ljIPQ3>Xq}PKvE|( zwz#fmjl#z1MOn){0V%t-x%H#DpDV)e`i?Mg-+|4Xw+9&4>fcSC-q8I{eNEr-`d#M> z;0JH+zaQWmO zHnmN_9uFpti%s1hzHLJ_;f2fB6by@Do(iw!lPNX5CAy1pHZcc&5o_oqT>KL=-m%Xn z=*mD-+E*am@kzzB1$P3+%q6+v^Dr5oUm)VD#*>I@?eO6(&1e09+Kr4yH!w7@xVddr z)HgKKu(f3aJ zw#HPj#=@gx;uV_#6F=#JwRcEV`97tLt(#9oYED5#2l+*TD~8d*PF+cT!37;-2i6LnaAxkCJ}OJGLNr@|2mMSTvGIKkChB zq%IjzNc;N_u66A^wGEq>4ewt7PqW2sYp4x0>mHD1mUj4bkRDvWRdhnY!X|v?{Jutt zQcjACOQ0yxAG@~=gee%P3h#E9P>IAu{ORyUI6)i)*(5425C#Uix_QMyB_WhdqC}G@ z*<^ZpdIm#A28^lvAJsWIdBsp%*!|o0{YOKI1vQi?tk<7?mRE@wgz~!oXee=pQ3H0F z`#l8ki|yFtA_SLvdk1I9mB+tjln4a0fJ116dj=`lP(_BC7N7@TUvpa)pi(5iA2C$ zN)&3_Kmk1%Oo>XSL~O%W9H^T_$wUthpkfXOGD-j?giu5X|J0d&5&}x7pF|A^D9^$< zxwxfnobgFaX!lmvfSb8xg34q>K!bB2%HIsXyZ;WVggVNz5+R_gYXHTfrmjBz5LE^S zp5;|S6=f(if1i23X(G=2?vG0#r2FIiJ}c3I=5N$yYqMciCA@O(w~i75OA`bJ%KdJF zAa8~PHg3$I@+x6V6>QRhGRn+ilC@1-=>3LN5~ZGmz>bU@wIvD@D4j$->QwMpU_lc& z1eNWGvl5}-)^`Rrjte{zP&x@^lk!k53HzYVz~oJs2CJ%}1<#qd!A-aaA}~;FvK@i& z%0?hi*$N0BBmx1>2qi?bs19?e6phR+C@3iU6RQAs>?8IcQ8Mb0_zYJ-jKS5%QEUFw zNd*x~FBZth|NoENY?N~2HG8Vr#YJPH%5$0haPH{GlBcNh3o~6! zY~dES??9NyHL(?OwLn(k4sjVbWG#D&sq*RE#yD6k{~(rlYNO@6AKUoK3!0K7wan&Qft%_F_sGETIXiHE`> za`g~dJn1*Lly^QyB>~0>FU5sm-r|x6C!Ej15(!1f*6W^O)rgWyeLFGX#JLK-?Fk>J z-C;FRYwuY$h_-$0UoDj?oxVk_GO{8R%!khhIOOul!;Wtb_PWH=hSpoN5|?snetvf9 z?0q4D8wb(*0Ic;JNe1ed>~I>pr>)C94hiU-@udK_D?(mBS;Wl_lm_wl5+o|oym&QI zaS=kt$A#$z>b@BwDz`c1KKI%_gzXSzeoQl(-NMgDvv!6s&^!6w6J4;pM`?GV{ z!Gat%(9v8#YNY4z${E_o;pbEO?sLei8PI5Gz_!E*R;dfzV5R-Rxl-Cf*O$L;qC0-7 z>ca0>rOP9*;hPZs6&cOxhXgO=x$re)F?_oRzPqV@e7#)6$Z%=YnfJ|cIu6ZExse@^ zOv>l1%+jCy(zH8kRa1?!j#F^IIE*9N^d;50LwyPkAi@sWaqG?|8XrPC_GMo$dx7wB z)WmWPwD6S-dCRZO4#KrJFPE1ua>^?^eHW|XIHW+dvbz5gMIWSl$Bs9^!w9r1J4Onz zEAPwlUOV>?y~YvXZYZ)kX&GuozNAc{?(GD{BOY#VOYW(a@8kA;5x|=(Q>aTr2bSKm zNDQtmCY;B>wNUoSe#Vo*^w(U{a~_6qJ$C40G@3R|oYU0rW<9gur#PM7|*EXJftD6&v3+aN5*&THp0ZxSX2^-j}k z0}6nBV*T};7+OhOxfx%!h8^248@KOAJ7R&6@o0j%<=Qs^LK^2KmitKk;{$H;^L|!f z9(DwFtlb!JJOI1JO`({epfszs|5^OpJDTF<@%IXj8}W^{(=4lW)NW}8eG6%^;NB${ zImNg0!}x86B-u|Kd`~`NFHox5FhJk;1LP>HC&;s8vy2hVSX=j^dO7Sh_)S_h>v^5= zxEYV@`aSg<9>xIsE7KDtC9@Z_RfG8A^NY`tvhj~!U)TOI@!)mBPAvU55M62aM4{d9 zQ-MeN1XJxL#Bo!Q+~HeDGpw#NCK2Bl2aJ7c9^S9@JVW&Kn3+l+?0W4fh#i#+=X^~4 zF`wGF6bS(NHwm(mX2x52T==BtUu5M^A}M)O@hgNS@fHO5-yDFxS1Z@IEGh#xGkS*g zC3rB(2$w!6YH{}pS=x2hy2_A)R~i8-RF^f7MJ#1Qi4Q_UGVkRQiXa@d#ovsHmH2-Y z0yxncLUckOLVrvWh56Mu9F{$1)5nYg`Grf98ZGi;t{|QB{F|!QVd9~aL(;{RF=nw0 zgq(hky3zFyG{=1RuD=5x1A6Ve2Y=#=ZEHIdAM>e^3*%iqB#MO|TD4O9s=EU8#sNv zkdl%A6^jCRviq-C6lmVo`YRR%@DuN^SQI2ACjAwQ0$B0)S1kI6Xc8dV5C}pP$0R~6 zh*1j?)PfWl2EXE>ZUr0a|J86RnJlt;CaIgTU$%d--=veGfU)S=7QXlCemGM{=!~Zv zAdc+RyPoWz2g`2uNC`T2c``P*1I(`Vk6q^1S!^U91LFq4Inwl><_)(WcI0H7*7Nw5 z_>#N%-`&lTJ2**Nz6B06>a>j6%>5s~>3KC5-hbrqWL~3sBdI{0Y$9~YQpE|#ha`+A zF1Ot+=&-xMT%@jXtK3xS@}=RMIXdHa(Dh*CgdIl@VW?saIuDZX*1U9AMAId=;&8&b z2f96{PkycWv4Lfm*6E3G&n6MY=x(WhB&4=+TA$^)VD}PK5&I& zFmqwpD_OhKAqM4BqaUv&Dpr6LFhs#GA%5jS=4a&_x$|KwWesLasx-?ZWL5Ip4-Z$N z+kP-Y%TcIiheM%ZqW`cKJ!LX6X9qhD%O%gk{Z&>)%f`*W=+6B;RM+y zWnnlwFNt|!SRZrCKU*Ww`(=Hwm1$(cUa~mI{5+>E(lNQVoYH9;Oo9oJM`FQ2Nb|l2_Tb5B_}BdJ!30-2#Xa3V8!a}gjOIm( zzL>)V?`;^>?c=Fm_-*er@esw}PvLT1P56qL5Y>5m0+(v85VYyUke}Gp8-z6<<=78Y zA3fWsw2B^gO5h7G>bqoYoeAo`d?$z)mA!ATiQaD4)N?`9#mx8n!qMJhSgSjl=nxCA z#ycNUqUi2g+dqJ9wG==l{J`O(IP%*2m(l(TDYWZ&fQN5gTsz-&$a8Dvd?%@JJt_95 zecV_@fzZWsFN!}s+yJIM$*oOlSk?oRJ%ZFi)%NGUkkTMHKWl#|c>Z+bm+Vadj^7~f zRo*6uzAWUgZl^Z7)VX4eqv_5#`8sW|J2j&f6baq+x>Hy8jhJnomdEy$ z`FWS`ndTY_1s(c(zeH63D*vdtW9;My=0rY#>6ym(faLI8N|{(2QGUgKx{AGJ^ODCI zxOZHYL927Fj_lhbNT{lYmO`qFS0tqs9aD?iNWv0}#<0oh0F$7QmXQw(C2N1Zyi2GN zo41T+5>Y?8DP!@4{5t#G1t9-aaPa)5mB%yk3?4BO#yTn*MxUG1iqD?m+aen|rJ8^) zF)>VQRP+lul+rP^4zKR%bxtmxTHZcDzj!6%?d%pH=1?^Ai;ipQ{rnv}FeD=Dq~?;80Icy7n`v|^Y`w5Id75h=3U6<&CoSl`vVYvt-GHi z>4pWlM{3h6GRM!oA7@RSqo?PtN@HE57mzQ5xdn<&uI}DdL&G0u&k>S(cf7-g5c-c8 zSYwDN-`;%YLm<&UA`=urN-vs`^|AvD?mp<;#-?OezL!=pc=@WNyuC|%$H*E6n~FnP z_KWHcK*!E;H!-Py*(f}rqUPuEIeKobz^aD9W#0#JdzRis!=S8L^X`jQd3t_2Bo4i* zk!2Ik^%u;1Bzi@kXlRARq#l6C+@gxQC3NlOkY@$Pp*C0T9@jRXBjlAePR{E5G>OL# zv}|H)#*P^nEL}q*OS-?#AL3F1sXLXghtHES^XqyhfxdY*?n|@tKZq#o-Thl>eI5}@ zJNsD%*)B|ByhA#1wt>P~qq^7D(Oq3*-}w|2by<`Z3D|Bd?E4a8N+KET-q7U{i73L^4mE|ae2Lr7CXO(mB_D$_`;G+&C^H6 zy7msiQ4?FNAiZyNYV9;Bqv!>_$8)}67mugPy+P9?(@$#`FjPIt?gEF&xm#BLMcCgn zW{CX=Wa1OQvKMsqPGS>KGRW$?#;gHOE)mJfwId=544GT*I#EP0ahEGcd#Wehq zvkS{XZL^T7vwP;EwSUOF-jVP0KuSi=>(OxcbiYBP*KV8PwwcTUl>rxG?=>=DsEW2;L6g#1TX;pc)zL{C8Y}~xJOwC&3QM@Cua8!7=cU~bvpcB$q-%lD)IdOa|9UPsKJ}%)_PjuZSX;o~ZZ3BFBhxK#&w+c>t z?w3w}n>Vz4HqOFvSzh;kKuFF8oozNy(SX9|^sezKKJ&1_FM5^_;!~L}DYr@09Q*C~EPqu{r$dbN4?2-EkBM?$Rgr_LL484MJYY)2rn0-?SU zUUDFA%tb|T=HH(OrQJPeL6oq@dtd(imio`}Z%_Gntwu8D!Ol+9FiO0slc~+sr!+Tbl167k}8qxER7>V3Q_2uZJ zSmf_8O8C68?)N+#<@tZf%2#-gIzy_!~@~i6`TJSLP(qqL?J4 zk|}9TztxkFO8%$Q8@0iXcBq`phRVs;r~wl=&%~23hO@i7A68xesq|i7M{Hyx$WiJ^ zD4pEh-9J1&L4&pNC`)%c0s{plv!QMhi9jG&;Re~@FYJK`1PAmcL+RviHhGbY8>&S4 z`Nh;Vpx+(V=bY8%XlX+xMu@U?LmX-7aUUYcz#t^zk6aRU4@0w|Ua}p52sc3>BH$iF z!6YP-s6fi-M{rk@ilJ`uPYxx@&D}r-HItA(HoxuuZRAGL$62`U9Nfk{ynKh3g+K)2 z2fX~uMj#g9&!s>FfB~GpVE*RX+WICQdg#knjohTP+FiEezr;M@4Z~R#w4L*EsU=thWjR zaa5$jgk?E(SaYkP(uJ%t5obf!swySRqe^Veb`k9i}iKUn6YZ zolUyVM4109_TWqO&s^-kNHX_I{?{tf|GLosmjhCI@86qx{A)x1cT(;laCU>%e{JYz z0;JY}|G6IGKQ{FLvK}}YB)y)X*UTEIbP;E9vFBx^96?rP{7@YC^Zrevrg#JJWs8kk z*};gz#`@JPp%+fQngiRF=yO-B7H+roUihp%1<Gn>saJAv3<@rJn{I#TkE!co(<$ z{h(_=jJJKqiN{{j89t!gTv&R>z*?RTn6i7LtcGNnbw(1`0$2HJw`QgBqtlf;m*={k zTaMn;1OXfOGnu0^H@-{=H4Nm3U$<+$nWBIECgeu&Xo{j>FA3-%Yf;j=a;Sc}b_Ii!%mSp4lnm;*IMRFK?m;ml4$q*KMJ%0+?wnb zB}W0l$jHZK%*08oMPD9R`03KGi~2t`#$>nd0_ckwrJB^<&S9x$lRdtxrQZ_9iBu~B zJ$_*krE!TYxq~RHC2az3pVXY7y|5e;lC%R7y|RY2#)VC9V0g^@`>D@k5Bg3F_JJc-{6~OV?&1%Jn6#|MM+cfQ#38{fc5yde7ZOMshF@J=-_(J) za3Hlq2yNt-iEjbIpjBB-_kgJj7fYXp0-uW!yG%0yG>)n0J^2)=?Q_|BPt=jYjQIjG zUKT5xDgS9N*Sn4vvB1YWEv!3GSeTQ8ZRQ+yZ`tBLD{*?u-H+7np@tiXCMM9#z$3-` zL5IXd+bbveTH(u>A~ooemL8#%@=kA{z@!0|kG34$Zc)B^cDI_B8bn1hW<6fsPn+W-w`7-MAQKjQvp5XnJ;Eq6V+d%2NRBJ(V-$t(6Q|b(wgz=46U)6bEk2#3(SNbof;tBwl zR*El8FHRZ#ue5$*)?H^FDU>hBej635jdt{Eu~H!uTp35Iw{f`hR>jgB{^zAfm%?a7F0+X_{n^B zQ2!^uygj=8CZH~qiMR)CrEzjOHYfSW!Tv?P4`w+v(K?q5A7F@6b>R{QS{9J`Ef^nnO^L`qXmb0_F7n&a5=9Se2mD1>8<~(|9g?%xU_^)dDtv zMp0EqGKOO_b0oT4hn>W^LHU@yRyy)d#i3`y$`7NfP<~0-g91eIoWDw6=BBMqbfF_47g{8)-deo@3{s?{b#?sSk?%D0w=z870Ve-4iLE!Y8j?i@jzhwIK zXr`OZ`RLz#H<|9;_{v?CK!)|k^MfvM7S(FhU0TU_|GCkpm^#7hk+BAAx2E{z=)H)+ zM-xUOfK;Vs<2<3j>6k{}LeTScpPUj)b&30~a@b9|J$1)sMu4nT>pZwYp=*o(99_4X z$!5cyMLaRZH;1H~gGJV)MW8Hp7r6>fSbN1nRMP-)Dk`X93{-2{FG87O?%zUxlGaB1YbYxaU09ailtnVSTfp@l!+W}Kr1vt^&| zN8a_b9^V(_lio3t@~yaZWj&F)YHvYvB9s$B1aL#H&*2x4yG zYmQtCk!tI|s|hUYgyPZUM)H(wDL%^9=jCnLxvc+qcplj+r2V$O(c=mDO8JG}^yKi_ zK;DhylE%Db*2h+Y%RPcK!&N;R2|*PFAYFV&!ef@azg*^=OnfU(m}QtbUDyGkIi`fT z*tf8lEwGTS4p@JbP9+Nk5r?amuP)$wjRdO-GqU8z1~QHaWPxny*HzaVU8>^lvtLH+ z9|>>sA;c9zr8G2eCfMUWH>(4T%C1Xh?zmCJukJ`BCdrr-G~M&7_OC5YPLbZaoK(96 z%9Rar7_f8o*OQB$Exq<{j0pec_Z`6*^33J5$~5Z9BFH+KA^W615@GdC->{o?R!7$* zh%7F7N&IpO5z)Jl2VI~S{N($2r&Yk($Iy{_WGnndEpom`#w!xLoa_yeeS#*?v#mY; zq`Ea7wNHgbFmY2SdRFE=HX}a+!Q-K?B~pd>Kr(;tQP<6!9oZ%FCxm`?hvSSM6|qIz zqxasfFGuKckb^^EDY3kARg$SMMNcFtqWU<>oN058OfY`(##S9k$1Q?(VHaNKSH`m; zoKAUb(+(fqFMPgZ@{Za2m0PTk*hcC!@LnV^_6T(Ls~nN-dP~T(bnoqpuo8-lxs2jNUPB7J9gpr1R?qz*88he!8+6?W1W{u+U2({ZQ+HM=9+tE>VEQ4zdG} z5TrPdhf{2_lL)6Bb`p&8o+5f^4m`bbe|)%Xc6CZLM;Tl{@Nl*aSuU=~UFq0)SLT!b z7VSKV!~r>O#^&q5v$Uc^P*_UcE3imxnAEs~#(+=m75Sb`JcS^6|1)XA zlYwbw%~t|1>+Orfvz{57Wz>UnqVXy4W`k9(MdZVbwP< z$r}Ci3s=*qY0JCj_0NrCz|N;-7n9dF0lt&VIJEbXkWt()bM-Gn((?(++=*SMl2fmh zHSs(^djwKQByO7H((a_rHfpDsvhxUvnLjJ1_Aaj1b()u?pr8ZSaA&^RxYxB9)=Y$m zJEBR|Oza-<$Q$_QcEGZ#B{of9@BX6s_Wjhh{`X&x&hzrWe$zj`@N@T2_nu$zpt6RM z4ahzqRz`n;#El`xuQ_-PENJ0Kw(4{%b0dyX&uO`N|4K2PVw6G#Sm7%q`!i- zTU;_~WEP&d)yxr-oaF(GA?u72Gy1tl8R5mZ5rV-LaaBz1-8a@`Y<2?@ZsBn7XegSI zsLDe=xhFG%YxwZf)CneOP_e7WhG@jeJ=ZKNV3EaI`#{M##4Sp@tY?b0hc&d#SvaZ0 zKQ99dFZz?P3D_0Ak-uz1cTvkYn&?tR`FsL)l~c+Q<374%?B^>jU9SPfEO+a~`c=}0 zL!p}C8C}?J1A@^NxNkmUlB)I{-ix3I-3FoA?FDP48`GY6Bm4ykCD$`o<&#U*t)qEz zN2;0IK*Dt%4^JVbp?Wu|2Kv+R=Ylr^x(1COjV3rJO!t$tE5o+mx3&|B3%df89OBL{ zm%W&TDFv?FFLG&EO3ijfNGF0B*}zM5=n~i=`mZa^@0$3CQJEzxI$zW{oV$K|r$opK zRD51=d?nO5f4=oQ_*I3+n6+oatz=8M%ZXg$$I+=f1hh3zKesKeo@dnltP=VDS_-%A zWBv(;^1hiO1zf^c`dvV#d%T5`KP6?6g{pjFnptKQgF{Z&KkydgB&)4@8LNYo{y(=df^d(aoeB#)6GSKxS}zq zlX>A`p^N31)8L#FvBV>ej3Xen`RaSLCb4g%m~28`!$fG#xyhxO%V7XRr@$MG?=xOS z2xSdmu|$VdRzt4Hkww~ojj_inL}Z{3T3K(c;>eUS#;*qN2p zaB7_!+|-QD-D~6-dEZw(fnQkDS(o1`TGc7lAa9fw)=xJI&tD|Ivn9|yCoT6lT~PGl zaUPF+isIoNpTdyOnh341+zK#=A*KJ*1|dAY7@6K-BUxq`gRjQ zt*yQE+RVi7g9dnsBr8YvjeY=pOQ(WT-a+@U}IrIZ4$hq0EPW}uoU&}^M8e3KDdvYiK zt#abh53U zff$0w2mFDSP&SE*0z@TnqI%9z`bnrRgwjda_N42U0u}7(;<+yN> zOa9EdjVkWe(n7hkpiN6x&onUTO!^r9+m{^~6;}aejWgW zKm`X(PtO1-DTH<2KYl_ujL$19`bQuL;TuXEC}U^?>>Qyz-WioadZwj?%o-)6MHRlE zWj^K+4bUZm58`=zUct+kg@v!7;=6(piJ{-#%Gwql z^2c$BNl#K++Dva?pprdJZ=%0_yOx>90MFGhLZ*v~>12f>)Bgxex%dQOB&Sx;RYJYr zVVm%1g~1VFDrPDUqGBM2MIEO0&e=1u=K^Z{T>7;_PEErit3aaw2MoEq0acrdFyj)s z$yH(Mr~0ki?xw=Deh&%?p)(vN$Ci|qSE3;1)bt!S4lXV(9()qOCHgF;z8nRA%8QMJgZ=j!01i!U?H@KsX~WArSYF;s}Hb@(KdsisV5c+>nx}UU+VpQ;HNr1(jm` z-^x+p)D<;(MLF#M7a9=X`WFM7-3qlh`WNT^7y5ftakk3;Lmlv9KJI=Ap1R-O4KF9p zDR@0W54?3tay|3F`1wr74ikML2%eaey({^}J)zd?K*_@)=pe4+rY2=;bI@FRZwbIq z058Y3z46PRKf=tGT0W&eBFd=QU=3K>W6@MexZQMNYZPEk+V;KHOSzFiAf7!MvRqq! z(ZN@D1(DGr^pvTvFTWFXkGSx5@iso4;aONsUwAc$7NavvU6PWB8yY}3lt&~3lyo1Q z?_YR#{i*Dcn0>bY)LxE6#e*7pc^n~o-`0#PU*P%K`;YKQcRm@;5~D96$=qk}zo9nE zY`#^X`#^@5xPk+iE^kcBW#-@H?N_%$(6L!qu8b?IGUf#t285Xp`ek^5y)CXPHqJ~I zr|f`4Rf6)mtILuqBR$!4EbV0Oo^2&tpq=R(m#UeCo#9QWz{%SFL z(apX6%R#LL!mPJ5H^8uCAbAepys0l%*543z+%fv880B6fyTDn^7@Bd;CrcO$k|qS(^hX)41Bk9}8wDwcNI zmEd+`Kd)Y*~bNh3p7<9d~l@3 zcPAPtX|+a&n!v{Wz^x}SOtzVtL{c{4Rw6#xxCHttdgSbvM5bTrcCv%}A-tO>x9G2( zV0bHu_i7z@8@1)_7Z7u7VTGfz}22W>h$$O)jHsU)UQjM#Sui4L!b>${o=0rL&XgqrQo+*xqQq zUFO@Dw>mkf9;81bHcc9dVMK2SpZ7GkCEstZE{OQ(e%rtG)Gxl@F;{2g3mFTU0P$-w zFOVumD?)6#L^+?CAFp6a+UvgfU5mrUoXD&?1N&{LoQl$?JsYxU-)k$r4O~aN01V{1cna zJNKp@)q7G1r#QVP`KkF%bl0d#$mLCBm+-ZjXzqaoRb9=d)`z{D`@^w9 zu>yd0I1zj}@A=lTr|H&bN?xAA{HbfmrwDIOyPrd5L|!_($MOe&&f)1HmY9?Hi&reX zN=_U+nk)>n$5~{DR{1Lo1@P1T zuP_v#;)4DLg+Xaq`Cp+hKz(KS8x#icyzpP4Fu*4u{3{d&Jn+o--=Hw^R0oo7c)YYx z?6w$zphi(#8e}eF4*py7?-QUps9)~1oE=rznuP9oRcyS6BQN){?jAKuOhfwGufZYZ z1sTPldyLJ+%!a^ubDyEXfA$UY2#b78?T_#W=k;xVW>W6eI;v;wT@`-_mPo#^U_4Lh zt=+)yA-j+~ExA)hNb%WGsz=Gb&${vxJY#}!M^oNJ?%<8`^8x%)jG8D-zA>BnIQ^c~ zLgQHyN*9py{nrQO(4NqX601_Y<~r}kuF%n8t~;&C_ji8VZ@=R~LT?PrK0;CRT? z?hkI+wENy_y(3Z~+-GTvE532p;c6tC=Etn9%*szya!F#UPzb3tS&kH)#@Z-0D#@-i$y#@xDd$lPu*M>>yTV-AS3 z;pydccG@96VOwr0YcgKEsgPh-xsT_kkIOWu)r1Y+KOnA^dX(!cL$N zd=*j8qV-7LI@1UVs^a98VmoJtUUgi?RnSUI@jwfT@y7kJ`66mSzkd>E9z3;e_Wa4c zxSfvsJ)S+ZZ%4#InwpGQySjqX%cB8LMFmijOTMr-32bwY3(il{>?|WqbB`F}P5RzL z!=fb>Fmwf?;;(igJ|E^SLT+;f$$v>j${4)PXTO(2DcJnuG1f>AkhkJ{AF#0ehS+FF=YW%kN$~={F+-tQ{i6n!lqq+A; z%?^+!OQHABCMD)zGew>KWmnx=$ek0XE*+_f++x9oO?BS|`1GR(R^B#W3((M56)QR4 zmL=^44ryXPrZShY$G|i(O!|9hQ*vdI=b5fQ zm}0;4^5^ofT*EcU?++Heb|_AFXe=%QjcEu17-n<9gA|_a>C>gb8xqQ z@HlP2=KM8Hi@eeC9vLe;*Cq3Z4GX1MiU}6jVp(9uq=}s7^A$w!l|hV;^Yr`e46t&qP}?XC zc)k|p5~#i~(j;a$l=$JtZdKpY`y2cUetDn+L-b9ozfKux;m$$--N2^SU9?`-Xpsbq z7Zp=ELA*@BN_o$Em-0$2Q$$Uzrm0K+Y>C<`zT~6Xl@tDEDVYIU5M!7w`ka6_FnQf! z*m+L%5!H1?Zw>pDwU$ZOSFIw~EC8L}{^7v;mElv?7MnL5R^sOFGrl#RVe5=icePTd z<`zL=$iSWMLARMffx|_L9*kMZ*0-br*QMTHs-X?}o)b6oC?SOisL!SYd@^i1$n3)*Fm_YKy}kP5dwB0z4Zv?@BEs?H2vtF~bcTi#-Ma7oTv z;i<`62ER^o@;$(;a1O8zf^7ie^K^SYmzlMAIgeSHJqPua(rJ`8129YOV+9HTxE5oe)!022q7 z2a2G6M*^O)5)lzeBQtYL>)*3c0OxA3NH{qqC9SRVO%IA;Y@-GgT^$@AAD^-Tc1SO{ zxOoI`!I}7PFXvrU9R?imn7{)9VydeluYjDrm+B?(jGx%+NlI zD}>+yrSkdRjDp&$L@3V5IyQL@3=AQyf!nhs}V zWI;NB3OLNkg&&~5!4=A~3q8AkxKKAUD>dtXJhHG0I_!7mUi4X)hZ)b=a|_N_;gr@3 zHJkNDN}vp**I>H_k`LBvAn_0gKO_MH;g7^YAOeuour3*ii9iG*iD9lEk_sx-P``#C z;kTJkBqh|Up>7-wul@UBefEXup9O5mK%JS@L2Uo?PybvwzJ2!T{?G65O4{%FuMXn> z_~wbcmrym|NPhKDeT-p37T{e|9wqB z`m~y5z2~_!TM5?m1jDUHlMi=uyRTKTyu2x~}sYjGA+oDuYw1a(q(*ivxQmmM)3c4i}mOBxfSM z$DAJV{cA1WcQr4H&@(0Ve8}om_%!jbRdn(3I#7#IQV{5lys)kRZjYFv7{T0L8^br! z33faU+tmf)i~;Fx)}Z7g;e54HTrTl6B32g4A9so9E*#iNf(-UsR$YMVuG%EBSW0zO zz6Muf=n83dja3h=G_GDCokRSW={_g0I?zwL8=O4Wm?{+TWBWc~ZQ~Vc#*HI>Cq`x9s7KAVbpe-sDm^Je>c+XmHG+pMq~rTEy8JtX(PrCE z!T095o72gOkz?<(>q@OCMtdS$B3bIb7ZMu>2h`_1><3#@UN|uMpeXv#Xf4a^`fHcn z#oO<8Kk^(Bd&(<`z48OUFu76=FSFdgg&lQZYbugCtn_2{QcoB~@zX~85*9-p5NX}A zFZF$@tYz@LrU@O91Ffu1X~(@g(X$BJyI*r(`hwRkSTyoj+(BkSQq%`NuJ0#FObyLl zzhOs`^Ny55fiu}JnOX1U2=M-xJ5%J%jr~k*Rf_mCJ{`<7|MomlpFNst69RL6T literal 0 HcmV?d00001 diff --git a/aidatlu/test/raw_data_test.h5 b/aidatlu/test/raw_data_test.h5 new file mode 100644 index 0000000000000000000000000000000000000000..942141f85526c34c2fa7fd638451c8602b4f053e GIT binary patch literal 834868 zcmeFYbzE1^)<3#wq@+a<2N0x`F6k~QX+gRhrA4|_knWIBB&8%Jq@=r%?o=8<5$^sN z&-tF`e9!N>_dfsJ*Xy1)uf6xonzh!vB-B^}qWy4)m)92>-@}|J3Ew6<`O3h~V%+xb~lV)D<*- z*6`o?B^1Qe)PD0LT>B5djHsub$vQd_U_!f+G#V zuX0~qOk6=q%|KdNRZ&dc;NQt}u(1D^9HI^LzZ>!=PDL?(7DLs);}r!GMMAKUqW_9% zxBr`lgv|oaEeKFSZojJho&QPv+uJ|MaQtHVpW^?YWH^7x{F=G`wu+y`f0E()#fPB% zpYTsI+`l-9|4#yN*3TK_Pf{8|Bi zH3lxH!v4i6iu>#N$L^TF2Jsj1KX=$(zbuIKd*BML{tA>o>(@Wx_}4t~@8bSvw8HlM z2mk*$?m1X^e_H<^{Qo)+A%+Su?g;#u6LDZaLjVcF{W(ua%SkCn8n78Ci76tcub=Y^ z!q@)D{fY0tmt+6;a=&Bz@8vlDz1;6O|9d&ke=ql6vHo}c*N0Sa=&B# zAM-9QJa(ASAA3s6DJUT9`PZ07(*M3r<3IyH7odN6FNNzXflL0h zgTd614NKF?-r4Mty^*bf^CK$@3$sU9j_g=)3CF*d7{G7PW>}7#SaNJwPEMMpF3tva z4yG=)FpUc#!j1Kl#*XF4gUIq?X~M;DAs?2ek(H?tmgAj2VjOTKYzQ89_CHMQaD@)$ z=5PV$A1+Qf^HVW9tofHJcAh_6ynnd3U@pF&y6*hp;()vLQx^w2%;lu{yJrSg_Kq&j zPFRi{90-06PApAl%SUETmJYV2F!5(q9B@_tMKplB!ohtJDJ0(1QA1t+2xzees?0iw~IKl{Ro z=nGu*lfv_J)PCjR=5zA?B@Z{7lMhSoXCD##aC15D{G}XjCKuaZ@^JIG*nj5#Vq8ZD zTcbx-&K_{t&xUcq4f_j?>!<#I^u@`_0^xK{mPU>U|KUcA2p2rMPR@_aoJ}lY5-%2m zts~dZb{WBK`q-M16M3~(7?gP8Fmtw2jS)j_tA8;b2fmtkj{@BY+>>*PlqjwAj78ShW&$$g%5TQ z1Oc`zH|!YyAi$>OhPwa<%+GSzvfRHc>!j&qLN z3lOG;3t0a9d)~i$kNkhTe?+WvNJz;4vd&#){`-llf#TN&;S1BR@=pf;yb%v4`n`ep zE#3!yy%+ykZ~tEFf2jYlz<(_89}E1)0{^kVe=P9-WeXr95s)FyArTki9RYFni3C4y zAR0aY=k)8Jo)1tU)W4ME!<+Pf$^tsQj}}!tXIXUCZzmHYhyC0lf?wS_W4Jb4Bc2RB zywV7sBQa_#H90Qj(i408wvTbTcoseXy5(%)8y_v~m~rJTm{VvH<5s*OLR-$=v>08R z8)i*hb_LtFjT)7Mf>yEW6=-0fD{IMhx-{p!HTUkZ&GQC*Lu8_L{qQ`eQKF2`^_oC2 zhV@GJx3Kh#Y|5thOEzcuA2A*!mhqSJTbtfw(8o0gw7Lu+3tN+ox{`t8lGw-hHwKYb z+SeX1DvU3kdk<3y09L|)HV;Ksf^KmPKCjm?uj%IRe>GU6%?e3*Kznpn>k5j2C$_Ca z4c@n=L#&5;l>5YKE(`)B8Ru2)8Q0xK`{n@G_PsdL60|G1$R=jmC81gmA2TSE-^3R$ zVRf*ai@cZw$rx8Xt**VGt()u}P8I#s!DDLjVp-bl!;xrV_~MtU8ZaGG{eCZ@?9ky2 z{i`3;9MSJnJ<28;dXaAC%kR7NZKr}@yGVuCZ-_oW9%@p1ko_+F2gVLMT0Zh5MKw$M zSGS%HFmt$Xx{Eb;*TdA@YnrgAyBl%LtOSgCUppAmw-mi1W&i;JQIhYY7 z44xVV-pOsOEK_(!n_xA_{{9hqzYy)yFQeZGUh5O70I#n%J?X|J)is{3SUcB5h1*T= zSA1iWV9I@d=JU92T?a@AGc8WAg$QSHo(Q`y8t@4%o2S}etwSkd$8?}`>AVT{4|^Sm zDVKGbIPG%R2~o$cry+}KMBY>_em-^*OE~ioT&bmskV%(>vM9M@?F5CJTE-d9!<(50 zgfH(8OrjK51C1M2pDCb>_ho~(6B0+~eP47V>u#sV8dXq|Hh8u2#{$9Pns0h!$MKx% zBGuNa&-(Sa(ah>xMC-YkNuH$a&tZbjvZ6qxZB;oJiv=;WVPR(1OU=w@$x6FHb%RRe zVf!ech-xmGJ{I?wq>s|m-Sd)po~wfNRPE)M^C%DI-jyF+0ADBI%_nKA2Hmu{Hz=C> zCT9)d*%Y^KN*P`5cGnO(_XF*F9nARoEk#0@OG5qaHD?Wz5=-12Zk#hJdlnlZ;{o8d z?UHwILFWFXB>_@L^#!f%&Q#y?x`C$gT=ut1s*5#1b^Sco(-nHz^tJeNN9~U~LQYBn z1$rF!(IZSM0sJZtO!uCo7%2_#;#pkXx#y5}O6y4NBlWfoY1P9V?rBRDy@vu~%t z!U}vn-_#7|NE8k}*7w7fBJ+#6Z5o8=7HBqamfuLIJKksVeEtCm81H;1Hq*t~d30GDTg>{|8?fIZw9#^i z6Dz82Dx9o?6~I7@aZsjha9k5?{kkjP!y3%UJrsHApPY1c%ppuaqaRr1IjP!8U+Dd4 z(>)zeP_P0MzF!#%qv8XdN?yDQI_H(1ds&>Il@(lhi=P@pOeL@poLT9i1q(A4Ny?xq zClT0vHpkn!*?792ZD7Q%Vj4MZ1(dHiKE$tL`X17N^{SGwg4Zm;<<7hBbyf2|DCWb$ zJR!iQE$Qvrp>b4;u!=CUc#k-f#=Cs6Dp|vc*a~I$)H|#|Q~R1^DnDkH5xrZmB}N+>2aN#ayg~6J$X;S70)t zk|=cYK=qsMveLA)9NBQvHMy8ysWB*Vmjcyjy)jM9Pwe9DUq5!0k{4BWhc1pxpR4;cQ!L zD9>nVMklVw_`OJMWPYIO4T&w?x_&WDI}k>-g&xvy`MF-G!@fz5LsWrf)ZJ2taZLI! zOeLhxBYS{As#(cI-QD@fO`=xfV%ujiz0;-3)PUM4cXVgO@ zp}^;U1;JTk`D5BAjU9sDBa!Dl4YZvf(pW3kWIK4$`)32B>W3&i^a@bq9i6b|MPBAH zU1P~h3XM!MPjdL$3+%+ep|LidLg{secrGcqoaehQWe)5$-lfsT4<Y){q8uZ@HwvJRG-rQ^tYI2n9=f-%&OM84n0%(^ zl!9w0*{V%@d|MsJi6h%a9%8;s)HLi%`=Zm~rj3!-)w`8`Vy2@(On1T!Zo0gS&$l{t z^A%A{`223;@VK#a5553CC8iz`-`ovPQsnD(3@Z+}P>DoU4YKr^A%Dt=a+3wX*19GCyz)YdtB;~! zAZvd*26Z+x?M+d?N?Is%3!t`w0+Re0V;t|zIe9MTzlJUv^P4sj$UcnX#vWIGEG;eK0jo$i$3Y=FN$hV zRL~D7^uAjr{Q^s28f6wtVRA6t?-S9Jh<)#^&n`fdw6&$LM^(Gwj8Jk#Qo{yR(P5s~dx=otBp7knh9f-_5? zYyeH(`Pu!Q@)F&9YIj~xWATaTohH;?(^=k0#tH1f7X_Cv?iwWYyQt_ZKcUx59!!rk zJbc(jfI{Br4?G)|x3?Kf*uF=lQ{sGqcIP{`eHkA@wSE5OP)UQX7JwRa3#^RZB2_1J zws@7fWoj~ZNeZdsw1{El@qHx}R|D)tV7qTL@$ECO`y9!T`6<8LleCJul?{6BzIDF) zb{_!^0}H#ee{6hr-}-k{&*m`^HFKA$BMf3jd*{H{A6kaMDk1k88H-eUS;yS+9p4IC z{VQRfDT+3R43c9UEj^r;U|Z@Xee0qi68=lgxbrK`VY8kE%_EnBZ^k91HZj~Wv<6P@ z-iZbEeTR}N8Lvk+P_7BPjZUACYqkx}Z|%{Gm^}sE+9??yW_Flj^1Y%nyKiusc2AS# zR-O(d;!04yiEE?*In$hxV=ITG)d}Cj!qcYss>9eNl|n0~mau&D`xn;tfl6l647IYV zjlI(lH7%F0v8i`R;dfN0=h4lJ=M=QOqCj@x8?}sLN~cBrILURhas~5v<(#!wM|?R0 zH1yNRd7XgtwnVSZ*g78VZSg%OTO3KCcseX^a?RfFP5syb9uXT5R<}yo+J>C8QK0 z=pfw~rwtc;O z1fHg3LFHCmi^v*PAK0Thj?};1c@$W?=#=#lIb!I$Fe;#VD);yUI^ElkI1T3}Ptt`{ zW0Fq@u1G06Z3-t3u3p>*_YFM)LsylxpZLbtHStE|SJyVqZ()mw-Fpz7+c0s02V}~s zMrVoa9~FpZE!VehArF~}p3fdVd@AaSZZMb~=NKgYr1n)hS5ELKLe zu4{|nnqL;bM<*AMN$;BYw8ov3@oG2bI~Fs)h^=$r$F7lW^vk5Q3??4I;1K%?1)u7D zo6H$ZId|XS%lB=01z$(vmnc=2gs111?1M#Nwb^$beOtUM;=7wzb|xFYQwTJmm_Ra)NyWS4K+dL*Uhy+bBv z5fnFw`9eg=DfD6G8`-tEGIpc58F16B36J}2LTZi;^6Sx<*M|ASkg9j_#@(3N4gTU4 zDRz*EMib+fnKMBx7Z@pM;UE0zD~**?<2MpEDLoVW7s>BH>j0IwRz=+zDV>UHOkCo| z7Al^EjQzWLbUv$)&~=$KuxRZO7*#VvHb+Q`MK2jM=8Sy7U}-(9`&v}5>85M|_k>vG`HRlweTn-a5y=x%3#UxNI$n{L zNGO-^$pC|-N#*z~27!pSbLE_=T}UP|wVbL^`zXUbht5s1fI~pf#_c>#nW~;!Qe6I7 z$1*(0EJsb>KfG^4Kwdq?v3nP^`XTohyveCT(XsHF+2S{wU%f&pZD1S!5eW?^Fr~W> zOz(0?={?VD`Zz9a>6wuyZSFKJcs={L;2RZ zr#Dhjr6G8Dc!2l)re%)BM#j|h*DG{eXRSYI@1sQFtEDJ>H_b?rve{c|9&QLman*$^u~u%ON;b zvW-hPJ|)rAvvukHv_y1OSX?Cmk2@?mt#B8EQr8@m4emiiw-rsyEl)4V41JS1Bt1Iu z=&W4%4=}HrC!g|y05xCHvt^O|L8$_~d>fMEqQ&c4AqAZk@&m|914}0i0EJ7X30X`s zu=Nz1l{0SHSSY>dw{$tTnV*(9+T96#<5sWB3+mWSk_)Q7EUjX_C&K~8d`+UOyGvGfAE%Y_R!(xO z-#2oJNvdoaJ>%dOif!!#TS#tcLUzs>jm>>)t-(rgZ@vk zy~yq6)sjw9!BG_V)_Lfok&P6AzD2_D7%ExF z8qjYVJQBNW@DPO5p|MF@wRU|o+_^-nm45j3JMC?CZFBe7gtm$4Wey48H8ggeRZu}a zkiLC>{mWH)vf}aLhR1 zJoYQ;LudCWXrEgqXBJn|4lk+)ZQXO%HN8Kxi|9B<7p+r9w@uG4Qy8OP74#oHyF_z^ z3N%8`cEmJXa%NnMy16lSeRUiXP(lt#`|oB6%T+t26#@nh<3UxEsIg;Ab^&3NwEXv7 zy+5#UU%e?D*u7~H_&fs?tb9hrAs03GNlcqt-eKU-w=W$1icCVrpi|a2e)NL}*n1aJ z+?4lE?^)5kz@GC~>@oNC-X)MzFpWT_jjDZr1~3TS(=JKfsH?xqqd{=xcF{>umXXci z{1=udX}R*2Xu?2R+1%|##`}>~4v}XsbIa;JEGZfor)K33Qfn2ruJH%}$(lnRznqfV z(HlJX-r6T;VdAj(mDV;}ZsfDy;>sy&2TWMv>TlkSqIyirtgNfKCzXg!D<&(B?=2l_ zt<|BZgoE_X-p<7@qOwntxg@MYOXoLF<&Er;+Fg7jKLP^T-e?1&nKk5JWkT1fg8NejQ{$fQpKWnwo}&?j}70ldP<)oSdAzy!_p}3JMB} zN=iy9D#R+Ps%mO(Fx=fe5O@s3GXsL2z>2(lA;{YoLi0hu*AN1Jet!Ni0uTs<@iecX zpzv)`QE_o`2?E%qrDbL1<>ghiwUeJ<;VEATbsEMDoSvPBAZQLw%=BFKB{J|@-FNA@D04gdPJUS41BLrbI zLI4wiOP4NTVPRq8!hOakAY^4{=io$u3kESaHxDn2JN*1YqGDna>N*C7hDL@EWQ@>a z0w+v;A;=8A%;B^}1_W8ceYb*P4a3I97VfgETUbO?R8(|yOiXNCTzq_d0&zk@LSj-< zN^0uM%IXhIO-;=(THwC7BJdHRx(!y>-UvY*z7W)D2%&U!b#=og=;`V0?Lz?W`N+uF z-v0i<;o;HI(ed%ularIv)61u)-@bo8JG($aMZ0l>mX;2o>n7qx4=ZBGfKVA>Fu{tM z4I!diw{Eepu(ERV32A6*YHDd|Yir*(gz$A>=<4d~=^Gdr8o_=RG!Kt(FpLmb$5UAL znIQx{M<@-2{VNQ{3txyL9D#_4h{(uDL@yIcE2?U0-ovP^tuur!*VorKd}wTJ{@6A$ zz5siiA%waPV*^&X39H=7fS_$y?dN$2!uo>14g$Nr(B(ZC`v(VyN5?1M;BX^vRYans zY?Z=<1B+TjR8mIP5Hb};hNH?Cf)wCOaUKBRA zuP0t!-rl~xex{;0fq}u#UcAc9%gfKtFYtvh3lVtx_H7ZOf5ou6k_-r~6oE2d=;}KJ z-~cPHsHmu_hWiJ1?!(adr%zLX)~05rhNe1!x>#^jt*oqVT*AV}X@nqLLkPly^<2(? z<}T3uA%aE-g^-Yt2qqI}K*%H{BtdV`;3?!95C{w~eGG{OT0oMf>gclYG@)c`2ea8P zBWXd7fx5m(D2UMUg^-+`O)W89;P`>z=4SQ^ayNT}=I!m5{4(9t`f^rQ)~naAv$GM= zlHbzR-6~@$hcr023@M_*Q8GL-4?)l<;x=XoLF4c>VF+PNe)=>sJNNB-pv^L5f`^Wd zfe4FB*x0OWY;5el5X6xIL7cu&plSxvRUQQ3sNh534jdZ-0zx99;u=QAt*Tc|OifMA z%*@R#EUn-GfJ4C6&hFv!$f)QTxTaWGOC0=+_l2MY_)2Vqu#;dU!$^T)_5vWLg4g-) zn_5~wer#)NZ|{UXzqzcTs=(yeYjY6Y4RHudq2zP6D}6Phot6Repy(`0VWL z2aNOc^9vLdG`gENO{QvnJ{! zUh9yLFVfRMUnIz99-fNAEh8I|Ab(RWLnJ8B5DJP#3l0v=$}d=kvY*xcIQ{=9>QWBCrc z{Iu9q6pI+KAd+6WLU#T7GQ^G~EWD1C2Z^pD7eeB`kmXw>Ny&9650bXb3rfa@BUM4g z+5rK_Wfb{9DNI*ac=7Y`NljZv%0 z(2xd@=Vy>0%=rs32)Z;s4nZ*Ue<2?N4sIcB@7`$L^u_YM80%#@sA`InP%)lWWBW>U zt>;VL6u1GQe2U(jOudTnA6 zO0KFAnqXG(E+wI=5p$>q)pCHaZtv?-W70@@Mv@<-E^}Zf#dP4YttMrD6|xcR_jic} zHVk!5b~vWhLk}PA;!6uFQoGj>%wW>)U=tdrk4Ac?0CV=~*2^-nEBlEHRH*xw)H3K8 zA$5hiC#sDs>7g>q0-&8%I`!D62vwA_Xxv|2T;G3Sy|}g79IuE&U&mgKJr>l~v52d; zp}i*9(x5;3`h@15pF<~$&0K75+fe%ARP+a6VEWxPW!C3?_f1K+&Oz?hL-OTX+RQ%O zmFoPd>gD&xfV2QvcvHbyQSQP{LScfiGh3g-PU~e$gKv-A3&QZqZJ@x=i?>%tWLA|{ z#NqS>8=K_*#?7ucXQV_-fa#WG_y8o>ttqO!knknOJ(1G07ml_oDGIeFqyNS|9Nt`9 zuF(eqRj8EvN!ob7h(enB>jPEkq>YK(0N;Zb088C3SJh9M z8=u>=Z<<;y<&86Gm)~?OaRXJ#gc7#P0cd3CFRpA-T%mRjGWNfle8N>So*7CixRzE< zvxp+{b^y4F{s`gh@1~|Yc5NSW9UAhugUfEwpoi|}9U1SbPHF<=bGK;iremXc@4j2X zCpjs5VrOM-7%&>_eal*f5!4KVJ%S|j2zlx=$A-;~hm6m7V|GdSbwTt(pxpY4>+-Y` zAZxDna)VA#mTjo5=&JZ;404iu8aGE7L+bVyDF+)z06MWbqpl?$B?$YvM@grWifcsY zICJQvcx-+UU2?TbI|miJ^UUz>F5S6@#lO6KT`%hFHpAntW)`2(-M62M%lLq+u1u1R z)H(h63fk7_;(JxuD#6ri2CKU*a|bTGgv96ot0Q4pG?sFMlj~^ho~bd})XK=>rQ1O?Pq#JBo=A2} zs67t?*ake@O1dUQC9~SJeG2NCwja5aBm$mNW3jxK@-g+?1)Z(e6}SB~7*cjy<3#F| z&TaK$c<&Fhrp{X^9P4HG*77JyWkV$OXw{E6Nwm^3x9>z|Pzeq;S@W7vKP3OrMkl({(@k~E|=rHW{ zT$EAH5wxEJDxEl^jbmiYx*!4p{JPEhYZV;kLHr6I$?B%WP6@uIflHEJ$6V^hs zY1ouF+{-G>Omrg^uYSCsQzxK5pa4OKhlNqNm?vj(RiUIB_X(3jY)f2A0=$F4N=j9_f>FHvNpArdow+(?rJ#hF6`3|)oH;zo ziENA>`9WY+h;%%l-etT8YTDP(SF6dG8(v)%eD&SE$+yArP=rghW}uEI`A$OQd%%^2 z5!`4&`%NILHy)QzmLAJfjQpgoueZxIq-|6aY5@tKO!f4s21xeub2GIY#{Bm#8rEh> zSX7C$rD(+~CsD!V#oVjFFC-GBY&aP&?iBN}e^le`PDdo{_k7e!a z#2gBlx?xcF?JpK~0el?2?{8%jLs-c1Swa>LBes#BV;2gnqYa5vWMOU$f`?UfhI)#~+yAzSHg zgOdP~r?*vyEWE4uxwxye8*#WZd3f9%-L^7j@EnQQb^uFuyZp^~baTOIJHoQ3OzffV z5{Z~3#D|cwy<*r|-DU79HF02DK3$D)dEy?2_h;>fhRuEp6w8^G#C`bfRht{M{;)cH zFnX6>&uC`UTxJDx`Bu@){e5gu{l)qHom^sPfVTWiAhpqCY<`dE9vx)~&l`3!i_N=( z#BYu3Ivd%7zW_thUSF-9H^a%wA!jWFUC-*o69R7s8b*FC8V|agVdw;$kR~6l7Fxa; z=r6G}d7NVt^25(b#%Sji=6bzm6W=O2xTi^CT{nPslk))u^2OTY_$zBv_iQ9M9u7Uw zpSd65qXEwGsnw=5ds4ezt;YJr)Alrt<~b5uu{W>yllq(Z4}^e-Cv4%Q=U-b_>jKLk zaiGetzwdQ#TCNXQxZ?wICE$H~kAy0%%t|}{7V9i7jy$`1 zq?p&Ml(KF=mPOMR6FI=>b;*TH;TfIklS^9h4)JUav&>Gs=*h$=NIr3$A7-UNPLp8G z(h1c#w^Z}r^_;z@o|0s_#R4(g=+zt8!Tug2HC!N`7e?GbQH{8!z&1 zqH56g2*z&aIn|sPAc~b{ziu8@!!BqX!69V_dCu4;a zz|~&NHkR+lUmJ}Bm<>GQDx`zE3S#sHsOC{7xU3XK48cA0zIF9mH=qvmJFh!>m&!%xwlUi}Q$`+jr?^#L#x;~pr zD(maH%01lYO#A-(cxz=I$IZfu6lN{g>z?@nU|zBAMw9`!jzK$#ryX+HDT3PF_q!C1{*#lCyd!#_u5`)jRiV6BW zhI&QZy%)`~EAe**2|162$lJV!EL;b{>s#ZhMC$zY+%MQKdck&-KxT%JHS{|I#7(MyCB$jhcrSDTJX91X=SrquZ@4B&Cu`TF8 zRC@O6;<}!zx(Xlq<)e`%va2Fk7_sl~hB^*f9z6E_r?=q?pkzfdxe01`3^DjH&6 z2=8lg@h-zhB}DLB{}r;U=`5anJ?tv~JyIVP%8QQMlma6TUnA0)j%q zBBDS{TtZSxT1Hk5-T^2o!JBhcHFXV5E$#a{x)0#f218(EY+`EmYv%|b8aX&Vgb#|~ zLn3z%#FpLL#~1kd2LuKMhdh1uJT&YDya|JMm9cU0@L@`FN^086^bC**Z+)}h*DkRe7^X4 z0V?2q2MC{skPw-8#LW%Dm_LRPDbI`X3&1{q1qs5M&xAIQ^ZsJs|JsTCeWDWyKG*n1 zQ9cC8{8RQHMgLQ(^WyrC`9sA2fdBQw*iEKiAI1*B&l?bozi9u9#{cod*h3U4yeFay z8smfHTZ2Q7Z}L9qv@3BU-ZaF&E=+k5DFfa=*r}NJAm}acAo3ded}k_nA3$B%fN zrc|LJ!8HgBidy(Tu(Pp#KLqBYtykAaoh7|*iwL)6Ed)~C(#=2zV$oNwPzX4g-|{S^ z;cT5?(|th0vO_EJH2hn$0_F5w0x*uTqQ_+YqVeNptnD!;ay@;iS_gGz2QC*qO1|yO zk~cw*n}!qTosTPlSu6o64?GgRi|)PeW=yb9tsQxmwwmDz%tq?oSqk9ghO>N6ZE(w) zEm|m669mRle6Qb~;gB^Cfjz~#`1Aq7Z@6#L>HCe}g%2un*o7r6-2+$n zF?3vXcpEb8ueRJ>ME6@!=0;{LC$5ecVykn`qt|W} zuiqi}7VpftJNXC$Gz>5fyxYj3aXX1ll@sc~Z_;0+Kaa225O2CMymr#<0^C^K@NMvO zErhNf#+VNmQa327SfRG$T0HKy4lc&Hy9Qp=+SJ#vVG-n!|6s)k9K0Jx(c+`>{-dPe z$_e)jWtkB8QT!m`)q?1m>kj8U@BXrI>n=w}Vt{oCzl&SeQVAm(Xq>|q(8&}RYb;4& zxB7(6!+F`6%|?0qnP3EgPfq@gc#v3`!R3-}bdBrzDLFUm6v^f14Bn$U3iGK+Y0+i4 zGuS8?9z|Pq(FApBkFJ??LlP!s^dZ}G$5&y zInRq^=OyT;O@;E3CT%+bM?48DOkt{bHHD=o?JkgV9rTE3jVzF6qm-mq)Ag9;mF@p= z9{;>5-u;?M3|&3I=~%N`nK>u1SBxWmN2?yRPS z`@ozY)z)Z8Gv$7&LbO79)>{hf`U4-yRZy?-niA`c!a|xtO;h|z6!- zVL`m{hG~FLwv)@a`#r~Iq$4Usx+5grQcZ`v*E?LgR+M-^`Y z7n)IC-$HwS&s#;?)W(}cwDlSnz|!UeRu1NLkW?5;Cj+Wg>}4`9)y{V#2Z3A3uY;G+ zoPaYKrPT{tdZ}#LAlBRT==yVgsZY}7M`Ao?OL#>NWZVJrj+W6d!?hNkoYPma=)MLA zrU~s?@yfovYjQhFfnRpOHv^@2@dYkqF4gA^G*3&{mYFyz!KXMd!jqc-z1%PiT0CDl`$zz&pc2*sIzM9Fc3k92JB2TznOC*^Tej?Ggc@TFM|DE>i#DB$+sX7F!9}%~{{fbt z2Wi&r4`(a#oO&&yhif@s%GWG>7~}ni8@gF=X z?Ey}X6{bbOXXGt8*sm>@A137ngF*RsZdSgbyyUmELt9R=#hGTrRukD*94wbA^*FxC zNdr#IR5T=w#$k~#+SBjeFUV)@_>rj_wOZq|4A~x1Ojv`|-TSXxMP1FKxf+YcK1%V? z`3B_H2v)oe!Krs?S~yDw*~Mk{UfZOVsL^|2hohf6BPM}MZ=DKD)8bv9l4T-QK%ClO zMQzHQa>gKN5Y_XTS5%lXpnvwcOii!?cv5Zr9SjEesI{915xEpNn z^OxOFP(!VpTr)OBrhmEa%Gtt$`sq?N5U%#EtlPtpUl&-9JfG}IRGM12=C zH$R_O8dS~}YO=@7>N2nS_8V^RE222pO&<;Tw6xY)CWZ_R(f~4YV`p`!)%(NeYN|MK zwz#X;tRlYWN4{M(SJm5G>zV^+xcAw38pz{VgNGOB-DBH|{Wb|XvJ2)PWA=15VU1e? zLq(;~hPJvVw*31KbAtByeZ{JgIw=J(_b8GgUHdI6flHyZ2SJ^v%iO`d2*KJi)7cuz z6^ol^SZmYC<%G5U@t|Ag#zVip@BBdpme+hDUiDOxnbfQC#>_8ek*8u9E*}C)DGgIL z@Vs(c$WmT)?G67B-Lc7-$jMDXW+XB54hekly4O{~`GA*;Xt0xfO*iwkY^f~Y?!8k_ z1?$4#e4ouYP<@}QU%oU^&j}s9&J@I8)6<_3d z5trE92UF8eJ#K!jl$bWF2|&U|MUMt==Jh+)sqg8Z=Nc3cPu+b53Ij-((mt2nSPVS% zJPN-Gkh1JJ)!a-N)_!O{{QPQ2wRoC`QtDPq`905db`|3OG_cK@R?ZNBbC>I*dU;sr zt-V(`nptTpH0=#nzTp%vF?ayUhegRIn6r;#9_ow>D@8mb_56S*PIpTA!-gV)P`W@D ztZ=+rreTn{EcE1aSZg;kQ-b?#=3=~Nr{&mm+6*TdOrR$F&dKMS(C#HO<+t^jXVPs? z$}6rz07Gj2%a<+U_*Q^AJTY-OGfr1#2jvotesgQpmn(cOlIq>~4QRfy>6ga9>b8iM zD@Wg{<#5@^l3r%gAP!S>YmAY{OZEcECd2$bkgPRLkN1NK>%l|En$vuW2f2>3NwF_w zEbrhb9i=yZhyWp?a*Dpk%w5t$UHlw01Ed?a=vpB?*Nh3#`Ata%R?)yw?#uftG;2<* zvrnm;F-OzPoLJ|Xv1>jubfB~zWIzhgb}+B)^Y z)YH}4tGFH6of_q7OWB8MoxQ#QC5iEr$n%N@dE55LAVZ_$9e4fa9Xvan9_u%X=`|0l zz=P^<<%VwVfjj6sD4#qCJ#U{B-`tjJ4|Y0QnY;2$8XH*38w|bD+C!a93t%7N=d9f_ z<0>(gL=(GBHH95dqRIs#w%-^%d$JXy=X$qS2I9q1+eV2AdZV|`eArn#%U87ln8YSU zxRZ#PQvvp>r5EnNeoFQx&1Twgsj$|#-xUL1_zNHtD+v)Dl`jjVRgVs?_ggLcXo=rOL^t{4FCNZNhPje?;{ex*dQElBFFGa zK8TUJzfsJ+G5%`t0^v&=nljB0DPeeM){{T|bh1FjQ-mrh8PM$xR zx+^jg zh)H%M)$ujkA2x09_5sUC9N+ikE!9-hz1!!_()xU!G0axzdy6jX9L9daj8F!F#FNa` zp8GkH-yNRJU!i5;RvRQWdzk#H^%Iiho6d;~fI&dPCveZmET!PXz#cBUkf`E)7r)4J zTpA<$*q6EQI>G3|78;dv$#tJsZ`vrRnRM*mQw!WT-LnnaX342kESU#fsW|7UcIHoc zWQ9VqA)}a%L;S1Py^slR8&@<0zO6n4Co~*tx_&_+2bY=HO2?+vHC?@Y`-T`e#C)E{ zrWH?Y1KcZ=95Ee}!O;n+3+tCi=y)W`XKg0&0)|W8&D=>?Ee4{LPUBP(25EkFWh zzJ1FlKBu>J^QdUOq+2fe9cqEN#{N@0|IDUdQOSE2j$IRT2N;A(_j9{epv&4XvNlfu z(RCVzTc!!uQ_4QJkIgKhU>TZu)zl5_eCIdlrPDzb0w3ROrBsgQjS<;%Cu7^#=l1KM zcm;ge`hK(OV~OSSEm^SR3K)HY^S<8}aLkxJ;t;lQk1A~&IY6eDF-gsR+t>oy0k6%| zuE?t1PunyfA*!1U%sls2*9< zDnBrdh{+p2L?)8Z8Jj@ICgpEKA@v8Lt-asQv5EBq67t@TOrB8*O9YH;mcPGH&`K>N zZag#q&jo4bj2sJ@vu7dIr-d!7yr!0``_A8Z#6p_e*yvgTX#P;fU9Z>!$hmO}%^i$2MsmgP8Q1fw@g$R$f6l1!c8}sQ9$phQ^_rjGPjlS(W3Wl0Zj4 zcl8h=7Y-;Om(_4^!K!ASI3=Ylq6+P#e;?~VH4AtwV{vZE+j^JsKABr&)LOeEW7j-u z*;JIr5R_*BvZ@pG3@uXM6xH286era;hy$$;e}7@N65|hwvqeFB1uiXgidC z=5;J{ivjii)9y7{#VaZ4I}ZLSb;1fZE}kV#nCx7B8nywWS{TMv<` zlI9k6uCrSf_skP1)r`$Axx`iiEFp2H@O%i_BrbL48iQeS_2QTB36~1#o*UIY)_L!` z56r)uQ{UpZfBdRs9huKFsI~KIZ`21F(_2PQORA29L==FdldE^^$2EFBX%p|D=P!#J zzT-Q_CYCjP9G#p#qrW2yj2yzEM?Y=s-Q<%Fsi>!tGDt6P85o`x*RyhVd%5=I7@+At ziQ2sWEIhlYjdPyV_3{LJxxo82A{#MvyQf3Q)G45a{>Fz9o}RuzB2JAkeTNT2N=p9A z&?Pceo7j@E?elv;?Sb{HoDCFBpXk@CKd#W)xI9iKrQ?%Qwav;ct8Wo91+R)*4^L@@ z#RFRV))?711PY34ns#}){X@%oXOZHs+yF}xt#*9ZX>^-WgHS0d_(a_3|Gk|*u^&Laxx_CF3xOF0kYZ=VAkGulCUgz}dCHB)Y zYMX+S>pH}o53~9n)bXf^&_z=UyM2|APA#e(Slkkp^vv!A8=tk^+l3@t%TNfY+3(*o zc6(aU)^~7*dQH~!Mc)=7DX?6JK|ZEo@U{Hm;uP`h*lU zFLFi}4bB~%pebsA$jlr$5C0dDl`Vs7Xy+`4Kd9;D)_XRCtDk+uVzKc}1F!m&KXA%s zi5Bn6E~=UU)r0l1vDXPZM(3zx1~LEo45qmnK&ix>KX(rouX@71DCDCg54wcGPMJ2 z$|{=|Pgr$c+>-wKNYUzXC8W@Bg$CSBX})yCA)zTGpyru~L?B;yl_mI7+?miMDc8r5 z*{us8EN1XHpuB0}I*XB4aC!GNA$Q-zoXX~tvujk`G8XpPAaC@$ka%=lAu29DorH<` zqo<*-J`6GP$f@6NIY4n<#s#}K82PkWvW6uSP6$hJeHf&geYy!$-SGXc2tTjIhyNQa zo!qqU_9VSP%`JPk+JQ0DYwj=3nc3Wfp1z3KR#Y{&1L1vV_hY7aaVT>jLQ+?scTC(; zb`R@zP2$nD^+O`wl!BYyp%tVIfg%RZsi$XJ+t10>{0cP(=RfZW&-2|a9Hs?%2gh!Q zwbJnF%q;MmI(mHxd=_2WeQ9P#INS$9#h$NSh`MpT`8fLfKw|avufxQ zwNAh)z`e>Y@*usixN2lqNYBPOu;#@8@R61@0)Pnb8>%g1+oK5;&K9-=42~P!) z@T(yWyjGPXGWwM@19FbHIO{;;=nRT3*FKt_D~V~N0IK0tywA8kpC9<4l3r{0Dk%8? z^lu8-cofep?n6!irBwcv)0dPjdiq#-wI2jzG_{Z31<|jpE?Q8R_*G%4yl_Kx_lsTY~u_;Cjyqu*e> zOHk~L_lc<{JOr~cpO$awZ+Vbll}ez13z4~n$n#y z_g(EUKCi#f7}$Hp!QY|u1>cs~!I^-+ok^K@pb|x}{jPfUITDeCo&|WEl8r1Tdq1PF ze`a$Z%_kK9`fYYaQ%9Gcg>80G>x3*&fJAzu?xSK}ua3n~z!VEAPNE)^QL#g-X5s!! zBxdLbP;KxnP7k`$u^na0d7f?}r|Nr=hlWy!-TH=g8-A9rKHh!I96?G#A4^e%q8o=TibnxijSIZyG=1 zu^7_o77oXVnD00Uxd*f8xFxs-3>wFS(=ah&dnd+oH&adQCze$%5Xi|I;9fF(DN{K@ zPVM(R4;0q59ysLQM5l}{tesM_T@x}1%rBgyGb`#H+$8{9BEZ!H9rgj9mI*SbHIH%$<7KYE^$3I7+TZD5k~s^-HsH4xK|D*H0CwoT1;OGZf}D4A0B zj*;c?-0sQ6OCH(#oVS6ChgZc8LTvBdhzpcmec$q4xuY3aGJ#MFR+60MWl4uNf6QBa zRh2hEFoVR@!7~Ii7y#*E5-e!S z;6tg48&k7J~Fm!+3~(46hs<9E76+qvIG>i9wYZ zSO!%Y5maT6l(GO0E^cF}D&cg#8KO$~JQ9qmL}pnC!Vh2gB}@sxMHPgi^vYG} zmp3{%Y*TKaWm zG29YC5H)y5y%|BExkT=w5pWM<)BadHO&xs$7cU<_KR@W0p}YKtG1Foob8v8QNC-4( z5HkO9mqo>B=q_OkrPFu_QU-67!>IyFJdC=8H!I;(m4%RF=w(A==fu?1*RS8ceVfig zX#c2Y$eQOd{t|(k)*r=;MΜju%3SS(#Lri$C_V89`{95j@D&AY6m*5(aXMic3gH zNjVT#evT|~Ae5JxnEIKj!;7(rYxt-8WHZg6sMMzB0Q z;hXRWb9;xSXJx~JC=(7%Wg*}NER4*=KxW9d-xOE8t#0Z5k7S0BndFaUhD;MvBe3yN z*msaIG=dAs+xTZ=1RH8oV+3z<^4quBx!`OBCpZTq&tpeorKX~y(O4uHshN?PnVFNDU(lA9fD8LoS9e}$upb5N zMzA9d4i0}B8{2|Dc|QxmJ%IHSE(+d19SWk|r&(CY5oY0zjS*ao)jTzuB*uoLjJR~% z+;AZAJSrE9hX<901N#(z+hc;um#<#Cg<;BQ1kuex{_&ddr6Kz80uRz&0|Ucp90V~! zBiP2q#_bzKa4^x_!ou3dE-(yL9-NOA8yg&j8<$vKQwz7JI#|IG^T=atNHtM0NV745 zw4f0tNU<>m_ZM(a(bMxC4g|tlgM)z^dA`AJC>H|QL4F-W^v{e&uvl2;5!YaMG!_Dy z5rjPpK{(*6K{z2L{)cUHadDY#U@0jD$Fx1odyI==o9d_lBG@_Z-Ph99Gxqk$LU1r1 z85IH>K?gr>hGerDK_yZL!=3>v6z&+{E&(d*r+EdaOstZUyySVTC{!pR2A@{LLCwjj zJdeCb$P5}GorMKmKkNb++TV<@V01q$jI~}`hP#1n5)A35qJ~97i!wMv4;Om~fSVmWbRlGzP+3`3?e1O3+MQ9>G?4H^gN!+L z0dw$zm$zSNTuOSd3X+RP^6nvdC@my^8upBr#s~vc`xwOehgrj+*^-j7KWcwuB={cv z7_9MexLHs9IamQ6ns9h@f{l$GECv}n8VT>mI&-G08zJcG$08!K?871<2`M5ZBO{}P zjGFrD^K%tDY4 z@IwIhP|R@g#KitS>H+f07{NL`L}{SJiT`u70W`d9Y{3{#%+rh@yl}b*pUKyZEMd(S z%p-!v2m(3viRD3@*vm<(Vs`u5TiU9LKDo#o@HQAcm%Y@MAN4i5rla9 z^cwt(ncjhzeEReXVlFs+dI9SR#q>7T1~z<<25S@R-1IQk78V?}Wx+zIr^Ega+-kw^ zlX!O=+plt)R^}HYd&C=6oPaR_UCNP}=nUWH-d4bF`p)yi_O`S3P2o`3dU@L!1b2f9tMn@^t{!LaM<(}!F2H#W+IO1q3za}P? zYa*{gD53prL|BvJWucMSToxspg^!8IKB#TB4xwT88uhHEy`LdV;Tr9F?Tv|0n-V$@ zJ*!iwlLGFv23)$biT2Q8O}RF#j6Iznx5IK}v!sJeQtK!na|H5O6V)4C($5AmQ?4pU zR?$9<+^D{JuY?T$gQ1Ly`UhqPu;-^0Tm6NU$|I$^uz{REYc~+9bFfPOmVgqzl?ILg z9w;C#XS65kNSuC&3&$@~@ogLZS%2@Ua4W5I4Qa4a(F45txd##)es5eq=k=DJ$*R}$ zSCMTR*f|%^wpPf8$65)}zHEG!U3!1Mec`*Z(NL|V!s!*Y;J|?;Flka!Lupr2YQXCXa94FYk_e(^z zs8mjHa;csj%gjo9TS2Qp6QvABWfP+ZNSF9*Xl^bibM;x5dy>$cq^?XaGZR##sI=LE zd$b!P&08U1ChODRgkxEKbziA7ZBZL(i1~%zid3}Y2bPh)wu+2~uV#+>={HG;Bk}Kg z%dKDJ)7R%Ms*B%p_W^2)%}8iynk_%osO!-s@ZH~%>bVJTtqZYQYzb`>n-BPP_T#ed zjm$ucqym)sDRchKeUvhxLIZ{Wg)8*&P>68|SESKesMa=KoZyFa*?d+a_v8HZ zlln`jq>^XmU}V0kZ*!5aT;$%+@w+Eqn=APiCJ*xm`bEEaN0WqKlmUJgowNZ{CYLu# z+it4Zm zo^KE{Y%C{Et@`57^1JAk>62DK(2;xd*$v;19^Ee@IJ@!Qz{AM=4KMn|x$A_Od|wlI z53U6~q-ZV~m=vSAM1ghrR7p;T`6TbmiaxXoq~Yfu41iWS{a-_UkCnWh-mR?-;wwty zt}2mY=F)$tGMs6*`85z^rh>89OT$epMQp0)UB^h9WsmoWKGX#UWV6?K($)rpiasx9 zaWedqm#pYEMNh)p!2%zY3kx$$-xZeQ=g^ZMgY2He3#t7ic-m@Yic#fE^(Vrrd&_Pu zqBy4c{+9K%1fW@qv4i`&&DsMELUD@sPtv(!_=eTVNg5KO=&u@mwfYU#a<6aI5x-lh zvQD{DCMrJ=su=Oym_f9YPi5Q`_hdR99MRk?Jes>!!)`bwF4613M&YrVG>zrlIB_G} z3y>c~0{H<$$3v?xg4G75KLyf*=~F!jIF@E>wR6E-_o-O-d7#*je>Gi|Cp^^z8;WX7LJ zI&X(OVe~}zK$D<6ATg%C>VWE%V`Mi zpRncb_Sw}vc`eT{92TB-&Xu5owjSKE#Qqo)Nri5Sky>u)GmWd<=HjGxkr+HbYPfVv z((w(z$%m2GSv9UP|pcQhk@pWcwI^+lI*IRUG z4668wTc4|uwri3ql885E0LeB_ML zcf2>(Kpm^?ILl2^@QjSwB}*csVli1;8tZ5LXNfQ1zH`p~yS(qzd5{CPI>9}~(H}E| z6B`?XhUZlBSUNa^LI2K|a<0P#kDK|a))N~~M!$W%&9kOtX3yyDx$cs4DHD7a_`zVT z*gbMKqs_*6#*|NW_&BRFL*TCc_6?lt7TJs-tt-B_Z{;8(a<1u;k<<<0S_8oVVh3BQ zE6k>Qw|!C&uteo6dG(FJbaimjuJdIE#&+!_Ya2CbwQauXA3b*)Y=A+j_5FScovZ3r z>P7|v3S07bvYFi7&(&wQF`_yzOdo-VSXpeMBTqPlaOp}?BieRf-mJ=F^TYM`xGY;h zmvch~IMN8|ll2KU`rZjNRpXUsDj&BLefyk(A7xykGyxBqRGkeDWwTr`s zPEx9(!lT&Px@w!nC($CBImY1r?ZtstzkMYOUJGCo#gHo1I8X@SL?vWw3|w=YK`iS z9*Y4=a3);hF!WO}j=P1Wq@_X-w)+LUs9{MZd~U`E z6u*C$TH>qoYt-M)>GyUUAQB5CAf3)Tzo22LUrH!o+j_7Tej_2{LW%qDL%gY>_vzt*pG`# zm&NMlr1ZjGEuPsLntieJdX*~tDkXD55rYa*DOi#Sn@*8ktIVj}>?1DqPVRN$YHsf{ zRzR)1JXz`7_63y`=YP~Qu<@asew0WL(?u0+J!2P*5#;v69~?N*r|bYXi;@&_wQoI+ zL~*lksgJ+9FX`0sx#~bi%}VA+K1tLma4$K^{?c`kwJMJ(>ALnk9*6BgRsM?_y0+M~ zsXrBMIe`I=_}WCJ;60qKb|q16Hz^aHC7rLh%}EbO*Si-cZn*(#r-E_Hj}#~RCdtdw z7I6y8j;=QuO0(F$cr)HKO?z4glz+4F?J>^WpsS2}J>=!`ym_f7+CL`syXjeV72@vd zRw7O16Uk5f6ixaf|0`}qnEs_3;gMheor?aIqTs(%(Z5m@{C6t)SImO{PG|Zep{yUldD`o-ad;9-MX8(#=@ZZVoUorc4r9j!L3}q|)<^kdA&MDhB_>~I^SGGB* zSlMzLIdx7JH4zjEsOOpJo>Mj`loIR ze`oiv2ToD{SI`x8N>upY+k5c8OV=^pf23;@ygrM-`tQ&C|CFv#(%-KK=5^~mkJ=bt zz0));74AoJQa~t?yreN7p#4%5thUn<%Z<+83*&Ur?aP_x{^?YueN2^+rY8CFUO-4$ zBDgrq79b|9x?9yANI3jC`!a|1hufSw-=AkcGDyo^xU>V-m0m5R-y(^4C-ku~XlrzC z`6GqxGriU=YP2^0n4{-9nC$XaPTST~jDKF}yQ8a!6UHKvx?P-EBNPyES8RBm34A+0 zf_~WZL?k9`<Y1_yVd=`hT)wv&(2Z6&Efja#U8_FU4o`FAVT3tQ(-QewCn0~FxGsTjVzEv zt+sXf>FNPX`H?ZLDNWRV3|kL((W*xaFL>8fvKp@*b4X`(#rx$IbNUYr;b|>cQMkj) zX(|HkXhkq?QB)e`phakfo5>e_sk(Tl*&~+`Nm=|IwvIQ~%KZ>zT{~leegxbTy2(9! zpS+{r_abggO5Sr(E~0!L)W`h{FjT*A#wK8~i+OA==JALRd3xbVzss4OR5we4xY68_ z7l4RPY>wIvuT!b+&S$}Gvf!tuSKU*`BHr}ch+c`&X%7L?rQF6YY5qQiLjeMjGuQaX zrg%Ta-0%Ln@&FtrO}d=C72s>lZVwV6l+138{eDhZoFo zx_J2$Z`Br1eF^R#Ri9DGzPUboTjRVKExQzF9yYKrMvc+FP$?X`&ug?MqB>+1_eRF? z;w}E_N!%AgGr9~QpemzOFNSkr{`XQy+UeBA2}6$pGmm-w__Ge&yQMu=fR8xpJ!=(} zqb9z<=Y{jG;aAo~!f9x4UOz26UVO1We+7<@XMjbkRQLuCgf0Bi}@weY9~fAb6#ti|#i0 zXRH-4bY=5xtLr8AD~;((iZ98d40;UcBJRQu=!&kwywd^$TzR3k# zqWO-JnbpsC#;&DryX~TrVr46R_I|Iy)X=68ifI*kp|YoZIPjN4+3 z?G@KcZs?Zn4UKEk1p^J-vv=!<>99Nf(}erbQ{7`R4{^sCbVS&khl#BZr3e6GWlx4< zR73gf&RAB?<4T7yr3X>8&dCydy;H3Z=(aS#)Cu2PwfnEyUd8%9$C-K^yC^5Szf@?) zF8Rjtn-G@bI?!!Fb$_(HKK6y_>g=Unv~(|VhDUccw+&g*&g(0so%^8Xj*cCx)rk3# z!d}`9JOx^t16M60Vq_W#2v(9HYgh&hx7zWoesAj2yt?7^qvGeu^^?$+)747|F_A8f zmHWABU|IFv+sFUcjSFC;l4_BWUqe8JWQ|eEUc>s6{rtBeXFwF)pc((bd+!EYe(tE+ z<@=-`*NuG(HRIBOYHfxm-2r&Smwzn0PNMjfZvandsPx`};lc{md9@3}ZwW8<9hKGr zelNO*-_k~kf218$D+v9j5DKLmJ7@diF--$e#C@TvJ>Wz-dKxo*_T%Wm?ab>|r^aog z6eKqtny9RPm^SOgo3DVIgnS2jC7vMzZ`{!=m$f@Cv+SGtzhl*Lu;CybX??Z{>NG`v za1>9>3p0zcwy;Gxc5>H@?&!ag{=!u5Z4Ol`Xo^M^#>_g%b<3A zZyoB*kSpC4;bySp6PE&V8yz|VP(%T=8g+-ZUM$yc)u?exJHC$*@@4~OI7b!4-`&Z% zSsm|MVsYRwQeCop;P2Q;_d7@EI*-O6pwBIc4|6z2Z2GB(-Gk;yn{-7_`{(MZ?;GX8 z6&dt|^Wa=#1w&}d@5}oVJdEMjyTCm?iY6an|84^n6SN_RLmdcCt`Uz+Ijt-Wedc)} z*Jyg?DEnAk?a{7TFj;c#6?zkpc!BP|N+9Q#P&-U99GzUXiAG36y5oIhh;LB zz@P^G!I4_?Hu=!E=?U3adw0NXi>!mo1@VQ6*dMO61%ff&c&EHt^N=Tx^?qx3;*cxK zHBVwwopFiU_0o;gDsl(4VcI++t*C0puHEa!l)2k!LkX9->(Jjt4P@;cZl9e7sWlNA zO+~}wbgnu)3AMz^Uv0SE&r?e7ggd&cC1lvB0#UEf^=}?)tup6`pFB$}N;ORqV9@zo zZyM0t^GQ~t>p7@#DbHffxccM`#eQUG(t)Bbbs*ODHylc53!f3XsNIzS+1Q`S?o+hn zba7KkL==RbNZU&g1PiPfj)a%{G|c1Q2ib33k!hp6 z%M_HhFaaK~u5RTsG>;B)xh(8U%vK&b*Yn<04z&8HYsc`>kxUe9b}_JBW@OT){Tj)7 z^=)D7b5{360O#-B<#dSkV_ zAs~+5K_|Tbi(NIz*5&Py=fHD)QU#S+@@bwjhW^(+L!_~aEuBU^^Rq}j$)JVF%yXbv zOy2c#Y&W0D;nA}@LcCq)-4|KVMw^lrROG_rfp!UiQPF`QY*ydmn2ruVo`Rk-xkWlE zT?T7cc?wEbJD z3P-7S)(;~6#BcoQ^MC2D8M)8s*5Cayt|b9TJWYIgKR)}X^~aRXhqoMiUUR>yI}gIz zMOy@OZtSm50k?t$lHH^CxE{KsPrHI`)U|O1F>T`QHNZ0X%KVHm(@Lqc zi-4PUGV3NuwmiP-cXr!_Zp~?jITgTAg3ewHzBJ@6<>MOD8hl-4?BZI0sx_$Xedz1UQYC#N7f!70sC>CC&{e_s6IXWxN|cdx>GUIQzhNq^4Y zmK-DYEw#tf8`dj#(CUplmC-z_3FoA8eQm&Rn;&i;%Y1U8d^{fVOa(SYH&Km;YH7u- z&Hg;G2zrnW5GH-10V#V@Hxe%Oq$hF>E&dJ=6?T;2894glO-d+&whDsGhBkgyGo0Cn zHKKRPLY{GQw%7EyD>u7%g$qfygLQXaF~ftegUH6g#V1y`xv2@g$bX)z+#Vz%Nu%T; zP6KxAZS1A$9zL39&hs7-%e(x#!1N&hL6%Z6?Q&MznJ=$^UDa19+S8I(3;~@HJ*9_X zjK8NiUrZW_D7fA4ny=)+WSeC^Ti@izUOG(r-AS6R>EY%#IQ3htozUb^-Fx0_1~_Fs z<~c^)ryK5hvW!i8<$--{Zr$`5Nh3?YXPM3Oe1gFG)9x`Yfrazy#X}rAAxHnRgG0OU zsLa>bVqaX~%l{$(gig-fZo1l2xuU4%oz2W6rd~lP^0K^U!Vu-#YwQx@3|fkGoFj%M z)t-+!zWX>aOL#%b!#jIuUQE*JQP!)%?l0h2)ZRHJC4c--P21WfpH$$6xOoOHfl$CR zLRvm8W3w*s`P*gD;OD#Njotm*2PetX)L%B3%nC@Jlay9?|3xZq2}t{*$J9+NE9_i( z=LmRGGV@#3@kg_U>6Bi5J0@T{9|Jly{3G(F`2>ZHoKtdZTfUSW^NO2=&@rpseNwCW z;Mr>cWA0R4ODk(9f1a=a6X%$T9bx@4Eq5kO*EF?tp3gUc*27TXIW308BY0Cjx2q3v zsO&hx4Je+%$NNB~=g~?63Wt_{cJUJI^I8*Jw=8d)Slm*xtLY^T!{T%f2#-mA0ZK<1 zI7GAppH(*>98s~{P!0%Uhn-ZgtC zJbYO2%Cf3!wdWqF#Ui>9F~xt-8)Vsu4oeZW=l#_+vHJtVFNDB zL$|IkMpwYK%7oIXq9F8cz{nKc@WR|}9Z&brisWhw`_R%R>03phvh9TL?k&Gp=WSgh zt9p5Es(VCr{={YT4U0=C>m9%)1lEo_{6gZ|4_=H-ZSP$YLB%8uQ*jGOplnhqXvd;YR4Fky#q3)sZG=9Gzq|L)-{~$19pAmu$rR_SH(jM zR)12udHKiW7nb!;)2V6#zqp_H?81^(85N^DSFg+4IKS@v&M)Sh);v06AMzym6`-}S z$}PB%P+Z$JaZXj&KZ@9N%W<>GZ!UrRD>`Cuj{w|xoX=`VBDITRp}z0jfK7lRS1stC zBD;JqrKN3wgz^%QzHj1^Qc$|7YU2@=O-Mp3q@ri*6P8z6wNFBEMal9JD1Y17&2Y{$ zCG%~~G>N87#;QW(r}0hW=Wn*^d+W5)NWjC9z>8+&7pl7kLx;bu{J5a&K6gW|K_z{a z!(u|<`X&L8v*oE;$uK>ABbAzwUD`ZxQ@F18%NCiGM?>E_0kyac(DaCoubF-%CAaYDG7GRd8N+Pt6IJh9a37rCn}?Ln~L`A#rW1U>ZYSO zEEko0L!PAOj$`S0C1#Q_0R9`!ai@}Q?{K_YyJlB@UKh!#`-Uyzj&ok_9oh7^nLyB? zdNXihc3(a0gj+AOZaiW0)dmiaRLHgSu37to<_(X?Cpj;A_#72gdC*tXat~j0JLY}-nf&#fmXJ+Q z43G?rd`{2dn=Bs4lQyulLD0(ba7nB}*F3qV@zcyN$=ks0k#|B;NB`$jcK&+?W|rYy z+ssNTR%u(m$yBrqt=)VF z#A6fcMP14@N-7c%&#RDe(h3T{Y#Uhkq`T}#RUY7Wzj`+|V`d9HYTCbR_B1`OfA|xZ zo%5T)c?qezPEXQ7+2o9*O2#gyoDWROE~y`!{Yk{D@z67?XJ5{-wr%1!;Pxq{E1D*K zCfay6-QYB_kjlP-MfAP%kf+uiV+xK;MPTOka!A=Lws2%@?TCX%Vhvx%#6Lc>ZEBw9 zhP=LI^8#QO_n(+$x_aZ5iJjAv7lm($IYmrRb}MRHF2VEbhj9Nub1wR>zT4wt>m_8_ zD+hSfdMGEAP9Y~}RIIF>B1(P(%dc0X2*lB&SQf=^tJ?{=&1^#=o7bP0_k5gQxpO>EC6sNCY)4frCB=BN2ZBc6j8yc<&{k>Qg>8b-&D>14xOA@P`!0iLOJNi8F2X{G0U-Kym&q1jXQS@hZZcuCU%+I z7>9pKhrj6Fr|bh*MEXS@ZH0wvW#k^f>-4bN1L9ggjsmJ{<{?$H9MaX3=Otu4LQX~Qng%FYzj$^2 z@oP>gdGQoLsarBQeEI3z9^+lED;mC)c!Z3cx1_zF=in778Fd}g0PL}8PWzDd*)#fu zwT%>P;xckt0Z~tTMkZ(1_K7J4t^rM*@i`_zAthb2ncbfTy`H z>PMFeF5S{~!66rr&jlo2C(&M3H7UirjclA7C7^ zLZFmHs$94Ky6-d42>(UKeT&R~Juu{u=)xVg3^B9-lfcj@<>djEJND>M@NRH+>xhiS zHT+53)-MVMP4uhwxwXR+971qW<$rXU#o$*1pU(=48oT-Aub$#x0&?mufhnI^rM08GzV2O84lMq>wTCZil9XRuS@VUM z(-L@v#Ff0QCg}Mob?-%W=YW8w!qRrz7rmc|q|?(fY9I~NwL_AsX)JweyC@mybH-<= zq}Opjbgr;J(4X9hDeRmFO#@40XQe%Zo+J$jt2(7+&GdLZyv(ZcnV?8S2wMH}Vo&i*aB2d-)P zy~GB!T9J#`q(Y#aT)DMJGb)#aGqd;g1d(fS@r{a*@(-piiFFfHR!)&%>yTK&(>pY$ zYm~(5Raf8S+Of2`c!oqv(cC0YOi?zUU~zPZk{Rht!O-U41f0i zcH9lKM=>MwWPCb$<^2mQo0P1vAfA+hUMr`p6K>{s!jduB1V$z{ekmP?2nCh$$ks(= zI(_>v3PpO^ex+DXh0&U6-O5iZtdWsX&5&lo>4`CdM5Ce1gtiZ6U&hA%kIaN|pODa% z*VMr*Kq$;$!8}0vW+*bDE^F`V>0g04dVgfu8pLH;7?O$nA(?nvnEC;Y-#;X?8Nq`n z6S7P+LU;f}4Y8P5SXtSi8pVK2SRAl8q08h#Bh=jIE?~lCVZ1D+V8kqp3WUw#tE+3k zx(nOHz_WYzF|*P{BeegM`w25Rj7?!ICUj_i{sCDCb0DUm&xCm!P@uuc4Gc@o!=!2y z6=xy%Fg_4Q&X!@CDaTw?G$XhWXuf?1@8Cg~24xylqnK#H8n^;lU>qyNnQ*{lZXS(L zVS)tzF=^1&ZDMj}DU1>5GvVvRh%Rbs8hDp>8p<)cECiuP!*id*YetAPAxLFr!7x+| z(!?lD8BE;lAEF6)rlJ~=0}Pmj3A6B2x~{N||8Pt^Ounv%=QM&Kk6~0Qxlk) zoWj&sOnsYPSjNV|!^0;aV8%pg{^OltatiIAWZD1F%o{?m(VK8l@or&C7~>a3rV#`Y zg`1NYro=HN0ZUR6MyDtlo1!qL@ekHyg}UuOS+jqFHve(Z_7LSgg%PZYu;nCU1WATd z3Y-HD%-2ktMi3+&2AM*+`NwW%X2P)D?Cjip40i15>hA7=^X-Mz2MZ$3{|VXsI5Il1 zwY`rqoIihJLmiApkVD8ef5SS0jpAYQHjhux2o4;~LEt)pp}SaE(C}e0cL|7y|D?iP zgvH0l$B!u%O!6$0(a=U?qIPfG5EfR`!LVpd>0#b`{VW7AFor3d@Y={2!Goj|X79pz z{X@gy4N{B@hlN=oFc78Bx*o*{6<6#mxn_G+# zq_r7A-oxuQV}ud|!#jKWhL)E9P)}$*gP&pj_z@g4kA&gv(=xz_n=Ax{5t;x#iye(& zp711roUqPjA-E8EVo*5gIZT~DfBwRS3l}e44t|3Jx#wL}G9gsZFkn{)_ATfWp@2r` z1z`mQ!g&Y5eiIBU1hd4USqKsaTfua-hzPigflRWr3>Ad(A*sUf?xt_kn3~B#aQ`?@ zxH#_k&^lxK6XyAg(4#IbLz1|%(mr`+eSLFlhn$isH~<^Q^q{PXFr1t74{er#bC!iO zlY={ve}bA}HZ>-k8X8adkWxq;K78RCw$3hjk5KM}ka+$Po?hN49lYR-EJ&H>5xrmo zxHewGRtnGv@+u3#di@&XV9786x_=UMOR6x68D$-8i!#Cb0`u00|L{(jvhy7#3Bmc` z!zZ3;Mqnl`CwUs~8C+a23*-Ad2^EP7 zMN;zuq5SlmN8AWY zk+CnRc;s*%c@i9qoTAVWFP|YGz5oM|Ul<0fG7bUO)>g0= z+@#z5U>m#eHYb8xFg&7xU+}VuN(2R>ZVXj_ z7Ggye?45;W#b`x?XrW6+V4Rf`!oi zp|AAQryNMp3g$2N$I}B?n2~#J1Yw>&y@?~+8cX7#S5ro>>{R#v z97r}x?OQI~>|ZfE(^zy5$or#jho)*=Yh}E4s7a>cH-KAL1rHH2c-Q|NvxmGp;lX693Ak&v1MUJPUyl%z|sid;~9NS7$!GmGRBm3yyua11(zl zGvyHnR z_5O>(RT+o)Mx8pb5rYW=)Ai!Giv*1V1+M;=NL|i>8I!V>zN5;lq`k%hD;J+P`4n>L zG)7B1L?vSv-$SIs5BRSg5{hU;4Ve0&cnZx^pgqNB_LeM7%rQ-AMp)BSci8JLO|pCk<|`^h{* zONH`z_5nNeWXOfR;#ZwVNdG#U0XZlbHE(tebJATiCoV_HJU%)SmX;m6e&jHC|DON5 zrOg@eyCq`wY9U*mqD+sy#yb}|-t;$%&ZP=Enu5i_hCQU~KuzQlk5$FFPmdx>&!n~* ze4tR3_-g)W;L)2M%d${A6g}v3OQMYGE3(}inj?%FR%10-+-{Q2Pvtqr&xw6KeiH{Q zXY)UnKE2K*NX?4gj%1qcLUnjyG3(7rFA4ZKzfkE1%v;{1u2%C=uWD8U{_qcO2@ zLL@<|=NRjo_csDKT_Ul0Te^1UBA<6=&ai`!M)p?g8t=6^&(wrhvS;?fRnhjY#Tg&vli#Kn&MmC$M@p4l0#CGTb*_-9KP5iT z)}dZ3*~5ZkNFk?k*)McY-dj3W>^h+EDjc#i^Xt!aKBuJ{nR!eUkQ*T9^eTD#SJ=@< zt2{%nX2M6TL=i#WQgeq8N8@fV31-|!p{sqOt6O;myBoh)ATFR?|*9s+;-^q)AEtG zEeWT6HkMijHnma$x$MlDww2=oT8}@Ayv~ea-+lXbm`d~4btCP55#Yg;aK7V?YsU7y z#>x3I;V;F};cfXS2QAG&hMjxO1zz9?olsub%_I7qPGpEqW_#E3Sal5)uPe#C4WKAQVo^TLM zJdh(S7D|2-v#HI2<@-aH{yu>Y3TLg+k!?iuO{?T{5PHpw|9V(#9XfD=rE4Fb4~sL| zh5XH8ah^0oh1;1QwV+92;$d4+xWok|_TFlX03~ukV7D`1|t4 zR5jr@3E_Ke(Ec^|ULb+ZxvU1$j^;LW5MVO9Z^nVP5V@Q}u(kuk3|* z6@%BzR)g?sstYGOwm;q1ZlRv0S7HRO&)r$QOBvx2W_nc~`-4m=UC59gH%I5STL!Gl z;xGO404VP~bfGuz{XSq6n7_$OpoPRex0PA2HE>k$X>YkP1TL>^t&};R`x>r3a1u>* zFbN%<6EAk$VC7Jn%Lmd=6mGAQKEKKZ=J95eXfH)sNK7OHAkqWv;Wl| ztca2>T0NGtk)6mSs~>uIZbP1N(^nMt!Yze!cw+Bqs{ju5N#wncn`|T2yCpvs-p5kJ zbxs(x(Bop3&2C?q?Q zKiePC@__GI%KP()sxSnKOPA%x1$@VWOCyPQ;R$29Bi1(v>s`~%dR)^|8&KC9X25qX zU*L|Flad|%aR*#iT6+4+t=!)5fh$W~#bni9YMjWs-<$hlbyI7cE`+IolKvA8&utQ% zqkHZm1L^(IOH*1oMC&{b_VewPZ$tX=Kt0>FO19z;VQ!A!QH7f^bbcmCFBQ2bL_;n%CYqu2J`Oy!PJgi3wW^E9{eXI@ zfvKw{xxlomwPv!0y?||zDL4hJFgFcV6Wn>_m6ej9G4l>*dy`!LsdstamX3*vIQ}IO za1pO=bK2*+pzVH+#-hAm;0Y0r;DL7K?tYw~xO1ITIWP`ai(3)+tS#fpL_`DQ2zGUA z>l>WT#sBbiIe0I$6AoS#^hKT@TX>?K!*0JoXf~`$$lByr1_rR_8;qn@4~yv&o=jO( z^e|o$^v0cW=(Hx@UfH`ubO~e}B>yaEO;Ws;loeqjD%=;<)#zkE%^|Clb1cXbFX|0n z1EZl+IlEC=KU2)*nfsni`mR3JkyJab;g@~3X6Y!w{-IV4lf*3Ik#a)q`Z0~^EPn57 ztWaDMuK1H!Y$1OkASAa3&-AR!a8a%9EOsbWbNnlUu8f?=BC0gsqbsB-&VcXdOu15b z;vWT?8jp;-*@yltFO;B+O-6$KhWzXeI|+f|?eXFe1^>`cK0+3J-E$FE90Z&m_yn;g zeWLV(#biFf>oHqELUl^#SW1nY^JclvMci{0-=gB_--8F{hr1#sAb8e%cwneO|Izze zautEBTPGug9GQgQZohEan$#%=%fPYb#j!(Y&3YeJ;Re+g>i37@ft0>_g(3^qYM&J1 zx8;BiL>@&Qtoo#G7tdh%uFTV->wahpuc|T-@=;xTy-lK=g;pfi(ug>-K zRQnVM{&)I+N(l@6J8t!_9sNs3!N22H|Ju>NbQJtMZuPGn{Yz-UzvEW_8rr{v7W_MI z^{=7*OK8Er<5vF~+P{Pr{5y8`uc7@*Xu-c@Xa5@7zl8ShI)T(R=afwz!dBKv%tZm_ z!t-?Cl+|dO9qZ>oCg%S6Q+QzA!ZaBcJh#(6g0NvO06gEs{~I3tpB4VUTz6qkP4o9J zumA5OTu(b8&V}Fi{~h2;bnze7y3PClkF`o2Bsr?%rhWP$pQ;{wGw$r;t4r3j%(@hM zR1R8?r^`Y8Rda!zjZc5f)<|9ar2$20Ep71+Ht_g9ftR?&iqD>FSYJ>M zmreJZgx)8*M#XfRvSNff)d`@y^0Gq|s&@LRZ?-i3LDm4)bMx^>>O3!miyUHGTMTc!cLmKkiO*j zxPF*PCN-x2bmoDZGI*IIyYX`!Reho&zb=|n&*)rrib}VrG96JGr?&brBM;7(3>i?` z*5>d()lSyEqesKjGSg)D;#R#_6Z>=WTAm2d(`Gg6yRW+A$GE6%oFT#MpTzAH@nR^F zOPf!QH&5#|@X4e5cBSj0*ID#c^|ib$fv3nq3%?bKHrYgt^li88s~}r2w4|bN+Bw7M z^TXoG`49Qt5=>G|;(4J#z7p}AVmx57J~if3DZ_bS&LUB zd04SPg0pVIeJuCFyckt}(=qF+Hyc^ltnQ+6&g8Gk=l#%~ASp#??}4vsSBP;vt#nC^ ze6uf~)WUXw6P<=fHB|=jS3tXR=Wh2U3kj)<@i|vt%U8;@*S<_;?i-`-du>Y^Q2rF; z-xHG0>2Se+z;KZJ&f%lrff7TiE3etriUa|B;$J`s=pE|_sR?lfeYx9FCKFl_bc3ss zK1$khU5(?+L{)T-2|xwZw3K`aePJH$bYA%yDiS-&>UQPlH=ETHSp^x_#&kdzF;M}7 zSgwk6-=Zm*f8ulL)|a1l@4Av~Cp6kAHU*)v zPg`Gx(&E%(gIW0&*-6G&hM7*&WMc=lK(mfaCm&wxvo|);mFJyhromDT>C`2~=MS$wpK2UKqwLo)f2>NwRIy&h5M^SFt?(@`L11=>rhqXDX!a$nrzW3rqWU z2JdXmVAR?0yYo@o5!xJyk{?bcX`1|hJrJ8~| z!1c+0?!6h6&vV5rcVaq|w~HM~OpjX%f?7#m7qG?*T?3O|qxO--Dq-v@J(NKffo8%T zjJBo%c)yf1?0?Z%P>utc{!b1aiQc|HBPmU+IU;LMGZd*GH~f^?=3HegXG_HcGv`@| z&!}5jztb)_WR0)v-pf_E=F_jAOT^)}mtZ@G0-|*T_^s)JR~Xd01Q{1@CF~H%#J7#6 zj(nw}kku^uOb@(R^m-XW4MZ003?^$g%%;{PoVddq+28gIQL{uiEWHJ}$CuAe?(1j# z5_rgnhC!oZn~Svk>rZrR0FU?cRhd%I5Gr1ZzPbDw$3K=Q<>=lg5|!Ks+-&!3!pIv2_bOcU-g2sB`nYK{o%IA62l=M+DHT(2!`~#yeK&MfgN! z`PvMUy~!RYn&TIlvGLWUxVZsy|A(HNrcI7wc7*ynQ#-nHxjHY2d*??}mbnxRcU!ta z&O6&L?aG(cj6YlWR3H9U@S8B?yZ!EJ*W9H+v#JBHT@Xf{rP-zvZP_S@+ma+C7;^?C zxG3?O#E<&G!tM5wo;zr0mrIjAsd#YfdF)HP8@|Vx%M2R^a`eK@%bY*r6oa-wDjV^Q z_?_!KR!cL&fre`XF@qo8sR~7)RP85eDkE5NK|Ariv>?VeQwt@e&nXLOP1| zOZ?x)0J(q{Yd!aW@&A-~Q9-|6<^A5MgYnB_f%$KV!6{5+_ohMCnAK&!xiy!{*q|2C zurK_nQA7cCq*pc0G42oJT!p7J5*Ap}6c>5v#-S#;A*S(gVxi>+cl9)BVE$l?U35$w zK5(w{J@ENP1h+1xJ9Rmdr$6;FYs)OxJRMgqzx>fPKn!qjK3Zp5xOg5WM=d-xf;6jh?uX35gYjzpq@m}JTO;#+yRPqv%(6i;|0~?In(=`XUh@DeqKDNNsC_4L z;XbP0N1a^5<$}(_MVqJGKMxZhYlsTj$*RrtM=hRfxDL*k=Tf*)@?;G$3N{_vhd%gd zz&aVS`*!qd^(oTSaKa9%TKsaWf4rt}ye{-C#_7q~UB+^L>-E8|y+OBRb(d3SaC~yq z#xqeEB-Bf7)M4`#`EX>_nu>{y*F(3TzRg= zUh|m8c|?7wsO;w0LGF8F%=_tl&G6oZrYEtg3(kk*npv9sv4{kOk*0z1?xpbMZcaH3J1Yhi&_n8Dg_7Zr|6L|$Y(Tb?vdn!1K3Tc|SIn+N; zmL?&K(I_XcV0!>YHe6%J6($BUIYqVpM4TtdbZdV&J}JD7&8Q)rTz2Iiprz8UCa|45 z;Qf8i>tG#so?3AfBTVnYSF--&0eAXbUEuPP@VPxT>I+kh=LFMjXBRs8$DEB{y58oA ztQP;I?0Es=^-QQj7-p!pS7MDT+8jEKZIy#<>qzf+7ToZqG*lV}$JF({B(qzCj7H9s zEe$zt&Fa)={qy3)6XJQ!_v(Eb!LmkM$NJ;Wl4jcv*{_?L2&#lBQ(t5jfhuv;-(eRf zWkIq3J@-ThkAfF&Bu_L$J`dQm^T)SfV5t#C6$@T_w;~R340|#9e*Nf{X2~2~B++D` z!fx^`o7onK#s8Mg=_7swrg`Z??mGXTq6C5W89v@!Rpj|*NU%9u9+1V}&z$`IDp0da z7>w||Jnplyvqtq)_oliDx0p5U zkOc!nGL_j2(uUVS&&JwK5l%_#x(3}m9RH?CQ_RzcLH(>^w%dnN(z|Vkz__mCgvh7$ z(GIAe(46v+B}K%sgv_3>_*|mTMxflRgL}x9$8imEQ)V$py+PM z>yzlx((P`lIK>?age1oqx(JP(Y`ANfQi4b80|3P=X!Rkw8X?W-9+@e2(v zzyZ{k?;4_8D)66g;a-<} zn2^&s#^Cifvt)E(_kc|qeB^NO@#`Aizj3Rgz3b~X9)pv6wO+{QZ$PGMSpaZ|cXsiDgMy zLl?Kn1De2$vUL(*VEn42y#Mp)3?rMIj-JQZ+93%Iw~ouj_^bwA1!a^ya1D5wShK#z z@FF~GWNzu#c1Ufv$BF1mW`-{AYb^s*Nuc2r^*k=WsQTj-mu%Be`vI%SR*lco^SYrU zzrq;!MNGj}trvaQ?`fob8sCt+6IV8R%TqKk?u%=~V7mmrRtO3L0R|8&zrM%0pZ^NNr5Wa2U z-M%bf=h@i(c@v?L+0zB)ZWYblW+AOz>mrJIg>4;VnmU$M^a0;$w@-+vI;d}fd;X*1 z{#cYUT^B_{?%HlYsT;Klsoi4b5h^26v3L742DZ*cCEtB)SSGw<;p`tW^M{%1nu1*< zHM_!t;Od5bVju8qfnP@5IDRmU-RA?Xv{u~XYu8Pl`1kRLfBJsIB_d`SoRCu9%*oe& zFn_m$`;{$|n%8wURf4x&TiBT-)I3rao*+Crv6O^D-XSJ&kC;sOu7-uJePG5Qv%2}K zpJY@<4g+8ZaN2n$XM8+5^A7Jl)wxikWO}BIiWB*r9E4N&^#mUz=5N}$r{Kos57XRs z^e*Fx8zkpE#JU5ZLuGRA=yP>vw9sA?V7bmuk=D_uFp6TRiw z^d{5mn1zT+NHMUo|N8|%#r3>-4g1?4jv!%4pAK=_4>bf5OINo=?)0Wt-elBw0rMMY zl#&hwxEGnl9@r#j)EvpE8y1ue&8%YGkTh`#RRYGQ-`9`GJc_Ee8961R-s_n-yh{QhC9(=n3T8g21dQksp;JW zlHsrE?4HLpjgj-pi!PWxY$dhWF}GJ(tR_^Ku!_Dw2lPgw+4L%$o%J%?DNMoBGFG;s5C{D@&o8C?DCHCOU8A__#&*EMX6^Jc zv8`)-X5O}V`8P4W87jN(6RGX|W<^~5J8>zH>WE`2QLuz?i@d$?i^S^q&6VZw$IG zQpT?G-D)1hAtZHpzk^ABMa?{na={6VD+~v!XyI7-{Ak8ePNx==Q;mDOdU%odZosQt zFG=Nh;6cWlh0BkBy>98)!jzP|;};zM{U_eNis9+`HBuS~2LVNWpRoMiiCsZ)Nex|( z`k`$^!>MDR?2@X^+lt0{4ke&r`Q&Ad7l*9Mh3Ab)i@$N!W80m(ar^MyBjb+p?pS&O z{}4$HOQ*meH>9S=mk;o1nT$+*0z)JFhem#HkV(kdfXC0mnpR9~bL)2sT1c2>gurK>XeMv2<_?u5P4nI2(){GRm zspyC&F%xKur9dqk3bG_r)D27>gJZt@__ehQIIg)p4PV{aJCk?x43A66{<``H^NQ#L z=(YWIhC?A}3!L3*KhK>K8lbETrZM<5gU_g((}w1kwxyqSpV4q-Q-MG6B?$u7_%W-B zM(^5qO+!epB%4=v1O>UCYaokqa zHFK*4{c|{1BulC~J}<7zc$M^yZeBqyv%ujgW-e8Aa|HCz$7GjzV4qencH;23YW(1V z$uLF9@0^OWRgy%x;$KHz^uVfQ?}ANeC#zou2abq9#ndLxG?c+aL?QoBouvU*{8aBPt(>tyOHlnY<5{qy+r-=4!?+)>TciA9>C3C4{79Ht$eqt zg_U+qJox#?bDS+qVv&+2j`BTxp+vxYPs7lqjNZEI?2%hYlCCQo-;*G-$Z@HgRx+U( z1zqFN5+Z%ixpNoes`}t}d13cU;sp=cJBm5p206amnn33Y<#Kr@;O z3W|zw@Tid3 z{Fm0ufv6^0Do6?ap^!{1F8)wf)!PfXv$3(UFR+bq*w$A#P0Ygk<&(1rf_(EwkneDs zf_y53G-u}){$N2&69<+&N84!$OcDBj)wD#!#OOkIkWQsR`)Sc4&2$KB!q1A&BL9js zn-NSX(u526KQK)+nyLg>(cIGVFP>>@2jepI5B?*nMc2JXSGJ3bd;PDe7VRK3MUc1X zR+F0{pb57EKm(d+yJk9!fAyi6nVH!+kkR~$XHq~@EsQ~E4n+fLqhBUAjv!oke0+S8 zjv%KH68i_pM9%#YLf9~Z{DWkgA{QZ&iC$rRd{Q#5zceP=REx$jVX60Y1YcNKTtf9e zq%fNygz5i(%$l$TlV*tN{lzfN%*@Qu`^usj0e>k>D=S<3FzBd^h=dUZ%cG-7Xy~Vf z5HwXhnnr#7`pw(qn!3M+S~L*tk04DD^ZN^9&ce1^;V;^nA&u#e(EZi)e(HieYEMsJ z|7Ua=J~R=%wGEx7JLrHgG=$dSJMldK@*zc;gONCWiViwK^W*_@PEs}qrqniG_(1!sq@RXS%^Nvfb{b$ zf*=e22!iG{aUcV|w6qLc{nd<+!EF0mRvq$su;L&tF-5yOLLFq=Zi&#Nj0hq3jCS!d zv#{OD(hkx^97k4PD-$aJCMIrRt|DR?!h-y;BJBy;=~GDVcy%afWC!N*$#F8?i^} zreh!uaD$<&DgPxoU0mGUJiMQxEze1BQQDN~TtRcpMMZxhW_Z~2^v)t^sWTRW^uv!L z18}Vd;o^LTKVZXz85%Z4i2q7RF^(;FK8u{F1~|AV1+qvP}XI>Gw-`o;$O_}_x3 z!=QV}_B@uFKL*iXaPu#YeRO1*h<|*Bfq_STnTaV#HAo4Ag(XNDB}s%n$a#7BV4+P7 zxCRKK)r=t8vj`;r;R{{#i~BGw{y{kX5z@cpwV|OYM9iQ12LuLLVFm>SWf{<;*?%;T z92OQ95fhh>=Kf33hkRMNKY~=CH*O_tugVk&a`MMOYT+mZh4iLuyuQ9&$l2y zWTD*}5AC3Zi1p4gR$o_Vka3nN1}$w@4?>5sn}wzx2DnftFKl=rxpe7y86h*wT-be! zwlD`dV<;)19tK&G{xiA(J+Q(4k(--a-WcLx3NISzNJt;b3caJAz?UO7V~9`J1ct9~ z&`Sth2L^^L;Dx--$j;8q&CT-0gmnKrrW4AA5WOGHz?X>63fBSk#Uv)fnCbyyy!mVb4mnuDxZ@f z2-EC29vU!*9n4o|&-swhz`sZ=%j_9~u+E-KA_#1}fuqix_Ms8y#{EE> zB@a4k3FmJwd(D&uK1*0&HHY^bHi6;nM_GG240h|g5-C2fX41^9%k&%i!Wte9EMD-1 zv<0|lIZl^e%=p05q|jOx|GvQX^$Y>qgR1xpS3{@spY!hkb>@1$s0v-*p6>xg=h|=X zDjCYJ?DaYK{dP+;7!4Jkf#Uc>+y@YumdN9bc=2oIXj?*{+LTNG_S4R}ttJQUW^mnL zvcsd_Lo06M>jCxTrBSc(c_r10lzd_pHu-B;eC~ioeEsmxPxZeid4;=bv#9UVm9^^y zcee03h|KW(+Wr#*KGhyP-q9hV2;8aI#5$DKJH_1m5ahk8Z(q0&+(P%~4`9|frS!^9 zl#W^{YyA1HmZkou+XjZ~9+7pCxh?+PEPSA+mr&0#$wMmFr#Nb9I$82(IO&i5o@Gla z&pKU+H=PVP9*rrK(wbt)<8ZYLw|py2XR?*B%lTtc4O$3)IgO^qlD1|FGT3 znyvx;1T~J#esO)y-S=%6^7dZN*;=oMQY`*S*(smA_*ym>cv9?AVa8OqFN>(t^U%G1 zDd@o1aQm87Cx;ommM;$RGDsA!NVxD)D2rwCqp(-8bZ-&gcP?Fg8zI?uMHvd%g}i{% zX{x@xQ&onY_Vv0znv77SYHEWJ81ioX-6H!wf&vd1uj6cfxE$`Dl7d-bpFE02<+V*+bm4P45@X)kl%HqJjbYE^?^6PzSNqCJr$4(k-rw4uE~#Sn32WLlzs$-L z)BZUTmWf{kQ;4^pqS&E{+atI5)suyn@y+Si3p4IV=gcSZ(;rFJgG@SAcL^c3H#Yjm zg)wJ!RK?m8^)|(N`Pb9@H&UNfl!1(jk_T@D$hs&rVHx)$JSKuRefYZn#Pskt7F}o9#A5Q zO=Jsk?Cf@+{UutD?@F41i@MR`ydk_5v0_@f{snOQYZSk$yXHG|6>pdQ{1Rzf93zLC z=WG?jRe4N;1VJ~j(yAd-*R?@xQ@z9#Y?XNKF1BQ-ZeuD;iafPRHM^+%PM1WZhgECS~+&s}6qT^lTEd8I%Cr60|yOw-2Hdi(vL8_$9|E@PTE;jeXM8+*nX-O!*JJF zaUN9L>!=i#dw96ZjcDB>yQkr@!~N2vF*M61IN9tN-^UKbeI)MBmwCV75wNc#Z*y(W zPGG82LPkB38e6j~S$hKqP&r#)dT4N3x3to1IzT7V7svThy~B}vK`f)zy`_`j9%#NX z;h;bL?(4e|^R@Z1@%Q=aqZRFR#&5)`I($CxP76}0aOeed^9!2l4HDS7dW$@l{vJsIP!K?8fih1u5pg#2@N0K4)4GsC_v@c%`VEBG&I*mRojIEkkz<(^a+PY?<64vLx;aJQ5RKmwwDi3kjGeAhTWVaR30ki~o^P94 zZ}rE9lfTAWp&^+cmkQvy4PF*Hn01%qi1mDbB_VnGqoS>OlpH;zQ9dW7oogFj5F=nkEj?Y7w4?99y?vCIW z=~EGL=U6CYgvD%-=BzVkHNLyV93yuZ-c4h*(Tum7-*3C?yCQ_=l&d$;@Aufpd_{Np za1c+=z#s*TE-O5H)%0d@3A@v?sJ&(-ON1xANkG3T0Xv&Zwv_TB$ehq~9nManZ+~D% zdG$+w%JiMuKwJ#T%8MP5r_QIe%fQL>`j*Dw$zhSYsBV-mF{z4D)#TN3!XCwOX;;J& zIR}Z)7w7mk#BBKr$to!%1Xc1)CGKM{49M(QRs^hAYx9BvfEVd*l5ea{I~FAb~gGaI6N#4CIB_=~=h)}zn63(Ha7gjD(N zz7}};HpwK|CYF6fz?OgD8By!rT^tgfwav3 z29*Ans|6;e{~K8QU#=FwQF4KBST!6|4Zl-`M?^-!8ztzyyBGD?R@OYyZpDf|=R>4XphySNq?MQgDs{h`2KPp6{JAI$$z0Ux9Ed z`WM3Jhs)>(+Hm_!9gs}T-7eIg`=QUjw471a`yTi33!QTts`80AX zx+q_pu6`iTLV^!&U_WZXe52eneGI07CzIwp~6 zvqj=zcxlx^<+gq_+xR8%_8Mvo9}O3`n?N}uUB$GVDj(-dOQ zKRxuHW#ocOzJaPkZd31WYkZ>({*d$j-hM#oPx~K%E;qrNda{57z#JwvD_$P0#KXyA z$3Jn;rC{;+B1`Q+oW16O_bZx(7bM;FTF9xiw!hp-7Vh+6>)In`8j@v0Iep<+O@dW6 z6dRCz>5^Hl+&+MPdV#F5?u}5XfuK|XdlX{)h-{m*d>{dM4OhN6FB+_5VvxKwxaitF zz1nc_E!%Q>%e>>0+wxgAn0_8erPRmqo70c=(IQHu5icvkBfRwyzOKdd%b0$_Ctza} z8@n%Pn)^(hNG*sTr;YNidXZ|x1+hKb!s|L$=>C9xGY#^PR|iWiC-DCM?(HjP+V~a3 zL8bQMRSA8P3?z-Zhk4STPp$DEvBpGP+%BiNy$>mc_i=cnJummc)3`r>q*>aQm#l%cOtFZ_McZmxq0{ zK|Ia=^7nXw(aGg@KPe^2N;cK;gRMS(j`~C z%bSzrVY`Q=cmsps1yO6jA*f+LS!;=l@Ta-)R=oE@T2L=LA*w%6s__XY&lPZ{n|BhqPUm3gYWiwsL=66@+73)#7ku+;5 zvDwn5B&_wdJoYeM&yN^Z}Gow-J+fQ-=4SqOG+s;Y8lOycE zK8gZAwSxTp*P5_id4$wm4q^@;5-Q6OBC&=;LDWS@9TFmtRn;T8>x&_LU$%qpInyGE zVsGv_)yd8ahm&IS4|q>kz|P6ljAPu{>k|lD#)YGiEbR@JRGf_0>96YgMuusgxP#oL zKG)50Jv%d1+=(Feo=LOU1fzy8hC-gLl@5JK2yF(Kg2v{F!Z-N@du7*_$7-8yT{Fp6 z{$|~BK71Nk_1yQ5wPzU@(EMHM+CMk+P~FI7LPNcVRdfYz)K5&m#EW|rxr87+)a z7RG`EpL~-~@7U>jdqmP*3tn&5tf&5Fyj5}g3C@HfiRubd2|h~iPWR^m^4HrL%@@F{ zkyWDno?GuMX$p>fBfSx~Y>f5qv1%P=T)~56`zo=b7Ur06LNUB<+K$Z{Eh2!=H7OOqpKlx4SKRsP)4`=OxqV^$yVVZ1yPr zIHdeh9-=zJrOZmvm%)|oKD?&A+*|527H$HJw&ZaLa~B-&>eoM7_3+$}Qr;DGiryDb zl6JnE)7FLsJ}0cWarOw=j=UdJWF_bsB>Hq_LRsHi<`g#GZy$kc2!j0crc3rBR8@PC z_C{Pv?Ew_k&ktJ8wqv?-TDQh+E`p-sMZM>wi`Er`_xIo13tNs)^-m(Qi%&?4IGSm5 zjVHlN7KN`O37K23|J=Wp`g*rM+V$}_+EB_spSe`#;Ey+}Kw*<06{Bn;@g)up_Z=g) z97V+!?KAwtC+gO5awenNN#OpQsz~Xo!5`#VMw)zI!|&G9uzMcH2Ae7QdRjG#6IFsD zoV0{?!`sy%hp&HS#p~RA($7_I;aDTOuvU)wWv6BhT)mbbB82fK*rt)Gkml_J3p}5f zm#qLsc%5?eL~%-M7vR&ld3$SL^VzG16UE!nG8bioJ(9_;S;(^y`_%y)CL2ET3Y%4Ra!^zM+ zsPxx0R-sN?@q*nG4)QxH4NkAYlJq^IOsgB$?5<}YSMgBQ2vsO-NlX|wI`eE-&FomT z0It!LS3f;g6_ebb_0ae_$b9nAZ{w=$H+sBxYX3GpZv%Mq*E=2FzvMp`lkl8R1A}w| z#iP=&zp!P1)RbKKf zYJW`h+s&XDDZu#Z>b-s?m0=yx3Sy3IRc{wME`w+5L#s{ZcjVI(2Wh~Mo0LW}?6WaX zjYsi!9|lo5JS%@dde1|Bkf58{S#I$u*ford>#TCAH`UubaTj%x>XWZMpLW?MQ-!4HSdYN2UjX3&*Yz-OKdeKNB;hIto#FDcoNogKE zO+Lk=y2x(x8K~+R!D6z>2zg-T>^3eTp!W285#FuV@qEa&|>YB#ENpj90R6%ko?hsxaC8SezbaVZ>v*4DSB!;QhI`!cq z5RIU-_Rp)HnBK&oQ2M-!eZk7ryN}$kMjXrZ!hr48AZWDUjN8!*O{-II9NxI;@pSPD zn~<#4!?23_-sMeta23dTMtvBYRL~2HNgm_S4o)AN+1#O%(hiT#XSl?sVNwVtjV!Cl zhYuxWRPU+jv%yLU8!qD7dV%l1c|c7SW(USu?vNASx7jUjAx+qXg%(F6T!@;{Hn z9bObSf5oJ{0S9EL+}AgA@On8;%`Ky9A0GQ@YLD$Vh zrjH9-h`4z8HLQapN+j>6wSN`7ZQu?9d?mU%gdFMC6zzl7c#NC+wz2Qc?6qB1imhib zp2WWdxE`mZ%dtOd*0yyiSu(MP#>|OEz1fmd-aNxd8NZvuy zd|sELb7f7#4@CEQdTp1MQAFytVuU)^$LuShv+T{p0=bl~Rb15wDf9Q6hCX2p!{bcs zimJ8aGpihYK-$9AqiKuLFC;UEuXhNaR6c5W`OrV5v9oUtlR*nQuRn*1a6eg<#F-`qL|6_4tRmnF5I z7dCbQ;}v}q3&+Przi}?{3Tg(&;nCj+O`rNh!~X!ENXe%z0R-QDRrOTs{-J+%VHcTy zQhuqa@5{6WVtuoaFQ=EYZ}@}4GT9OZpC39jJi%F&ZE9_uXF5-VLlWvJXxS}VSK)0? zl%r?hiy`rkM<+O!xfSfortoM1d(Dch<)c$(j;bXpz@Xp#2Bbg z>=8`RcOAuf>+M@bZun_~AJY%<%>a}(dN(S0gLUVgo*x@|zu3$+w+ z18iav53JmL(yM>$5vV>ewQ+kK@f(wYL-aN%+$9iFaPiD6Z&*9#@qD>YbFFF+-?gI` zN63YOr~jflSoXT98`3YFUDie}(ISjRRA)l=TuArHY3GBrGn(L#kD!%~TU58Kwd3TG zXJGtiQjzPvp|SNl2h;+lc792DXXijjOwP#4Gk)az>;fJ+V3F4ii>du`ThlYQqHA4I zHSry&71y$)7t(X~N}Rr}XmX1G;btqgGR4!^r=ElJn-nf-fR*R2KI+M7xVe879&HmR z(=!FTi{Z|1zA2gpL>GRTrw1C&fr&p)?rQ6WXBG^OOwkGmD?cv$I5~67Hhp-)uWk$+ zF3Xx&#V6PIs(8gT%q=^xh!vg#@?64$aZD3to)--1Nw!U@lE)F~pgEC4h4->LP-M!xx6xTsTCZG}2bt~=r zuIy4XJcXgG`2{Pg#`m3j=O1teUr<+@mnoUlp!-EW?+(3N)Kc|f15p(G7T)|7nW5n3Fj2*aq$+<>Nw_F+rsyHR>lQ9 zp0u$_-KyGW4C6I&iI*AiGW@O*h4ULY^EaK2#GO|rbD{23{ zgF$w0aFt9+^zDc10xM zAo9M?3HG(xfjJVgyXjk6f$x^;C>f%LFSz~&rP@A4>%_sym1{XQGrK2rH+8%NK7N^+ z#kuPfo{|m^7&}L|;Pi~V;%|4Xypuk5eZv+O4@>&|W%ZCv)iAW0^Cr+wo5v%z%;qVC z8~5Sqp8=AGb&9=*SIzCXA5^nxlQ4nE^g6Z4^|M?mh47Z2=d6}~RZMS4vH48zc8~7K zhG#B;wR0jSQ3KmSqMO!!v2)yfH|`reN%*yne?zKhVgnCI17pHCUBzW`RG?u*@CVlCXqRiuA;aG51I?xLp68(exrbq|WG4&i@* znRBCdyh=wtt*u|dFs)_R;$^W%qlesl(w5EN7dPQiEu+ys3H{=cZ$4gD_kG^nJ$@i0 zdF!5YTAP&HL1b%JACgGD-QgvV0IR-S+@=9v90ad^LkWmUdCFPgZVGD_fDQVpI z2zdS~zi}4eU*wW8iG5dqPj}mY{^YKjVNmAaedC}5QLQa$>nJ=OV6-@^)iW+J@pFOs z@v3)m=Q!>r?+u@h$rW;M%j#xzROgsLgwFo(h60AK^%_KO?rvWQ+)GPx-8t^!{ZY00Qfg0;4p&baTwncbke&6i!Ha@@3$Q&V` z(e z2G*&~N6PI}t2@$u(WR5Sqz);~8;mZQkJ3w~FMSy9p#x$mNo@r!_WYZja;gKvQl2j; z6f+BIDAM*0WUq;o&(>IsQ$R)7~S1PZ8hWW{}7ohlKGlIwXrhRD4$in00h1(?#GhYhZ z4|lXU<+YtZ8N`F5g!Gl87Lv3-@dZ(3@5ln=atD>sB2nlOb&HC5{hBlp-kDE&i;iR% zDG=*Pg;N^DdeY(ZyIBbCpzFIslPDxpv$7$62Jg!MX)g#u(0(aKCnXkw!oO*EE@i$@667vvNi=>7-{et~oZKrkM9 zGyg(pBCy1}nAnXQ64Ekqx@eCex(Yg;v9U2k(M(Ly?44ONf|#2^R5Km2Rq)voQfF3w z9iso}L+$JxA+;Ixm)V5w+E-|8Elpe;gf=0z`4>-n3k^2VUfa~v3|YYz7_G3$wpj$i znzJ|v(t$?6I-3#j*Pr+oT$L4;FV8_jQ` z;m!McdT4>Dfg#G<4-IhQ|EsZm7UT~JPB;a_IlzMIrU()`iy+8LI4c0jHb`p#ZJEis<;O;6(2*4YHMznpl0C=CPS4R}nVOR~e7?9xzP z3vIo(t*tFcvC%Lz)O6z5qvH{5#Q{!^=q8MBQ8Gi4OD(2 zsq=^UyEv6jI=0U(f*y%ui1r z9-;i;_CSrG4Ml$<+g`cYZvNiAu*nyiJj;NB$UyuRnqVg`_YsOp)h>WI;i} z=+%P51>ksr0;qOI*-*a!i!_&(mP2ZLa1`2HzkZ!SnZh={%_4A&Ksp>FfZl-9@WqTN z?B|60_{Wc|Si(7&`zycKv7yo#6^aE12@tnGCWc%yIRzyR?L|J3>(^0c*pe`A&LRjR zg>FL{wjl$jTTnGD3**1TA{10qY*A5JFEAV&vV4Oc+f1Vz@!UPo`R(l+GPR7%Vx**? zoc%FE7H#H{^krlo^()FN`h|bo(nm+| z0tv5-7ujY#5rlpATnyded`^n)afa)_g~nkK?%DGz2*NXa3<2%ga}Fe8efFFH13Grk z`JrTY7xUqNdX`~Fb2B4r$d4SR+Xb$m? z{~_~1n}u^DI;KzbJeE zI>$w@p^&0Sbbdclpz#8cQ+(5^ql)b`xUA&0!Xwc~Vt8sFr5f|`H6rMiP}0E_(*FFqa=(Z_Yk_Iv2I z6vKQ_fjgg5N$OGNM=ah)epp0KfcwGRFocY|K<7NB`sV=5|7#^(^iYz zfRITQBX(TVrsg@Sd_vNtnL<4^gvB`?Wn=rc^6amAu)ID}OHj<~YweEo@#0a~Nj~lL&ha4jCQl zJ5@{F7ijPNu8Fw05^3e$C>{7L2m7jj@!fAWzd?li)jL1$jq_s``+A0GYDCnS*ruDZ zKAw%a;T}falpqa?=C$>W^xZ^FtYk@Tu%b&_JI-}KeG zPfZfLD=aiU%bmM`s^M$!taRLs9U$!Rr`6N;IOI6bj=ah$8tDR#;^&!`|2W>`Wzm{V zfB22SrOwaEKS@Nj)8bC=fRnxtc+L}NT9?tK_c&o&IB4ZsGERaIR+0A=g}H}b#Rmgk zPl3kiTmI@06Gi57pN>4*AwJwr%4(D!d9pZ?u@2-1BEA_ zSN(R*);^|T-Wsr0#~2nwX+#=JR?=V)eG;Zz{tQO*ggn=+97ZDXMGkmBzVW}dNR;%W z0hgJ-=N>Pne^VrQqCr}tpiW{*zAI_trv0>e;@R8frqFVu$X7WY9nVi1z z$m{3@3oK%#_mKi)nWi~8{ECFVKugr}i-D_M4|m)x74v(EgMfeL7>B>7Si#n=A0-(gP4(>cAgNdvqySy!F6SZrVd-`W!LqrSp9BAdR4+i9-AOIeRQ0Ljm(PtRXaG|G6)veR`yHx+SIYjRH)+~ zf6?ML#0sP=HtiqHHDozu?W^zcnYYBh_xOQ8rJs!u(99s! zHO$_*^^whX(tR*Kpyc|8YI9zJ%6Nq|s?z2lMj$UC%|aeMH--H@48Qpg*8`Pyl~)hx znuZSO^n|XwVt)+UK0J`=DeY%HiF|!x(Lunn`|;4|?nm68!Zxdz`3c*@fch+%?uVpD z1j51W^3C~I`){ujdsd#(jV5yK&|5xqd4T1i(iiX*#JgQEpQYql<**{EI@}^TxRVK*~hRxuA5F`Mi0c z+Q#K(_=8&ebeADf(!%EIBoX?#7%(0^Dgc1YJ!}F=H_`ymFpx}#ktt4^ zL9=I{ZWyV<=W5i!g;xMhMzm*it@3hLx1^cEDa~0Mo^U)t8Kp_CBzG9)c>mc1AJ+N1 zuh;bip9$y^Pswf&%2|C)6_)oLv%A$VwCAvafRB^YuTptbFbwtR{MXtXQ<-`fK3k3* zZuGMK`f!D4rU76msBz%2@8WppGN0#02~29ZV}xnT6u2ytC$KA4vONLws@qP1a^7XL zCUH4MLAYffJN(3kMdNw{URa5Y66HmLSv5T3`<+>`oDp$WBJKl$BM%=lD~AlrorDGw z&zg6;f_kxO+~+LQf={Q%vw86u?a4F<>3say{Zx9m_NH7_KY~ZUef&vlpT(3}gx-j! zHYhElu~->iN?c`c+vQK?z9|bvh?sX3oS~s(pQc>=qfe=rI`5ScndTr>k7#|M z`@K7Q$jdM4rT90E)O5i+F(?#6hwR?IO)9_e@BHsFKr<|K$vz?LyJUZ7l2Nx}cQRaLrNU7G}yALhJj$SB^%w0cUHGIO5;l~XbGgEw{m zd-_Ox^4WE0@C<5wV~V=d`n9)cL&MwAg3*~?pkq;Bw)m!L6^aJpr4I3&+n;{V^T(ycDaszSHhQYOF88>g6srW_6c+>aPOT zw(JS;!s_eMybGLA8DIjcBSD;Pc>5(1jo~`9kRl<<_BN_@v`1F(H z52e+f_M3P;I6id>pp5$eSbGnkD4KQwcgaz*B*B)X0+NG(2qHO(U?Arl1SIF2a}G)d zNdh8Dlnf%0kqnX~gJh5(aC`l}b3CW+Uv=tM-M4ConRT~!dUkr;>3;fo&(}#cL>Es_ z0$gXxKugWzhdhx~fiz!zvGa`O`$-HF(InQQqc-IT;@(N$Jp-f$FHvtL$=6?Zxla}n zo!#Q2brll!(g-L-((R+cstnEv83 zvF)}Xb&b+h{pOL$NjHeg$sK_uS3!p9G_+Kh0}w8Eoo5?|XadtTSG0uXbfUQLuckeZ z>aN<^INX;^G6%}0AqH>aNgj`O_p-T89=Z;zmaY#-Ma`{dj!?a8njb+$gr<;g zVp7M4#V^U4?-tfqfzx;Rw|bcXCZ7K2!2R~;NjXP;o=6@3cq;9 z!6TDO`@fl3WCn%*j$#BTLZZv-zIW~?k=3R`j;^M z3)%u4Wd9YxpZg=&8A3q`^N=8OO~9Gr41Yjd@SjQBzo0FUh4*}lu*c^y?CJSS+WrM? zfw{$Bh3#L`_Ah7)=j#3^()KTC`}d$gA*|9RjR3sK_`|_oV}th?f7Yn?Aq-1BkKlxx zvzM$>bK58gZn%!%K`wZa3%)s7#Q#Si_Adqtzm?+ue@R$u)_{}?-_?1!Mt@WHt^de zMVW9y09&xIp4 z*;julD)8Xw)pUA*^~i&~oz%Y1(s-dy<7uHB!~!ep7jH@RaGjkJg`Fi+$GOw{-2XVT^0J$04s$t=PTF48-hKYKll$i9E5lCopU`3GSkLauCmrVw+6K*8_hdV{bzw?UbxH+c2>Eai|uUxIsMFg z0hTU=OqnC4(Z`Q&XnrXN{hA?$S_RYZ6;o0TD%Wi6RO9sS%M&K{V$Hqkd`~FK1**~a zY&yl=3g4)UJ*c3loaLrm%5AN~=wPl8H$6-gRRP&I(dBje*F_AnWX-H+y7BPGpUh!n z8dN`-C3st5j7I=Rl30VP1cEq+&;+#acWLwHo^DsNUtWFW|7}9*`qeiS;Iz(A+2hG? zQt`*77fG*W7sedKHb>D6g?4A#-G6- zvIFD`%+pnhSu-IiMo|jJWYgkOZZpi5VjUzW+1a1+tTw=C)_Zkfv)Qkyc;9Xi>07k0e)dcUaYoi5I;k*Pto!s&ZntDWXTr(oG% zoQ0!|2BlRbU{vV_TjaHmTa6qGeUW}W@%a?&6`Y{b&xl%OH-5*`d{LTBCS{c>JjU&g zKpl61Hv31mZ=JzF{6uH3ceDQfTiFR-!Z`1*{REDKF&`dZDB9GsD9Z$>18UL$4T3LA zM3`m4<9!o1=-2}dKMgh24r65?a$tXD>VZ+kyP`&|Z*gjgeM?pKfCIOI;+|^$ zl^OTU`YrO^bnS4#F)>@dop_NNs^2lWKY+d%TTS8jCuEcMZQtLR@rLUP;uWG$t7gUl z+kfLK4jkwx+oFk^P{hNENm`}q%$7R{u1`i=YR!KLR zFR2(WMRLySV&=Q9Hu2^?Rwg-508hTU@*eR;LHmQpGaQ#?ew8~^_ z<)Ddwi^Spm6+}M2k4$(LkJsc-z(u=$(rp9Z`f`-Q(0&k*VuiJ|VIQ)fIb-;+mvewW zxHg5vgnwUlrfrb%t%5y}{#j-ug^^qqZldxo#wvE=!Tpn?3)(O%@8213Ted!b0`@cy z4;P!a_`V6vKR0IZiWXeNU#`BmT-rYt>7F!>iU-^=>*goF>wlCHxn2<@G?{U3?e^1A z9LO!)=l9fj`k)E3x=r%IN`rTXTiflaxdg!;!|7PtU4^ok zFpvAuzs!Jsq3`rL{ce#@q%D_RH|180#90JEkM`DnLw;d6ouwgo}fV!xZAO#2oKo3gWVkzOJI=?KA|4uXA zZSiY#xuNL>$J}&=RcPQ%>05HoJ^um+6yIB-7aXcw;l^(t7%;5arP~s}C#iD-_xe?2 zBX3FsDOGvFUq7+)Z8}%;ML3ITRul^>wmjn^fOkUj*X7ZJk5_s~=8i(s7mhL}jE*_` zoc3ix=x&JbW&ri~=2ITNX6BT=g(HXe*y`3}_kZ0zs8w0+VNBHJBOC#D(wP}v&N@Vj z{Bq(Kf4w5kCgS5lz0Ma($5;oXXt}Ea@m-ApvfwwI3LpHM^UsD&LEEta`H#pGj~JFo zHReyQpf0|Us4B?8n-tqWkYu;k8sG3q8WxrpE?Xk1XayH(1JEtlvT3{*lI*u6S~$q$ zA+9xQm!TsVUc$%7_m+H0xgMBqQPt`$U^_Le%G?8?!Fe6g?^*RWu?(8+_hkmB_r#2)cq z6;};xi#o&mh`O7(frW{Z#~Go`w2zNS=1}CirpFe3sBP*hYCu?oaKN>XyC7$Ne{Zvg z>zWcNcjHKLhKx&>?(h1;%SUiP_mk5HAPWTL#WkpKHA!-Ib;?8<*yFsb`)tB=Ovl9y z^LG^+TQ`8PZjnsi91B)x@XTRU0tenM_M_-lbH`FHZ;uEh$20TmP$$;^+nYj-zu z)KTEzwb8AoEeLF`uJKO6G&zhF;1ajKPTH?5diD4~lw?9Elgs)G-q?#pu{SMb!{iq- zI>5}5uk?*x@3`8cDZ<)8zKA-u|1RUH`O7rCVtx$6<0s%6jV?NLQe)I9^sTxm@w>OaK!FyF|FAzg_eK-uS{mE|&qp;{l zq#qNlEk-?T@2M03zlk+;?e1IH6=@>)Lzx}y#SN=%(JF8AS+1j;(jHXofE!)h^>ORuCnEP0M`2q3axaPC02K`S7*qE1y{rFhMWHd-=b1*G?D5L}$JA>l zp}Q!V#yd7ZSndIqYq1y_OJ>MYMmm09J5_n08+YXM9*@rT4th8{+oRJ<>ujX1*8hvB zpU_XLWNfn2T7q`{R~G9RCgvGq*x+GvW9G@6p`_BN_tzsOwwFJ5I&tb3y&iGmcNKY? zFvWu=OQP3P%J_Vihmr0*>B1kLTHGh!i*k7BVRpEgD*Flc3YI^7!K0t{n>w|TD1u5k z$y4*FOf%IzI#75P&pdv(0uZt2Wq1zNP7M(BTb`LDe;OgyaEM}1F)($_Zu`EpiUS0m zIlrl>>i)iZhWh#w6_2x9L`OF&0hz7e0Xf}$NuNlN+}XRt6`ZnqSH{xKyNd#trgf$l zuNQTWC$@$MY#fe&CwdV@AGZ&b;`FRbk4SHwX_|$!h#8nT{}zsW`c0IM14I?PzchdM z1CPV^+B%`(^6VKWS5nm)`OT~#_2~JB=V9%X_ezAL zW5LU%0U?nP(G(^Tqga7gFAKHflc&U5jY{t-XZMXf`QZ<)yzo2|8yA&r+`0X2_EO%n znn*&~BDHcfW8G1VNq$Iq3xL7+Jhw`rmX7V`lgovuu7_%2Zc5c zEZkt_Ru3rzUls))SU8Z$ye)5N+k0YS5&p3o9hXiZ8}G}UHzzS`QR3ZoL1SiTinJr7%4Sd zesF1h$1Ak@kU^}L-+2x19#C)#NoDtcwHQ0Y5mzxIA{QL}Dznijw6s<+zN~F{j|i}G ziaAB+R6^+WzSJ{wYtOK@$qSw*nlJ34TM%@&?}`GGjKZeNLJSJ#;ED-!Od2Vd**nT# zNf|YQG8N9mba`6=gto_5$LBYK*QxGsx~10NFfRM{*fM5Srl9x>iKMk7@Vj;^VdX{I zFP>p+D$(~HOEeD?vpc>oA6(@Zl78lpmIDd~#?c5kHO=FaYQLP}kmy_6y(-1PVe&~x z$^W(^G)@8N<&#nh%HDM`-c}BLyH3iflJbsG+P|Q3agXt)WAm~Mpx~yYm;93BzqE-f zuj=5vMg2OH%`|_5(a29=cvfmv6wte;U-H>__$GYkc&usIbw)tUC#KrX_2_AN-`vk5 z-seF7Rd(e$34LtlC_b;QZ}uF$N!i@8vPRC^wqv{-ipe{m!(gMuM1D@%2_oX32Hd?e zUMzq5!Y8hr-F>Or)cTtO+}USRNUKuw2t?x(DHz(ul`fpvmQ)IQ{k~(E)HyZ(0MOrk z=pFubY+-GOfR0mGP0uK%zPp#jEV8_AbLRv>N(-2?ipyF$57F4wJZSEzo9$!W5l_B; zUlH?;a`h1Xr}kkmM#}#(F5}HCdPu>?ue9_`|6{CkSpu2J7fqz%FomeFtsuHKNh zaSy7fLB$1Ee7UU#uL!9d?mH9v9&k+Dwh*<;W)1D9SU$R9SxH0=?sjhxFH<>ap)TRA5P(wodD;GXJs`u2_2KY(;3k+`mnxmIBju5x2v;meGdF_~M8Z0dl>fA9RcapO6PF0rpkz(d_m)q^t{ zSuNefrnPf&nujXD-77Y)t#5St2MV=;cgpzu?r&6D4Rbe-@T5HwMlSxRz#_2ev(Q5u zx7hUeRck*r>X$b5$*)7bAeXp|$?KfqU*JMelY;uXg6)1K0sgoLt8$^3^eKDVmQ2`( zP6`&D_c%bzpz`r{es?c}8)q)2RW6gBd2Yk_tgBb{`|5sTzTtIn4lhKK%KESJnmTBh zBov*a3j3B1QPgeSz2A&Z&+R?{3UfayIxm?XnwIp4*t}_)#vI-Hn!sSUdOwO@!utzb zFi7~=`hBnF)Ad(G4^fIo7kNW6zb@>dllxb+%`P6ETwnnrdQ~TP|ETnwvZ@hc?t41! zF=_dTiZ9hdzg0a{W40S1s}E_+LyUhDXBY16-$5k47_!1 zH-pFw^+;DbZmM?T*yYq78CDEz;mA8QtdrAl!1-aLlPjdsX3nvR?daqXxPR&Yp@#l8 zubTZGo0ycUH9`=Vad6!;qV*6xp?(uZc4%9&z@eyX>g%+mbp18Q}f;+Qp|;d~9Is-F);L1DECw7jN~q zQ!YVKQ(%=ay?2aHCDt}_Lq^UdGP#S?(mNnAyMj^F)^$qN+y{ga7B8jj2i=J~A3P(Z z<5d3k>$l1)TGwVk=SJFy@x%tiJ|h|lUZpeox%r;|#0+`re7Y1AvIb3EoR9Di(f0ude~G3pX@B ze#0YmTreD;W%b%u9RhY=<|TX+8pdfJ3ny1&P<!vK`0`nY5fbOGv1}T$?AyW zY2D!J1>@uQpFoe0UTl5Kz&<)H2d|5F($MTJ@AU18o}rv^3gui9%6L$@oi#k)KkoAJ z@Ju!IwX~6iTimt5U;`mwHn?$~kfzbtrThwAK+Svj)Y|Wru$FD?q@=nT3t$tm_9Y@2`zh^IO};^C zU>QEPE9T!ah;3{UU-_}-m=UlGsAs;PJ;fvb9i-g+Wo7&>$Ueka`g(6?=Mh0fmkh;B%z@Vx|O z!%ZIrok1SsxSB2UTj0)%MQRpqWy|FDA8QwUk~$VOof9;4NTn-uxo2&Tl@oLLX;BHb< zNTuSmwSAsmfJ_z=$i#t0&6QPTtRYd#bqE@6AY=0nlL=jl|0Pr0-Q7R>g@Z&hDPd4i zQBz+7e-xV#JVOTL&gh_R^A^k9Kib+qcqY=aiG~Ew^dOws3_Y8$bm$?30}~Kcdkh1* zM4zdvJ0c;?fA~yy2x#JXBEt)YH>5S8RWvX(?p0m^1ks9NIVJFDBHsES&`V*IAvell z$&k-PRAeE*Kbpl#NT}7;H+N4=O#Lg|gqS9b*;zs;CtO@yT3O#bM}w3m4laBj9(>@> zA^t@)kt>9HNKqkDyXk|#Kr)&nq|FG*e)z<}mjsIG-!hh*Ab`XCVY@UTJ$qay@UkqDlPizoD#AhoqfX)WZTA)}UmH9!B& zn}WC9JrIdTW>QBwYatbl+_AxC1Y!tT=Wp=)9)>Xj%N&Jejv-?l#`G_wkrSG0kz6#= zF^a_3a0szTZ?QqMB|C@Szgg8`LENx%NN$sdHw%u$K;-)mx(VS;cv=d=K!T_bHFf{h z*BU^>sUeI%9H%kl+OC?yFhdG^Ei54njXdXI#K8}Uhvg+8{h5hA2t?8x+@0hU_;jis zf-)`bzp(K1^vq01f48>&lYU(fLH!xVA0is*u|+~}ka+9v>0jU2-GlGm&w`LAvg(Jh zK*W&`0`aREfqHy=(u_cy!tV=-iJ$%UL0o~9I6MR>r~u-gj7&z}$fkEYz~#yx7MhKn zn;&VgeWC|JPd!BY3m=Gh!s7s&nz}k{wWlnRJ<>LE}e^K4{+sLp$VQd2vJfN&>r|LU_4h)-~f62hIZy}^JWI0RUmVMW{8 zek?C92gK(kBGzGv{zg7%Byfb!2fiBF`l$3E)d}BjUZl9ZH{RV}RoJTd+N&Tawl8iC^JndiTV2txaZ9L2sA^Y8A`9oC@6%C)ZwAMk30)Rnjz2GjL369 zeeghR4uQacJm1OB8hHzxsZaKs=R`+6>K!Nb^#tJ_Vz~3C=QMRt{83}Y3b=1 z@WV6VcY`!LXJx|@5IM+2E?l7G-@v27L}I6CS#ONFgSz^qW+5># zZFoYMpy=thoBcCAzz0qbXhuNP8V%VNj9f@yYk*-116~DS!w-v&4R8;z!um5Y04kl0 zwD2MBnUPulXIemOtC6N23Y--HXBwEIbaVt*>Y<=?cIMgTIbi;aeHyuA!)w6c&=zz! zFQV!oj*Zl?Pfvd%XG5T2Lhou}kCDtO+E>Kwkgo`qW&{c=>zA*D$fl0;Qwu=K{~4tG zja2d!3%f9M1CX(b-hl|odx!`vY{)_>Y=fT|5wVKs!uZ24N9!T5V`89by8;ew>mg8T zY8FxS777ke{7Jf%r-zc0y-$X*oA#-L6i0zPN zETn*UA5L9pSAkE^7|EajkSBscM@PpXEd$Gyl{FHBZ=OfUuc9s*J%i_pk{$x_Xce)J zP%d1@c&@Gi|3e7SLGkbinM3&GDPj5h$E4@KDJm|UG8;ye!UI+~-l_5jW96FLLxets zI#?7qvV8drQPMTHgVNJ7cL~+D{BtJ=#ND||Mug45+$AjvG?8Z@{t95R5r}-`&}|9= zBvz0~a38$i)#v)gF2wh-!c&=U@Kr`dZ+tUN#*X*#7+^rKQ$=~19xHW9OV@uGdYDr6 z;Z}U;KB=+N_Oh}hu{RRP0BF9yo9RstXEipR>D$%{q)Pwyd?dmD_w^_P#d zhIT_z-bwtvoR*nr;fQ!?>fiqUtzj3XIEyo-J{Zs587Pp77iCKOc+0Ogve)#*D3(F0 zJue1xgw`wn0#u;K7JkKG>(@xy?aM5Slr(bw1Qyu;g{OX8Z!Wg&rr`-_OkQT!OW^kl z7A}v zCI3?h|K6VW!$vGelGOE=*F|fAhz$F}Oo|XeUu-Q3-xNyu1J#F<6<(ihy_&nK=Gc~? z2u6J6fpYmXN~dlNAh=bz$$5R$n(MQLMa7I%6VAIjG*F{JSyjtR-IH-}Jbut3`1Zzf zfG`84pV=y^M7?D|AqhChRkH&w$jQaQK2#Q97qI9KQe~}bWRL+r+ z|08t-|IQCL`!x$So@>=2ImNE+Vw{_K@!m;QfSihNMO$8uU+kxIY^Kf;PK)MGg)Ba9 zvWo^o&dL!lXCRsE`*vC*`k8Qiw&tCh(o*$=$0Fh%%v0mpiDqi9zE}bFtyu3qsT|sv zc2Tfyh%QbVq~OQiR;Zp+39F%Ad-)6>j8?74rxSL47R*lYh@)9qTaemh?^mQB-lSaT zD*eso1Y)+TAD}*zc>R@lUNe6IC0a$n6UW@-B#DJkm^lF_$sZDd(`@<FQwiOKC*ck}_-BWXIYqToBE9hq5G574vH1qm;}a{`MT! zAu;c8q8%8Nc|1FE52gSIndU8~1HrG-=Z0mj!(&6}QuEhM7ICl({X^V61>1`OD^@sz ztIB!{g5{0JfMOY0SLMm+0uCso1T4vPjyw@ zpO*A)tGrmGIgm_azxiZY?PC+Zgf!L;pr*}M6ZKpb`)wn8DWEF=beC48<{S#L>iPWM%vD>hy8=ZKhWhrvQg1q@(48uYCE?SlRDU zAy7R6j|TJ(Pij%bFg|Zd{^`>{OfX!nX69D0E5GA;JCZXzUU;FY2}Mf6;0s0wp&#V~IPruj0GEC*^W{gEO!F zbK9v@;q%96U(MLT0&Ji(>+;|M-Oc3*jxFVA8J#$IO>4-t) z(uR+iPnzkp2faF3&ULj87k0x9`N4{BqD6`Ts3dz)&c^NL79-Lw5u1zV?*emaVl3J8 zJdB+GtvMwr0&l7;W){75WauR%%p$*Ph!kIPjs7GRE4p4jt}klTmH|T9-{se3@vfz` z9#3EmR&w6WrF}89=v;+btVJu8YDo&bOVX5`bto@HTo_jd(Y^4}i8x3{caI%E$S596 zV>=oEH7YdqM6dYnoFUD)qro?MS97d(Se$wsNnCp??;9?)fR5Y@aw9U|1;3Puxd%&k z6RzOs<}3V6S|Q#ND8BrHC<4!xpOyvjJY2-S6MBchb$KSd@b@rH)*HT`X*}nKI_eL> zJNL9_Y5w)8BCl@rEy|v(f3-b{j`tybT4x-kb^I#g3E(xQveEq(6L^OLwbG3@w&LQ6 zT5Ll~g)7f!tO&Mcm=s{@zqWs&%FLWRbL@QaJ}ke3@g7BL;Hc=>gD87Cn{h=TSaxI1 z(bVD+H!G9!$mfSgf{}}FJ73`&r(@A#_5=PZa5k)gVstV|a@$^OYQ3y&p9N<>j#UGxkIwr8 zR}eRE&t}g(yQ7mN=NZOrYx_mE?r9Me16wv*xveEX`gn+0Sl#2v8}%zgBgJ0jn4FXj zWBf@FpzyLtD)x29V)oZ)-@w8ro^`V7etaSKo!t1L)O-CGli)XXf;67Qm{fQ40$yT8 zv0M;EcJ-m4zF5O4&DG}#kKcg8>5j)oJlfXH72B^dHpF^|5HlS2PJ}S52v;aD1he=+ zn&0C$W;aFZ6wbFBN)kTaS!W5-n80S)+^`wGq__pU@pwNHV!n*gjC&mt|9Khn?DT$` zpI7wI4bx8hg_Cr1I(g8b^N~6xLCe=hfn*{S&6P1cV@Pf{sj3h3#7_@Z9<5y&HwbHc92l84nDbxvUCihOZOY z9G#mov?lm5HG_*1$|>3Qh;DTirWp@z`Qjp$x&B-ZziquSs%t%G3J5^Ow=k8TZv2`5 zhom1V7P_07q#|xnTPSsqEq)WqD!Usf{FK?6Au`rPZ(Xs19;D5#ka3)!iY5NgM$6SC zg%u{JuFOKaC}Nt7wfx%kpnSK~uUWt6$?vF(SK)aYo^>n7_?x3LA~c1EpK#~oI!>mC+p}>O?Cq7A5`BrKL~|&-~UPi zNK#*$2sM=$eV<9JVe+}k_B6%_eQ~`T*N99>Rz|?_7+gc)xS^rFBc3nKkl}MRVP@pK z=F$Ctl1j2-JjE>K(p9j}ew*}|{?1jx-RQ_%`$VD-b@89BrnL-lNV*;P#nK1>y^76& zxanKPhh<3t`35!Y=-Z~3R;v%1UrlCqu}fG*rK^5D*(U*}CaH_?vT8AvtiJvK)M z&d>&`cL;Et>%jmq0})$Mcai6eLBp*x@|sQSzWblz)VH$^HBBQT`y;^scVIx?gwKx^ z?b0b1eO?s0-)}$5(EPlCBZ-~j%Q^iV^hta1G_%X<%KDY+V8`I#uSDdZzZVz}+a;Yq zZ_LDC1^E+q-(}Xnm+jg48C{t|Ynw-9ds1k^pUQ|Qm^IpF{|fxld4uoz`oeZA$I38@ z>d{uhb)qk;;9nR!$&_c3RML~BQ(>yB6!P)#$4!wN6}Z_B z0LGD$jbMAvF?F`-tvc^~GP?opc0#2Ww-Pt6S)1;5gCWeD0%=?Y;tHgJ+M6!BUqc*M zN8@ccFubsr?%3Q^b}FPbfT%D_{y=@L}( zpOQ>9A>7iQ+8$**Oa_ zQ&jTLq>f%dz8GHndrmYcBes(vH_G~!)k5_;zh-#ju-ZOGO=f@yXy;hg6&zOdV0E$) zQg_r<4yFl;qli!;)$8$<*+S8J2X;!R<9L5kJim4p{Z(goD9@QCFN7WCLAAS43D+;S zCs?>pSDo)ek0vpg@xP(hOT;B%*8gA{p$PUrgQR~sQeY2zHJo8Ljyuek@)soi%aMZr zsciiVlK$ms0qn1WbBJr}>f!9^zd-F@o)#eI>Wz(0z$_}?VJ4#gx3LxcXQ1{kPYX!@ zBT)O7rv*GPanW4?L7{ud-jDxauKjyZAorSgNv(@yT#^1)s&nL`2i{;D!Bj`c#XaOg z7%nc+E?Ir%Hc)0S@7O~KcJ`9ZVr~=V66KQZ&&|i5+tUAg(eytIu<+H8I+gyfo%wqk z^M4of->+!81baA${|;0XV#*!Zb%cEEvHZiqPQt$o$XEQ=2mSY(|E&C<94z+vwc>Mv zUz&$+&7YbH5^Me--HNflf1D9X5vdWHhE@%d=MiKI7sJtB5|gNEkLjivc{qnU&=wqC zf7aO>R*ne)?m1sLv8zWKjcQc#Ll$MDqz~;oTUu>bFdaf7vl{Qw0VRd8n@eTNL#^NF ziKm?9PSAdFL|d27{h05pZ=SmF3ebTLFtE^jKAtAgukn#$3N$(DKI~%EPrCp4ik%F zwr)8dTE@2|RU4h5-)Gr>B4D2L3~~9i(Gg3?@8O3xz|!;4TP2g{SKNqMZFVQZ%=x*^ z>S-2Cl)6;u_8wo{X8<3gN*7b=O+w?hr#@}H347QT@Jw~sBf3J{RmTZ@nxLZPH8iwg6{UT-%RHSSX2ARwVN{ zCgb%-Hzn(G&hJEu(#LNn=Ocig244Xl%l3Xil9oz6p_d4GCHrUjjW4kuNMyf7w%n-& z0*@jm2lxiNs8=G&e>)qf7{AkB;ly_RMoRu;@NOYA7Aa(-K}UAn07 zGGXmk6%jVJcI>YiTjRmiR^##U-x7WsljH&V`T6W?gAV?54?AAU=_ie!ylY|tE0o+C zVGSDQYU4&tyd82u%47Hr?+$HflWr0_wOKn{2ZrwqH`^XGA6*d;8_&(#!E~Fv{z6<- zdkS>Fx^8B#aUZC?3)`ano|B8RL=eECvhTWW=2&5!VV#LCU~OJyJv;y`3elyXT8}ez zKV+As2}4g*a4xa2*eq;7`{lDb66rVrSnwa$4@+3Bf7+HET2aObi<7f9jF1S$A0xep zSrDw*0JI|CKB`d}^xEeht2Xcu%nq0<+SVTv3i)A0Qbx`AFTNrmIWx&o@2JD z7TDBVMzpoXaVTqy-Ft8Sz*vqT3Q^q_H)zmGkEd4qDIx zVJ-YNRYbl#ML4TaO9jcOHJp(*C8)iATKoRl;2=pN2z}qXMfR}o=N*&#^xLHq zD4wjwmSt1F^r&Z2O+oSG3t2qfWL;U|fx6QQ-Rwtw5^pe_v=SDQy#&%lQ9pqIX~E8H zNnCyjYGvDyO>&CXjZVVv+j%$f&?xJiXjsC4J@uw9#~16{&s%(cz8-!4`TbLb$DnG6 z*QbvXf_)KY%Rt-T`kfd>T41ILVOC#t;W*96$nRn0t)jVho`(ikn@WNH=6;*X#a&Jj z`gJE6z5$xl3bq)D8G2h3->gz)tgr=u&gdstV|H+JS5Bg+Mz?dWA!BEvPbbkw;!-tC z39SkPB&pm8i?G|6wJzKoBe!}T(>8)S^uV5qvAxvAy)a*C9q5rT+w6T8Nak?p^DYq; zY6~#njegSNV=(4rk(>B_mkb2lZz=3|<>(QAd+isZYJtDGG;?h3g;ne)NTYOJZUSTf&uujo8 zD9MLa{-Q{Zre{zu4LA_~vKZLulfTX5Meg;rzt5=|k0rD}pR`~~W}M2Y#1)J^rr7&l zn(;_x^RDYV=H3u|7erTiA`1!mPF0_Sm-{Rr2)2`g7qPk*%re3&JibGCKQ0R0SB=X8 zAEeHw=#0k!m0P~{Zbn*_B6erGiDR>l3bnR%xcDzG?AaEeXQ3TQCj z9eE*2pvK%pIfqXwAU5%pb`4tt^%#s|6sFR7h28uxUH4A?r2{GbMugipiojH!*RRrC zCZc}=W;LVZ71Wf~TnRHNvYUa=Ni>TV4v5CRlo~r3JKcuo!K`KJRXdK-U)se^-L3AO zb~F83ez?kg-D+z0yno3>bpbZ>Yum*&^i#sh{o2>$7Uj^7TSme)U84lOqgK4@wGKf| z!t4Dy<)ck>*y|A9@TCfs6%CvI{T%DAhoJcUecVUjqi#&2pDCdpCi~4se{BMLbG(Y} zPZdp%So`1Qn$Vut0LIU4Im?SoN-xrQ9Dy2&{19u8$(mVwTTz6ds5rCNF*uKKRE@2y zl)2yWj;A={8l>SZdo|= z=5JbUSXcK1bf<4sd>=(&KYNoCmG_j5h)7DDtGDP`lZNozmiAXdE3j6|CtRaDU? zVD_kCc)7uid?1VLYT&l=Dc}n3^3dbL19e*SUQ@zY%2ko??Yu%rm%0*{&X0l~>VX}+ z0Uv>65k*uo^e1ew0ba+(pR#m*d>pjuDOeFzpG^jV0cMoK)q?6O?xHi^7bM$71Hxf* zG-z~R&L!Q>DiWwb(HbF)?eQo<9o@ zK$!S-)^C3ar%j9@sri;ewsqyx=k8zDABV|-PQm2SEcm1s;9{;bZpKBgFUR7pAN^Y0 zVQtSi)7Abaf3oZ;3p$t!qW991kDO23d%4efDcaqpB>Dax*IAbL%lzrj`5uWtv(E^l zU%FiH8pbz+j7jb|kvF#-aK}+=pQ%4yQ{6zz0*C%QHzhCXJ*>(21U7`+-ygRTIIf*p z-Fd>p>L|Rl-4B3a)>ojJy z=|Q**wzN#tSl0EQtoc2eR{6q2c;Rr(kv~0_*ST_ZjF6E{3g9nPmxu3^oXGeR8Nbu5 z9Iqi*(@YVvANqN+L)rTMXabN|rJZ_^ln2s~NEVca@{Hm&^BCm5DIcSvMy13F-S-27 z&#sQ>2(8$6n%!ME#X?yzCFakjIISz8^k;0Rd;1Lwkm|aRKMmMr=@2U;4XRSxV%17v z7{_%EH@~vK;w7tM298JujSaavL)W7t^^|%`RW~(ejuL z9UTLFx9>b3pJkI%HBFfOiBKON<-6w&6D5Nyq}NPsojSJ|<5AFvd|kZaI=sp_l6Y+F zoud@>%@WQ4u8O8tLCI)tQrhQhNo#~uH0J53R$rv7S4_%SbWH-IKxz)F7#0B~jjPxG_%sUeTX@2=4gI51(~G`- z-{z823XRGr{rqiu`BKjo_=fk5pAd0cSjA>FeP`nr5_{9sJ$tUI=UF^BeUA0m1iXl- z`$0y1o#9DHVouSd<1n{;PRklQ?|t>gr`O9ld)R^8t~;LtK4WI6xW(e zK76MW@tha1AKwT887oH*4H6atGQX@5(r{(x*4B6R%%V`) zUp$0qOVqscDu3=#Fo`Ogy)GW3E>{HLvD!_3G6vlZCTl$X*?km3#laiQ;+jEW$-@X-{>Po5djjval9reMyZ#Mm zx->NZ(5I{wRy(}DdvJ7yihtJ_BvlPiGqMVLBsGq3guu%pS4Ay@v`6ltrUDk(Yt+aD zfQ7qFz@$@+Qrztxn?uQ)S~@iQOG+;l2Q=t2J!70?0NtCYl&mgM$t9m}@e3su)pgHq z+*Z-ItoYD8{0ot_b4T~)0X+A{ zUZtRl!71|Vd`6}ubWY9nQjg?qQ$gn~w}OgR-VO8!yxUwJF_m9=Tg-P~R5uTQzpW;h zdhryXVbd8$@9uL7%PB`Dwa@Kg5U84$OiD^SbfbCtye?h=m*h&1{XYzjpZO+blu%yd zQ|uaBck!ZQ=a+Qv_;P&1229-yjVe)j@w~b4MnQ*K@mEy7hxl49twTRQ@@}1oKDGdB zJ%NZ%=(qht3MDj5Tc#XZCn;(9^=w?jlM6p|_wv^Q3Jagi&zrx+R0Ae{GYCs4=?VB0 zHFxt{4NfHE+`cbW2?ohYU3!C6^j4qPdpI0Z+*S--Klhl%kkE5aC8Nc8nF#Lrkr^gb z*35~?dB#Ji5078?p>9BY-8eRjg-3bC;3^=cxXvi0pIWm;32v$B)LvEeTR=R;SJZP@ z%O-!R?KA=ip0p0lQ#?F$;8*HHrM+jD^7)~3KhqxgR=>Gh!hNLyy!C{?8B{bZ<36nu z8+ry6eQN9Hkno93{n+?z2_dEZ35-phUAl%goLykwG;$3tuWQ~Ae&Xqyn7NHX$=x=6 zC8(RvgO5`Oc!VSjEc_O$hso_I5(-8iofr18zseh5S!mXKGX?ro z=Y`}2#Z5E%VyL)eeX|Rzhs0~w(A`-AhtVbCvn7GsRWlSc56j^~d-qGr{UV83Q z+P?Px!UZ=Cj9qd-{=j9+F#0V~^@;&&zo;K8WG@55OFFu@4l!ASySo*#6^Wi&MM0u49S)b(B#$g>RTU_#1_N$U_xHLfbCr>~UIdv15khbNk@r-q)4NKwBkp zcO+@jriFkPaYJ=f-#M;hv5kuz34NuZ!Id2)frsO8}ue~Mt!^$rN?TwFhO zeN|rBJQxz0@)`_G{d_o_Sw|s|)z2WCabZd~+aq~SDOS^SMaSxvc~Uc&hdj1sr^6ST zz{x|$Eczd5ucJkI=1zfu3+0E%A8P09HZG4q2h6L4Sowgt!?fR5VP(77I5+Bm-Yz$Ed=uC(Wzdf6{cE;{SDb%H`#({>W8 z9t_R1%RD3fxIju8k}dooy?g7%HafmiKQ1x#bsf8ef^ty*b$o^XmTFvT&YSXUiZ2Vx z*V(z0U13({aeN*f3)fOmy|BTe>IIv(ZDu|p%agLsJw9lI<`62^fBK+knad7D2rvtZ z5neOSE#!8yAe3-muMwVNR@IOEzDB{sabH3n6gSH}dXn&I`hbLA-N-)Rb=ScB*;VzI zkugPY_l~bKn1aI#+Nbt!hkl)7GIIpRe%d_4ODXMG5=Vb-N_*7xoALwb^}PE)H}^zf zV4ts-gqla^-D8eGDT^qKq|Zwc&pqn~0nKC0*Zl~7Q@`-9OQ_iTt}*#dV?VK}WFHyX zg(ugI%>z>S3*5jcG$L)`@Cuxs@<_dYaQw#=^30B1w5vQpWIW(ORO2R>Lu@0SoglhY zCC2og>4ijzP4D2&)Abt`-x7Tv(Z!UfRe6X z;BIdB;NqTusJ>_B^!k+tS(UY)Q3xpMS#6Ws`}7MQgo4KL9SbWD%uV|6@ zbb3dU&Z!6EyJY^0?Wo{N=+7(cVyT&}OzbM=sp%gYzO3qar4@G!J&-W<$oL99$NQ)F zlsAN&KWZmVR@IE0P%v|v!buzB3fK9Cp4%{R0^w(~znENzchjIR5|GaBjA;HQmwDWJ zsABhd__k+qIas*ET`M9HSFOtPl+q^cJEM?S_2x~7)Qsh8H+g0AO3&d$L4Cu#%ebu0 zzSW&ma$w++QQ7#9IMWA#{zsgthd@+9P!j1ygh*;_ZCykAKiSY>I&>KSf@X81^Z&{; zAzubjxgAs_U*>~Ay>f*B$xsr`!QN;hxCW50*&lx<1kPZPAp>biMA}0kph^vBXn^p) zh$eJtK1AlkhO8M9&H9JWY(`o%A#`W!10|YS5YL29*u!-P_;8$q?AhG0AmooA29^E!f(hJZbl$RvLL7l z>;K=NCK9)sMAm)g;26I653vbZG%ARrL5b}j;~)+m-W?=@#>x3dUkgj%@j;3+P!Je= z$l#xYZ@dei5`YCmU=tcd|8F5Z$4Ce_(tHrtk@{YkUJn@=NKCUGMhEn7{=;c@K^Z2r62dz74M1b<{yyZ> z4&lBWH6zfFY1ZLhB2Ka(n~7XGYes6jXF<2B@xOf_x@~qi>{CIWHV^f<-=qJM>%+f&PbyhT9rh2=qUgCOj`7qX{do3)Q_aV4V$3%q@{# zPMCuo>MN0CH1u*tlR-T7E%LlW#y^%?cm~369~Fu?eGmYNYW`(4k+3H8jUuD#>m01^c5~N5AUt3hp0EtBEX2P;PPpOggFyJ{ zArSt02(*BJz(8LblIWs;L^SUzki%x58u}-u7BLjEP>fU&e`w8S1lb={6Y6(iTY`;g zWn~>|XYdvg(DT}^Xe5Oo4G=TBf92XWh;H)>2tfTNY%W=l)trNDBt$j!{)^OXMj#}z z{vXob0;;O!fA~Fz?hd6!5Tv_1q(LMFl#-SfNdf7QZjkPf?iA@12|+|ekd{tqxcm70 z*8BYLUBCO@wca~x&75=K>^Zadnb~LUJ)ik}VdfTi2%)GVv=fkAM$^v0(OeJqvOpE0 zHG38DA?d7}n`dGw)K?&yIXlM>(mX@kiE4-P|tMU1D6FKZ8I&Mm+1z3g27_5bTUq(RVAhBi zFHB%C8$VcK4hBS>Iy$?!`o#rl!jcLU%^xE4)qnlf5Tl&i{-I|D#s=#P;(`ry!eE1b zFmq9Jaa5@5LvS+mE&fAjLyR`0t^P6tjRHv6Tp(>Oh>H+cBYHeEpN4wxK@KG6^!v;I z2i@z0!&so_tWb4qCXkX7`aU3B6XG?YF3-)wDz zSeQ9E>}?Pw%3t1p5e9=r`oUmPCNL_9NJcRKF|n}-1Uo)HJ^>O@ze{U|x_Db#5F@O^ z1ZI98tFyaj1X_oSApM~a-GnMYf;j&I4rD}^h6X9ke_($^--f)p2o3eo@#*>HUlB7T zAPVBb`;T4r9}N+kg!w&mi0wxtRj4-DBd9PJFoB~!MkIAV7)-+ih5^~8A>JAyuKi%> zPY_tAmzP(N9MT&4RucAsz0(*w~<-wHGqt>~8HCW(S*r_Vydp%GpjB9@Kpi z>Boh1gmYll7I0B9XuX5D zxTKVfjEtZt~hUbtNYuhk8cv4a?RRIkI)%(Fpp68e@io>A^ zXN1aCbn#XSW=6O86+vEKz}~wrp2D|aZi}~+aG2EMEjG;l{o*_vCbM{p4;#x{d8xFEsHQ+eDewV zlEAyi11a9&V{}-|m=`jQ^nXMvoBKmPtcvV6agIo(ho%A}iA^FTld}sk2TJ~MNwJHx zTEX{CL@wAOj=!^RprdJ}TDZTU@rl#%-!2RvU zTu2PzmmklQSO!=x>m29=l-xF3q{2AbMZZdT3q(=c~7@Fv<{=_`NH&BHo=)tG29Y`DogUUl=DYu?7k zC4M&#b-=1+M;H1@v+0{W6i@R+er1@+v&F1C7WwtS0gSUs^<|!yr#3EfzgNXjIGq1k?+tyN^}uJ>$nP%@<-CU01M@w6iYrw8=%>0z@ndqD&Xfv`L~f>VV)eZ-(jBwbHE4un4E(eg4L+P z^4K4{JI8TyiAoLsP7NjDq}Et>wo*qo zms^5C`6Lnb9oM_N^NWi0I<^dvE6s*#lg{tmaw7JNguHZDa!=|Mv54RU^K%xAbNe>Eq^|bo@LB*mA$sMdf zE)`RB_4iCgYxj}AZ~@Oq7KiZ@Vrxmc75UeaDg9HYPM>8Ls|hiy99#72U2njh3=@wK z=eW7W`yDY%JXNhMf@W+AL^b0S9lYzLJD>LfRnhql{L_!6ou4Pzs!ON^&%&;FbEuLz`dPn)PZ=V+~iDMExHfUGY*Y} zMnJz2t!3Yt{M~bs*`I?ZDUEbu^-aEgPl_K3&(TC%;nM*rGBa4o(Cgs}_^h*eVqY44 z$WMc7_OH<7TArB0yL{(OH5qb&_c`V-ygf=4g@{Ak^3(-T&g>sX24o6+?6lGaU1!Vw7wiJvpWzKgxb5eXJC z{>E-t)KIA3$NtMwW{J-~A%ADYG_Kbb5BS z>@o2{hXTiOl07-yim1L{y z6^ns5!Qy3!4}eW;d~d`bUKKE!O)yRMsl=B&A$T_aEiC_ChiV;()(vQQ=pA_55Y+dE zyqFnBx_PIlI-8o{bCJa47+-rMMd3Dh1!r%>n8RaI{?=kEPNI#kv5e#{qi~Q4tBk8D z6IXfzW*!>|g7I)G)5`b@F1xi=mYVd3$2K0%nyRh9Tj!a8tN zc30Tpm;dD8za&e9@8AB`BU}yBDGtfx{NzaiQs+G2XU8f`va?Eh_+apP-6KNC4wF z*r%>@TxEjNq$C}tiT#zhj1zv(8Vz$XQYzM+0oImi$p#b9NsaV`;(TtCN_2e@ zWk+Onw!>2`&4;4s=eTZQVAB#IIH~$=xqd0oMrQiwowVl1saPk*%fzo@u6xez0q+v= zXTTKm*y>Vga`0UXBfWqf!}vj0^|5$vpDR;?#;)!^Z8gB*+2WF7#qI$KXK!R1P*sBR z&An<_vp9s`K?sjo=$dgL zjav>YMW$?EvVaF{o0Nq6e?KVMDpxsXZ&J^BH#^#iGl4wkF}OCF^9M zv+!VZfstg&Chod5NuPi@>Qy#i5dKCpfxp$mPCe{>cq2(sKhXKAzOD@3-@yCCb`9ZIYFeQ&hMJi2_3WecD;Q-~!tx9>6H{X)GF zBLT=M!7mc&E96R68|m>|(CS;avyUoqhy;J|D`}ita(4ixTDet6Ef}R2EQ2#Sd+;6W z<3-^?oEDL7FRALtQ^Hx0dJpEvjo!J3*=PML&(V!j6FYImhfw~gokFf54;`}$>yu2S z6Stk8t@`i(I|TdxG{0Wo{Of4_7b*RhCOT(52%4Bwi0#{vlpxp~w4B)BaD1*BmZdj3~dME_4Ut zCr5eLrh^Q6L)ZOnXakj*sKUOGsf%SRRaay#c$(A3>fImD)CWgwNB$NOmWgNhf`=wX zd{2N?EVa`SKfNBZ{SafPKi2#2;8zcf<6LdWY^*14+dvW!w(WjjwxnIIWX5$kb*Niw zeP-Qzfe}=K-gRhnS7~qtkij=?s{Gi^Y8Rt70{oh2ZD1QVByZleW*9yd7ts+C05B5K z)+w()m4Xv0Em{gj7b0OXy6($8kb{-D%e<|V7KB#$zG_K2`C3n3EVOuO(1)!bf=Pq( z;R(_fcfp{(3On!%`1$+CD%0}*fgfw3<8F&v?g5o`Zdz1DIX&(XOL!Hyy1qDw8{hK1 zfamC;_`EL2mCmp>5EUyx{rI`)`dxlLm|%OgpDMOx5A~Q zuGz6#3_9%Inz4LI2-I;^={EyZz8Z6l!OUj3i^S??Wj}Dc6aZQ7Z~z0W+47xM{~`$! z$pap5gTOlN+;W+buOD|}9M!=&%lLwt-f3qJaubEPL2>rd*LnlSv`0R$pGnvrl`Lrw>(D`dAv~H@H_NEuR>~2?dLaDOK zX6$;I8{}^enxvak12;deg+8iutV~t}%x?%5_xI|f3hH8|FrJ^$`GYh^jF9>EULw=jFsBQj85O=^0So$^l zLSTGr*g$0l_y})5XnbhPJaOrjW*xoCXn~rkqgP3o2)~-Tdj1SU0yHaqPS?nd9kujR zsq7cIAv}I6eD9OZD#cJ_UDSf_b9P|8#$TWACoC+BVnU*m2Z7VJ!cwOin6FE>jfdku zHkW}Sa{R!7F?a0g0z4nFvpNpN@ywX)oCfx)Kbqc4=C`$=zewu`e95Vmr>LeynuVsm z+`e^HF34$jM!x1*Nd+1VRN*v7+Y_HJc93#>NBY%vPJX?A%THGp&gF&_I?|ID1Y$o> zWs;{hpBAPWwe_BkVVo@1X~yg(tv0R;$M6ezlPuDc9hyu4T!V7P>gD~ zv6LuzlY#X>srDMA>4ofPCOOiLY6-kLx=}+H=6rTXi2OvIE&qV1=4BB!pzm&UNSE>7 zz5Ck7tcA-c_|=R4Cs@<`m}peJ4j+P=v%%c>67hUq!{w;B)k{s6o0@lGbrUL#DqHR9 z-)-FRosR)WjU}}x_5Ldyu|7F;lapHA*qAX!!rbq_Sdy#Eo<5TVt7iI07%qf(KfPB) zg)Y8G_cuYYE1Az7woGx5_x|jvfaOoJ-X^Sb^~7#N3p6&-kwIdEg8NqwBYC=oD+OC# ze+S8)@g%qr>Ptm4By%jR>m@N^Bf=~JZLgn)RxVK7N!bGqm(DgPnpPJsJt<=wI_Zhz zMIQHd#urtO9=f2vrY#!;_!KllTe5x5frJ=8-?*5Yv%BG+C;wqCvq@5KS~hB*2FTI@ zj_=Vg##c5!z$J32vP-aoQwjF?#M6vSY#ghYMZleo^uUo{IgRCIgg1}!n_gq$kGHBeqb_PNc{`)vu04RX{K5WT?QtvISAoIlQNf0nENX}6?DBJC}UbXT?s5Y`S+5#y5R5Wq(dHDE$hrd;+7v@`PD(oY>DlU*AyNiX*YY$9}aed zrzox~(inqedx*Hr55Jy+pW7`O(ZsJSho^-to?jqI7AU4ea8E9J+=ce^K;@s%M)Rdxrx_nxl%VCQ_Y~G7EqTO&+seo? z=^{FnqF~2UKlJbcyAn!t0vx-TY_+L28Fi~oA)qQsnWr=c!ZAw7vA9o)&xVA^r?B9w z=F|%6ipOhj6t$6da_a2$q4nAY&)lzX2IDH;h+gkIXE4p)6w7tIMO{-^C1mu-2?(^IzL3 zT%JbGT{QIX|BRk?w}L5+5w6}N^qVzaYs&I+b*WNdw&=r{deQSvS@fR#$7S%$fHpUa zPU!K$aWKv&{!_QK?9H9N^U1hFA+4molAt`GN=d``(TxDlB)?^-RE7J)titDvxF=Tc zGhE-?vwK{$0+_shD1}BCMrW|`jYhsf-4S zq-Z{wyn-6l){%$BZP-b)wZ40eZ_5BFSDyWtTy4Ks=0_#71bx5xFBNI7v93#Dargv$ zuigE??7Dk%ZLxw-c%LeHS>N{CMwfXEFUsXfkIXRC^#+d95~|?v3kexR(-P( z4c(7?61PAuul!I9x3i-SALI?VXYh~awnWHTat^GVzOyyrDLPT{a#DERsXi37cMX0` zNM?-oYvHN(I8@I3+A5nNcs-P%l~E*-H(;6acq1OLrdb5e=y+b^nH{b8&-BI5O z(H9-KJeUEkI9p1{%VMnW(WXoGBBx0FH^=ei4 zs6XBeOm|^LTJ~Pb8r<@xuvK!k0FpZ8?@{n7(bw7+4`#hlDTB#RGJ2gBOfAdQ-WVGQ z1_I7nPr~)69wM2^_n)YjeeJ9HTq&^p39B<6(Rv;~7o7mH(TR=joavu=n=C6Ei%Hy1 z%wLp`=ShF(Fz5T(FdPB{0)A2>y509FxNLP7fDpiJ+t^M)sUSDR(xKwj92 zBG8qWOOtdl9Ir$En;%}&EU}@Ojr~Q8b(9urC)l-}uwso};!~$3*ILHDTs*9PN3Drv z%iFvXE_3hFeG=T+-Q&*-Q2iL@6W193^C%)VjYd_;a269>h9x-ZQ=|#vbUV! zwrUw&0F=GZsN9VzEKTO->#q`|>j_T~`}nw`D+--{sGpEP4s^1=O0YUZn)8=Z9`dib zw*8q9s2coM*em$nB0b=OTTmhC>u1EYEc+@T9pQzXZUw$rSAXMArKp=k!)FI3 z29_mR>LNK^$7RQP2F2}{Lvf;f6rWECacuHLm29>FMSrykA!OqQle`f|X<1Y2(1>?+ zErSz3P|2AjAQM+7FtGLx`+mQYboXdL)?fI=?a z_!9@;%DWj%{9w`6H+mbLP||Zmz@%BGX132M;+ItWI-zzbG@`Ep5ORrKnyWm)r^ceU z+@#KGl-6*o{&?khj>V<&x@G?w;&zpvRm`9(sA?H`_~$KRap*j4{JL~Vbcc<{GBP8l zZxi5Cis%|Rd9}_j{XS%ub#e{H6_AWCsp|ZEfblG&3#Azxlh+*g;M)s18O&^a+Sz(b ztf1D9PA>4adtRPYen*257)MOH|1t=jr;yVBwoA#xX6+GJ+Vth}hEvMY!)xM~KCrZR zj;-rK;m~#t{C>%+=O5Mkef_d-5S`L1));}ldG6pL`8pHn(E}St22?KKcegJ$cbOen(i^>^m}%ynk-h@Z>QetA>`YsbxXk;=wg9c7ppW)5ehv6xP^^!5^3G zYD1&rOL&a#QFXm&B=?#4w7ddxK+PpBi?ED>or9}SRo5C4qollhCLURA!SK$pcgv;v zG*GW;PAS{Sbsa*E8lH?zu91lPvdbo{m@#n8ryt&l^$dI!6nlG#LdIW8Oi@uV>%01r z(X<{-!?t<-5`%(8KpPU&%?cSq&dSBrEiFA$r&rx8TrU8#+%fw1b|;Q$XO%`_G#!3jP1gc3hNs;WV1KeB-M0{&1;)SF&Ti6dq{Xf&Cu61 zLT>37Vm9F^C3W4j^pD>EkhkzbmkL_|$Qg`6>8{JBXDnZF9p{!mE(r*0dX_!N{jnw@ zqnUSfA85a<>RCC&!l9&QRDa->HEKv~1NX!G=f!zpZ9S}mffYn@BL64+(Tp>TX!7ubnF?qQ1-Rnk@&5806GX zg5P!X8`ya4D+PxqHmu&IvvUiJ%>D5jj?ZieqCOtriNCC$SRlR|wtuX#B%r&zjlF7! z?G;8TF*d~30KVLiszD2g1T+V~?od29v%n0g7^L+pq^$mG8|Qi>s0|q3eAP4bs}K$; z?HN(E4vI@2Up|t4YFg2;@06Tb-7^Q!xWx;bwrE8k+Pw6ICL zXX{@DNRM?22bB^zyefJ<{o+im!baz1z1U^bt3%=3!{ePTpd#e3sIsY(K~>k}-AZli z8VaAy>*-aC@QU`MGg_4=&wzuwUsCCZ1p+p46&+*$$bym8bqrQMDP2?FPlJ~ziG=`@ zjM>QCu~JMzCvu66+EIn60$stQbKkg+#OqLng5_7PX&55A~}W?s^>@XteOED`e~iTq-h}?XVdK{J$ZhNR zeS*)-uWVe>b3)0)CH6X+)_n=}4xskSPx|xXPHu5lo_Hds^6Mx~*SfD{uW~j8L$97u zvA+OzXxb!E@9*L3-Qj-L+%_sDr?|w$%P(F#EI2qZ^#h9-gydE>kMCchVY9M}o7%nJ zl2_CN&xThxEs{+*%OZ&FL%;~$j58rfqeI zs*we7$9Df1WEUf4_aQ9$U0D-VR6}Q2{O4_1+uWs12AiZZ&}xEq*Vz1zGqe3`rQPne zGPEUC|CY5scmjp3tC!?#LO{d7Bq`(b;T1NgOX%CCu1jn()<-Y>0$Y1boqf_ion8PG zji;8u;mwz?I*m z^{o;WE}Q^DDt2LUsld;x#7r#g{1V1C4sJe4)kDPh#AIBevdj9w^bPp~C`5GcIIXHj zTv|@g@@ZNZ5gD7LmTem=$D`+F;8oid8GVTqzo{k9`(d(MHgzEQae+nnp)&O=IXlm? z3^0Y%GaQ&OWaQ}V9^SHZAgpEO5t@&~^(rR5y?g5rgB^I4mbDL^;gLvMjxHTsO4g&1 zQ)-x5x9!9A%)GKDXHkK!W3h^+u1!3Q#-#s%B|>-n>pl#*aCT#B_uzTkEQT`hWBa+a zvwn5&Y2f_EF(Ea3XhiDX4X&v4E04LY z{lh;_l^?b^Jiyzu;awy`IXj0{RHCHrJ}~qPg(=%fs0}%~bONmoihk3L#qdzsxSd1b zo5c4!44fy*3r8ihNkze_b3<*-lZYDg6TOh z)C@$*gb+MP?up>xvUBqC3n6+EA-EhIGJ)NN__2TdmVYImpT{OnAZ`reCjSFYLTC`` z1tMMg!C+U=EASt3^6$NE=>9YWj6$F&10y37Gc$xtva;f^{v-J0{9j;4d%<5tESMvKQLNp>>FtgyfJ2vg|J{i4P%V z2uAee>>LuuLh9H~h?j(#1EV&9fJwwb1JGaY`N5EAY3cl6;IBKE9s!3kJYZsGVOM|< zGgXM2goKv}v`qajL{1{)v54SyhA&@3NSU{{_dnWLKN!pxs?-n4{s`NbvG5QGdN z$p2xGb08cOsuLPcuwf{VK>1VW{~$8|VX?<2C%>MUE164~3&XC=A7Db>U+TZiF(bs% zGC|NWv>6MOSrNU34bfZJp#l6C$K>*Z-Qnhe5-+rW;^O~EnV&*_ScDq3;666Ai{@5# z(Bcbd^q9bqpaHZ9`wy0hs4Nd=nI|w5) zLaB*>jeB|q5FH0f5SSSxfCP;mXv4LQk}yMnlGF zi)2&-L&W&$eT3xe=hv$mB##vo6a*<_!y=;d%Y)d0IN?>*Ignu)adc|^Ad(-!;@3l8 zYy&hz8k;QAFcGk6YkM~&<_QufT85Zo1iilw?aV$NK9mSdU@*c?SP%=;1QMtMQm8gE zKN!wGHt9QeDXD4b=z^r-G7lk1@Ly2>Kd3R(|23>2i}Fh-Id?*YK9pUc>vY)g20YbV6B%m%jJXQztUwLv z{QLsKMq@T$QLwA9SU))Y=B6YS7xtf}1gIETP~Q$qfw2#!ph2BjNJQ(&U@ZLU(+#Az z8%UurgOYGRcu5S*xD#d_j{^M+JU7jOVIy#93xvwn)-k}`t|SKo;dF+Y+0tSTG1wa@ z(HrQoCY-XPpK(E z>$$*O(w}5^kv^dfZOcA@B#?u{osGmE{l_J{DpojwO7N#D{)**t4H|y&73ydW$h;z_ z8Tf9;MLm@E3HwJYI>pq)J(FxSGDByE;QOKLIbh8|o=8J1JgjS5a96&yhz@VQ^kbvE zs6CF69J;>z+8@x{{a$V_$hz2EuW#X(fTge8Y1&q_npYZ~%+=HBGjV^ghLLt-7&t9G zcRnE=T9ta4c!r`kkMh7aNKwyKoPeqf=!ep7Z7quq;G;}^e&R>+21MDb@zg&P~>)GoEqG=0uyJok{ql7vHNk3XfAH>PBDFKN}$Kn)XQbM6Vf*Fz@ zblZ2OGeXg292aC3Z3FY08*{S3+fyfEPxu=N?>J}pG`gV1t$>k{s1BV{BSi@%ayXkItTk09DzAhBmfCyhENJ#P#0@NSG6v zKMfsxITyfen0Z4E-E*4#L)*?}+;khGDk-{onkjM0AVcx9fjdUb&`SmHK63#`hhj)q z3&)z=b)<3~tZh%RfZ0~I(zG?Ae8D*=lS)|vt&z{({1SaC1GpZNO0t|R(~VvQKfoey zd|S{WaQ)#fKNy%h{`6fkjsEy4X-spD24H=p92qGhgL=)w zN;8`2(dOYA55*V+93hoW}9`6wCsPvLEbbl2F361@%jpZMtoXoQWp3DlQ z%zq24=^b}+tc(PYunk)YKw7%oV;J2EHA5a6><~BBNIj6q$<=BsyCm|2cg;O{1q^7+ zB{>MeW|LgwFz9bY_xc?c`(FcA(uGXY7FJOTH8Au-HKcWZy2KMR;w(NZIqqlAs)54X zWs;KSmY>Vh`f!j(!M68=?tmH%UU(#A{J~f4dd}5=I3?m!z7j^-KBEo5`IYzpMN&yW(C$&|&*Vv4zd zw6UJSIQ15Vc33|5|>WHo4r86;GjRq;*{4 zjP?#c!%b~gE!JXh2eg8b6vva`BaV?oMfWdz8U3D^(P^KN&)QO)8fEk__6bmim9fqF z$eUJp_eXS$x|vpBded%h_BK1V*N=Xo$Y+5-@`SAQUKRC<3Won--nntx2(RbrP>0nU zjN)~Y?y6HVFrBHuEseBZq{)07)H!~zgK;Wd(g$51H>vL;1&C_IR%~IZ@3rz^kUl4l_*-YaWd56f)+04J%Z2& z?InPpX_cxtCI*4Jh>4efem&>Yh0vvB)5=?=O4q47Dh!W+1z$2)C=ThW-f+BeNukL% zCD>In5je+gwz}V%RPwPB7~Vg23hctYu2Ry}^}3(0s@|sO8d)rxtOpy3q<`q72sr3Q zrQM`+HoYw!6{TUPx;azK@fd&TPOi#4sJ;oxWP$lUcJnT6kro>Zfg_B?(S}XeSJb%9 zLX#oiq|Yqk-G;%%?lhm(gZp2}9z?g|zPgDCFs5|1#qND(#71>(LI|DAqtvfpaoyC% z>1uQPd)~|VphYPou8QyF1B|uFb6az3aAJnb-}KyEg)XJ=p5t1Tjef%7?vUG`ndM*= zCEkoL=b-jyOk6bDgZeOWUx{fCh znev;u_kIe6_MmjOO#QM}=Mn=5HhI<~olm4G7DPA7tNe1A5+|UniXu2M*oI8Hb*Xa& zBo(z3s4_NAe|#nW#8Ob19DhOOjFLrOA5WHqk1!})6u4}{+6f|F!S%zr|L}Gsy42ju za1@fL#w@dCz&q@1!32Xn_l|5j=k^D?pJBnhl)M{<7Im|7r?nsW%`gWY1eO5<-fqXD z&lfJm4;@z-3X zT4s?5^5Ff_U^!@3?j04C`coCF8Pe=rwL{g?wTl$&KUoHJ8IA*3L_qsccv4TIKPHR! zC%>}g^k<|N*_Dv~A6P;=bmilgWuV$q|CX40Jv8pNF!F1xPpmHk zf0^L{L%#6p+L*b7b$PT+827Q=X?=ppPFyvkqU*aw<M*Hi8zplt*MMJmgAMQFVbcJzkaSBjGL3B$YDuQ|oXnmVgykh~kK{n$ zD!xbM3!-ACpz1v?A+)JC3c++6BL4Bip4SaB$#Z8?C(lIsKvZ5$)Y4cm+Cgt@XYYZ z6{z0NX!3fTqhio5U#y-7tlat>&3o%U?scfCxwnPY*c?zBrJKoU8P&6OspXDdf~SHH z=z`(>;ta;biN6i(gdH24^mUipu+y0%65c<4FAjQ1kWky5*r*6G>GbS)N^QTX2H-KC z+TNwGi z2g_Lnz3FO~sOfsxY+JYhS|KfX;@h z@%w8T$8k^~1#4$nr`1@zXycHT<6gk}gzADr#mqEqKuNy#@cmsdm0#mVN@3Er?s5@x zznF!Slw))GQ5`YM-X(inF*Pv{FwdPq<#z7>Of?iK@4(ttYWjumC<$MwNjPijV%*b_ z8%S)TcDA4km$JC%%k3JoP11YdO?6h$3VB9X9k%SdegHgb8RGu=uHIYGn-<0OeFVb9 z%+I?!{P!H|jnQ*ZzIcE|4_@XE-|xyFtzqx~-sAnq@SKo6mP5=uB5!I%qnMP+3%RiJk$8n@*>tq6`Yo_G~JUnC<*y#u|hQF=PcD`?C-d= zt1*@R0C-K(31W?)q@a#-mIh7`{qI@VVnnPE=w<bG|pAjtKO8FWqY2eI4{D%23gV??126_7TU<=f&eeBqY$GbDP2X(9fh zjVN>$6=45wQ0afgRR{k!z-#`kj(q3;PvDh?^&j9B4SKu}HSPZtcx5%Y{+wb{p59=? zxVrnbHF4;(;MqvCiF?}T&5Oq9ej%Wj0;4R%giWqS`s>(X6bi+O^zOI0omOVt!8TbJ zkI)CB$}MJsQ$x1f^@n3+>k@BC*cpCVDzkqp;=}XUckiYJ_`7_0F(Jz5-jn$ZHmDI6 zwoH?5_$0RWkhE^?_u3#Iu$+47GvXol;f;KrN;H~vu{|~Ub^ZgTQBD)Bd$qR})*w~Q z;!L~j$aheMSXw6_mm?WZX-0^xcCgr}Pt@tiTN?=G2oVRIo@a?|$jVswEB^f5fkN3! zv*ur;{K^#ENmc>Y3DZ7;KD&zVd={<*&qGCvca=@euGgz7Yh^aWm)rON$04JAA%(? z)VkS;+_xgny^wWQb~LqU;&)Gg67%8>Hpl(^+ljRhsX>S7mnF6J*?X$O%bc^;I13Q5JyvkYaAUWi>cDljhtJq*C8+5jFRM&Xh5tjIw*+OqXzW>#y znIsKobh;fU^Oe9uF$-$>u!|+;YW6!<7^mFAfPY{MeQ%Ufg0XFgBe80XX+-Riwk^>s zk-H-lt6z(Un1MH^snP{Z&W%VSoj0f{r)$Q9In@RcQbIWm65(KAcpw=PW(n$N3^+rpan@y@6oc!gUYLxXY0V7v)l{pQOI z@iPYg=W}-*J-1Uo#E&yJd;`3FHRu}Qf!1Ydxc60VGji8!Ja5vE{eSU~z|mm}yP@E& zSm^}g7LrOw_jB@`gYTFd9meAA7Fn-ZxzX5Z#_TM?gO=HXJ*pd$1WCSk%a0i@+Kv;|_2NzgJaD^*>v6e8u{Q3!@q*sp&b(J?e`0Kx#7=WqAn2i}!@{aW`8# zW}q#(18Q5=Qv%^U;&#$&da!91;TENLU=jXkH`Aqepm3X*tDuFxW60fsc=tzS?hq)w z*b&4zOESVty57+>=4V%aMH7KvBGt0NtnX^ z5;sm6dJIdxA9rsK$lc2a9{%rFyc6cL-r6jGvL%~-O-enqeEWTK_SqL)TR@>D%ecFI z?4cDZSeaHm5JDC*DM;4#jFOflhv$glmKwx~hAOYHW#^eO7A~mAI`qvJR?*|`HQ5(@ zPB&j~${zvdoOvf{v_T#!QodGj@onjjpAE`BG;IQD-y7yrq8D0#Jo`IbiIEM?Q)VBH z{K9y&#V0klO*7dloF^YxgzvW&0xl06*t0sJpu_Pq#y4~zOFiAq+fPQSLWTILOS^0W z6?k6Iqg}dJ>)ZA&d9KMxFni}M`woNj(}%5RqP(>wybfT9l+4VlPr?K(o0ZDSQXI3y z5f=0&&7=s4UZ_}nVzVAFapS(8Mb}EOL@_2(v0O}P;B@n*p)EUzR3=4Fu<{K9Mh7Um z1`m|K2@*Q7S#$q(?dHIYa!RcJ^C;6sRp3)RC(!PG#-S#Qf=)VK{Q*7ICNOB=6t8oQ z300-{y1lz=Nd(X__)_a+KE#%K3P0D!jWtkkbmEh)7#pr>VH?bP#3KzpI%@XBvAU*V zN20^vldW5>F#+geN3WzsUNM%6wv@^MT1+is`>IMMI$WDll(wVm(y{zURFR=8HNn(l zcJ2DM;G2{E70^Ie*cp0oTmDTHG_PR|^vjIBlrN#2gVQCy1Vq6TAKLXFXuO=O<t&*3>+xBrWRf8yobGd>kBXg?;)irJkPoj?!Ci5MP^VL+YM*Geed!i&tG9KK)q$ zMU8s?a)rs8&%lC>9_(9tO}R{1oSZT0W{IBQuL_SftHK`zDDW3Wci3v0zXQ*GU`Vma zl{xTvtrX`HZ|0^^Z|(y{LL2Q{6-|sp=^Mc6#$klnwbe?UB82lATIHB;q8X{j%V9oB z^y(tO>ux8IZ86&N*s@9?8jz7QX)32x4A%C+)y>rmG7qqcXJIuW|zyH#$@7?L+IcEV0UQM;g<V7=Xw{1X&O=HSZMcKrZIrIx0bb&>#lzDZA<-k?~)eY zjI2F2Zp4$m+_&3X&hv@D?;TC17k@~D&0_pOrtZMDlHX|x4?+DeotVPl>9Dm};FZ>} z3d7<{qm&S)e6TiAcJg$_F@bCFS*S;CwH@2U4e-!odv8>__x6{iuux;c#nB#CeT5_8 znJ==ZAyJ<8hnGMDhcfH2w8)-?VriH{+J?L1_qGmKB;Fcz>hsDX?*t^UUs}G)!=&eT z`oUW1;n0c^cLLeq=SZYyhOEa{tF|vx0e$6;iSDiVnxW>m;jb40M}F$|+*-a3n^9Bg z{gyS^zMwp-;Q?INE7$j@j)bGOh{IacdR+e7>8^TQHq8Fx1`?2XT~9`lIGCZK0N1c- zEq3Euvz6VO?ik_EQDSHEVTuMb-&pY_P3bV@Y#$rIpByv2yb!D}37fv^#XDLk;fw7A zb;DwxjqkdAQj_O9{`sBbTV+djBsUW?PmPJ|CsZZ3=b-V$^sEJQuEUn|#FP6Z`=z}r zahJ=lFh7n21}(IWLqE;CxC#4T=hWRk5Ku>8I);*av?|?@wqCNj@R)ex_%9QK-QN82 z-y;>VLOZ@B4aid#Nz5zGw#T*3sQ2rr9ZI4k0h{da?A-9|dHH;?LUe0AvjVdR={BTV zaeZ$^EdA=~?SQxST6Bbn02*xu*WudJjbyZ8+|SZR9Go^vo6O(HLT^DtJeEU{m#ONw z?IsV2H|8O_H$mpG<;x!*_mC;^Fj4OTF`tLj!6B^3pQ)&}nOd1&P8j6)M{evm>~^1N4feE3eHVlp+*GB$F5HEafoVLqCBx`f(G7YTBH`cX7phLzS%H!c`o ziSsdV)(&4fe!JeOF-nWCm(>TywdRXSl-vF2_wI737kVld=Q~Akr%-S;-1v@s*{2Qz z^t>UoUG_Ag&Si6o_cB821Tv+xYdh`G3bkX(4&=6_LBO!~I-f-_pW&&ecYQO2GRP=4 zkDXo-0&YH=986#XYPx%O{IcfG0IRfSSW27Zi+t`ZhvXxcSzjre7(z2^5Y`ZN<}zn+X=75v=v8Rrn!i%;AlP}4HWE2?ho_`O4J6+E}1 zWMUVSJ_zXeH1!Na^D2HJ-!E&JM!C=P$kfLE<@AA=cWU_voo+bzHF{VR(9t}#@L?nN z54q=?J8nm}2I-jqRD4y(br*J2bPv zp$CHVKY!moj>*VvpNFICdiwb0NIn_B({PV3_>6_8+wckuol^H*_T9OSoO%6qIjwVT zgS~7zA+S~eKU~YDK{;X!^2ot+yZh!g=oL$^@X6)Ww2W&<%ow)IvlMq@<)IrBMlKkO4+%rMpzRQIt*rX=w~fKtwtvL|Q;P z6hTz(8vLH)?~m_2_qoscJkQ=R$Sn8FtUbfbdad`Oihi`b7C-Vc?+%H<0#Ii+pAZnbl-p^Jm-NJSa_eB)}J!GLs z6Wt|QMiu9RmsE|{z~T=nyOu%Y&VZu6PceNH^DDTXGs`Fn$&43sU)IiuN&ss+pV(HO zOEz9{xx*jVNU3zq(z^Dj=$WG1OdS29o7TbU@a){us#W4P?ZfB;yncfZ*I4q$=Zj5j z!xPeqxwyE1C!C+sFB`H;tAY8ne^lzJVPbB3?s4dx0*BR%2V8pnLRVeVmMvW45{pSro3ymzgnE2Bm4pv$U+>ahbW5pJ40taoe=Q;V)z}f! z4NTc58cu$3nL7?mpz{~*nX9(NT?;>>3+S2IMI3{3YB+clEN+Jk<8sb;r-40ksyi;> z2^mL!9((-qF7RtSs#T6jeKYUezQDI44W~ab@Vbembzd4kj(dH@C|6wG`ToPErGsNl ztH6`(<b3y;qSx@+&7c*Ue4^%Tyrk1n`30Tm!7lmmF21>jDUVL^HV@hQ6(ti$;+b){2|3N zf5^EKw7N!E?&c_HJyiwT3C(cHDvDG0Uv-b6K8(?eyXQVWaA-!DB^5Mpbcv_Jalem0 zFA#K(e&f@1IYRM>hotmek~Fgnfq__+?qwT~kWAR)j zabjk9)qea_JZD&poZ54fiO;}FWR)!c2DxLy;6>SM0S$x0%c~?z7bLIfp#6(psOgyi zy9ddglZM&(Wv@PvQ!>Rry&Qbm+ApTQadG#uS;>n5R-kOGaM~)NtENfgx;aTD^#wh4 zzwD`n(3on#vvEi%dIQ8c`L6RX#QNjj%oKBas9W`x^sKpSR@2nOh|K=s&&Py-Szb|D zQ@5z(=P@IYaF1L0b4p(Cetcfbim#+NGOFJVF=Fb{N|p#U+MG`#|6dc)~VxI-dQdMgOQ{6 z90G;QG(g?ya>t_A&rl)N&sVN_#b(e&n?}UHRK<5Cp=m>{%NT)CDj@GeM8lTQ_eoGp z#nL1GNd_&alZWrCubX)EY*);{quMv0$>`2qT*qPLvw$heJi5k7RaJVP`VQg*VieE@l?jVZ9Wq^b^E%RCR%j;peV2_Ts zn^Hu(^0i>U=tC)X5t)wDe5Dah-_N#B#Ia$f0$X>XJor! zrW&7E@kXrFA@oUV?b`e2?C!r=o=JcPm29IOQMka~4 z6g|==xq+~{satXLDs}NP9=GHTUDuNC>3tSCw0*+!SB;}Hgz#li@68X5d%MqjzI=BK zc)l&}^Hj@Ip|zQu%KYJ@sJKmf5V4ct>ag%X)TA ziGg2W(?*UGKnW;&UQ){zVmCmrOxm&F~(Xx^Yl?=LF5U3xX!08MWhV zH%(?2_O9tn7LsU!w2ub`0_kM>9)XWO@rLGnA!a;VDW6Z_F|~JIUBbLT4ybB9h%TBT z6}ibGrR1H^Jwr%(Sxe6)^WDrU3C+37nvNhTyJ2@|ktMj+=VAWarPB;d9iJ}nU+6J<~mVBlFC^G0azP{#c^w!Tf3B}{)efAjtnMoGM-{&gvf|ZO6mkw zD!@{NZCuTUt}+NFQ9#$Hf-Th`1Q(md2@muny%0-Fufe6%Au+?s%9G$%FBtmjmz++R ziA{Sw$$Q0vd9Qi-SngGTPI8=Cvmq}RW~y7Y4_j&qO>Dezkb8T;{RX^j&4AahJ!~2|HOn=nVSbTauII4vj~)y zK?uT+Mi2q`RS<5M;BAK564r^c6N8bqH}y_Nbxchmy1aGkmbp2@N!aTNSc6y%V}H*N zE00gPk^mMcL`wDEv%;ymZoN8zlIA8HZ@}z8!U(~A-|;i z3ozUNI<^mkaBX|6Uxok^ADfH0xiyPGf|-rrK-31C-wQ$rzCqds)iylj-^a%=Ad>}- zUBR45wzFqp>MIn?kT0JE+j3pN6T$W`_~1gk{GVh@?8B{-jSyj@F|iS_dhqel$1c@w zXavjH(h|Pi3JSV^|2{mwf+1QCk0>rjUMwPfIF*%E@Bpe?Ai#uMEgC`UusyLJe)AIE z1An158o__l__noe24eviZ_m3KtQD+Dw7O1?4NX2p4X;N7fBkgOe;_8jOpiuzv2nH- zOOg{&3bEc<1x1WLq7B!JhKtR3%1}Lgdjda z2+G$Fjgb5M2L!;-%!i?2>A5ic)el137jS$FTOJ4rI6rr-Rs+t)*SuiFzFlHzC2FlS9qf8D@ ze^=kwzx+5kCFmpt8A-!FQt9wQMmBIlpDF$SpLf8cK%!|(ACY@vb>1SjMfB|ae$5fK?V zIn@d0=jF>YpulE7U%CWO1<}jb;YrXgg)%e4$f8k*c{YNeVRyow1QwRC0V|kBjg7g* z+`bK;17JDcb&rI}$Jkg{m)M+<_B zHT&q3v|Adiu7;Bn`1l1NK*j2PI8xiLc^cc{v6B=Guw6YMFc5b1p!=9B$b%L9xbP@c zNJw~G5XvTz7W!jsi1SH`Gj@+{qopgVs3rezfSA~FxF zFbi1-&&^XpmfBTupvKSB2#+IKU*Fu?+53i#3h#W3(1j2ab$>2 zp7ND3ckb9kBDV05aU*Pk;h1zh@?K_k5(USkkNL2J($K`NqFiQYQ60^e! zW76gEv&Xn7M1S@ZOxK=`LB8P#{u}N-&PD;*KL3Oc2F;5Bltuoz`J|Q`>CKbe6Fsk) zlgLk{M#p~upGx}`L;ieWu+#b(czr2o@*4xXPa(T4-fB^9pwUHT9o#*&d!@q&{~`uW zQZG^$i>K-0v71I8Fc^t`+}Hh{=o_fdG^Q=iK6j|faoLO7LBUtF59GAo5OeNdR!iLp zA5{YdntLuXy>3p4>6EewF$+yW&W#V`(!SZgo#*ReuLxQKvq}DadUEnFreqYi{mJ(7 zA4RO!4O`Lnw&VthOp06H0u^H)+bdp|2-gA?TrqZ za)^|bd@%I-)ce#6>K;F*vVIkkD}U>->&X%Z_8M>8-v$@BD!M;RG8?#v^Xu#WxnC+O zj%qIKf=MKCf#4VJe3DY4xJ2R4tf#|saSj`!v^QSAzJ()JdDPuhP-Fn2-noxHq;eq1 z=_KeoPJWt|W7Kdd=&RGmUs*f2Ar{x~gNr4PEJyIkjJn3sk&;@6iN_Kv#)O7lZ^zQ@ z-!TR>IsmO7tt6SdSx<3|dDKP~=H13P>&$muAJB7OB&MnU>0=F=dmi}?qUmn9JiKP@ zapke(4ZGmXk2FMwU3Z7Ihgw$t0J{dcnmRA;ytb={2`06zUsQ}jETvB?un~%$2}>^g z_6O(|v#qdA*+nxy6Tf`Jl{SBVjU%=srJ_jAo|+Nl)b@hthTE=JId``bk8r9M)l}`h ziWx%*=Q8bb^n+cZg00lRKr+A$!!P73zjZ(mV{vObP*9b9|C)S(Zi=V-C6#6!pmNv! z%O6dql%Vv$KF6hJ+#3cZ!TB8u7LKbI*~@zT76Es#MNvxk#GStSy`HC++C{ltTz<%` z7k@RHb!Qx?d$0@?-3|-!+2yAMK%k6#trcF&0ZxLb(Z->#=*HX87s(A^;||_V<5gy% zESl}s4_^V^TtSJOhC{(=zP)s}f(qSy5c~5tx?Sg~wOotZPo)6EfK!WS*!$j-@|vjU z>DBR#1OQ8a8s)cs?JZQfr*(xU%$QnA%k9#gEhmG+9-9QYd|Uy=YGM3^5ufKxOZE1j zMqX47#IOxK-J`U5olR3u`rE=7pn3Z@``e{d?`6mBSJ=I8iO%mFoY4sQbkT{QG5;?5U6tCbP^wvB#gdr82I)a~0L&pqJ3m=oC+|6aZIg7-%Kxp#g$ zVdB}ZHb$mHTs9Ywj$e+T;8UH>hpy%?)WeZBg^n8Ctto-FCI>;?b)Gkb+}=K02b9#K z-hx}(mbRd9dOTXm>AjR()WB>f+PQg$ejxZh6Bs#*QkU7cl#}vmdr4$?gHTE7Wv0!}GhYt2%=IeVeDIhJF- zIQ4lX6RuWU`^18Hl{QB zp!iK$tz1!P5c`kIBtf8a!ea1}`&(dj0pM2x;^sdNMUxS?pe zTEq2@|1e&rqN}mSW!u5|?i!^C-MpkE)r3qc*bB}UxO6vSB(GXUyENM2Xr_6|B9^5u zl~`-O#_21W7UFm%dw{|bjf|~ji@dByIG$w^lpun5lRV+ z^?BTQ_oJi31(niaJf+w;{fM$CnYrO>&Y}o69*F!7Sc6vX>h9;S{FI^TISviGqsq;G zH|O9;#Iw+a#w;M64>aNL@YmiRK0CiB+#xro`B{=6-(Qsd5tVn2@;<}(Eer^HtY5^k zf;=e*N}Be1(X*lb&Ofw+zXk90O~b?Gjqiqln#2j%H(@d=5{-U`_n9$!KF_|B=;9jHYO&$rwAk`KULdH-X0vTj8)ZXN7n~RMh>uBc8yxw5I6E7gG_~u@ zQ^+)Ljd~5Iyc~^fbD~QXNrrWQCj*7F#HgchSGhtPKUtqKD*P-vKyUa#GEwsZCC@q9 z3-~iYeIr9~TKY{pbv?8HNV6%afKs2H#hJ4V=I< zpK9r&-;3hrg)|P->PL-_2>?eKMReM*u-cdH=l3^@t3}xJqxdKvnP)}m$-O?8cg6>7 zhuyK_Tevi5@$=mh{z~`vz|zvUe=@nqNba{aap2FEfWyY*XMTGn<4*=fyLPToTuOXx z=r0f|n0WW={w+QbpaHrM6Ya$OGD4Xq*{dJamZ`4yzN@BOzK!c%I%p=K^bOJGt^F^&!J}-&BTBMq{w89Aoz4K~kqHx<0{Dj{h)2}mdw=)>pz1y64 zqey5+Pi-9b2jCbeW_7I56@~T_4mEOptgT=bPYt4#M5eq5qLYkO*ueO$s=*-tnBxb@BM@ zZ`E}`J3cV>xKe4o^;vLA+pV+F&z)bc3$6O1ZUf|zz z;8cx&|5Mc}JWks>{akWy{zkp-QU4mvuj!y`o_NtaAYs)D&ky%^nkXldD06de&G^4Fq@$@z5U#T1wE2hxWfRjvEsA{%snI=TpvmCL|ALy>19D3;~I=0mgBb1GR8>*VTddzljp6|#$YPFleJ1<28YJ&d0V?d zfSOw^1*brDcR<+jb8>Qw6)rG8Wjy61cQc}Be6xEp0O=_gUsm32rs{J@YgXnP_w51t zyzv`bw%K)@SFX;(zcG1Jf)))~zuZi4(k>C(bu(Kh;h?|Ktmp1^-LdZf3}pYiIQ<7s z0emkBKT7h1%ewgb{S&AEz$y6e;`AT9#ja9-UD@R&eA@;;uYj{eTTdoe|8IB;{=2;W z2XDcDm$(1mEr1`Pou-8|lFu;0&o5Zv8X13=_W0lM7W{X4`w!m!d&dBQY{4=8#PO$N zdcRp3)N#x)ebTH23Ngg;S0n7s7`rpU?o6>eGwkjbc4t1jgZ#e%)c@Z&Hv3plaTe$Q zQpcj8iaiaF=_}9wosONjRQto>`{l?CvHPetJIOzM+K=a^NPdhC<+_ajFqPW|v%R~W z9+#c+7P;9wshPF#Sb|%E>eg$$q%lIfBSW2cL5;{#EM=ImHD<5NR%=zII?1SV+--6* zu~Yz)nZ{k(e}PW zbf!2QxQEsJN$z8R8W%yQ^TytX?ZLw+*^Hz~l89O4FuQ9P41QW^JH^m-MrRx;c;E$@0H&x^k%&qZzMAT zk%W+{tGSdYk8f%PKfxX9mAK40<=EDuCqwuIS7>GOK_|VUPC?e1$9bzz9)B}y-LPJFJUa9x$gt1E>I=WLlI z42d50g)4}i0i01Bfn7rb)vF{c6CKlv^uj@J@mIr{w><-^%h?Mkd@@C=b-_w-uB+eSD$|vlCQ5pjo ze9t-XIXQN8#-0AqX8G}OH39K|0M-ZIPTnA+G=_MhGhTnn>BotYU<1iji_t9PvyQc zmmc0CKuyQv-FiJp8E7>ceIm6OdFr~%R#mO!*wJ2hDfLInlmPWZbj*uwoDEQ^`RR-6 ztNR{hRM&JjZxEho!CJUAjN6-jl~yfm^bb?>UIVXD z#A2_={Td|uIqIwDzM^}r9b~`}ctg-+o20C>hGloy9M`2@4x}q^zxXIZDC+2bjoT>$ zk>>72muc``_wC?_t;ZmX(rG5nVf5<02?rtP-!AH4w{z12jJ;7O6&kls?`K3T*_ zoyqg1=m~A>I)l`8oO`n6Da`lbtL``6vGVAZvuW>JAC7vzN;imxbG<1pdz+o|*<>)h zLjz_#4eX4s`^XVnmd|mKx2HNxL>1DU-tIfYi4o{v{(1!r(uzA2wT1prk9n;i9r~j6 z{%1$M_Uws<1F0AN<9EUl@VquMdEw?JMOVcWzYkaWO5`%jUUs`3m{H6`jzwO5T><{E zp*V6}UWNwmv@*Z2yME=(YNvo5Fpk~@>5Lk8e?$Wo-=EG3u8hj3O$kDhsV{%{N$XJ( z{JrhiMD}Xc^n>&`5F2{fcPla5;C{H9jWGXfv_jr0!A7wGfWtpCTNqAdVh1# zGB26f$FT#%^?>Dr1IcsvTI6WG-Bk2_b;PuSlF%S!$D)>ix#j{m73}fMdr0P$-4j%O zs)n-wA!(_XfyVPNeLhvcOu5&wz)Z6DhiZEyW47?ak-(L*n-o76-lJ%nu@ z)_`3kSE#~LR7rCuV#{luLuUVn#E&PwLk|k}X;nH1ok>B{;3m==*|H>f1GUEa6rf-Zb8043%D`A^5=G{iDDCYgiLkNtvw^>ZCI^^l`4Mm zI?PLHWC4p3yr=el(JPq#uerD9kH}rr60g%U_S-z<-Q#$ZyPFD7gA3v}SE{9UWS$_L z3LmpcIJ+%Q%XevTpY0-9d8r=`zGZaVc|L z3+P%roAZPNQ;O0pENnK_{_{O}ah*T!g2mHGrJ$p?wO_z&PyP9Wf+kwq$9Z`1S^9Oh zW~Bb;)|pCVU~}n)fO9QSeH@&q>YJKWru<@u-c^_X#&OCYpYXynTf3~CceC4aLGY`i z(VncI>rW4)9^vjax>II{ZS+jB>YtObEe=u<2m`ZbR*m*SV;qrL-Ts5Dtp?MdVkgn> zBW-|RwD?;)_9ZYN)?_Kxrgy&RS(GGUDJkol=zdg2aZXB%1gWak=$}X6koNhV)x-2a zGpQa9j8{?NV3K)qVKk9!ehQB2F3x2&aOF5&Pxzu4=~)vJ)jF|+x8pqFQppdkdL+_( z^{Bp3@_;4hFf(_tL`3-bN(st)|Cq?a!~LB70Mh`IUp~(#4%d{ph|f1R zD1V_ZiUSmh@+`b{V?Lz7MK6LQh8O)-9&NApykGh~y+Gm1zI3+iOUlgL5@FShW7o)c zAes37!C{O&C3RqdUw-aOJRA;9qG1YQ4(G~yue>&~_hZs(aLKA< zi^n@ED=#lzSY*s#Ibr&{8DVL|x$c*RqjUOEtRS!X)fKAtu$M^uJ8cn9`g69aYjvl0mwc ziNE37r7b%W&@{^wO%&rKiFx`RR~^R(9Ji({>b7SWIp7S;ky2)broAU;o{}&xcHM8H z4)EXd1#hgrBodtUa%vi7eba6<5V5XiR2tv%Y%JL*v^G6+KNAFQK2YF4eaA`B;Voj= zT#2vo@HT4;KTD9(2uzEr78M1qF72WDwsxwz9c1C(igVEBj6_Sdov(9UG<(_!61Av7 z;ZDiNh<0yut0NVr~8G-{M*W9K##Ox@G3RU#}Ue0;iZz_LnTL-QSYY=Mb$IOsGYg zs(;>n68}5EDbMJ&WgZw}wIeBy#MyX1{-UYtSU^E1Fe0;P*(fP3@BM+8X>cYGky2Jq zog`N>&3w^4v&tlhmY%nnjau*0UMAu2DsH|3YNw=JE1#s6bMRbt=h~&=Pf;$=zc{UI z?6k$J8-^2>1H@GfZ`=5W=D+D3_{gZNfpO3KIHheGTG`$|IQtz3j|A{)z(v{L((ssC z1YDH9s^Sq^MQYtRhmPSixApJ3GE4yOzrlQXzU}h;g0U~SqonyvSmU4tA*T`diR+yS z5Y1=<6B|Dm&WfZeJ&Y{4DCH2C)wuQj$1y1lgS?v4YuG8VO9F&mr8O&0j=W`p{rn{hV%|AG$sIF^x zdWqiFDWY+LTTsuzwHF*=9@Gz$o|lwUxM9&CaNX2t4W++N!qxONSNB zUH{F+?tZ|w_eje^VgRyWfc`F94f zer66y;1crmRrmVVX%OAmI=TFvmPN}ttzc^P+mBx;{EH&eXy6-MQv0^OYkHI4x@2l& zA0-+mtox*}eq?Ib!l(8%?Ku$8@NsFgg@%^xyRNYpu(+q4zedEZQ#QrUdB@?^jc3$A zBmbPj`A}+>rMqk~TaQ{M7xeEo4lM4FiYQ_Hlgk^%z%GTDepGyJ?TCV@i*FY~7DyG~vwC9ZJ zegBt7>QlmFOShX!PH`uzFI~dD@6`jOV#lVp1^buic!k9E%wwN)em`?X1?~0tY5zF; zc_sU7=&gCg)X)iQRJ5!j4>L~Ry!9w0Ycjs7xt-_&|0eHsUEnF?R~=T>Ju2nh9~csb!T2Uc8z5e#O*2tayMaW&EdXnmpe- zRyxsfc9Y_nSryCV(}1PGwz9UI)}r&MC!g6W>cf|f?{a=0CZ_fYStM_JZ{h)%g&Pvl z-n)fQcJ6|`PjczxE*XciNqib9Gq>A|{aC4NWcl#LN8d zvFGNfx)J{Q7ht{+mYDFR{?@s{Ex(t)*v=!NTr4tNtqg&A<#LMtA6EgFctmn$dB>iB zrQ;eYgOpip#`sqf^2@5)dgkx(c~x$}56nD#@?N)hPT*21*yXQm9hrp3k*V0nRyMcy z3me6a1CPO#uEuJ<^s#+B4u`<0HIL=1CSJ)I>RKjAhu~iL5}2b%(lxJZ?HyP=;ztKX6Iex($W$`Lr|tq1 zAL4?BsKh$O$XER36)60e{F${aS)10Il~X3Pf*MbNXa=t0EG?r?!yz#j@7eui4lr`@?NtqIsypfsT!vB<8(cbmJrE5Vg1H?cBId2j+ z>xH>=$thuis)qv)hEMto3{CvTCwbJ^5_}0AjZnpwO4%`>n2Ckk)~xckk@G!AV2(A8hH2KN3{TQW+`G^cGp z9qg4gv-32@P+l@?iJ$tFvHjyY853ZYHAwB}8k%oqVO3}`56*~4sh|HQqxRrQ*~ir% zT-tCBz^m4t;R8yhvjTEgZ<>TI{$!B6ZIiY36Gcb|^xVQCLEN*?wC66#I!t_F7SRm~ zOU){4pe=jZNyux4aZ4R%xBx8eAE%X#wGao*qwqi{PO7gp9z?SB-J&IBl6p(=eAEXf#}L9`VJCm4k2ZIpOE|)gVU_= z^(uAYY{V!*=+iWV46s8WX4^IEh0+bP=3l!T$-#S#!EEOByBq1D;kkt)n+qT`bs^=K zIK#K=GYmrVfqhJ}*PVPHX6F@FkBl8%xN^r4c;3&buHD9A&~}+T&!y@ZRn#VHbuXc` zcS1fOyJ18>4ZI&@FbO**Vv})ulvujQp<(N`+i-WPj_*d0)Qt$_R3ym5KfOZ6WV?-i zPM^Vkm5izWhi6aD>seNIX-yNf=kz8(X&aiF`veWF!$lmPcC1O5T012bwDL537{GlL{3Z4_hlyR(bCwK>Be4N#+ zTSl2Wgp~{1m6J4Ohe_$AQ3INXrz9!lRV}Q`Cl)yrZo1u%PE0xyeDrfVAc1n*3h5bhoJXuJc)XZ?1y#Bn3wy)b&Pfua1< zqaB<*QmZE@mVlJX*KL0!t?s!+B{h>MfS{bh%AxO0<-31m3_Pk@x|Y|N6mQ;|IXL3K zqHJaVC=V2V-4@d@38YjECo1jvLF};Uep=;2ZQFf3INSNMz1%~z8`?#E?g22Q>fLneIAC#^lg)|%cR)PKJ7_Qv5y?^+NjYmU!6L*_d4j-9Q$##I{n2jKJp{+V$ zmuLiWhR)9g5;`|8f0%BGRhK8|@~^r)ab-C<)L3u&EU&2J1YUMwb7Fh2?6eoQ)z<=f z8KhrWb~!K@ga9nO#6r_CEHnM|=?BziaMAG-c!@w=hQdMN;G&UJc*IzxJ!sG%}?@TVLl^Nmsn|LZ5b4 zvFNm`8^*?BgJUY_}F(sB1aF znZz(e_dhAR@E&=CElBa2np@vZEG)wGSZrSA5;i{;o1D8w1?lPj4;UQ_#Tqs__B3q} z49vtHB|RF!hfotzP52W=xG}+v8FmD$KV^gNl=Fhj)vHQMO4tn9|Ac6&!;qBgR8ZgD zw6{N@n}6}B!)#oN{Yps zSmX>NLaA^V#UY={Sf{< zM;vH1G5Qz{67iFCSV)y`nP(#i8s2z}AsU5P!WOKcmp(C?|52Lo=waE~IXJpRV_cB< zb{o7$upVQKFgFR3;jKtZZ@_5h=@R@^%B`?16pY)n#DPi~dkUV$`fO|tPY(=`{)$c7 zfwhOxM19}SlO==_8-)i)^)M1R@O*&B!rlT$vtSztM-YOX$9}^Jzu|&Ey%2l{;lBNv z45sbyLv<>A9UHG4Y>um^r)Pjs!N?Pum}1;ve+a}}36`6McR_G4MjZ*oK5>Mh5yJoF zG%?21kYU3ogt&x9iIos(p2WijOH#s~kCmrQ?;-Jry?qfQ02@J;W)TE`8CxsxIKBoU z_*mY*x`xp~)^Fz{8~H`}yWe2%r^Tq|U8Ok5jXoKsJSQq6ub9UlghEtc3pg+(86%U8 zLeyacSkAAZ32`ggNZ!}fS6-k}Ti$&<%Ok_6s=7?GNplV4EqJR36p zmJ`Llh*HKYfmMpVZ_41Uqdq~LC)v>O4Mi09@P z7U2y!CLqM9p@>P~aUrDGR>)w>x-)P*A+8tcr$x!qzVMI%1E}L8A)$a9}=icrS8q1sOz~3I_?XQMlOGkdPuGXs}`E ztzSS2#t)UA-uW2^uKpQpgqK%P{H`l}6t5RnA3Tf|ysj0b2Q@g9jUdDDZoKEyBxKkjv6Bz56A$3I_#ha*EEKjEzC)uHanUU(FB^tIp|%0F9L-<$aZI2`#sd&w;-r;TUL-) zRDVe|G}UmH0fNG1Gnh?5ig0I-#ZYjV`2ew(nLVaL!ExqeQtUwUPwYVRF*|mo`4~PN z=Cj9~$R?_7_V^U)B=k1_-v~BbRTLcja;(JM>tM9a$Jys6X3hy%RsLsPE1d@Qr+gz_?4Zm{=aFneV5GlSxP6Sx9p+nooA}Gd_p%vKvaOUlR)WAN zKYo(W>3Xla9H9)S@uv*6TI+~H!umuxmUB8>a+YJDSw+I|o=a_4VF5Ks@|C^;y5j+< z8CBzL2?G3xo?W^z;8UTMhHhr249JQVN890?vj1~+ON8sJi;u2{;@e2iQjqts>6aEU zAYK?EXgI8lVpGhi5!94eyc$yOc5RaRBMJEJzHI0_3%JGXC`ODc^&e)BDsl9>uiobKW)D$v-s|%++0H%&OwcapOrm z`|v@PXur#8x1v666H{dZVK+818-O-FyAVB~wx5mWp+0AUp-3(_-P1o37xKkBPelh~ zrGXdq;RvQzV}rS8I-X)ok<{Ty$RgVx{hnSt2Zi4I_*CG#aVD>$P&_@8H1m~5S#PpG}XAMUwoD#vT3rhaJ^}V)J5qf7f{}` z)#%)kzEOJ0`IgF8m9;-}Do(0;af6)xLNeO%8g)Q&aPw~JJ(+7{gHNW_{F7Q7RyJn( ze{C|<+lar5wk!V$?$5>9l?9gm9xO|bb`yK8C<%mhu)_B ze7u?GGP&z@5tU_3IjdgwTu5^^dA)qS{0^x0zNs@ME8{LcX_EE!*_(`dz*hPwGDtm5Dt72? z65(^Vqp`5XJ(I!VB8uk}D3VLMnXEA<`=Q2lbn8x8I+U7^oRpOw?^%bp6%$19>OUOLU}VBo{KkHW42B|%SAdG9%8 ze*8U4bKQNGmAu_ldZfOSraiT`oF0VK^&qT*txh@RqNseq_wYp6h48 zc0rB4$=hca)G3uZ&R5DQB*dz_G(^8h-gK5V^so@z1Ru$Ax0ogvBIaTr?5@5dZldvC z%GBMWcXZr0d)9aayIiy%;nHXgGY!S^y2yrW-o49wXDGt=#%^W&qqrR?v zbrkVH^QZj|`!u21bYM9XLH9@h`gICa6v>ltM?QTR%Hx)*`A!4hvIb#0esXwI;m9AJD`If>5&6)0J4RYA;M zZVm$KEAnd^8e8v7Dn%?vGeNT~-goP@=-tr3I~N`+3^IrG`40-b6ZXkS4q77j@gBKA@=in7q#-T=p?+xSR6 zt?{fs8>L2cJ?mb8uY>t0j>X`GHx-}4m%e}(Ze)XeS~0m)^ZvI9)PiL`MA(1f*Zts~ zRLj-Ea*c5a6!aXK;xo=X*Zf6k+Q1g>c#&qIhNPJF4t29SJ5TOw40xzWad`GBL#BpC zs{P0FjXU&Vsj{YlDpj(tjZY_^wypx{$;XLNI#aK|b!sm2JSG`AWy(JEr(1^LvUGzR zy(!Zd5cWmyUL$eED(5(!G(|N@OVHZqxwBT z-{$9%1p4 z!7JSx;JV@0w;__t)%3(-OSkK^9@;gRmR|UvWzkhaI(ul#69%>{t?f5=`1@jy*%`H2 z7AZ~i9cK$lcwC1~we^{$Wh%iYzt$rOL&J}E+HlSUvtD*%+%k!*I9K0y)kxY*{uCD* zSpUX5ZPs^H=39YxS2|Pb3`4lsmgO;(4DyYOair_{0MPJLoVX=?W-~F-GUIe3Cs8|+ z*^-cFB{GhpyS~(F{781U)X+-93?0T&c}}XV20j2o>XpI0 zkJ29y%9?5pH6&*o1}fN&pXxZ6S;{SFuiv{1rX;nsK3rg`4UT;Mr$Soj`KxmLB(nZl zyw@~Ior!bY^xy^}=4?<%V;DUu#;GXm<<~IuDpVDd^h_pwm%i^kTncd^P|1_GugZv` zO3-6J{#0$0)DzOd67rtX8-}wx91QVbIsY+Trn3M2KT1l>jh6gz3b)(wgE{eb!oFVU zSFz9I09*I#3TS_uH~I@&T-TfUJ+xl_dTjj8a+%*M$7klVrQbkE`;@6^Jz0tJ%WP6?9GTM3(!VDjFy z>_NqQqcc$w3ReWduPb7$Wc#x3H18}5U`nf-2(ta$D{iP=mKvII{Psj49bB)#cbL2V zwrhGU;J10sBg0T#mPzfDtUk$8248u@{XM}{;kv0w#E>5qZeiUZ=nS^Cm6oAX7XG%rsvcD!P%loC5~&!1+~^CE4tsNBHY z8IRIISuP6**N*6xgE^XWm3t3mWbfyFejL#0Ys9VXBI@rCF4L*y`0UZlC_Xj)q;Y0* zwu<)S6Ti4ek7%%YlfVA&;`Hu3Gy z{bxwQe@CSM3@MO;bCqS~;B33AO3MEb=|4*gm-+n{-}(>J{>4KjFzr7}`|lk?@i9L3V=;3yRH0r^*oZpbKR&Jb?;0ilQ@Iu&>s>?g z|L5=je|+C~47TB0q<@8jI>oRJ-veSd!i&fWfZh7P1hC!gw0ws+M=KWK*{1IZ-BU$-#*8x+kGtQ|yOD0Cd@d&z)9G8Waj{)|4Lk_U zbU&(e1e%@IcwV$l|Ml}nX(oXMdb%ecQTju8@0Njv8|w1QIc`N?Us2ww-LLVU+C*ku z2hJ`AgT9vTfc+^DSKMW~9)b24;%{EJqD}!RYhyINJ1mWIQ7SK0^Swj>pY)RJ#p0*C zWs1o`KHu+`Xr~)Js+DEwtt6xLOM0O_0Jc=F?|^b`WsgMzD~zE<_S`ozHsgX{?}SXx zdVKseoe5ZR400JpVkSb^;?h&!sjPbAfkGeRFRC7$8pXBg;N|~A+MhsU)rF7W_%X{& zhGf{tEM=Za3Wbz;o^NBuQ094_2@x{SQ<5=p%Pb-DlvF|_Q-sL7@1E!PeZK3ze(S&9 zwccy3bBy=C&#?D7oc*~z7btwS79Fg0r#eM5cXs*1tzMOR&nQM&oUmJ6Q>WOIN2K5- z@57eXFC#P$;~$xet4&^ua_P#KI+?V1 z{O>=l$>3Rt-E4og3>qJdEfY}mI@959SCM<6UiY6`hRf@@i}5QGtW%mhF@eX&9lUH; zCHa^6z3GeA9$49pUSp$)#c^K8}n&XeN&G+2AoTOTvT~K2IiEFw#nzOPX^qT zP_inzpsPT93(MrzkwHGFE4--th!d#q7;--T%+G|L`;hac>80oos;`Lw4;1bZxrpvV z2WAowo6w#vi6Zt8(vy#{dRdlKUaxnz+)7C_j&4(zIQRN}fS;Q6z+*%`l(fF(fr#~M zJ_3;%64h!mrFe$?*GrzCPeFsZ+Lxfwji#Cq@H!Emy z+2H<9Me3h(g5L#7y}nDnvE4m!m!YsReAUGU+K0YptD$AJxCf5<9ha!7eJMzN!wmM= zt5WXeIKTF80auSCHhhZ$rV}jQUxt8UA@a^zpkVCcjEektMl%_wKl_Wt^O!)({p^Pc}vn~(XQ1#3` zg%cYTNEz{cgc_ffutO=G3){E)t}NUcJFB|<^Scp|OWeM+A<*s^ej+sU{a$6RhYKZI z?oYewA3G7OXHPUOe{g&~jDu;452JF$97^Iy%*I&d-3dPkk6lx~;^r&S8_qr_EmqLbQrwzDMe|F7A#-k)$Vd8lq-^sO`6Y>uw76~^Ky-h z4~;QO2~_%>G6_)DCPfv#&->pRBt!@2_=-E;HRP;@_gaY|FSfoIU3WlHU!h2+UVCRR z<_5(qY5hxU@zU(IDcK1F!dpds^I2B`gC6>2u2bF05I1Ur>dgBTo3%7{zV*ei_#4%q zEQBGrprb!)^WZ^b!LmQ`Cu=yf4OFLZ>*^^J_r}_Com6_>0CA>-KY6NB*C^z0@2&*a zv$ZYVH!mG2LVH>N5EcPgRscx3C^8yqdVgVX{Q9#YhlcD;N9$k!v3>zMp`tbT`ww8? ztEBc?f}MD(Vagl>9OVLS7etTE~!l&wQ%Yv(u!V`@<^4 zlS52v(D7y01(H!KKQK8caua7n4|S#NjdF=;pEv(EKD1#mtj{C3N_183*BWpf->!Os zHk1r}{kbbKCfl;_(X(qIMWho1A$y(z$Lf|qp_19b!Hi#8rA(*6$U1{*si<76K-PF~ z)y*?MmB_^uXx$>TH43=E;p#d_ymhwGV#r|?^ct%*nPgz(lQI1y8VuY!|I>DYZu0H* zW|xc(PX?oRBsQAw+^f%Nv5nZI@7xAny8XF!)|dDNx|be)$1^IfZvVItz^m6s#z^)a zyTexkY#E3geREWPU%%h3j})br%BoHASsHwrm=mydQ0uLo1@2h4F4)1G0pWzP8!Qh^ z`A^c9Mz^tt(T~hC>n^+a;R0?lidTCXg-36U>XSa2J*g;vv-&+&dwe_T=>qeSj<_n& zQdB|P4btz(D5&C$FIM>H)h~I*X+#*0E#gQeT#p(7>)}>C`B%=vUqt4nnszg#_v8T*d?i=@{m167jX*6_G!1{`VRE4}P` zmOFB(C&D)3As9B@OSq)8q`{D>+3;d-KN0w*xOSG9PgA}^A76clkGA^Y`wq{;Tja+3 zq}?J^WgP;V&PN{jP5yc3O;vKu9K5b>a6iJ(WEaOrx0Q~_43pj==Pc&c7_ z;1r8{pO-Ag{=)cBc;P}DF;1byYqVu#at?AqH|jJKrkO%YkBDDt+1*Hld1x@djZL zy(0Z>kf89c`@lz(#WE}B$p)2@zvrbIQ%MRfwQY0R)TlylDKIhNnxMoz?Apj3>eqaB zvj?r&^7eDFV#-QN%Sc@Ik`|x3cExuW?+EHZv1*!l@hU)}1Z1KVi}3w@%63 za}V8*2Tm)D6xuSIrSd6qZ5>x5ZEL7ji0F<1U1x%8WocQ_k92ujX~nR;v{TVbs$w!1 zOh}iX&McJXfi-tStUX2ect|a}o-e#F03FZjk{}S<5HB90ltNDP2Q&!jw7ZjTKg9R! z@WUe;ilA0}|Amr&T;;C2E#=(@Y^=am>p;tRpL#TU^+7OR$#^XHwzfjiq1*gMOtbov zIG0u+j+_6ov1z>6++U#E5gP|@`m}4bTFkZRzMx!(7cc%@uxVsj8D0KU=Yu)Hx-R9o zi%*h_1=B^+cf9Dc9}^!I0|8$}_{1fRNtztr%+y{=BL2(tU$*8ySdj{^D{RJ>aK8n5 zEVLZ$HqGH*GKu2R^rxdQFM!8rSt3~leL4y@R6_%ZNbinX*syMq4Iw7uGkLM8I-K<- zfVKZ~Ks>=U(tw_LP%Py?+U>sl*4SPd5!Fn^*0HkkshyXPUAmUEaKkpB3KYi(h>Ghq za^8CTe&L5pH?$>f%9QRa#`&;R(=6dz53TP^9LdZ z)*iuc=5LsQ#&H5+eYcoj#KKJjM1@_Gdy0Q*GTy(Jzo}*FTD&YP0Zh`)QBZSRe8c5! zWD|Y#diB$-uWu0w&A1IB%9xyQTZaH)*K6uII6cQLre+aS+|c#zim;ZW*Xws5kIxbF z1;3a9c*>@hSa`%7Vh)e|(-#lfMeme;IaIzE{t+n5UW|SA2^xxEh`GXpdf;Vv(l}#LjQ~QO% zU6AUGJ?w+dUmy3!L*RkG;6t4IkDzvcAlUq76p&w zZ+`Km{b!C#clLtOkHK}rr|&25C@*ND+ybLpC}|}P>w9NbNEo#5ha^1tvG*IChw%NI zKO9#C71iC&?)mr^)%Fq7U-ElaHV5s4`bOp;u=T?>#buS)T=c*@mQgcD!^q;0&tx*D z7V+0Vidj4g2L4C5;@Xy_?K@X)T8`fdJ&A15%(RV2BIMDnte*LOGZ3Wbygwzl;r1{n zy7Kvuc2st6L<@J<_UM zrsvOff^Hvm*+yG8vAWMn;}?B8_qk1A8qcd{Y{I@SWDoK41v+Ah?Z32g@(DCtQYt%i z0^&0p>3J0FhY+%WgtT*)#K5(@>i#W)bB?Zo4Li7(jGaBA9@o6k`moB?6m=xw8cPns zCn9pT=;A`iB z^Y~MjG2GH;69!gqw+UG;@n~%eiUyxj(1M#@tph9yInTqZj1#yV(i%iJnaiqs)%7 zAY@=wxTzl0J$*H??tqYzmcD?R2Q1-SDUtfXz7?l%rwzH|`uNEgxBP+nh4nuK@hzR- z1*Jjt<_@)o-0sgNmb9HWUD20>MeLH&+J;W0{DwsI+%S10&07>YGk(=-Wr8 zmDSCO%D?`}Z}2$1u4P~!7m(gocl3Dttc=(yI5Ii2rA^E%r>N62x4-rS;UqFSd>IIA z^EVy}xn(rs(NMGMxck{Bd@w)Zv$lIO)6050sZJ8;8HeY04}aT~3#?f^rR8+=u0u~q z=ovZqpgANotX)GvRQfv>)qCOdM68No4ISj7@_mDA1S;zL;`hu$nRKV-z#^&JBZ}NV z`u75hB5)scsQ&tm!x!D)*tg3~?;jLJb{Xs@zB*+b{cxlF&8(oM|KoDI!q+SAJ}>(Q zzf)O6KglWtpK%yj0>+RnA`xxRrMxBD7v`r>rMOJ@a0<>9={3nuiMQmeZQnz z*gEtTd^-z`&Fvrk`knS75Uu%q!m4ZhIb;TP2%|EB(>vzxbS zDXDoRZ=m24C@CwSgv$_(3 zef#;Fj`gyrvAK;;K6o=he6Mxl9F>Tkb42>frdb6=v$*DI?`VZ5#`d{ODyG2b4c|p5 zQs$5yqZ9OMkm8V18MCd}<@^=icY3ezqRA`xPb>a?C%1 z4xZUmx`vs}vtRa0R%s+}Z5@*sIs1bb8wEv(`)<-H0u@2kB4C(F2Sqn`F{U zSFV`?=lHDB!R<4eOH#LM&|_a{x%;OU6x3ak3mS$#Js(_yPcpkA%H7uUig#rmZ7<3o z+Rmpo_Ixis>fUU9Pm?t!at-_tcM`p}uzXLeO+j(%X5StW9}TNcNNnNY#4(egL})C? ztiw}qE^6QD{fx_X#b#mah?!UF+Fh^2R|qx@hwx2*P}|x?rCV6v#>|(vaL%V2PuvpK zHT+RtIr(>iM}%ZbAh;O(i%6)J0yS_|s=NQ&<^j+BZKLiB;`5ST3FO05fz!aAO74!q z28mr_N?Fs^J~kbX(5s;ZI!VXF6H%Glww^&C_4V|^zP#$A=yywNTjvcb+D6Cc+|*-| zKm8V@mM{;D0Qmba!t;Hq-VDrBP&XVudcBXvbIIgB#V`&s4S z(Vsh3Ah@QEHlI{f+bw?SfKsPocpks~^R`+}d|so%FFH{$y8kdVsoXy@Z&YXw@OW5#rsfQ%3Rz(cmavP=%&c@h zH9kQ0ahy9=86POQfRv!TR@3@vGCqmhWG^~LzObe>zvC2_vU7P@*WCG?&lGr-wx39N zhxZIGtRCMrJoCho3G&^sd)6al#=$_pe7{UDRJ=^Q0e zG>F0_bb9b8DlVgQnvGCcOZCmd%CBKSRNR2Wvy_qbGP~*fW>DTV)3uaibD^r=Qhp&v z=$yA7!DjUuN8P|E8ON3DYwM&O3d(v9vulPo5j?A0(dL+ zNpW_cbN*6m0Kspc*bQPy^ZQwCUrB|prF3-leLD0G22t%_P9(0IJ2-N!x0;TOKJ zct%3UC#hxclhd=l7Yv%_Pv}Jr99~YJk0hp>{mr6d%ZJ%{^+U6Z7nlqlG*a`# zuKpshp<}sU{TigHJ4QXnnFNennvKiQMi&@|CZM1eRWo(1^nHRpuM6x~N%Ts3t(wJ| zo>$#|O@GzgBeHdXPr#`3r<6iuR{2ZN+B3Ez7~a&@LocDEZ*jk#+ScuaQ_=WIQOVH9 z!wb<_p!MK9y?^ru#Na{xi=$>%TU5xX*Fn{>yl&Pj3cWk8qCmpJcS`EOMWWDh!EkPD zTfeYx2ghVWDv|8+X30+)ap0c^g8GlM-n?HRrxUdHuV~rZKNOe3Fqr?CFxlBD|8-&h zbpk>L6{-LsBdCc9i~$o3`O6@PU&2KX!%gW2{VxF12$o!jVQOY&8xZ^tgBczk5g8fz zj~tK^BmabvlwO5lv|zl1%{0KJaS4I&B^sJB%@N!d7_FGLUqK$W4F<-8h>4D_o-bcl zAoz$u(V#ML4KkP*2or;#ZtW5g{pBxdXlU~gTsjyR@(_gH{4ab7peytLRJLaN*NXTL zetL=P?+gb$Ml-7d>#)PqhOx{-B<-#y6wE@!EZizD*pl}W@;~Gy;%AN^{_vXtXoMMh z5d#DN6@W(P=0kZVCZIhN1E^J12O(roG5D`z5F%VNvqAsjmuQGz!rlCrOT<%2{TbjwOfNt+|&P%jGwv;R0W zF`8M}l00k)VwgYyL#rt&!5vgngA}I2eMmdQz({6YU17m?5)7pV0X0ugU;n3B@MQl( zI_Km(gAL@OA&qGcVNAFbz|AVe1V*r1RE&v|61b-o6*ZlMu*Tr<$jAuR$f!92VEOic z>bFDW8M3F-^Yb4*d^Y8Hgxn46nhz~(IBVg>sF zgxyfq@N*k9oZ5k#kKs5WvvuFel zW1M}{6@(z&<_OXQztM|{KKMmH+@^ooZw&km@$VtbVHkZs@t5)3gEG#aH2>IVG5qsC zEZpfuz{<*sGQ(oKRAhk-v1iyaXCCA`mk zo?f>j3DnMF#u;S2Eki?5WiNdrH9fX91heaas5FJc_)OS)^dVNFV zGV%!Jfz{k%j$lK>XxM$Cws!QJuAbfn$a=z8e}ymdL{`EcAm1<`DdKeQ-ccyNs2B4OPyl2oj7>m*o=2wHuISRz@SpEmSaaJ1iUrGoF^= zwqRky;gpAvLbM-NfP_L(eFNy*=?+^)BCvh^{7^n5a0Fr8qQRvw%9asNbF45QNS=m4 zr7l)YZ5~4OkDK}hylOOBm*Krak4;a{pwh7B7f>P8i;I7Sv!6bF!7!^Ec(C7`BZ8ap zSI%sXgn6U<;4z|rdqINXIU%h57uTi^Le9a91$64bF(e(9xQrEx4ZX9dK;oN_%T~38 z!daLX5ay3K6$KOiD`a+Yg$D(b8-P53;~FE^g}mxNmx+k%urx?@=AohpVNyV0@$;_! z0jrAyutWTXJI!G_06a6O5UkPnm^Q{RYHEmh68wXBPEG|ORR6ejF_(zhdCV|@kUT0A z3mdyAz9@tWjtC5lO-8}a!w&-v2m!bmg0Nj7%o!Jk=LeGvAcC>$V%*abK?ovgJ%bO& zhQduq#wRdYLi!Vq28?_fGX(BCNB@%$fUz$pC!?&f;UFloGsi;GV58}I2sK7I{TKes z%7S?hxw-lIm2Dkip+z1vJv|ubG^XoAs`=gfAKN=SkZDHg!sGli2*JZp|N94d$T>`} z|Mi#pUxMaT46S{F2?Vcy92?U9Ygpq%m|o7$4@qWC&8T;4xP6Fjn65ciR3DDMzPc?z&D~d*VA=&E;~G{63BUx*MPOy; zX1f;fw|Q+&e~N+)kc*gA7BG(NI5p_wWV}RYglCjOJ~$r z(k)A`4{~sEbm&=*$eF^dT z@|RVlU(Y0!ep@}^UAcXU_mP(5<3IFc*x*_w-<`x%KJJV5-=-xcBi^XXz233U*@$J% z`8a-YTjLxk$`2LF>r6xk;K)~DSu}5WC92&lm+s1POZ%QgrsCKHBsBLrzTg~Ok>PbP z;iAMHE5lxLCR{n;dVYMF*}I;o08CzGQcA)bkD)E8P!YR;Uwy>$l2;lhBzRu5ae2vZ zLJNf2#>$E_MNxSutqhYo;mYFO!XbWyc5wKnTdz_6@^B3Fyi7Q2Df#v!*P>0)<}1#Z zO?|C#*5X^v7mbe+^2MVvKmu1a`?9s~md9xQJvyHz)=ka&DT>p;iHxt8sU0-h-hr#) z^FP-l?%T1Eb#AHzyo!9lau%OfV#rtM;sY}m4}ZHs!x0HLKZh?bNKIHV<_*9B;8yEQ;-PjNi@wx z#dX{ox>dVu7_u12=sjB|mKEXloay_!M-Jc$T?H#q!`QB5>bML=N9{+S&pN-EYCYa- zXKBp|XHI?sAaR26HF>C2;m6Y~Z#N@ON8X5#w;lK<=VvST_;7~MKs`QX)uZeXZ^DrE zLr1&XYaxlq)V?QTP2Zwgv#4kZI04J+YyDL+yRHQ<$Fuk?-#%sG>BqYNY4_})<3O4z zp)(CU!y${~;k5IZA`A%TuC)r!VF+tVCuf+TtOW%$_wN%M)3 zBjxHYEa5mmgRan2(HFmD!DEXXl-kcT6moh!h4d@$fEFBfQ&#bA_|&IIgog8W-`oxCc$Jp&v*Ra|&T@2%jL zck8L{=ksrS7A_doSu8dtRb!T zDVtbIi4i2-($%#Y@e-Rm!yD6>GgIgO8X%^QC2ys}JY}CA^k);aAd|c|OrD~P4moz) zv_Gp}T(ECdKi@L+GjP8Kmoga-6z99VBBd42OD8_Z$ni(^{Y!tP7wi^7r$TNoRvFv4 zuYu|IA?nXcSkm_@-2ysr)L-gNNB?S5R4Lq1vlzd^<;kCv5)6 z;mL-)S%{zQBkmdm=LK#WEnYD`lfaX9kta=M+#T1p`F+l@CZ6ARuz1Q7YlJBRdJ zUI-HM|udOg!^YG_is2P&W@S5dv=Rd6e z+&th)V2lI$kD0XjvPYxz6a~j6mOi)ZXa#*176B_)I6@n9TYRPHFVCI&$m;vGR2xs{2dMx0GOPPs zRH6s)JnuK?vd2!g`gBK*y!z1Y{I4oO9#(p?3Lv08InQDS-mHk+&jx4~{V>T*ukjhp zGVWI==}oVdN@Z)hZEm_r1J%-56C4fQsmVgyw#R6x^BRLUXWFaG2R?gcTvKoOrVMJx z8yt*@nSY1~-}BIowDU+M?53c6Cb9s&=2B5&qY}Z+ncjxd}?h;i*TX?s!I5U>&$)vJ6W2~w;lBuvw0EEH=%;O3YbNwm7S{{>d zgaZas7HZ%6$Uay`%_QiBi+V&9yu6pj&{iL#nZ8F`C-4^Z9cvz(8ogLk_AlrkQqe_w z8RtuZy@T8I)76)ZV;WBY-_GL9fLs{4Q1LmD$GBgGcD*Y(%)%a8RONfX)p>rLTo_=Vx zpP+IsS&XX`XZj^z3Ka4>e%feNeC{|-aKh(+y@-9SO+Pv$tKvbH`7Iej@Zkjhq4;FF zD5NTjQ|olcjyPUD%v`#VKZ+W}Jg%i!0^w@gjRl$tjA$fuPlF|VGcizRTEd^(W8 zV|YX&p%=uB|p0KG~OfVjl+3-26eHa&yN}DwH)iM^3-W> zU&IDiMs-EX?!BN2c0x0>wU$kqYMCvP1!UJh^yp*Mem2;8ZUe-n@qN?y zB#%%b(c8`xL(FkFxUTir!4~}oC=njg;7~cnrZ!DfYp2j|jhxmnpTH{|NSAk`1`jDE z%mOJFmcoCBsax%*-Y~#6K=GAvt6Dwh5l;f#BMH$<^P( z-Ms|Q;t~ZXYfA$H(h0c)e5MT^UT9a$qz5C+b6U@E9#1LGc-3neAG8bN87s`aZrfP+ z^B_vjvq2d|vaSxrbb3*wPT97H$bXw88_PJyz(!Ui>L;$#WV7%dBojKJN@?uI*kvB} z@NyuYw zCu{PjtQ7&i;cl@hImZSAxRbTb=J*yMH1pTTj8Mz$nR!jYiuED(sI1<_=Dftg1q=eP0JS@^ryyd?mdvag-e1lXo zK{FlkA$TF3%XiOL>(fyMGp=KzAn(wqHMRQq8Lt5GVs58uEd@ZMzN6x*r5vX`N7V0; zDh0JiDt-#iTsD>>NUWbEOLz_*$z2lqadyim@>4Zg@v(dr&9d>~0}{Wm^IzJNEF()_ z05Rr=dQ$lJ!#t$%G}2DayO^!0{2#g${NM4?|0r94 zNx_3jvLrA)mf}Cm_CLxNaB#w!I=p-^ZS$(Yf0*rmlr8wbW48ZMw!j+mPaFJ?)CoSf z_z$!FkFo{uIa?I0<%Frf0iS3*PECU~bYRln|4_F7;i%96t9eE%irmKlu8x?M6K3U% zS-D_Vu9%e@X7vEGa>uMZFe}d`MdbfZs~Vqq-umwy{`c>ou+G-bbd>-5Vaxwp8Wwd% zRQ2D>{&$;(Few%J-xw^#zZmS{|3?hgS5ZaI-j*RzQGeKJV2#CJEA#rO(frX-GXtXJ3FrQt6znh6`L~+K zds|;CbNpHtxOSlE9Q5Yb&LA(CifUb4vN~y{+`H;&?mj;$ouKzNF>C(8s_l1u%k$n7 zfZRQ(s*omwi2CWGcJYSm;aw9s9P*ZumyR!5;_6+VgN-511PU!R_zGt<1PsUA9n|T7LPufw7 z_B@Syk0(#m+g3gZrQ!*V;_L~b+m-R{hGClk&rg#HU-s^+UCmo5ra!#T`v!BC##DFN zA8k%Z_rDqL1vgi)1D|eisWN@zZ$7k(vb97NOf=XQ9Dl^GJM0J;;{eulDyyYt;m|E|wXP5oCxY(sH z{fvq%Id6G$V6JnVIo0qz?n_WIvRCmih`95(bh-xLb?ERiyZCDpB9kz0hP2W1-N6gM z)!ro{vfD-evT56sfd2C`HIw|iQ{@3KQa|>YNs~n11nV0mLNlTa7ybIRx%tIUu`hGh zXavOQe`}H0PzjNoKLw3TEZ!lJUr*AiX|or~Z$=tk=yv4x4M-)8J5smcS3CumMH`BB z#-(}mdgB9snzd)NxT-%T5)mw=UOVdnn zYvxN_7r@9{D6rZSYxp5N%IZ{sK;7ovXK(Y5B?s4Jun2aI9}0rPyCl|{KfTiD~|~9 zZ=?Hi+N5PBE-H0v{gwhVw1KaD95T7CCyV0*Y{zeh%`dS=!uebE*3t>L3!nNynqbdU z-2`S){d(+)H`jV95)u`vlQnM3hep|8i!rY>fqsfA%AyDH9=B8#)H-y%(UHk-wSRoo zcApg^zJeU+lmND4CsM@^UkpS^g&%jEzHV?I;*rDOu>ayPOFf@u@9-K>h||yeFjL(x zK={cBPmGtg(i`6Vk|_IJXQGoHlezpHEXFQH_o;Wtj_K#|HXooZ3MonwYL2-CB)xrw z&S%Kafb56sNzj#AX;KsLy+^HsLtSy@=b-70F6GQ+4QxKjPT=-D;cBg!#ju?>Be$TM z1LY7}hzUJf$aPk^?xSzVfB@^kz3tbejOZ_Si?2{fi%{$OT>ABD__@5Rz4VLO9d|n* zXv}TNb>+to!>BV}{#Ps1zsvl>j(cOgN@A?H+|V`20PXT?DN7L?mlIH0etkYYb~M^G z!AG-ebdBN0M&-4a*kDIH_h>|K^QMf`gLwNvdhv<0`;m>h^@XwNMLQkamF=Jn)IMIj z!Z(V0p?yP{oF?+cPs=mOWN*o2A1k8<`2*Z%##S9`mvx!sF?(c!WsfL?eH!LJQ<3J{((;v>{jwM8)MA$^`d0Xri|EIrD;l4+ zCMnn5LCX0=fw6>{8s64t=0QeCct!S=$|tK1WV`vYNuOrLWI^G;dcTBn*qD`6!8azA zR9nkipSV(WxO%Qy=DOH8xYq&}?dQY7%Gm^yDor)#&kjjB{CjMcm7MFZGmu2nKI47> zq;7F3_!`hu*e}T1QB{;VzjkT=Xk}tGOIw_A^J3(i1Hdq$!5Q3iVQLxO-@jyu8Vt>? zT{WGVi%;w{@!a|y$_UCfmhD~IW)C~fq~4?sIXL+QMHXxa7m?q`CgQa}J%ZkvJPN!$EV?d3{b|1lN@4 z2;?M}NQr7cWSCD-iXJ}O<%a${ZDuFQS_Rqaz^v4>Oz=#N;H_y?u`K7%cbm6+hi{5` zHkXChO2)X^Q^bU`iY0+;IfZmwu3Z1{Y-+zFR_wm%BVq11`|OIj?LSiv?E&~;+{`@d z>UO8yt2;!7cSV!qw2mv^PVMy7w34?@)(~__eS* ziQ-Z3F#IyRkql(prSdPoGW9{$HZLwDA2dEAwmBXRoepW@#uuogDQE}9VSR*YifH4c z_fFM*6!%{mSC=|z@qf{>E}$Xj>-ZH2I=!|=@oJ-PS$0Iz#(atrKlreI*W$6U*xkq+ z=dG5IJ0N7-n8;HvO?>Ec4FlEikARyn4MwSPbd`AU_EF$-!(Tw~YE}6>CtJShLd@gY zx_c647SmX|Zf94X(>nZ&3cm6ixH|~E4J@Zv8u^{`=VT=D&C%PL!7#z~01_Fm;cBL;8Voop$aF~h@7J11#G>}zk6>Hxnb_!HmtsE^O` z!0JJDeR{>MHyr~F&Na;)jY4M!PGj^VWFW1XZM#|bh~Fj%U3j}F*K)YIjmt0ZlhmjN z58o|<7yBT7DDdX~@)??J%!2IQ?-R>~r<_vu`qoadt{X|$>e(iNHF4lLR=>pohn=07 z`CW@XP;12hNF`sAQK31614jrSv}>9R)z2L1(ij;RROWNOsNm|sA(iP7*j=RC-k;e& z04Z}(f5LCp6-|mC*+i{59E-CuusOJ0Z`JQe`O_Fbvkvw>w^%ss=-ioR*8^lfb=mI? zoPLy2H~$zu(R1c*aB>bjb!$!gB`>Vzik{7)OlB?(Obyms4>oIv$3t%l$N=>GH_3XKACf}vkP@$Nw$SI5}$ZxI;|9syyo_>N1aR#{&G`x^lj7Q9S0 zRnv^oNt(;@o__NmrCxW9ORHDC+CVv!ftuFtDSVm>;wE9ao30A?m$>AsjzPW`TQ`>|L?o&j+nBBy~JsLicTn*JU+!Gx6WMAF?m!uNN#HL^D4ifbwUjx z#Qp4xD%%J7w7A|F$(j{8`ObMUv95BZn7Fn-^1)){7zEO;HLuXleu(cQ2L5;}4Hxgi zPGvI-Tc8ld*fl;w3i3V^2uCD#e#fq}Gr5nx@6>YwxP-6k z2Sz7WHSLpA-2^eEEnN#=f0EGhh)CVjw7mZ)C}&{iNLazqJvpbMZL$jx$}2g?RlVpR zXW@~v3mHOfs$08x7S&1@*EI@?NP4)RU;1ap6YB{;Rw@<`)$FFYp902#U^sQVVxz@J5|3^sr zwpmp1Hm_w&a?jEl3EO2Q-9V6#lRveH&u``67@pTXk58&$=^WUwa3&I*H2H^Ng{=yx z81tER&VRKDN_?^M&hp`?*AR6Lx2h|xm1Atm5A&cxfW0huCu59-qwKAG^~43Bc-P1# zD88bpef?B7_Hkar9w6ZnHM2mqOnmyobxYMGJaO^!AwI2;^o?7M*wmK=MBurwfADbf zD^u;*M|?fU{7$C$(v}VO{3I`7XWJW07sAWH!=MVPSoG?NxWjVHn`501RF^2m+!}xL zoaqib$P6v=198I2&YqDeYUXRU?oVbGzhcpAdnOfpCAcVX-7dPkp$mLGzO8NhC@86| zbBI#UIXEeQZikxIpl)!1oEQC*idGJkz3e&VyZh+n(Zi#}GCC0zp9Y$X*IGNJ0^co( z>PPAsf?--7W$(7`SuV#R!>bA^cD)13w`=m(^Pa{W@%8r4tbkn(%hcRYo5HWZ;V@`h z_?K6AzyEMXs9_a4^A-EzWyO0SG$kvie`Eqn*%I0}rxD7i!au1`_wgt$T4y>J{UZDb zej?w|3!OXGStn8rZ?5V3SG@Wntr%1^n%~L)P1&pn57;(o3{py%ANe*d?lbU@tphd{ z>%^fY1Y0iUNyR1>9$;h2W>w%&b<6ht_u{2J51WK{m&4+&-K?EHFNrVf zAKuzAxsFf+j(a*@BGM+lZ`m%3YDUK9mwcwYEFf#;9FkKz8yuhi8(goxAX8k?H%sg% z^+#j{H_N=G1fi5{Ve|}>zJ5oq#}xQKt{o<$$=f{GQhU)gO`?NqoI~c#)7L8Kstx0{uDDktfxo%*fMNSQOGF6)wNzuejT=o-)U%>fsq5J*g$tU^8pc~NNiL4$ z9^|=)v19kdrb#ZzT=y-h_zvZhv}zIcA62!#tbxlCN*W#z;11u)bZ2#aW|8GRiNR3zT3!-om)UQOp??091_0% z?O_kSpud>U^kMewGg3ycXZX_2Zja(?d%*WUr1Ud1-ns84$u(2n9%2z$dW7UnU6wC@ zzIc(<&@l?cCa3id&CDO_Jb3teYVik~cRX9fu2|n^863_4eW83pKoegmRMQYjtn_;L zqFI`RU#OO1jgIOzr+{ZyFDIYG9T1eF%P0%Xl{;bIz^$uBCr%#|)|P4WMr8 z{uo-HO{}^W*Y^LA-w1p*zCy}%&&oZm_%pSlNj%8NsUP98Mb+|~R#rKeat*L#lMl580VEvIX≻w7w}U+*DJ2tgl)KN9vghq{ zJNDjB#`t6&I9-dOocj6VNh=>DoQYn?F`>6;*%(C$qRmBW4ySIx(ZRZ;y^e!_qOo`r{R` z@Go??3AN7Z2Em1+->n-dJMLuB!+oD{11o<<);=DX`Qx(-=kbYT6mO|pc_dar+jb?d< zzFuK^e_F69?ne6@jl9_aQP-y+?tOZ3FLC1%O5W_6{Kvzr)0BZ$1Xjj4k|R z>e$6~MIXb0vH{`8Luvr!_wPfiK9M;KE4b%w-!pQ|ESO!O;(-Oym?SlTTl|ySb#9&6BSx=;*C&?*EuzqK%MxPD zg7{-!s>G|%yM_0v)9=d040&R-yCs@=whCANUf|i@7lJ z&XnO!Cpd4;nPk2$r=C8UmK)2sWfEA+-xBQ;h8s&Q&}}{s*r#M*zk$ zn+ofj|D%|NN~SLY5dft~Url`ry)!dA9*Hf()V&@RzcD z_iki#?5{&}7b2Drvc%A42N=-o2st)KC?I9_hv{FW`4T%MEHOAEr}_UuEMZeTuw7nw zegFiV|5|o0W0<_+LvF>6JyT@ryUP519V-t*j9J8%K2<~6M zEW|W1g#$agdltX0m?OxlIf8@1n=!4f!>>0med&7;0-$bp6VlEQ#DoD^ObmAi_nVp; z!#mT{Uxa)AmvqKJ&i@i^d{%Myu7`=eIcWXam>=q4>4-9-jwX~;ceh-Z2EFfZgrm?r^7r>mlB zejjcWW}rDa!&Y2^5G+^M?wC9*;;$L&8H4GcXraP9{!2#}DBxKvbI=2x2Xg?> z2=W2Ga1nm|xP(9?e(4*+gAE5oG%q&10YM-i?%4V|W?Y~e5OTQr)#ord0JOBU42;b7 zR}mR|UTio5;H%2{zTsX%6uRs3un6J31~cr{>`~9V3y=q>G(32RdGyHt8v?MN<(k7A zK^`gsD?i^JAcgR4ks?eNC`KdE0#q0b8*;3bRd7Vt)1ARIV@2j*6)@+V!^5CGeyi?^qDj=a2>L*F_)GwH!N2S5?d9rbjE^JUA}b2 zhy^F4KVc@MZIHJ*|7(7@5DQ2Ku;%`3y!ys_r63S%YSJ|`&%wbY7wbCA?3}Caw2;P?9)gK z{tsj&3BV?axduq0#T|~FKmR|R{RLDNUH^a$)6(6wK~hqX5CM^HL_i7YZlncCNl6Lm zPHE{zkPf9A;ZV}0bbfPup7p=)wcclaYrXGY%bCNR<(xA!d;j*?GuQ9h<6~oGtgqQRi;o{0?%HImZFY;4Wy;H^5JhIJik3RiPVpfuCo!}FP7iUQUKI4g((PB ziNE=wP1x=%qbfQD8yJRhbarz|i(z>U_JHq(MW}1^3>*5_n=V(Y8 z2<<(>O9jD)udnpFrK|6>y-S+a*jTmZdeWcZs{ckudl02TeDK@?#Fa=A<_$_5g4%|{ z=oz&!bHz@}K*}JkV`1wBX`QWCU~&I*cA3GK6{U~L-@?Aj7)1EGFl8Sl&{=#dEfG=u zV*qftk_qO6MDR=pRi@un+TfWch3>{MP3|Jh@RAc>vj&6IiJvHx^|m_itm0qjpXpcQ ztQZB}iC5=Vf5PO=d1dKe9e+ z@%_^i(nII@jWGrwu7uswa${GMpa?T#ZM5-ZPcPTueSqnB|NI!uxe|tiy+l z$}Y-x?V(Y?q!*N^e4$s{AY!zJt)KO_a`H1UpfuH z0G-8aZ_-{7mF3&)u^xQl`_mYHJ6G@UQbhMP&IfHtJ|-}j@Ku70;j;MR?u$^?yx)Qe z|MEpqxT8=QZsp6}k?npEv>k$3P!R7s$VaM$-swvj(@Ac-wR!QKb3K#tLwsltSe<); zs>d9nvBX1e`Yrilp=(dzMO3gp|7MI>#B5k_4j{s{c5?O*I<e_hV5}u)Bt63G89`r%=el|OM#f~u| zChIja*d5RM+Es^?I*j%lcBo3fYcfgd^YaIP$CoFh1k=AH7J)rAE6g)QeM0K1Th!1~ zO^bB;2Nkk0V?So^v6F2cNiKlX7eBGeBO+4JH>xqb*>m-z2VbhTd`KsVv)cLoy6C%cO|n>!zU@ z<~OMeC1GK}AotCyh@5Zc^~ExE6jEWbIOD_dQD5izN}{-4?;a<#0~03E+DaKIrY%-Z z{~CVs3>pVqpTU5B3@)%tJJ$pX4ZwJ?_Wkvx2c-2Oh%MH>>mRQ|>~`b?S|y9t!L za9_aln|OYp-3U*L7zfD;U8@><^0>q_xY)Xiq_?Diocjxy(*Is4QlZ@IhEbX&RoicC zveM2^%!`C3iV!N5x&4#f9N^CdmZ{qDvBQd-!HXiF$cX%383V*0)y z|6z=Z=>(=&udZv5OW9A|8rxWkYlG=kP43@t6A1J-!Y36HghS7xo0Y>%1FX!!Saq#;cO>e!GOu#i2n=x8@IsH0%@& zTlMtKl-G>n;TdCob8TnJHx@xPtwR{a%WAa=Joi_np0^}ThW^%FRo<6+7u6*;VsdPZ zL)e#*NSu_M2Lvhxd8v`6Mh|?I1iIGpw8-gE z+cF|Ar}tiw7we&Fxdy)1J$?MOP38pH#gib(JuIMt^}CW7{m#oz;m!gx+zrH~vnjK? z0W{&@jcVJ3d%&93*qw)q_LTU`*Ur_>Pu#`XoEf~zgN}xf|VvcAxf3w*fczJsYQSe38iL|v>9A{ zmO~Lt1DCO{saa1EmPTq2>MxT{S`(HN$Q7n9lOBObbW0L-hp>^dhfdKiTfQ1awf_Fa zxMU~fbS)ZFz%M-A=PPK z2Tc;x@Kb?@sj_=mC)UjJd?>n0Me0>DDB|2T-dR!%T9zfFvoLXROF-TC^lQtd-ocat z4pLuCIwNU{>nJHN!2)*IYjce%P@AyMu(HjZe!&V0Xjat!V{F0yhHU>~Y{CDAZ2w_w z|2ZiT3yW~a^ahrRh~Ne%T;1V|xA27reBlXSyn`>i;0tf~!Uw+ag&jaA`;PBlTQz2SfE8B%C&!a%yhvbwfd^i}GbdX42Yp-HDye z8|#kwz~4Zhou@R4&#aA9ftQ}g_@c~prFy29QFMR>voM*)1^j6@C%j!bDCj;Fq|p_q zd{}0?>%HI2cKGxw^Jn?&XR|}B%KL^pb3m z#$}Qd_>g~jKon&Bjv#R*X7o?LUbU@*)1{QbDn36)=9sg)Q zAAUIXO}7pAm=*uewaWPY!biY2mt$$5-60m17vgyMOXuq$qmap7kXQrL_4^g#%bFEn zVz^A{X_GrRkNIdobdrre*5#WhDVC@YTliW`Yy;CWV0iaHIiZvM2ZBOBUL;0@1aiVD zMb%?(v8K0_lZZhGWMDAnfhF#r{rp@ErjHUFdnq6Ni9VL?bf;Bu&#aVDNAmz;xnYP^ z3k$fNuX29PlKgoLF#?5PUf9G?kWfO64`UnPvJ#m2EM0_Md{g|snY30Mne`PN`1-q! z($Ub>NYyrjf#weEy0i91B`0uN|pOdK@qDgAD_P0?R5Y+#b+qdEOvFrE$4;-kK`K^eGd6 z;dz?;)0slq+)VMRtk1OIi%nX$jp<1sKld2X3lrg~wQ)O5FOI__jzLq%j!6%R!U9`C79xOj;{3i4LWL9iz}dV_gEc zkobMXHxp@N!~|%9a{k0NBxLpM0$8@G!JsGnZIid^$uAF78nxQ|;3_m4oY>}7S3h;}Q{5tU)^R81j ztavKW0m8E)eq_+8CG?!jCvlTpX-u8olgBgG^&SHO>kr12xxlx21Z$<~mx8PviRrK0 zF_eoUR$5SW=?-aF)wDK=&!@ruYuVB~TN0h_`?VinnMI!Jul~5b9a4Brm?Ik*ekQ69 z7^%p^Vyz@xD)|wxa2$;kg;In3$VOXr`znrlUtT$AfITtow{5()QulS&HxLe(a=d10 z8+mnFFtqi0$B_ivJwWAat#iddx*Zyv`|lK+|JvzgGkX`wlw1(QdGUMj5y!@w-1>TTd2_61Y zHt`#MX0p2x5wIs0( zRUEP6>x;-mBa`Y-PKykseA^wZc3UlQ^dX44-V;-h_s5bCdtn^;&dlN5I$E!g-ER@> z!8AR7aAR#AUOGc)ze8NVynmDwX^|d*y6zE0wJ@Kxu7%0J2V7;=Z(B)>UM~{v+XUB? z;2L8xXISa1Ei96WdHn7f!~-uqo`0f=3tU~c{29W!_S;bdQ?FN@Y&)BsGde`rXL=0S z3SHZXC4~{3JXVOBCsS~Ld)9|EP1?|UBG@!By`G5(My0<*yM1Zo!{1d~D2%N))#8cV z)oa7#(wV^H7V;O72d0GYE&CPN^@fbmnuZr+;vMQf((XE@F&hT*%?IPzKL@zyikH8} z_T_XZL}m&*k@L{XFZ;RGHucRB2`w0gveQ7e8tS8Qii~I^%=((04?ZXEX`k7sFM5`> zuY|=7MJ|nj;#!8bW`@bC$Ixh+Q~Yx_ynWx4Hfpyd?4`I-!w^lnET>1Y zHc#)K^Q#nTiVik=ZzAQ|W}*Q$0&p2_c+Yi7d6v5;peTLio+MXlnqljGQukj4g!xIh z^=x2~7wP+FI#KE;Ej<|@^(YETu}cZNJ-P=(<(E(;cwrUbv}_`KXojWDQepB}NQb#n z%dz;TY2fXlg@O!hY#d^?Kq1^oNcsb!JWPl{pnsu0(I-vsP}gih!~+ zyY0l<%kl=w>MxxffWwC&G+KsdGV^JydDwW9!x=bMRJW?h9nUPFrBUh7#moZ`k*bD?rGGsTuaea{qD1D2maLoI{BzB~qd4ICk9ACZKq1rtHoE@lr(cksOW3A z;YX=&GlOt-eimz2X_bd53S$+yJ30xBN?xG}`ZWz|ymCnHEBJub|7*p?FU{21f|=3h z5rv2?FYiubewj|w^M(Awv_Mq;~YPB#0VaCI7lo@#6+%+`C*b6s2#)- zU)1XKJTX*f z(PnaQw=>a;q$ZYS|JY%pb~!#!@GO-QFsEXeIT;mE?>;g-VZC?wij3IjYBD@^r2nAo z(G+594@lH6DQ~6w{H)@Au+<{904jCx2;qmX*e*B)_!R8>)A67g-Tm!#Am@^od;$hx zQ`2j`qdu=MbEl$)TtZnLK847jRKix}g{%8ZZ;l({5dXS-oF$;5r5!E4!U(~lHnmUEuA zDav($tavIxr?nk#1}bqXBHZicQ>DC8cKKBs9i0z~S7hxsiVW4pTy_N3pMcq|b~)w5 zipBen27MyD#+!Hy)wJ5V8}}dQ#VByl?$v=c%@Ud)lY5Jq-$kDi_}L6uY+*Ho-mB== zS!iH&XtP)XzqAQ!wtkoedeVRCmN^%;dUDi1)caJuUkg1T_YFn{8c;SeHsPiG5iKb4pG+c4zW-xut z!uPRrVDXTGFZszIEdx_$f1>+L(S-W8BnS~-za;=$kF?;lV)Pdlq>S1IWlvrNd?A!^ zat(HTfyoiZXwt+0!t&|G!yi9Wv9|Rpn)tbXi$tMeTG(<(^r>NB8HZtT?iieKh+JAeU;!M5XK$(eAJ#VG_#DOQq=p{bc18!}vlGfeJr}mZoKew=mp4+|!lS{TCVHH)aoy&*V zl+2%!GP=j6O5w5$itGtVz~_q41*d{h4l^87BcOK_6JhIdgjF=@VfWgFrHm7Lmv^r^QI0DB9nT^LPDlYFkgPgkdYkePRmiZk- z6jDK#&=p1@C?U_t%yZ!$hk}OFyQJLuK3Xvar8{m>Q>RT16UWp8gV_b}evLV4OA&aJ~NAvO^-P9CJ#+;1iWsJ9hO@$|$#T8)DqF zfOTe0=`Tc75;B%Y%6e9=8P$y)bJti8_%y<@o44*827>fW5h=Tf#Ku-)Mf2=UA`x#t z45QewZeaJ`_U)vF$uNkDky7w|Ryt*DdYhHkXM2wCFn8-wx^>1N)4m|6pjQWazTXl% zh37WHRxj{5xNWBQ{$TM-Y3jer>9}L%5O@~_){Y*TI7CJ#eB<{2)NxHAr>3drQdZLd znTdr=Zzw5iLBCn@ch1I66YfM53|pj#J~VA#3M~CRtvnJp@BJnEq2`mFOJCnC>=TumSKs&2ssKM9v!_D- z@a-i?$PfzN=6(K$&%BlH$Zcf%O6dL!GLZ)UBrKtQU&qE5{1$p%KyRAYDQXtm+_QLy z^vKZKzaQ^`q?}$zbZlxR1>m-b>*<>?bo)@*Jcur4{nqQq-ZP+UiBEI#pfGS-wJ3MparQ{}+-NoMU%>s??bW? zv8hdO=^~R0`(GVt0DYgm^8Sce>!@q73MN?Mj&*$LH*u@@#C%2$Ss$2eFsPcvv$D^w zZCukV;?u&xSD#MG;?ZdL3Qut%Hh}cynV{jh$uIGDnMkgG6u#$91?Ch!H2co)*012} zF}-S9zeWj2V^9bTkTfty$euFlzkjsRt9Q6uam*~8Dvpj+?=ph{d_AMS(?7fY&6-i% zYm<%r4f&&R7z3w(J`pVo|MNy+Rgf2$Rz_8(*%))yp80kNhes-|0#!`gH~WEO#|`Q) zkx`I}tEN{~;27jc_ROj<F)yX}2iMHDh!yb?m1Gkz za0_Pke>1JLd$P1UZO3f^esn9=W5s{%7Lrt12=%{yu}b&K>ynvPkd30TR3VF!2S~e5 zcc01LFP=P4b}Q_HQL|v*c0JM1bPDTZUKe**!vhTiU%4gP*~F|0m!om#A4!yeD-HX0 z1rq|rCsoDIVp%{@vp27iOOli#?z19&n?dAWV$FJNfp52n@$cS_6TIk#oQy8`3^<5~ zl~pHfz7D$)LSNrh@Ksd3N5JoViENt+Rr~u6zDu>JMiZ{-eOkLOAB)l^nv9=&Iha)L z7u1)BrGchrgUU|j1YZ8=v33z_`{ZlB6gAVERBMi;2DV)C!;+w-`G=lkc~|8-yZxAEWRa=b%3WdZz3Qt3eUz_rC|)crRHHO0;my>j&a9 zxL#9ni)CC<0>xmKz>YwJ?rWdwE-keB&C&Y_&&v0vpFi#=Ml%weeGlw@67*o&_I^Nj zAbsR?UwUKvRV>Pz4QgaA9xlGGGd2Kl%G96kBV8Dm)&WJb^WYj>!Ymn<7i6JbjCm~9 z>(jE}`76(h%|C&5%DsdL0;rv|f)@!SLc*Ih91})=@`=%sbLk#AXY27S7<(6v*P7PCXCd-SH?_{*3Q_?#RXu_dcjRZmO zD#k;6+}5EjQ`Zvqv8Fps-39pw2h@p?LfHf7Yw8jZuqtO795{)RX&XeQ8Qrd$Hk#ef7bSh+;wccSWopCKUl_U$692Ql2#S9pz67?Py!383v#O1s2koQ$qf4{iD;2 zh}(8SB>WP*-7mxmQk*)?u_{M?gNBc%s4^n2dujCrw5M6^pO(N-pRLWIJF}I5M=2rF zx4^I?rmF2?44+=qu&9!FSjMh^InOgpou#0`|BYjLJ3Y8y6o0c7Gr(Kkf`IK|bM6%5 zXU4X4gv&NX9em2bQ%w$@CoQd?>cXCxIHuIeO;^K;VmqdP6}0`JG$}x%HP09XFmV>; zeqgbj`N?T#`=jeQ9{(`$_IW_F4Zloa^_HqLI2r$S6!P)lYsia&F2OEi7Wd20s6YYX zFQ`U+4>k}7gTYZ{%OHWy8!QYPyL*$|8AVTB5bGLUg)7=GiZ24GHjY3pm9Xj4k$3Yt z&Wy10%7!kcF}gZrUQ5LO>RKv|DpV^FdSp3)E#w% zes3ZX0h|M)K~tLp&L?T8f^?rU$>uocy$g#+Oxn~o=TJHL!+^GH$&a?o%C6}ppF?3U z-Rkcoddn7+s&&nK2v<_!zzbI;l&Xd+G{E~-WI<;87gFH(&KHEhV+e!?@-QC@YB3>~V zU4wVKDmI@VCgp#m$OVS3>R&GSz6hQXa&S(0`+KLdRExSt)46_j`?A7|X&w$To3SV+ zcBPO%RIyaN^)8y-Hn`qCDreuvV0Kmeb=K(s+-DXCZ{pCjit9EqItOKp`FwT@B(=QA#vc}Iiu6k+99&D6PgSi!nELkQ4hpSLGD1v9thuW zKYTF&F>imlP6)L7D-nh8x{0Zo!{2{Jlcx~ad3Htsmk6F;T>M9&iA>JGzzBnGYcm=C zYi@M-h6n8s*a>~n|D!q~b10-rgbEMOBZxv0P*sJvMlCI%4KI*e5N^_hyEGxH6AmRA znLx-^5M-<+2nh{^thFD);05y5hKEP^As|HhAyEJ2IsZd+!qL{axH^dDgez;|gqk4& zHAJXEj9S}Q2x*0$di3@WF0B88z%;n7c5^H1KVD6Q9cX8NAvG8R0)TkVe~8ZiFr5(C zdIA?GUJ(+KQ2YiXpm?VDoW7K5R~By73jQDKYtD( zYpVanIn^~@X=yuqzJtnti8XQv>Gbh|c+U5bsW&h%?Z92gutBfzxoL*9it;qHuKjzama(=6L7}LM$g7TlMq~OiRni$jZus z^PF&UIu9z4u$K5Q+xEEx(!D_Czd+|0^o_<15fCPzT_R3SL9eEve>2e6nuQk#d4rST zC(xrPIM4}EkjQX!8qQ9G|I*VK7#IwkToBjE^M8KYztkH)fD1VV;7U$7{{|U*;kr>_ zk!R0jmHvZuVwgZ$PIyZ*H#a3lw6rqCN42qYaEpyIy+;6VNhuJPnvvDj+duSu>-fZ^ z2J!4Hpd9>FY2HFCCKC$_D?1mrfY3`gs0^>sul4kdLhglxMMOqNN5|yS5<&H`v#)Pp zYik>FB_I4gg`B5U#+?N4ngmgg^z=||VwZoes^OgD4WKIVSH=q2SfPpmwT8U1FJEeE z=ON~A5f17SKuF>`{POO>eK&+8WVC`t%T&;0k3RB-Wx&~Es7H%cLd z6g;1cODn3YM! z*xDKnE^YuB3S7BNWPC?RN=iog6tcwrof;Fu`DN`KjDG^?Xd!#D8yq5jmzA4e@VR*K z$LL=+cnU%$XJ*0d+}~%IXc!n+kfoJh;4xGMAZ%IE)ZEBL*r0h=II$c>Rny^1uhYh$XM9fq38yo>in<%GU8B_|M&LATL5weO7Q1gQt zoL?5y%1kP0p!5Xz<%ZDk%3C8t&VL+P4#AE`o9cpwx#hsF&y)fXqx_=r4l}36vp$G&&0_kWpvw;x2qS(b4$;91 z3iePv4;&|hWX2wTe*QsOx$wg-8HJAeZx%)l1$5!z1v;CHYo|!?c!2@68K?rl>pWBi zM5#r^#H{V?9pT;O-*gDLuPM7=5b6krhtDil$o~p+p$rZLBzTv`^^k|}@3Z^YuPq|U zAmugGWf@yR7d{fMe9CNucR?*JA?o;0G6B5X?dsZvANbKNrTHB)Eo8Wb0vaTGhe8Gm zn+gO2K#;$#w>Q+{p{5=L@ApC@GKngytG~fpGjxe%WODMM%UMupG=+zTj*j`-)cn8x z?A~6ZY7AEBGC*I8i!0nH76blCP)>rpii)u}iF$bj9CC(|W#GPLsO>dUy!*ckpB;4h$#PEG|+#ej-Y z0R|%zlOJ4+AK(J3hWoVcD4_%d7}V*w!|tFS?JX<{P9AW>$pdjXc_08M4}=lyW?_#J zA@TrvobL;hghS?H2nhbLM+gY-p?47i;EO<*1Oh@3{8ccF1IkK)Nx{=n_@J~D*b^u( z1@-_+Oo8|25iop&*c8}3M1&}K_D(d61_9wCv<)E!hKYa>3&Tc0h=UOzAjCspHo_+u zDU?zG-Y$LuyBocE4yIV5jXC;yaHlB!|CJjdJ_Xv>fR|7 z9*w_w{n|g>hI&4#EPU(|VQCXk@CPkKD`gb8Iq@#weHZi>TMW?`D1DQkC||yU`UI8? zaJ^3IQ4F;e^e3=K38WyA_xUd3SPN#Y8`)YNnom9j@Ed%OzjbN9JD-deN1Z!{hBm`8O{T%$ zwM+k7kIVx5ClD%JCwY1gYkgO#qLZQIrCtJ;F5zi|`J3Eatj{Zm^xr|=Lmd`vT4y~T ze6R%0%$pbOh!OQuSu_j$3TWkQcQb)x9Cp$0cQT=$`iK=#ISMa`=af6kJ9c;Xy^>9@ zwXGaM!syH`x5#U@Sq-fZN1CC#HP!XCpDetYND0xWh=T`~0P36j)u~c#-m~?czok!F zYnMOJLcU2A*v}{Gp0~J%vvf@9j>p-fe$G{gkWPu%kPvXJ z@6Z#7aY{?miQ#+tP^00iV_3yXKmtNmt+V?--B5s#^mb5|*pHN1=DX&gZFwfPf(VrR zgYP?-7qM)1?PiOB@GbI48egUl52EupqoFVU@}AJld(k)gEVcc#?uwuHf#z7}59$xh z{HYu?PuY9XhUk$^^^pa*9t_r#V&hvJF@xCk-;T*8=Y(6tqCT);Gjg}ll9`&$+jqO) zod@NOLc{?E?}1YC%L_WMvhJ&&iNxn`O`bKXt9CA~CgIPJ=4~{91B`$%w2Xd@jGfnZ zQ;v^EVRB7=s8X~WFsJYGH(hC$fTY%~ZzYZJ!c;)WU{`5CW$R5~m6L@por>@4AN0{0 z&LG6DXzpdDr8>ekO?$=*H5uK8du|$+Wu4`i@soJ0;Td3maquTPw;{IwvdcsB;CF3{ z`rSHbIk37m&aPwT@xF90!t>mnOX-b@O9ctXm6%wl9GhjY(W`}1CBD8IJ-k9oVEe_| zA!k>W!k&EJ%5t;x8&j2VOYW(37{$E#8x&cAD8QVPtjfc)?!Hztv!>q6cGp^`W>4Fx z7cv3scQTGrq6Z0$BiqJdPkbkC>~PBpxcY_=sqfy4eB19!l8K>J8*>K|3)&2?h4Y-L z-O(exZ%TLSa}O=OZg{-Tsp%wt9%531p!Y5V9UGaBb3u8Zk%n>%GTtBtCiu*dSfxH2 z{PrRF00@jJre-b^q!$icFV$G`nC7N`J7~kx48*S#3p{z?m-G_t zau1^upOC#k_F5FOL_QMVm+~I#LVd3|QNLUAPNE)4POU$gkHn1EAv3ftTPc4}rn30% zoSDD^WhdwhD}KfJHrRT${*&v~jD&+9?jsoyS!ynIYqZ!!t)Bz4oJJT{PA|cPWB4jw zY_;oD>zn5);-e^jvZ$(GZ+?7dc%aKAMTt)VkhY6InW_40g?ZB!#5ru$v@5u>jjXg^ z87#+tYHVEA2X1*%`;1LrpK_cMZX|4Vxur4S;xR3z-5?Vb^yq#dVFGS*J8A~wtjW3P zTyi{;SB_!Oyh+Pm)yc0sjrsc)%zl7_YbUuDGOAMgq|f>M)#4+p{d0^#sZCpcSlTLl zY9aQ(4Lep^d5tiKL#yXF?GNm2xt&}Y0Jb zor!qQ9L=@Y63GX);`?96yGO{rr2q1F?4r}HwJo~^=T_qlc|Va9r`71ptH>LkFP%9k zS%))(63BgRLp)Nu2aG82r#6}Sy!B|)2%A#xG`cfZ;xsQc64%UixHHPKJ3-2*AY%?` zdY_u2-f9IGuS#?Z%VrnjKx*y|*Q!Goh}^=Pk>d+dS>*u%B+J zQpCrOa(^G4PIx!6ojCd~Brrlm6@zIWoXKFSGN_z2lK3+?H)_)~@C`RT5@>y%kdG2I z5G#!l3I%hQP6~Ig>>u~32%Dt&DMIxXw5F3kn2q8x4Pt)7{RyFGy^Vj{&LZ}i&NJw;jd8|aGpr}$Zz%%zIWNid+z;`3e< z=~$96eq!yzBD6?TRYNYX#X2CYtc9ZfFrl--0$6^%!#5t z?y6iJazT1{McF!EiUTeU%}a~eF+k>{BR7`QTT?yhsgR+fiS$;Dd|nS3_Tk-nN1@yk z$6U}7CSGU<8=0sj_^_M$y6~+NwLa7#b{JnZwL(ipJ_fPm8BMY5~ z<8`0%I8C_qMlABr-RQcfkbToEch|SpD?rs!q2x4jlXS_dxzm<=Pae#WbX1I#fs!Qb z^3tQ}Mw?in4OkVc8IJz@G z+6tQMK-@LS%e`zL1Cql4OWgy(5%=yvD z!buV;fk>O8xxRH6c!-=0`KmWLULhA^&HtijP5vM7_5Y@s|ACpI+kF2hga4tK|ACpo z-ytJ(d+hJs2N_v8C^=P089t8tFVqeGH`Vjf_ZUBujTc80MJeRoZAFBHws2dvc!iT$1RyTYGEBLL0?VbM&LjMbO|8tIt@8B7; zcV{hkkM1bn!kQ89gzu0sXw@1*W%w_(MI(BKAo z^T{2ZKCA{28s8)%{DUt4`_=zHH8FzGKi}rA_}@DyzI&;RkpJ)f{PX(%g_u|z8i^4j zK=-AgH3PK%{|aKWkSn}lT+AM^VyczK+vyL;3fjlvm3sJzx>1`oBw1Gm%vM=R4#Y&7 zEp1HA&-o;2gh~p_aTbuf7z*M!i)i}RfHC4eUW&&B&X2E#1^J3Xaia5+M~S-<=CEwg zxw3hcQNa7&x0Q|D^=tMPG^BBllP~coTaQT9PBNH(# z1WR(-31n*D@1q^L8ZP~qV!CMrwqb>~sgH=FPLs-*UW|LjGCP_#>_jNE6EDOTI_9H# zfY*;n3X-#ev)DbZKb4YZ?_2xxlU@W@%byXx71|gTQU~>=Tj{ZabApd@HI)5oQku=~ zo)mn@dr;k|+%UCj{{RcL0d{oT;(!B_gU4M-HONh@w#`_4hu+`QkST8{k^8>^?pL9y zA%VddCw-r_YdZW8IC%_2?~!PXf5+MSs_4eY1KJj*&&BJhPSjp`j<>Rh*bW}(M_>(D zyl<3l$NS)!Lkj#fX()D&-yRIiteMi;DqHWc!>e6l&(wB!c6SHq@Y1Ci36lXSc0@AN<<>QQhafXZy#@1rj z&ls$^ao9u~$^rs?RCiDv*9K&*BL{dOFRmzQQYxG+sS*c>nemU4C zx&tjK#ozjDqu!$c$MHoE-iJ)0w zXV9{(J#l4`eiF}Mmm-Oup6e!$ko&o%9(k2M+JfW1)!5xndLupgTO*%6!Nhmm1jnr$w3) znAJB{Jf^EW>J_hhPP=I4goUaT()fOz|6Z6jiDD1(8?bo!)=Xn1ez$1iw_o5{WM(5% zL<@eNN6n;1AQ;2;n&Q@!$2y z#C*@7(SK!KHCWD0(k#uCmpq#ns18*FjE0$;J7QZ3-*As7mS#FOYO~f*&ZKrZO1q#5Z~d{qi9Le1N5To=)QcHL`Ee=yfTv8 zaz`N&>NQgPtphyKw1)(^p zdW)4vEAm~v#ERpN9A$=|6Y(hpycq0;xBHBOUkTSh1T@)^WZ1WLRZm zFKDlH$rE%AUD4(o!G^k?H0`N;cVGkuRYSnj7HC$B^v194JZ8fm*DTn@NygAa8Gm>rBg;K+phmLGvkzME7(iw6JbFt9g3Q=dhQ7{74VSjk zT2w0vcY{b`%yP-Y=#6KV%pQHypjD)be_2oakGmGQw|K)iena7UbR4!PlBT-c zRbW7PVV*j+N?wlmGikw@bLU1pkM%;Wedw-C*SQfcx)OMO9!wUJyoYa|*^yO%Re%rD z8w4Yjp~Uvfbn9aub2czZCHUZXhp0h42Y0|O5_0RtM{MycppR^-n^ESG-?Ra=vShuo zUE;Dvhu4SB9Asq9z-nD^~<3>O@8PM)*Q3zx%<3r8X ziY$K(pvAtvao=l>&DP@8=Mf!D;aV`oiXs4df_W{O;-AV&5BkM4n$T*;ANmzwC!=%$ z8<7a-SSxn`^T@Jban$Q8q4BPwizy~GzP-@RusfRCx7~Pan6a=6rZ6eRlXoAA>W_X9 zpT&7|mphiHNYKoN!KHXI;(Yi~736Tm%1LestWiFHX3Idhsr6>RjPMw#dxoJqruP@B zRw!s_f6=v;(PlX`kE6=XnRj-ZAgaq*Q4|W}wq(xYLze-=UhI|lc^59KYA!MUi@_y` zS(mg^KY3-%-`mwwrQ=9~6!Xp1UakY%A#ReUGAT9T&lPRhgX~EwFIkgMiUm$8z?l+Z z^wA?~`_D4>*~>_&;}fu-cQwQjiaKLkBB-Pt82}9mdW((HA;}*VBctY0{D=5cG{y#J z%ytF!-tYZixibUd43+7zn%nO$;t>hNBrZ?)e|&aUO8}tC!=z;N-ydWy1%l@$ zZg)%S8a;|u0UfI}9b6I6Av@zX3rghE4fHR<^8HCS0HiswR`V0Jd^7iK5 zX9C}#i28f#T}cQ+?&P|D36Bi+s&12SurtoVZyHW~^0;DL_u*4YK^^B0Uu0-#zjPyL zyY+yNX)`utKr8y4ia#%B)%1E&8lh*}P$K)w6piCCRZC;B>o!@7Pe4dw$_RrWxa+v@ z%iD4D_fMP^zm7_o6H99xBVcgxCj+mJWHl|l(IYGCR$I11uDw2ua?c~gh@P_`0OJqgUZ4N=waqlM#kjd)1&gg}qd8mC6)t@H9nJV0aTL?7klr~5+a>6FfTl^#TmtWf?!Sb z{Q{5b!^V9<<%}PhcyIfp-D){p-U9SN>3H|rN9x)&+Z^Q0Q@A446WjD_#EkAW%@FQyl+M^KsZEHe#H-E#_5=#ug~+fd&J2WoGZJhV^TiJDzQX#>}N3c7^VsxX#iCn zY>%v5Lpj8w3%_)Hh)I8FV9P8vCv0)?6#;|aLa!gRWt=QTo(fm~!ev%{wN02_`zy8S zJEcit>uV9~c#d&F^?`1JBVSMcS_|pfMq*HLuIzUokg3ic$6eB{RzZ}CgGKDT=42$il zb=>H-tab{>sW~`d^>5<$N06{gEcm>{_|h?D{NRpKSh=yIc>a_c3}U=WU<3gRztt?) z_vLzyG1oh}a2~wz`PMb^NJ!k^4REht#d~BEQ&``!u!bUJ|1qP6(&O70c4G1X-!o^A ziVo0CuUxSD+${NX{lpF-MHM=uA#9-Ldx^>mY^PU zpy>UKfa|)6okz^8#8Yk&ix(|jpXPoNQ!-t%=o|ujC@DqfEa)WkvBz8N5+@C;j?{K4 zUm(!Sf0D9JJuU?Lvp&%?OW&TDQF=T3fP`D=!_glci^j!Mmb>Avy8EULK~!vf(#P&| zbQd`#VrS>CDrh^nrIZcK@(M{Nmh4fU(*@C4m18@2q>Pb2fPCTRtz+@v)h)VFCJvW4 z)%bVvHzhz=m#JOYZC`R1l~dPMqPP<4F*LE2Fu}8_uD|M$5bZy|N8CyQ8X(#HMr)A{4@g#bWfM2fa2feWq?Oiv* z8o;t5*Z7t8s@^B_tf^ny!S(&;19-$1M0VLM9DQCiw&DZ+8(Men-pgw5#Q-itzl7Ax zCWQ7zcw8>A%(IOxNlhJK=HL?aY>DZHrAyid3wxxX&`s--iC<8SQ9mj5Xu}l_>XW#wXB|Sy z&2MuzCIu`IT>FFX9{i&1lvz|-Su=ce_VA*r^}XQSA1{-dH@|OzbH)RIf*7qIsJnPE z7~g6pqtQ3&+dAYd9GPtP?Hp5VdjtVNCj=(LtkWejL!qsYw9|oF=;hyf}*mjh9JMXYv4C@<10RT9^KmKpZ~Fk zQcPUO!Y5&dreTAKT^4YdJ$KL%Eq3gxIf&1wm?34;8Ah_I1ibEjlkCEaCg1>~!RcXX zeT;f$?n(V)^9R&PSqzMq+0A_X@+)d5S12w4@yS^nT6OC?A<>yRlT55U;^BEkr44N} z2c#f2HJ`^ESY@<-&CYktKf7;XnMNC+?cKcysp**|4Nc;v7LKUS(Xorm#nzAmUZn?N zyVCw3y72qfMHQQ>g;S}oD}S!IHO{Wlb$E+PB~D$*?;E3*1B$nOvir#1QHyDp)sCgz ze||q}uFUYV%$d-96t}u>@(-|1W>nldGQD%_$%`+`sJODOFH0K?d`enokHdbTOkH!p z(pj{d4=%Yt_&lRT((50))DmwhYnLQsbeu_oKRtmL3xv&(Dg`y>;EKbQNr3C#I2o^$;6Q|L-jER@(8&J9NJ!fM=Yh% zcP}XJS=(2(n;^5Idf-mT0Guc{^nG~5_@U{*FTTes+x#Z}2{YP;?oUDH8l6Sxhx!dh z-n*THs|O+(-Aj9BPg0j~i;fATlF|mj(Dwy_gWxe+&lfzeOPy3gRu%dpE2u7WqMh#7 z4T?xNfoNeZl}AN)xa*s~{G`0+&iE+AEn>O8yy0Z#=yjJ>ck`|NHk~XMRn|_&D2lohUL&vnLa|vj@(v zf&J?5lhCFaS^tr-Ne_GJ%^WrKv7BW+;v5?Q_~7cEu#8>UDG4v#`EZ! zra>xh%({ZU9q4A{kb2dBD99qNJ7e^rW5V+>A6{DDJUy;C)3xq<_te1YkM;9UBHvbR zm5v;q@$Vb@vO~%Mq(U=LY;tw|BgAKbY}b|a(O*`1CG-q}i#ujN z{gzX_4_@~%ISp=DF1qEtF~0pl%Q$K$zHv(a+me!|?g9a;k~et$!6z_&FsP(uESjM9 z>?P!y!#n3wMePU66lZmA-S&6|Y6hk@i1~!#lowg z0$ko6;IZF~NXh%LLd2n;^y8TI5gCmy3GG~65#YF|xw-f6%)6^m! z^;z8^p;#3$79(C<1P~*ZhZbQ-S*obP`}1$!V%4I=q@<)|kfC#Rbp;TZ>-{S%g>363 zZfLf}f^z)O=L>;Q$OlFPI6q*_UwiET#TsKJy4%`V7!FEr4S|snWWXvQ-HXMx7@#!r zJ`Akz62imdkY@u^FrdlxFC_Sb^dAE<+$PAUgkcVZz0;x0}-s^@&Mn=XaARst23}T^J zk{gQ##?^dmYWau9#^SImD*%p8tnKbX+UtUh;$NOo19Fj&iZsL?weN#R;D2aFXz;{x zqQxcuOzQsr{lpAr4$k^W3P>U13^7rx8XD_`hPWF&q?lzD6yV2k8(N+Lq~v|>J;=<= z&dtrwhh!g=$PNubND#7-@C@MK0%%``Q$xbQdY6TSAgTTr8J3iSDA_H0`zR2F1-_267IGRVPRq6 zkZJsD9>(J8wY8uYJCcKC>l$H`fNVO1ga2Am=^==X1?ftQi4N z0S&Qp4hWEP!Yl!V3o3iLVPLs!VaP&4MBZAR7@~(Zb`X6I4TCRvk^w2YCJ50%4eK)) zFcAQo34n){)kX#&MA6Arf9e04z(*92_-pR@3h8JpG7BSk4CjB4{|p2dR<%_O0=2ZT zuwNMnHCEvK50bjOOL`9LrlqI1ZX>>M;Q}KgL>;j%T6_p*Uy+mz5yDmZ4|j70bT`8? zG|>?AhX)S<-oKpxKcGK62xDW&A!FMrR!sZ&F%1^zhIA^HIt&SkO3Q}U<~N0C1n+Ns z#-?OI)*rtF8}DGzrO>hazmWb|$iM#M5FFKmP5#?oKRO13b))~pU&4c$SJD6kA;T6! z4!1r7%cZ~uv?}5ABUJFmvk?EJferN>HqM{NqUVwjdi~4z|3mmgl2}Pu6UWZk6&7_n z1Hpr8^gC{_G_u(e5g}VI41z*LU@4;5LlC zQXE3f5)zP-R={SHK(hZ<1~r!Qc5uL^&D@Q60fo&lVr-iOP`KEv8JMbp|4-Ts2Eh`R zI0!=$HXsk1oC1kh*oDGF%qsd&gB@~(a&_3_K`^=-%A2uN^uVvpt-KD@@9kSVXcV%G zhWcjgF70Rh^*3XE=*SV2F2n8?a);cZ7=wI-O9L7qgdI*}BSd5Kc?n=vP-o}-f-M?Zwl%QT!2e4S zZ#ohZVkv7{IyyRAd3$18Wm`2gikJx-e^VCPjBQQHAjS-vH5)rt(X2L)Qo?~Sdx#)` z2_$tPs|(-iAqIi)EL2#_!t>$}&j}u+y8{9P5L8f*tqC#Q@n=za`GrMAITEPS()?#< zDk`cz)HaM@zuVxK+qVp;WDfX8$&6r{5M&lEb1>}$7j}E|0SF0fw!u6P)-u)q%NcCrQi@3VDn9hAg8FFej+z zr&B{GPGL`p6W}ly)yIHnpK++I(|(`!`vum<=pFjIvBi zu8@BjQ));9e1$1b(kq;yGpT{DvQ36!;Uw3U?e(h@$BFjz6i3e;pmM3@maLFSMr2QL z$ff`tTRM_GDynnlvzFb(re6;p?f~vlmn4F|+&;;DHAm>5Po@0h{c1l(7PsokG!?~B6DjVF3&V$KZxu=34yor0(gowXWwsg>PLY0Gb z&Q$rjUcaM6kL&rj&OUt*E^&|aHm6n4Mo7aXLEZJdxT(^P8#mS)L69{5+92-% z5IW*2D~X*-&zriLxPR%-JbNN^xqEt zs5Hn0Xaqw`XYP_bU3O^Yg{$N~w_3XzP5srGUfOeiTCfrNaH*gTJo)jSyg$ZflE*G! zYV3~M>W3{NvTVImGMwXGN-NsMs~|!5HtJ=q?^(?>)oc8=I&pVi=bHOVbIeuYYw=q$ z+_nTlO2f*lchn!1`FIkrNpP+=ZXa?J4UbW!ma1wi@a^D%<-&7QKb4#k8ZB_Wj0Me! zQ*Q>m-AD1tys`Pcee74S1j=rszOm_Y$rU_k(9hfP*6C#WE;*Zg$--;%#(0_M$0iV5 zd(*1@{+?H*=unmbrIz%SjyDnKH}R`Z8aoUP4l@Ekn8wRHrWP0iPnDl%$6~}}I&rus z3_eI+dSiFt9hY*q5U?*0&CwQ|R|Qb5 z=4s6GRoc$;i@5sp0SLQRTS>>(v8Q)g>=Pc)68JeN+*{Kluxj7dalqQ(RdeSKL0s@O z!Smps&&hrz0+y!+?V>7+47nTVnzi`NXyx|l?-xnGjdc%Q*1@|UOAm@i3hpM7DT?Zs z$+K*ggBOPfRi6<&!qntbEqG|B0lA~`MFw2x8g-u&m%1%NJvZ)U~T$#?X(uR@zD z9lGQ_GDXgLp2LD98)cE7PH{k7xyxb#t!6*w^)e+zR6Wyu4b8}(q~VdsI2%|F znj`La&{ph3N=gNVu2{G(WH8q5kHr3dR9;q|dL_g2F=(C$oUY0!Pc=TyC@_l7RlD%h zE}C*zBH>oCN3-cyc@!8~$9*$;*QmyERz- z*>^R#_;bO!^HSp2;g9u0CELb)y7!$?8+sD;pa3ah4LlmPb}uM2A|wkFbGJ33k0m1z z>CKBaY%F261ooBLv;4FqAwyRZmd%-_ek(^iHtgk!-1?bMJLQqXdH_C(hPbA^MtPg- zsEU44PPC6$jqu(qo>&fj6Y5M)`H%!W6OL!Aa4(36@8A{;bWBV5)X6aXSY~ysF|9(* zWw5FPJo}WSS*1o1@lv(ocXY(h)5Nz zw{lX2(N#P7uJIbPkK^UF$xq-(2wApebFcA72hYqB5h-b}T0dRd1d-mNit(-}WTpl9 z>YoeV@I2<%yJ?xt{usHa`$P80H?hizhiqh0+V*A4fK1qy!|F2a;h^nI{L5lq-Zu5k zOKR@1pEUE#FuM`c#z1i@v9$Rp?A!DD)v0*JxX)Go3$1s9)V(a2pPW^D8BGLSl&cBO z;(521*))q8u_-t=OxvtTx5g?OXykh!!6dEVdv}`yzBb3`LFuSa&%?^smCOnc13G*j ze+avLmqueN5R?wv)+_Q~Y68OSE3znDtpdM!O@RkXN1{m4Ajdt03NV-Zi+w=!etL}H z*wcrlWNEouXq@8jKa)eQh1;i_jLrh#@^0cfgTdO@QkE>rF%DB7B-=yDrXa;WEgjq| zC&L6Dm%d$YC0185(&%Sl&Z}ex0Aa$^?|WA6zV`HR`6UdG*wFsit_zix=N93*BNm0jg~N5!ae1 z9WUol>DqJL*>@;&uXxU;axR;QLAsy6z5v8r(jB{Fxcw!cDUVvxr8ba^4)g7 zjKO8gycl<2o9woq@WQyTe==NE4TRG%5iY4MxFr4BIbHMm^g)5nP;yU{eJfSq;UMoY zrXR4qq#e4tmukXZF{yQxZUEE&I^eu9X;7cMJ5GDr{jUoU?fk7{9Unb~=5(B>zHi!) z@Y>7Vy=}LDxOy&a!DKQDl$mg^&!RVU=b1I|a9(7H?v0*#mh_0R;syJo7SX%zf`B}H z{KngaMiqxTcOpMMQh}kjFRTP)K9PkCZ9JrW>hu8=o1AIG<*-itkp`55Gc8p!OiOh! z*BOJjYzKZu8n1N#yRF5K1**3=;~J+HGc0fSeTjErZhe>Nnbq(ZtmPL(1ChjM6%@L$ zJ}ATAJI&{ksSDoiJoHX3SREC3%&~SxBO4H=FS#JXHZM#$#RI-AdgZKt~7 zzP1Ij&*Q?29G3-eX1x<__thtmc^Mb*AO8&( zBL8=zGn@_VzfijWLb~9;Q@Z~`y5PT4y8pt;;6L5V|I*6;!pZ=9-{}g>$%T^v!5fVN zfMUsxI3!rtYBcX(Xj{jz^)<$qyi@Xv+j-{fw13lp2{3bTY0 z|Am$RJx35KeumM<<~r_Ta~?#Jkip8$t;OZ3Tlvf;c9bPW| zTNw5R<^MLiU?Tf}y!?Mbh;N@7Qo^gD|Lykw>mNH$!4Z7_|1>$zUi}9k?uL&n2#o)D zxBuAK{Qu45+~A6H;i<02>65B#`co|)BjIAX_Bp*jri_CXkIz)_vV$9^vkFwkWeeLp zLhl7WQATwtOe~ZP%|EZ(@QJwoDOwv`>bE+hLHOjW#7Ob#Lp}1$>rNI;J)eUes?=C% zBbABt0b}&Zedp&zxi|Mmg`^&!^n(oy^OL-SmNiTI%|76^VSpcTZTc~PlZ2%KbB4m{ zZm^!3w%o~Z!%gOU$2i47uc$$@zP9Nh*FKm2GFq`t`m-DU@C`Bo)`m9v*%3ja=bzqy zrW2ga0TM}<U`cEN#7C8^;rLr}G*gMX5Zw+} zO1)1oHs6s%O7@h26dZc>zn(XW2WAQ|M zWJ(0JsJd-~fwO|NCH>oDf6oBQVoQ1_%0Yrsi^35Gxzb{7#&6#~#{zESxR#5oJvK4! z{gTPUgXw$@@A(dHZRcDMnbIeYXzv45q-lA@gxp~csnhjwzup&J&%I)ICU&DgXy4sK zIxJEXP?8qk#@})OPFk)!L-axorBhm!E80Ql%UkwaTle_rDu~8NZuVT#yi~UJu>J15 zM(o2Od|DGdA*y|H$4%L-GxQ+En^8j~SEtH*zKS=OV^hFGvR!x*h#c>wsIKk zY6%udeSh==Fd%6v#rm`61fu-XPo>`_`+EE)E-IS`FBTayo!gHe>{zyPec3#qPPpQF zK+cr)W~K3l2|EWt9#|^>jMGX}7F(L!Cl;vMs83gzw9jREr+_4~pK-G^+grm0 zUz|M#@tZd*sl{<6$dx9JWoY_tHQWQ*dgow+{Qt-MN2M+$3B+xy2@%GBc$(Ls*70Khp z(q_l=E?(uGKGR-N8oBSt>BJ6d*w<;Zhjxj@`-rJnoXBF{R2AIde*T?Cb-4a5Kke0e z@Xa~j?-h%jI!5Z1IH03q4>l_yjh@in(qP$~<}#oF9{IwJ$ZGJ^p8)B}QoSAWt`DwLbtzk2FgK zJSQFTZ@=%-{F&`*rR>(k3g9%%9aj`MWsr^p%-SQ$M=UqfhgYk0UN*9_U%TrPPu}4q z>Sp)`*W_t&Fj!N1rBiy4LYtCdAT-Xt6RW}9l#%hcJa0sg=0ei5DiuJ@Rjpa%iW4}r zqVjYqCZ#xrL?gH6ziXAdUJ}Ap$n}9_ zw}JT>+|23NXfUqdZ$I*@k)*1YWgXDcV+-oIAkC(5IZ}q_1l*lZ=*4AwOyT@^-78}- zWa}n#+FVblg2Q&=NG3R`e+g=hAI;n4+>Ogr8LE;0y8P`@r$32!QiZ4XZXUjUlhz1` zr7{}&f)~&0EAeni;6m6vLfgRC5_S}Vp(6(-*76^=0fyy+fTUnK?~ONpe=KJkUnr*# zFl{PUd5;F?<#yxVi37PL6@2%DvyPqwN;-ZdKVowY;P5D-U-vF@$5WL)9r_05#k}IW zf0(`9j^nR<|JyW5S8rSA>_L%`+C>r}YO4JraCt$V!$!W`c#_d_%HUOoR3SGP@%R-d z_Iq9>rEW%eG2lREKZ$E|F}99JLEur76~k5fpXSQCjd|LouHw}!1e{=TJ;2x8Tl01~ zL2^Ek^Z_1!+ntj~cbw-$c~|tQC$+zU7sy77uhSdHbH{?AnwSpq5v!==%w^YK!t>Gn}@Phf0|SjZ(OTiuWe=8rSd?4d;3My+_!;`CqOMO&5)2$f!n_FX2J>! zr={`EX|{^xb~g>G-*I&E1C!S%QZraGpn6mFYK;{nNwbC2Et z}rfsJ8A^CD-M;1e%_ls00m35^oi8O!K@EL?C)DS^}noo z7=Y93Z?lBg@a|la69G`bymt+Cu6iPQK>0MljfhK2_#^k#iNUkuC`;P#z0?XYAcWWJ zDVQUq75qg1JoVMq4~872lgzYZxAk8J8~Qgr13j@DBj4Uyy$|mDo;mm0?4iob(AM)U z21iDs#8-TN{pbQuHy%e!zA}neXZiYZp_-gfH;+b^Yz3=>)H zYvQsQ>QSy&&(bdU_1qxFWhe`d*bCJ980zmjLyri%{dy+uV>bNCLRNUbatHYEBi$XIf=@g?YD& zDdU$~^Bik1nWc&U^R67oWs^MXWW(oQ9$?dKx4BqZ)qTTof6C*_%J7{*o-nUpAjsHe zZgY3AkIXg`46#QTXma<3VVNYZ37W~RwBfTU% zd@*QK~Zw`#qg$G^#7{VucVf}Xv z!92jjm{+{H-sd^z=a5!xb$!GF>PPYHQf2YXIMuw5@-U!T@{10xAm7C5Bfs|=k&}0- zj8*-~WMihHq`%7;X3xQy(l%CdRi(sLdv+>?*}mFiC)|i z_h8+AQh6G9NI4A<-C2&e`O#!EGQUPH(`u|S?iiHz^Qm+1p)kjRs~WBVSM`dFV(;0< zC%JDT#xA$4xPJC5GS$jk)byXqKBKWZ47U63HREb-{F;iar#RG(;A(Ak&HC_VTRE|j z+$Vq3>oO28mDnG|DMOzGlxsCwiEYaWP5kfzz}D^q|MA%Iwl?5-8&B`;w8NdnFvTXW zc=){L$GYi{3)_;~@pqVVF4!r66jf{Uc4FF%l&(-c8vgl8$86W(i@Q-*KYqV5!B9xK z0xCm>&t1I~&=?RJ99?tZm6`eaM2m6)%?7}qosrFazaWix1y{{LT_;HI z_+4p@^6pt8naTy4#*bFuY5cP`+j|%FP@>xpn$G^@Hqxb-FV)uYZq|wURO0P-%(P3_!}V;umP@x@9HM0_;qXQHC@UXMoztm_y-t- z_m5HE2+(d688x7#d{$!c7$1>PG9IG$%haJ`yZ=n$Ug!k1n54amcVZzZem{5?2q@{j z8YVj@plk2!9{6Tsmz-bN(7n2ClS#)6*hTaYZ{nPxzwcMVc!gU?PTA3;@$=^435SH9 z(>+fib8uN*`kWfNsrOt4x3Eo=Y7KXda8*=0x&K3TaW=o*!jv&zU9 zmRM5Wx$B4zBOphs>?)-CiXZ)pdNGA!OABk3wTo zODejUxYZtw|54PliBIg!-WX*uz0uGnYtZ|32M8$}M#YVC)+~_*F)Bp{>|Ph2*?z-k znDXro9S&n2v58aeFyXy_0{PRY!XoKx5RY5MYYx3q!}WNchO=a%2IA6s~o zqECPE*}R>^EQmDDouafqh`$y==TrBc1;P?a8+QVqFYZgf*tVWEU>DN-M5(dLl}R~7 zK4srK^c$pD+QpvYlQGEMvh#W#_jz=VmP<|3>Q?rfElzW5NRohv$cFx*BRrZ53U-dJ zfeXv0_~#gTbPZf1UuBjJ-7xe7FAHnNzsij){JNnN9hY1^e8ehil}H=cS)ggY$aUAO zA1th}$0UAJdzd);FrbLkb9QN;T$zWOXhqSvYi?@~MGPnyO<$EBp5uzF7^U%wsqfNq zy?gKV&Ypm@rk<8T>%j>y=sdx>p`_v+5WRIobyZk1B<|B04PCpZ9qb;(+r0U6U~Oem zIpdQepI6hDC1%}J$MDo&T|7yI7S^pANOzP>94MST`g2~|%Eve6UC)>Ob1IrFuG=mwZHbu24R}9%^S-uwi|321wOj7HYF@d*X(EFhK~cZ3 z3knXNkF`Kh_12lv=|9BO!oJUA^FIuaecdCaQ+}M?FtN6a61tfTa@2HmX$7hCL@#f=239X3|KyK-_`m`C+G9@wME4F50UTfGQt;qQr}jMAK3fW z5AA>hl(d}lz3kSmJz7!KTMxrCYuA`L4e!+*p^QS`|5&F7S9oR7wSDuiGU`kos2g_- z{;;i|;kXsXQuAq;n!lJa9PFD%kT6IXlx&VrbA5Pm7Co@U8sJjszbr<|6*&3(vJ%KI z9`K3#I=gjnLE0~@_xI^}Rv8<&m?SlA|Ma?^i6e4AE%vsw>z9I7*W@1V*Yg~{wGQro zIPw-2&NyE?J4-4l16ZtIk*fO!&0KbQ7Qv@)qwJUcreX7^OgoOESz74$@GVeCf8q1U z4+8Ey^9P}k$!B<+gTtazYMWPi#BUgwW{^;TvrZ3Eb3gwf;}%oZk6+|dH3mV+nuA5Bwc0GY;D1nh%X;nYcb(Z@=n1Eh$C(beH-VLCrb0sos{vpiwDOK38=qQ=v_F@RaQoQ~N-Bj~%2Di%cF z9=9cA^G+iM0UtXLPt#+JVG{I-um@;=me4^1neUCLqScyK~=mKpHy z3%@=+!!IH(DIFGnMZ_ehc4~Elo<}a_eeFE0hF%KTYWh5~vU&fxF>Cw#+CeUt(CV9Z zyWGNtCd*PWtHnDVfO__%jUj=W$8AQ}?ZK;#FQjK>b-iD_t?Zp!qo(KL(E>iNTKbpH z+de58XS~RARY?2Er;+LTvzOIw)-}^|2-=i`#Ug1r{ruXunI#8OmXs9Q2EUkl)xcU|89Wdf+5_(5TA8$feE zrnUQ;uU{0TEN9mlSg(jE#g^7Kui%067t{mau0SB$JNr?}_do37($#&!+CioD4NXg2 z9+4M7mp)y1n}pJ{AFTdQ>sme^3P-Q(wQnlxg|`w@`-xjh0#Toz6wLBp)^C{kg>BGV z7hpEF8CezLo7?sX3~su3J_Usz>PNPdvOYEs42{beJn(Pb*|W9_Ygyd7ET9ej^;f{g z4o4eXXgc9#S^M7(rN^Xd#Zj3bPYFo%c1i2$_=2VZtzFmUu5rZxuG{8ClqVaF-*zcj zl+64NMH*N{q`v$n27ue{ZR6OQvY}&0)+`~Zt7m9jZd1oPLO{dJRqviv40`BU1my20 zre=3aG_`;KwS7d*qv4jE`>v{GFu%vK;~U^rM)xR%J^TD9v3re6>pYY7bH#*eg^;(n zdHuK#2Eu=V0H^U|w?3_m0a`AV=VjG1l+M0^Ii<}blzd`}+D2y1;I4mMb{7Rha{9zR z4ZV2d*n&V6(dFyTF{usAJ_FMjUC^&v&iDcyuKD(hz8#+X{m4=)Jbj;%i(1bOEYfQ6 zm;%5B*?Z}6CG??%Wu%h&&OVQ$l8dBc62Gr(G4i-Q4DA^Pquu{rnvTr@uov? zYDVtBF{_Mc9UG@0P*QgfPMg@|)sA{yzJB()@!eM&N2lId>v$x$YrK6A9YN!#W@hV> z)nx;hK(hNq_K7naIoG6Vsd(`3_K2y+XJEjAKHBmAA}*;)=*w5{>N_W%HSdzXZN79( zD!giEUsPTVScNpvacFxskcufrmQ}G_E&nif!ietSKu;yT(NleL5hyMtuL$-kbmL1$ zr9Ys!bQgt3yLau!CL*sQMxherM++LswM^%J{z^Q#sO?xhu4Co=y0HHblfZRj3kToM z?@OEDl1E_X2RiLL9>L*pFhz=8-|haBXPd;X_h<#(-$<9A0f#B6D#2GBd|0pRY$HGL z#NDF4;au{mFn!W}rgM0F-3<5!@#U@UUzX|_5AC?>z;v;rMpmzEm4u#6&u@sCi_Zz% z&#pftz9gm{9$(ekGv$_^Q%{=qX@VNa8~PN_{~~7tLf7R??PB@smsUx|rQLk)zdTIN zu3ye;`b83D_2KgH3gD<1U@0i6uRh47xtsYD*L^&`|4M9Z-IB<5hgZ;NRsr~5@uET{ z#U&BPn54A+q3N|98u`eq+zmq0#IfnsV{!(d5}8?Yh);2uRrtE|!|d@*mVmh}2PNA_ z^>-gK2oywJ12oZ?KVDq}BMbWuPk*Yrub<=l<=geL>)THfHQTiDsRh7z)4F&M#Ugw| zUi;Ra@S@sA%)uWDHq-JNb#1+8v5D_N$LQXvKLnJ|Q{9@|lq3f`lgAT^=6=fhK5Lbr4GB(}?AY`R5AlnH&&d|*X->4!0K`P1cPg8Td+v?G&Z2j z83O|%zR-V4igj(`kYOoj@-r9&x}4z(pAs8X*dNa}AqaH_9H$6CkaHOL2wk5L-=n2t zU}OZ>|FWKcK{x^k!pT8HFa&|I6dWYjA-nfi=j`qQ<1P$O>=ApxbNLVGiAM15!MLA+ z5c~SVqYO-kI%oK4WkH}k7aLe44r;4E!K#Hukk4=#YJw(bxIiQh8G*}a2Eqspv{)|g zugLi?$NKf_^z8T3zq~OX8exFEC!Adr>!rm4tyDDJypZ#R7&feBumq6+h{5n@7#RT#tGz!aOJ@RxiJ(F)PY(a%630RhmI3YQ=>^rXVm z6%vB&7sBjXh_HTlm=aM`6k->G4zVJG+$uCb*VKNTgslLL*lLDo<6#@YEZlMqgCNLv z_)B}_M+SoY3_y?t41_2l-;MPft^R5Y4)Ml?s#_Xc6I)#Zx(kd#uwH~{&m(#v2H5i< z54T0*Vx7tGpp=zE9IYjYwY2nLKZ^}p=QEH2#gfg~oC9Cqz%*M`B&!MXpJ<4GV&KWm z4M31Qxa2n>Bv=3x5}+^&0}v{hl~4o)O_i;Ee_iGfsSmM7M(6P?NML%#?Drqo^a>nX z%N#30ECGtmvuHwaAY*TPCjf;I!}3XB$AV2CfkA$Tf{F)<@FUB5+63$&E4Iuwt2)6 z?=QJ*YesU4z(c{oB_L#F@A`~z6m%^jEvTzpPupOEVi&^uv=_z4pMelT0Xx>fE+lL% zf(v10Q^#=}DCMnjsMdtp$ya^#Lfy7GkLKkAe9WNy#;~ zZXpgKHbj5ry{$iCx(!ZsjwajyXAM?dV>Aj`&p;d-@HSwSVVV)79CONybbrVELOq+n zoKmA)eq&BAqmU}hDg4~3F{i8u@&U7qAT^j%PAn1)fBoXxe+=X1qQDDP3Wcui-!)CK z!s}IWK^ZoW&Of=t?7U;~S^Ivb=Bo(sr{+j^rI4V=JXC>q=f-3~tL1Tf2AK)J==bnj zTT*ka;3T{u&F)R7j_5;IGfw7^qm_-I&s56_t#K3%ZBH8pxPZxg1cp{p*ZIoNbP-=t zd}(D3mlk|0V&>a9>Aa%?mNVc5!TEP4^BBcwjd6@+^K%(0_DcG2*?i`K1{>hT<|z$M z_&Qe@B>K3nl3^Z5NB?QOFMn+NLhZRN;h`_fsGJlEe2ZZ^tfny(pp+@Q^t&NjL~pB? zt>$OX+WJ_K&V*UZL$Fj|_%XRN%agi1W_}43FT3#YSGdQg8+K%BC(s4z?uH&0;_z6-}=SNO;N`^>z_w~myG^h|JmoSLzgLe(pfYNe3Kul#WOtm zRT`t@8KM|;6+BHqb+Ee!X1ry*EtrgNJg(UCbxzsFJ^SNJ#8b&Lbp57LpIiL4;!^^yB=Qys_p9MvMm`YS$k5oE(u}U%ZfOgR;6t9x6Bj- z)~x+}0O}g_eimuvS3HPTHx8FD*z|93f5|zWLOt?j{bh8dH<(bm>){>nNm`n{rDOIU zHO}DoH0O-u&q&;eQTwTqy4RBMwSCkzKgCgx4$U@xI?(YzsQ;A^SocHU>jb^H@Kc^twIBID0Z1qf>2Yp3`U-}~g+mg=*p zndjYE9pG?B5T(BE09>c+w&+9D&p(V+5WKautWeZuc;e(jwJ!bcWIpLj{A;kqhC`xY zVZdI};O-e%w|LP@b4Qd3Zj+H272~DUz05vnrciDs{;Gaz-vcBylgJ!D~}^c;cZ5c$geI*uALOabw2# z#%RX<8>V(YrhhzSigZ1zBaCw<<~vZ5u6kf;&4|yW@Oe_2=DP2B3Uo!E+)ef=snY$< zLb4#>ogiwa)pTW8@r@jb3{INRyflxuzMEN?$cbo^&6a9;n#Y|MM3DOdjJebuFp zlj>FR^iLR*g<@P)uEaGy$OGVJrJ3{{{~8vzBBRLxeKPjmL>fvTlWLW&`}cdSn&|t;krOZf+)o#H|;&H!8(@9o+ME zZ~{-}2bh+S)oD*-;(Jdf(t=!?n(1*aWb@{Ft9cWt!qz=~q0+=_R6-(6KE}K!BL2cn z?kr(%6iQR=cJq0U%KNE+#r~OVql%t+ga>2u4VjbNy&wv+_(HMw!H;wFCcCm?0KPyT z-bnSKn*~Q@k0;UlTeeSlJh>!v&+X52U7RAdCj`~;q3ubFpZ0r0g3nvNzGmmP^zv>b zirM@o(VHtd9Xlw{eN=VS#k(Wz07njg7H?(!%HZlEGUy;))J!e&TRF`KYD3btE-Yzf z<_B{#-y3IcBpbOKux^i>@54=hvsCYB2nxka?D*}$yG@_IfPed}Hjcegzfz~QGP-vPteZFNDQj(3awN;9~UUr$X_y8Ke>V1PFS zOhhNWvEVZlRBllv?rD4U9BqBltXhSGxn|=jwP!dq3&O&MK|j1-PL}9A6ZF@|eUoSj#nVqAg)|WAdKgjh3uQ9EW_57A8O?KtxjS=s!){ z_TWhnUAk-d?w04o^=&gL?z};tS4OFTp^=ew^~L;Sb@SB^U&I@oN_#lHqV-R;Ws|Pz z^1hdn0>c(&f6NC5M!HoGM}*r{orq&)%Ueaxw)WGglX{7oW&p8~vy~cAfNJJzp?73z zgG5m@U0GfenJ7boiqD4+VkF>>jaJR&Pqv8xLE_6oXupo>hzvK)FAt_#uh}1?c&4ks z>o)>+$mO~Q2k*VCpCUh^=IIz`lGpFZFKY8AuigCd4Gdcmrk-_)D7je`{choRN+?F% z%7?~|D#KKaFp|{Adk4rat^9sY_zhlKkP@Rmg;V>X(*@Jwy#`P*k%KQD&BYppk!(Yt`pI7R_`r{s=~Z=vOFiEGpjkOZ72kVb z?f3~VIVojKfK$Dj1JbN*d)8LDoEooLvoeYZ3vI^fgsY$5c<(PsassX{aCq$m(+%x+ zd^r~xFK6rJapey4FOrky3zkonF5NH(QJlA{o7eH+ED~)|lF2lj0jAzzs<`D(dlPc2 z2ru0q;PKV~L%$KjDkHl?Vqt66iy zyE@f)*L=T5NyO^EWo^EtpulO$IA*8SG*);o@_mdo6`&p!#|)e~nos!7l2O*#tXjyi z8)8=6uK8eM(6UNLrvkWLk6ZG@^){iP7QaX0az%N|r*`9sfT>AS(koXB`Nt+eiD1tv zWc%$LZ(q=P{h#&$<5E__QCWoy4+W}!UAa8O4P1-`0$2#XcFsJZdbM?ISR%PX+=CF* zwb-I(T-fsia=?uA2v6V0bk?3E2hhDSPr+hXJAss?pZ)qVs7>i0doN z8|=IsyV!X-;3^TjN`fm0v0jbCtfFA*9{kN~>?#$zO2e+wF>46CFYsUD|AQkJ$^Can z{#Rx0f0XGFec72OP965giav%4*oYFjtZ|}|h4MBFsZeZ%=v`Lm{6X4HH zVrS@yU%W3qBNh-R{zB!nuJcLm{sM^Zuvy>CTXmp};Pm`7m5_IE`m|qWoOrCOt>sig z>wpFjEuEp`zjR-yJw}$0>C1eL>Y`1o`>VmHXMWLzw?xaN0YSNu_N3NwRlT8{#0%n2 z@4g6Jm17f%GQN}bWE3wbxD%{0UP{1sC}+_hS~}-XMRaYY`kn4duRik zOjI1+HRk4NxssoD@wxwxrFE{uRN1Oull)qnWd%-6pz>hKY4-A+vJdn3Sy$v#=#^Zr zZ?STuDU*y)mrrh&6@j92#c!WBjlViv>E{(h-c_EwEinl6>Qk>WmumWPI~EPHO>6K( z{-DmJVS1w$eNKh)Oa;ivmiA@bo6yz95z#k+t;$Kn@^v=XeO?oa2O@=8-uxe{xvJSc zScTTq%D=2A0TEjJVN~b|ZLm-i4eRq#!CKEQbD50pyUVE$+jIKItN~vutwe(so(D&a zYuMiNq!Y`DqFYuIR#SWr7kMtM=1>Ep=|7c}Uz(ozPd+hO`m->l>8sh3=k{j*1DkP+ zf~aF3u(=_#+m)npS6JJ=-gi%aDQA81wb5p}|ABjsycnCa8mL$3cYY=aDb#A9bnb6#ARK4Q9) zpXy(+TU+`hLh8WO7o=7xOw@c^a|>*%=vdC&-P+tUHu&gJo8ydwzv}93V*!|lsT*mp z6{b*K>Mfy_D@8+%1ds zZ+MiVITJ?1%r6(Rem%y|8H8#HOm{na5hr{hFPTtFtf-1&VBG!r&mC`ue#|QOWqBWWtOU~m~all{hDyHrqe;wT3#u2plj5Hm8 zk2NffH+^yUstp&`*%mpt#fK3a9yWp*lpw0!%YS)JLb@vbtk!eX#qG<23G-`_a*TGVT7TtCJ+UA_gNd$+F6MV`1U zo#VzSKLmArmBW+@wo*7*{ad&8D0HZ$=w79sm@!EFt*fD0)d>L~6a1`5@moTIvVB=& z*t6B_zlK=K9Jq=mj(2|$8x!~ z0*>cBxL3Y^wUO*USrsvqbda?3QZF=mC^a>V!Tl;9Dh0ERD72roI^1l!Z7f<~MAZLv z{+K8|zcGn8@VPoy#DYS|iy+$5>;3Uo7+0#Hf!_)@6#q zDj6S^KdSc4s&rhSFyS>0pR@j|;xyAw-Puio?_NGf-RNHxR!A#Jq%|5o1GV|imv8r@ zMP}Ym7zTN=4}@Da_ejRvx>6_Te0dw=c?@7N*3;~%o$bwR{35nc6=E=Ulldjb;S zqO$6w*NLGJ<2QK`$f&qxK(!+<%Uuwk|9BW(>%Fl>ePaT#s&;(>c={YHo8ZS4UiyZ? z)>NIWHoE)p*&x58f?}s5?rb@d8*K$*`aL6Bk=mhbjdlhY+jm87 z$AUPG0b{lF-tHC>W0egp+3Bw${#3KdR#h0!Cw?~GMhd}g+Q;$>*izr`-Rk4b3LCY= zd%#Mhy7cFI1Y4Q+Sxw;-V3YnKOm#wKURRc7SV4Cy5l@*ZC+t>u&)T5W{jHe$2uT0x zEt#mn_wHli{;%(1XjKnPGH6N?Z)R?;uaI-d%~ying$9MT=!pm(=YTqEbiRrY6MmnJcwMdsdSMK}Khqdq;Lo z;k%l@#16uaW%BtP)34=YY_rD|R4<9-i37XG)IT=m=|V9T3-6T}P}2G4&`)Ghu4>B# zzYZrR;>KJzGs9`Nx;YE!Xn zeFiG!ctXYdCmy4R*SAM<0NT5KFlw&G-mDgP`rx)|GBc>f*V^Tv;%#-fQbDqe-p80^ zXY$tIn}@t*@uJ)_^p#}LkoeimUXk7FhOa5`E_bjeS(7w=WPn8?E{?h#v|*x! zyfqOlj%Z(~wJWPlUuj=r)+KU%)Qw>vykaAb3%-`xFRwXtHZz|FU-p}wu4OkgCz=lC zeTUB+s*Z4|3j(J+fuT$!i|1 zwcDC!GlbOVLzkCpc24h}W5AOX-K`=J(DlN3{)m3<3vT)b&0nL2xg5HL#jez=^b5@_ z0zbJT0EvexYezD(R70`PWZrUSa;FpKbgyCM9mjJ&8%?g7KtP&J$dqSu``N}y4d-UM zLtqe?wSenM`sRgI||C5q}86 z-d}0{Vvm4tllPbZlq)K?Vec5Yd~Y#hnpw&8GM*v>pQDvMUg2+0Z+=lf9w(RWbSM0s zC&%WN+>%C2JpZCdkSW@{?2mSYu93S4p5QMDr?HvK*HurFo2td5haWis;(M6l6x+Qi3Yh8lgFY9G zfVB5_Eo#4SW$^DDUwT}`0&+=csF8XqpJy*eh*fx_3r$=x2@45U&qZnQ?&(SJ}Qdu9&4w6@}to`;DB-ncHN$J{viId;;F zzetJ!o&G5e8;-6jxEC4VsWnT~E0cPBSMM+ljO?sl(>YB!z50buCso3u0Fbw0<;?w% zI#-k@E}C4{eI+(W^@wjJ&9izGKdZx*APHQtG>{D?{Uz~}#IsoWT()hBI!U2{wQBk zwptCdDYXzM^xu#Ok1wV0e!oL=-wz~{wyYffy)9vcPWi<-@iZbiy%vw;GOdgOy2w2_ zt-Q~mb71&fL=OTD4Qr>IV#>+yN-A0*9ClOC#WU=khPEk49HCc=X(Dj-U#4mNws=iM z(ypR~I@VRC@A>OWi{uS%;2co6O-LN~ewo1H)eO1G*IUB@iEpxcJ@P&LB5!(!)qyTL zHBGl~zjxX1X+>1GO#C`LJ}0EOr|X)Y^L`AEfR+`ANrgrYE^Xjm;+Ir2cdq<4x3+&q zAzs98?fGs7gGp2i7=<*wGA@`Z*;T-PnN(T&Ve3UIO9_*`%M0O$@x4DW&Oz-{+lrt3 zEx*~vR3GcQr|uBYu*;|g=2HLzpS-3obCwnJG5dOP4JquV= zblgIQ1sy-YnT>nc$gS}uT)Fq0I^5mEE4!E$VRr+jgR42U>Fz!@2G1A7)pLlfXUOfh z_W80&U8l~_C~iq;xJSHBUR2gF%>y$FC+xE^$0iSqeygBf*gizSAtocNXe{JY?*(oPm0psH(wKp}_Qa|ZUr*10pTnozg*JtLb(l&V_g&z{%% zB#$1gZG%;Yyr%CYJlaN?`RgPsDz-si$A10Aq0n@XiO+rezO)jw_x}EiCL(L@(siih z^di4|X$Ol;A$nx@)?Mw+B7&z-UyF4|>V9nB5}Y(%emdZyU5zFH?yHs0Vc*E9Wc07c z2YCbu?`l^Jt}(H zMKr)A>RoaBKCXP!o6-tUy^j@%C*)lqUdh0I%MTeVae?{pS(Y1M~MKLo*B4xuhOEsyz`BwQzs_A~74#-Vnd1oidNyEokXP z&)vg+@|^l(`P!!zU!P2DW$TPuVC$XNg$r)!_i>fZj85#9RqzW+%4h_Xv_Qnz_UmQSOQAVspXNWy_LXTp7t(SsZTW$gesb3tm>7N7 zX}ps+_f~7~X2}Sxs&?^pQCYQTQH5O-#AFms??CO^&ewE#t(iy_Y|Y%0FaKHR7uA=p=Rt53r|E%%Pu$^ zl#UKKDhp>Cz+d-X+uAoXV0p}k}HFMv&a{VC3!$`u@|E@^ppJeSTr z&&8ko1FwUqix00yj%jr}7c zQYu=G`$o>W`3rYdZT$ked%tWkJ+zM+=a*G;0%5VKwH@;euRdy9JH1&u#sh43bo%*9 ze`?0zraJYHc7W-hgqKBnza6u(-y1*^&pI2n=YEH~i^rsBn)1G(FAzVS--^W9UAv>Ga|L5 zb$XtN3J4};h5xR)lAhbA_mW9QX7F>?7gg3V4%xuKp<+$W>He`t4umXfhyGB?c?L9r zVg7A~JO1Sx96A;mzMQjdJX{+*PHHv*sRw`kdakSVf^EI0>i+44-E%Rc^Bm6w-sBc` z+eBDuOSUQm}s{wa)jNp6n0sa1CBd@??QktvG+#2K58aip8W_N&CgH5>2+;P&@+0}c$H1=#7 z(aG+b(LW1X1;iZg=$fA@7_O)}_1L()j_q8cz9w+*(bM|YZwQJ0vpTS;5}aP#-aRNJ zW$8b+xVrI|fJwqHx2SjE=iN8C=)~~CnpjB9#3}ngbM2(Cq^fOJW#cP`_*eVb-eznP zGus;+z;jE{_2-_Xu1oYYpJ3xdjdKo`Ror_PC1gIYlI!5o5Rm^-*uuBCd6vS!(J!l` zdm0Uki1wcL)7iznzod_&3wpuSJSE+IrDwh>HW+uKn||BB%$dDq8Az(NDfB2LUEbgc zsHanqw*J1(>sWA1ZR|F%5Yjrc;a0p#Ms`Yr-Z0;|1Wpq-cbuO_iCBleivNvV7LfN0 zeESoy$eVg*7LQ{oT7p+ug>{D~SQPxyq48O{^+TJ-_(Ws^YW)Z3s!nbLV>94!IvOz@ zk5y2onqK{c#n%NX?Sd6K{|tKXmsLMy8_7EWX9s5R}>U_Z-jACnzQ}zkSgPZa**oy(K2ApI<)*R=0NlVsbsOck_EU zBkUU-9$$gQD(UJU7QOSAQ&v&W82H6VJxFR<*RPZplKhaIC3p6wo!%o5_IpwCCymtJ zg5Yit+6#w!{0~iS0z%XN;1k|V%D}{-<}eD_J`%XEWgiDV%o2%q!M%Vy&MP*jEkUxOnMRY>_x65}V9D>Rw zPLT4Bk?G%m8JQ)(*NIs=M%J6c4_zaZGSHZB@jp`YjZH4?za4g4)z}x($X*EyK6jGd z)-!4JVcm5}?7OU8)w`qp3xhC!;4d~yk`(xHZ2h_R*1ZSMqAR~BzD&fYRkidEuWuh; z`MHZl$EB%HW%$%9Dk;67ZF!r3f?7=@A}V1^3I*eA`y&WkVE`_$OXvWFlz zzqX^m%c}qt3=C8Z42&>PK-q;s@FqLgqet>E6pa4OU1mb;avnht706dXwCo~DJPN!- z*>N>A;d4MqB1|~%3)Pw^^b*3C&mn#ZeTY!8d4Zi0Mnpj@>%ZVjq!dMQl{KMoA-Gck z;Z|IfDG?Q){1H&~`7_GOTH7=`JHN27xCrx`!+?&#iwt_(gg6krBtjWxjSz&?A2OGj zkhz3g@_7V7qqt&(Ae3;A7Ag~=M-+veGKfo};)1w@soWuWW`vMjAeR@kse+oixR!UgTN!^7Xcp?w>H4B;p$#xfCP9Jcu{3=<_2g@Hm%=jWH8brS`q zLF*>YB}ieSw1kjHBjoi*Frkx>j~~7=0e?tf!mS|O3c(`Wgiu4#CW^sCA($6g5n;mm z`#MG_mxvL9filJ#A!H~z4TUp1xVU;>aLn-uu=WLkiBcRU!#`4BNrf-%0>OknQ3#pB z$btu&lUD$-MTlWSyKHwic@K<#!I&4o8cJw9WqJuYginXUnKKdOxaq>TiJZXw(@X@M zon1_eAgDlrcrY-ra4r!tUcb%?DaM=N<^|n+;b=zbe<8ZYcSleaV$Cp6Of#B}?mU8E z>7ha&?xDy`V2BD7mQ_{pde>B z*vxdWAmI!V=OsiF>kq`Z$tc^@G2kGhhYbKD1Ywv*5QGu#T=Rz$JDCV_9d4N+naaY# ziVC)yoN_REWuU`lWo4AR77j1Sr0ThOdPAt$uT2&457hKWLjp1pv==YhOo@YFK#6g1 zNJuEuSVtC{8Dd|M%oP=8B4+&fHLxT7!z?er=UFs@v?kmx!R<0^VP$n5Qp*tKgSaNj z`V0>RekClKr)Kw&XJ(>iVrX731Mgs543xW=7efVBe~TJf z?@(3qn-H{ufH7 z5X40gY<~p7flc9}-Y`6P2mU;QAea0R3>3R*{@J_+gB%W)K%X{GM7Yfk7Y+(3=+8E{ zfMXB>{uhWpicf|N?=yI6?ffsGP4Ww76Gd(Q!)>BWq#p4`2v$M@N<-WB3=1BFz}nrg^uW^VkD&MU_2v4R1vH@{UyKlB&(gb!tJLJ$tP<@84oF1UZI3Bllowq1A^PbOkMjCbKA z6&8E^Shp=43)1}thK9L5c;@DIK`4UvWtb6yd0}OS6<%eckbZcMqx_-h2Xf}okibUu zei*1_43NQ&PfSdMRDWP3T0=wbbF`)=GcOXjjsYH2M|WQzDq$()d0|r`UA1+69isb=ux_6hCmN|sHBAxy3EY1-11iAfhJ~V z@GPsq`l|j2qK0}|>aal#BgASE6Uxa^05%aE<ZkStjQC z`mbLkW{GH|q-$s!2wCXH8nTH{7$L}&c?4NQ_RuIPt@f-AuxV-OnV7|;a`P}{QDGKt zwTt!03evkSR%2!%Ye+xhW)?M%frhv@A&7@X`TS+H;QskFw2=Dwa}uRdbN1+ z4!CwRBuCy%lH~WK$Qxvr{B~|+FM&B7cT>^GLr4BGJ zC+!YC8q;IDJoG0`?||O)%exwSR~~vM%Ri<-&E8J@>&&V(Ohl1MF<#^q!4sjeuk9z3 zq82h0;(L1<+&ad2=-_pnoIR~yF`4)AUd91Vi0;p?4a+M-WuFbl@POP@v>Dm1m)c6? z{Ab!{aqo*yDj+S+4Avb5gk&_kzg--X2;4o`Hvj%Lh!?t%clG zlIqsLr`u&WQ{oKICI}y8)ZN+%Pdt;CE;}ybEW5Gl%p+&S58fp{dorT`1(Y&>SUG=E z!sE3YL>kq2GVtB0gi0rSI0S&R(%9}laGi2hm^S+Rdt375cyd_>A4>KH6!<Xg`kABdW-)=0O^9Ux9-AKK3zi4b$G)uyXD^c&L;|v;L0uS9^iJd&5;l zJO&?A(`R%xU{OM{tZ0f-3=`Y%-TSHgiC->RxDxxl`K1eTUYg(efetRE7FmofvXEbG zQy2C=-9sEcj?5qedPCJjNykz@J`Dn=`QiI+`j__82I^y29-g$iN$t$?JD_77sQ<=e zpP`opmMc0Ls+jaY*jec$>TgGUni6~e%`a^9*Vo5vN$Xv|96?avl4Zx{f}c=jj8UI@ zSh=Il*y8LHMr`-~+V}b99YsKPw2Jrdu0&OInyHZw^|N8XdGqsd8rLljH`Y|2)VW9C zy^7RLVH#UG`+B=aY_2!z7F6@|qS#mxC9^)FU3YY}13Pp>TDX+dME7&Y@_Dr%FJ5PV zJWwI`ET;3MBRk+AOBs9{uH{4@Q+c~cD12^=L@rcoFqp&oIjLHRY)~Xg<$#3cX8E$_$G+T++ zvt&5!Y|V?n?HYX!0avLMNZ)oNp(0+ha&`gxUk+#X@`Rf(jfOBT82tZW) zrB;F7vHi6?o8bNQR)bqSF6Y=Zblx$D(U65h_$1(8EIh~w_$%*d?Ns`Lj5F;{-#Xb2 zRZaTC{;Q&!qT5$MBAR>}1xFs&YF*W<&E~e;d@`$_W$fIl?4j5vYjcxJVB{t1UDd zK`>-+er5E*u$B7W-;aXIA7{@;B{@l2L(ac(wzJpW8^IlHnJXa7v+qhyu$5&P?=^=ECn^vlnjI;dz(CMa>J|g-1C% zho`wQ#^a6k;U7(AZGTWqIL+rWM5!i1nDmCIpX3!UHiADd->jwMrGBod#GQFUfgY2} z?kdX~l?v_;79|Y!;F77cbx3pYk+J2xdiCW>{Cu3AP5A6^&crbIOM;wddZ{18ebhX0 zmubZ)_HKFQ$s>7i%hCz!Cmp#j5TvY;H1D~~-x4ppY(=ZJ`9lXkGw$)WUU?+NYSWc; zGLS&{e2^>Th~c>?on#7aW=gT~$aSiios^Kg*kyTb#0qE{9oNTS>5YM=596h(32o-odX0^G!52g) z!r)8dj*6;mEVG<^v-7slTo~5P89k$j`)C2TBS5ZM1ogG;+lvQ0XGeH-ty^|a=J_6w zbBkz>fH2uxT~JpzA;2>CBYCQAG2D(obULM4+`Nck#lR$`3J{b&z@nR}e{CqU?zhNY%w1q=>stANYP^ty`4KK=cmco_Ou{H|>FU&@X>#hK zN*sQaK>1Sa29q}D^XN$_Y+)MEhp~e31ULA&vO}M0%pfg)&>{WF>~I7laBKeQ&?X)W z9xf$Y^m0A|HXa3^rI_X*04MjU<3(t4m8i z4p!6O7ILon5P!Qae824+P_8Vm`SCP4hT6OX#QfjAA2?J~W~Zzu^3`3Z_Z+|f!o&a4 zdemWLsk+KQ0mv#_HA#(iUKX)TaSAQ3oyoPt-r)GU@+*y*Izq{I!wI0Re_0lh+~>VL z=*iZHF>cv^R_4gBNv2Hk9pl5f0N)SrK~Ss79Y>PgS?N{F)1klK4MCieZ)NyqD&6Us zqz|;xf#wpy;q;67fSP^#Pd}yC@M+C?OtTWX%0yzZkVSz60$?`E`#LU~wGt!i9A~q0 zS|d2<6~5A)O?FNBx4t5v!WDFivt9pP$YgDBY947}lTS0wi>GRBhSy6F?#k4c&rSw} z;|XZrXS@{;F(#ByEp}^lXRf?T=PeOrRsE1bzx!wl7>EY)ep;t)%Tg~jC$hQenp?Lj zYn0%DyOl(}8G7f@Im`}~@NyPYZ$NAqH>;;U&X60y@s2Eb-xSfwGq4r-mK@*KK}yQ< zBeUXw$p4*qJ^b@OA*BByNWuS%kp71t1^=yI{SQL=ACeZpWz#zFdk4%f1eb&V5B606 zzXZZX#_(q!RO*E+W(NW@F%VR38noHNeljGl=eR)?SH;8K;kt6 zeg(-yQLI_0n{3og4(cWs-kcwv)2PF5*l-W+oc-zi2HM;?ht2#Z8caO_A5u7k_W4BV~?UIWyj48r973xqS?* z7r(2b6&`pmJ0}(WBn3?(&6j%QayWuDefID>o-h@$H0FH}d|I#b-NJ<8dBGoWOlT`Y zzxKh)oxDsU$IHLo^&WoC;OjSSf%w?RWlHELMPZjQIUO?ZRJ$++ zlV2aLsn3iGNd{qP*HhW3t4t&afeGRE;cuZW0`u)2^N5I?p;tGV-~w=gt_qo-e~(<4 zWE7$VrZ}E@2VD<1Vay4eq7yuiy>21}xEV$k9d5{(IAXTRY&Ke+d>2di(CIM>4HG1q zJh)tq2i|vrU^DFL!$%FHUNTc|JmSmut|ha`l$VkzTS`4sO#-hh{uT~4uEpae{aN!j z3LcO*Y{zR*IR0&?FQ72kyA1$Iih@C*#i*&I4xn8kcz{>F(h>LJ0Kg&j2y z!ss@0p4Jtevg1|KHcIm!rxqzh$qLK)t{!;Y9>sCl1?tz7=;k)UYQMI`Mt=9$5)J9+ z;Sd({vNkWXL0|i&h6xI=uctjJlyF=ytKi~KE>?ch{<6j=RIa&PRKb*5TjU*>{wP&f zFIPFrMX2Q5-|^zt%%j4MkF(tlFWz{`lDg2~g zUBvxzw43QIyZwf0SIjl)Oxii==CO!IP?i3gk?Yxa#y-8H$FpLQ()sJPxF&5As#mY4 zqWxgIcNb9I&(}+5KC&3pi?~glc{pX0ScV>}W94e_)FpHC#^?~BcB@{i{za#{p!I00!206%(Zc-sq0jZWCP8Dlok{IFkXTFb7SZ&yeP&BU z49r8C;(MF(99z31M~8+BE;H=jXiD=plA@`u!eJ&aECy?(nA-K1^*GcLO+>oL{cR{I zqcL$Bs~3YgBf0D{^`il=Zyx(w=VO`$w8oT`^!eXiN7mR|r5-P&jRU7aGPMV^zBV+q zyvQyMc;$d?Ozo+(vwA)(qik+!Lm$zIe%gZ{YeVNq2g7x zjw(L@rZPohY~!4Wm<3)Jb`Bg+M84PSIXeenp*X4$o_Z}L>nJCyk^t4EEV^FmJ~n8^ZaB-4qQM6Lj9fD7}0@h9U48zp9~Q#-S(^g7L-UYg>! z@?|9^vP^n_0!Dof7w+nU#Zeb(nbV-X_~ngj*+RQ)TO(^q5=MIB5K#W2lD17jHBH+v zg;&4r{ic#V}2u#-k7SE2aQeI*>)F!dOB6Qi)x$#JXCAleDkO_>)#ZtV^8oF~;QJjm+?U{ddV>ut5- z+X1c{%VQ;VIK##U02?S>QXd&1_SzOvmTkK|l0U-u`sYYT#oj zUKW+K&L>asEyH;>>F~X}Xa>ohkQHQ#+kPeOgp&V=kfdI)bxt?$q8_{In@)T}_+9mb&YBl$O&0S5E9p$nzAI zyM}>;zF29g&-V=7+5DDtru1OO?HC}?pmj(;iA|f7_XMa* zR@?2At{SBII?6~a1iR_o9>>ht!c_l#NPE*>|G@^xBsnM{xN#a8kz;t@ZUFarN+hed zUA0?~`(SN?^5fPcKv&MGQ_7grg0aBnrGL|2DK%S@ugGauHlEq%XIxum7ZBdQ)BToD z>nB@?-Nd|olEYCn(U3_dj_Gdu zv<~<%A2kEP>)dgECGUd#vYSYQ(ncEF&-W>>`BrdL_1OlCYDCe3!AtLW@DFY}$%1yW z3E4;4@4lUR2ou?dc?d>1$Ur;76}g=ugWb&k z_Syb%Ev)} zjS#=$`YvUgxKq38(7yHR$Z6M->fPGdu23NFR_0V{7M$J@QB=FBJr2Mw7y8nV^ihF? zbt;EI>r*gO$#!XFEz8k+Qnej3QgPLHSjb$~=T;i#*X1@EGH*VPazCA)`)^gylQ@|aLt92Q(bJRkn z9H2;`r7=4i|GQK)Gxf>a=eWKbB;xv_1eglE?KgYoDD@QbK7QY3td&p-?{CZZd zPJeT8d-EgDK5tDY;sxCUFer}x3B5o4ao07bhhM2SRCVY|lOy^PsY~AfHBRrCx(QgA z@2W17aThg8vP0Xc)}7~UH;PTwCYJn9=0()eV%WjeEtfHG?qNix)s}=PJPQ5sNa=HA z%eaSy+H5}Rigq9<8x)P#Z^v;zsaEi6K>GTp*Gngq+P%o|ak!og$ut>)5eb>P;dWAQ zg5U+lS1V4g4$p6xT>g!ofte>xO8=}B8|cZDjoCYJbge!!Q}5XC)tK3qUVastF}o$8 z!NNr>IRQd!+}v9{#x|!4_c32Ib3_OAo}O;P>|*TNaYDmwV=K+VM4jU z+O04ssed@3Z9nfWQ(qi>^J|}dETH^J{Qj}M#Ns7WVM`ryvKk|a>@!WB>BO)#oG?Hs zo(!zoEIKqJ^7MHIha(=Cc!jjHOVQo^E!4ZUF7shjx_%oxdg&Ln_M5;&6;Iu$V*zOD z*_L#SZwOnvcm_mu_DSV~v0w5rDlwfn^DA4Gtf73tFO?meC|EUPaGA{Q-Lv`_!Hpl( z{0a948GJ*sTi%`Dcye~-rqH9Pn363@Q56kc=Kv5DpHf;oeJ&!Op8wniO71tTY1d}gk+5>l96`VEUnp;A~qR);D(a3>xx86=Q- zP9_;Uxx^8i`*{;Xg@v!Pk4PX_t$&@e6qxzxFn4q<=KGa|?$Z~;qmw)5 zmx#qxhPD8XxJtmQn5@=Ae3~1Ax+XSX$A6qsUgeUu^~f&W$AUDNfRRtvISw`R9ci`v zkMyq-3#VMwJgP}Uv=|1z?}-?egNZo_8S6xJA#IE4t<%Om%!KrhtGrq|g!I-sSk9FC z)IgkxDg=#F7|vBq?_cJ+`>gnnpxldHX;asa^;6$>j?TfQr(Un)atl^*!DFZAAs?!L z9O8;6Hcf2ZR#GXf`$~8lz>EcdNQI+6PN?(zh-bjgd*Ir08V2g9`DHEbdesXzfpX5T zHx+8!1423x3o6ofEFwT_@3xGSPv_J=yV$JI<7ul23GL064IEx({($-H(>KL{*Zk%B-kr{wL2++~P9>dk7x><%OP8?&JEA!9DGO!YfWj*( z*2GdKRyq3|ZbP(uR8+g+w|PtIfFGjw@rXL<%WrFYC(pNgq=N2&W%V;_1Z2*B!Es+! zNC4%Xdk@sjgW`&-CRlHa$XVreeVJpl2ugTg+rSoEOGF3wt%C=b4&9DoE*Fk%vnKQF ze;7WM?a|z%cBr?ED?hme{GuycNB!_cJcbq}+i6-l`5*0S`gWlU-hZfO92Qqo4+btV z2nwft>gXH&&I&n=%H}qN@=;Uk#4m)IUHQYeeL%*|uW4Vifeuv7BhGLi1ThH+X(x^g zYR1*hpIW|Y`T|-leXA^17e$7%ERF)F=ZIK1B+@#4akh63z9r7z^DhN1?YNF_R(bVp z(hI3XYiZeebJ`q-y%#DJ4(xRaNa- z*@}J`0t)XVe!Cd{U)HJU15<5}t)6BLpJcjSd&8)rpI<#iNDHpZT6$Dg|73k^>K{}3 zsUJ%qws7Huj$2k^ZHJQefpZtYmQZinw)c3eV_ViaDvpjN?w9kvdx1vdAu$>QvBFI| z;OR#f{P5!_bArXEc~T|~$9f|-D^i}O$P`9*--0_1gg_#qolWOiYv&v><-77_TC3EV z`DJxIJ16(#Prpf%M;U;OR(M@wk6c*v*y4}fhj}B@1OXMl&S<%n1o)i3(Pzm4;v1h% zseAsI`?VuC6USes77~iwf5ReY{(;XF2c0mL2n^7@E9mMQE^&`r#1Q@XW%P&ws3q4m zQj4g)icUl%HGqS2clfK0{*iH9Ezj3Keq%_>>Ax%mpi+$^k``emr{OA*4 zK+irSzh6l`wYGO?((Axd?-dQ^kemXe9r`_>1u&cK^r-GDx$?i7|n}(@1JGVl_?lA=$;CO7C zm^PuU@BX~5rE`sdTRXf)KvT!Rc4_T|LR8wu5u|05e{SoXCZZFSlnD*%nZzTK)O1eD zY&@eymwa7&5C*6cdofzBlrYPyFYZ%VySRIocd@zGU^Cp`LH`|H<|PRB*s5-O&%=j zkot9<1PDpnRO~VdiTSqo@MKmh3&^N&wh6ae*vD)#8}9$b5&*7*GQaGvIrHl6;mNnf4Bh+m~y_;PRb?vXqn z;&Cxm_rq46SQNB*9tS%5#(tCA7Sxfj$8d5>E115FO3!ZW{JKsqt?kx3wRZx5wMS-d z#n;)tfH&wR2|btOeO0?HC|#-SMU(OiJ4FXWlkb+FDPHZkr-YtW*R{yxG*8Sf=&fYf za?Vw_K|w7G$Zb;ciaJs@i7)FqIOLG3Hn~d^=x3IPNjyrPpkcgc3~bVx$ETMtzztsE zr!jBRO4oSqk72xPKRCf)Vv{n6P6KFwU05^db?cyoL#AnL-Tu{AeH=Ot&C>bxWy3>) zt_|REqo5sHWOm6PLD?(bpW5!*ZAyE;o0jDe%SoQY*3!=bG$B#(-6JbvfeHELpGFtO zRW)K$GiHAhFx*m9R(G7=08BXVWIe0P^RJ>Q9Q@g%OX8pXy^vk6?)TfFh%xN#gXuMoaS?k6;p|0q}6nX&z8ADf&1 z{VB}~KDaLGTh`PGdIo3k$o1ko`;YM{okEAtvF|v)Bx4tLeCk`>zHp6$A3Wv=Y9zm- z_GR`)$bNAT|1^+j`NJsl1}!M_w?f=5Ngjaz23G#z)BnTv#g#nPhpOayhNk|&C<%|O zsoUqK4bw8vGCZ@mLM?6K<5#+bNyfz2wDAY~QfOW42n~z2o~@G`@OxSMosiAM=Xol8 z|L~Y}9U}_bX4bbkBcluI*W{IZK>u*(LV$02<R4Eh9l?^}GT8$j34c6{D-SJlL_ zq^T2n0zEu4VWR6yga+n%hb-!g7r`$xAfA~A*-R9gdEp84N5~3MZ00{a>W2zwv4Ba? zVZBilkv8TJvCMe{K_-k4WHJ-M`X~Lh5du(n<^`WQ2XR!W0X@aQ!h(DzN|TAojE)CT zu1u6O5JjdElaO4#3^_Vp6s?J}2MP$>!MFnxNyAo!U=eOYkh>@XN(AnR8X@SAP0K{6 z|KaRVPEg2fq5w5iKmo0dtv^Bu6`J<{77C)!v{$d9V&f7L5;LLd0y1A0`O)VQh;G6= z(oj3;M$mBqOC~gg!vBCEs|o>5m?<3vZKC{{MhG=ZFgP?cJUn%9@Gk-Fg*_AcGn=44 zGZQkK^T>rj6FG-Z0e2BJ2t!_oLjPe@@$q4rI~XVxEfmqB(%td!;qddTYidCUEv%yp zL(d3GG*L%ufVwe+Hy9{bvk5^=nh;7;Q!^Bl2M_XzlYe-41jIBiI87tu3Mw&tOzfLC z38`h})zCb9;hwE;fXy{#LOv6gW=MeHL8In{dbhO=vVZN6(1fS5v$Go>WnceSXemUY znirJjg@_h<8F%+lad>!)MhRJH6s3uJVPGCX5C(sUX~J@WX~OMwBLo8?Y%E65#0$?O zr1T)B$Hk4BI#O1-0HM|4c|_?$wY1?3l8FbTHDUa33L4gX(S#s@nFtaDx4}&aRtPFW z;d#8k^&p-KZ7i`DCR!+>EibRAxJVff6||&P5P`0#YiMkpnVnle1uEe>@*TFd1j{n2 z!>&LwaTOJ7O$ZgFIU&yplvF{SOglQ5KlB4nw$M26re3nab&VLZpj z|CzEpJ2#UfgW4M`Y@%x@P3uimK*ZVXfj=4+Cn~s5Z|SWj1mX5aklX$U)<3RY^N*wg z0)j$1dKZ8v3T=j5bKqSxb8}-rU>gj_2@Hrezlwy_qUI6kXGMGc+DrwBHq(HoZH&?+Xl(i{{H`sG8;j(6V}+yL;@9%U4I1G zL-CdSO$c((gj~8%RKuCrGvq3Lu6m#f+BK#?MM7p~I3PG~$tfzqP(}?S61>{QRikscRhdlQ0Z2}T9GP28%L>7T$ zCv1fhR-|e|Fi|8kO%sAfOKWC;&;`B60!(Z|Qc}=(D!YWHj65)tLmn9+fijmA6(M~6 z*ul}o#l^Kv#vcuN29E*F-Q7&HYdwF>%nTFuZy(=fq!i~xU`iVNG|dY%K=Q4A5~Hps z#W3I~{s=MOku_um8Oubv=B#GWCMKZnb#ihIa~=)l(WUq=pEMlMaACvRIvO^%nKOcG zwTy|6>fNDBcqFKP4X+&n0{PQx=woZhMCdm}G|rQaZsb^pktgM4sQ4-vq_BZB|WI4WUgaOtuX{_ea62kqFX0f6j{( zbU}418qzs`ehW&@=T{;BJb#V{3F!HAZp04EuR@jW{5c2mM0EZf8_nV2JPw*;E}S$z zfD+<=&mHF>Kyxs8tc+`(!t>}4CEobGf~TT3yqRsk9WhnhsgJdIPQbuJsL&Y@HcXFo zR3}MI<$f4j@#OhtXe_0HNxF@dJsC7uT%wX@9_QtL?y^6w~uMn4sj0eUP;!>Q9|yy1{0a@!wu zktbbd{s?1`x;+1;1HGuOIY^n54!(7JU6j-MSxo3)Sp8jS@J2%D9o@#ASy}5fi0WSp;DR2-!m0)^Fd*W4RiW(1FC6!m9aWoa zS)a8cW{ncx=H+pIq3DVx0O~k$aiLdpJ%2px`k95pnZ#{n&#**5iq(Dlv%-3T_GeI( z-u?Go9p|xy3D$Z{db+{_4fiUe;trvYiO%nx8J_!Kykb!0t<6q!*JJga)47hj#H)Km zq7;krsZS+)8#m+8z;@`-S1) z)%QO94&5D6vS~rO1eH*_y9Go_LXhs176c^(K~j(oX=$XTJEXh2^Pc(szW>+vUH5m_ zU3cAQt-TqT#hE#C&Ylzd^L(B;?}af&QlzSCPi?F)F#X$nDuCZq`{6K?>TiW<#-GI- zM$L`Lj2Sjbsq!oK=iu3UN0!g%7{q7WzeKWQS0aD!!m^gT_9YAtlvRE)?!mx`QN{u> zJLtx;s(ycC9>W%snEO#0=Qg|WfM}g@+K;Ed{1C$sS{a=DgH+ErgjgbIbgz&!O`3J>5;*+OVt!Qf-b$fo z!r}J1{%D_|j*c87#y#rezA%a@tP3m&^yjZDlkbjxpBc^$@cTmG*`FV+%I(_z&1T|T zW%W<+Xx@yTP4+ZgDL7uPZv6Wxj<%ejv;3!t>wc|Yr{(@-pm+D{CkACpU_Z1~;4&25 z5UoyI*g-2#xsazC9<(7!3ar}*T+cXUs-XneBBmgGZ zTxZ_S+p~$QkAB*sHVja{VADX6j%}uzA@}j(;-m*bIESol5t3^?sZINr9venZp}e6j zT7^8k0d>s}%-HZiB=TFU%32CDr4Cog+JP5Xwn9V?qK75TSWHofY0jNuLC_;K1DPJ9 z6`sC?`Kkw_Pu|FJGp;GUMJsqbWZW+or2^iCe^JUr<2>}cU;VZ{z}&#`Gcj`P<#TEQ z&FiJnFp6^k+jY`?w6b%>6#rz)-R7-HR)7r|<%#&f>bv=l;T5$Xz{8^o)f?t9tiTt~ za}bOmV=K$j7*45D(w*#Jg4d-~05T}2Kkj)Lcu-4uG=X#Tjl2ZT{YO_dRpcTXNxrfy zgg~W$?7WYGjIal@o9W)31smb5OIIyF#bNST{*djW2?HP@s%a3xDNmzLIxY4uK{}B{ zmQ7M!4Qim!)^z?A`LGG#y1Y8E`&jq$n|f?l`2m69vbKQ13~KD3I?^+gN}cQ~aB)5F z-?vNAgU}F4l2?i-Gj)-5DM~_CfATw-Y;1ds4FnH744z%TtM&g_nDW9@G&?nlKtEym z!E?7KU5V@#(hmTxu@>rmCn{bIlPeM=v5!+J!x5Dz)27J8U-MJYqVOjGkw$rUGFn#l z=WN8_U!|XIj;PANJdaB|Yh&oKmL!as1DZ77nU4DXk&#ohqXd4CG&POiB|jU_db@^z zHl+O^1|Pg=#Lh-7wceoDMpXZ z#OKYAhuo@0^*572^wfeFLiC(-AhLT_o;tLwb*X^->R~hB~8s83`9TvOJiWMc^?2G8@OQ zaaQx*yF@KG^&&-vs-g|5^L8sK#sB1^cl1N?1T(AOn@rJc5@mUcn~cJTHn}o?7{1!i z@>m@dXrDY`Lj*=RjwGX3Vsv7M1I$4L-gO$(pH!6&q7uINT5_srcmD=t$3;l7h6MtX zm8yHqcp<5u4r=d9y~BOW-H=J7f?jC?4my0NzI`=lOLWXQ%qg)x@EN{2AC!m|Jh@K#k0Tx>yLVcUc zM$jyibc1ZD{PDEkBfLG|E+5q04C53d$%#n6Z~i{&{7UPjT=R}>-Jc@$+2%(W>L*g* zI(F2?&XuM}6~RNnqkYR*?lx|HAhw9g%h1Fo?dM_zl&YjC>T^y`CXG*v+(Wm#Q_BMx znE4|jQBrVjZum+2Kzzjlw{|Ac#a5$MDnAJ3a6XU3?@3l^?)7S#&J+&4e|@;$SHda_9O z*cG@cEb}ML9t>&|6L`sFr#5Ht95}y!({8W#;12)CuMAhfhvzg`qLZ```qYOm5lKi> zD5*tJ(Jo*vDLkU~yXeJfsk{8}F-?absF=>c8lMSXq=&MTg7@BB7$FR^ z%DKp55qHQ~g+$A3gS5 zZTZ*tKT2SC)hFYvXVJ9QzMJ5O0Rta3%0GzhA^Z%awFxQfp2N++yT?VJ%j4vgOv)9C zUn-(b#pMne^ZN&_FP!SH;(pC$06m+-e7i#P@zw@I#V>Xe_5r8*j_!kXk(1GHK4es1 z-#~mpWK|%8x$1LPyJKb>I>acy3Q6C3QWmtJQT9XsxENq~FH|=jdF!0M^19=|dgLOw z^?b^6de+wVa}?n{d2b<*%bhQ^VYiCGCyLpIp@oh!)kpR*7F&0ea<&Sy^=Bmm7&Hj` zo?&k1N;%9WcSy=!Z7Im0%pZ#;JWOX3{7uCL0fXhFB(EpZl<@w|;lB`NmI`!Ee2p-7 zhu!x-C&$n;-w*(F*9OWh&d$lr%P;s#lm3NCK{HfN1j@>Vu1%r4I{(GX3KpQ-Rq#YU zcx8^iH0fWc7C==bkWrwDFBs4btiM$4U#J$)()|^){-tXFLbU+87LkyYf(qEk$wNu3 z%Fvw=btrxHFH{TuJ5~D^ss;a@s{ISq{{2uO_%&}{69&tNSk}3_2M^#}YayI#ErNH& z@U8^j{eX9+@U9H%?vU=-DCV~iU={PvVgDcL*SR}``oFIaZ4_wBoZe~58o?i2-#yj* z=gGAHpA;<8zZC4&LnuKQdW*3C?Ir)+N#H;9kzi0p;s1&}7!3da_!ZdZx~9z<@|Epe zUY=8`w+U&!Ha9RVzj1fnV_JM}_L>A(=)2kpMg>z>MpS$yRbhC_+?qO>Fvz{Fmz&1x zGXkRlg*>u&Y|DyG{gW4Dsua5Ic{KVA+5Y@{s84Ko#QbSz0jif!l_;q${$%x|LgGZ5 z4S$PgljjD7H$igA8MqJ2@gdLnOe-O%5njw;GosL`GT5PKkmGA5GtrOB7fCLE?+6A~ zTl~1vQIama3gX|9-rkjBJ$s+Tx2f;0?r6PvB^3x{-VMh-swKNDrJv*ZHcWxoT;W19 zPkHEe5Q)UyHe6^12w4N0Y^e&4iB6>`3!?TLPGD*o$^!P|^U|D4h~Ke&!AHU!fh6qm z2JxPhEmA%M-&|X-q8v$jWu^p=4-*j|GQpeLl&At`X${S?K_}L?Zp0E>N;1^L@s#80 zCifFhXU4!gnt+Dt^U%l^^gk+gEol-8Ocsf9fWJiM`sC{o^#euFnNKH*_cLY^ftsWR$UuJ#7-DA@@Ek*pGP;mp&}ozH_x-e5rO_%zjNtJVeGdP9yANP8S4 zWDOq@M3qhqDDtdm^H)$Dvo@vEnl7k6Ll1hs3~C&$)lvrYiFsjxTEdY9Cj?UqO7eyW zH!t<1k|jNJiUFREc`O%@UaUTScJM2Y(C4$0G`aaRuc)%76fSh7>NiiK zw&Wty(ULS^^jZ*I61Z#%O}>U-ztWj1Sg12BVw`%rA~rUw5mDPL`YuWMk!L3HEi|b> z$t`d!*yrRfwy+tvqW6T0KTT^&b(Y&kUa9~1Nnz_d(51P5ZJJX(Lb6mo@&fNRm3T%# zWXX&HGNnXKy zaEi)IR+}6}BT!yiKOfwmtmp2|@3bw6S~3PSe-xTkxH#+86kjaca9JUJ@1>DZCCbqd zu}u+j_u0V*hYOSt`p0#wLgQI@-ye7g$kpR4`HhuIZL0pt;N|>*id0zrFk? zLFJ#L0U`|JrwmOnRuLPt_vRJs@j&J_>`@-U!Y77%ob6y%z2{`|RJXZiW^~p7Wylb< zb_Vd9_^$n8*-7-l*}I++uwZ@TTU;AHvqus$w!q3RTK=_suS~I#0 zK_~1O}0zbwL@dHmJK<(cMxDSi?aPZO4uuNLiLY4jaRGg2DPM!oz)Y|8}uSk+ZD) z6=4TKz6ZYt;_zhxq4+a$vi3g)xO;|EOT6#(vO-DcTy2|8 zdb0&pYelYuK-y@i+tf=e3r1Ru1nIf)rbZ7d;@=K$zNVpEIgINl76G!j{1fVj>hTB< zTUPH)Za&86!9O{+RxODAI{IOB@}nns*L&D3GWet~ZdH*i|H~LNGxznOo`l*q?A8vu zhvppy5P4j;`MV5pu+~XGT)JCIzTP_$qw_9mC#2;8*`3A51dyg=BQ2!;`R85^@+76T zU_jVl+l=z}gYP5KaxV`Vl<~oP*BlbUM~M76o`>tX@@k8?zFp}f7Y>yuic4<<3b?XC zA2{dTuShAKP?GOwzA}c&OZejaYUq}X5A}RQgd!sZBBpz!jAiC+xD~%DFXU!0qSt=B z;2O+7Luh`M%;=`20{EWe8gIDM95%-c8=@_vU*jx#U(}alnpAlWQ!xkbMgt}Cjl!?O46e-*iQ^WJcsivw=!-*;i>D-dNTb!;(&Jp9)6Fhp|haDR~%Ud$>f- z9}|M>82TIy(!wm~xNFJ1DKRf*MA~otx7}su#p3P$B<@4tNy2Y^#lwI@DK3`k%deC6 z6}R2um5-x`>Eym%6C~V21{7X-TzEVKxk8?!x_e*AR1hHXw%Tz+RPTdu3DTX7Rt6N-%!(Tei`W5rgW|Er&H zXJJ>kslcc_o_4duytJrh;+4y{Q;2 zY+frsj==Ni=E%VPfp6d|#O{gSpTD{%ZEcl*5(JFh4dATFgHR6Bhc55HC#^7W?#|RMcF*L@rt*?aZryjBuyf}G&y72ZXVOl!Z6y;~njaE(I zGeJ8eNVgh0-~#)8g_b$@vvk z*xJ~b)Y{i`Koxla3@yPZjhvHn@SeF0&hMN!zfB*~>GTQyNaCNttG+3g4$iM1*EcUY z#CA_?pp)|}>c8=;?Lv8M=@B0Fy=0Wf)CPo3;6K)FMgbCfrZ)7vA}_4${hQkRk0`i$ z2e_4VYh?h%n8r|YH$sPR+ypJy`r;#uK0V9u4|HDdsc zlHb@RprEYl6baDy74~oM-OxF?g#8#8UM6OIprQ5FHTZqk;4zPxtxGZJJ3&+k4XT1@85$`y=X+{PzuV0oRI3-E;}%c~@m|7;~~GB@8?bSOg+`{Y6n>?I6?y=wM zIwj3h=a<>UfP_s%TuQ<8F}|d%yn&B@WMV=6ExMkCz0bSh8D34ZCU8sU6I;=dC}Do1 z?oyjhW+16h+S-Ma)NSDxe9iK+=M_j;5)GRmq|y6?C$G^C30d?UjCOFPXSiBl8|F99kl7^N62>>Na7`V-$DALN^46X?O_P2xg>`*b zIDRk@B~z<{9>wtTUAOUDApVvmzPb&`qL=%7-Z1mzAsJ5`p(3W@&=cVx&WO0gH9+B9 zEGqFbFg&l2jnCFD>QfUs(^KUoG<;rhrNor1fj@v$PTO{7iTSCGTX4kZ)>WyTx)n@< zOu5%?S&#MNw;#U*0oi!V7p(qs8lOIv)xFF=Ie!&V-E{!t4#_8Ev7?Y02NPKMiLqY> zX`e>Ro9IfK&*JcV_9;U6$20xt*)0qLO%RokxrV^V@!U4_OUml*K9QYASj4A<^qgKY zhfP#6(-mNre(?B3(+1wiqM5*J+sjiv_1xcwhS2*mCeUpZEukN!tW|p_(us>1L&FY_=TawcE z=mZ1zWOS>y4h5V7D(f&Hf_oArn)rmHZUezA^-N_S0T*z|OBnbB=wWjO#q6*=4XDOdPGY_Bh|DeQ!hWKYUewSti^d@uTR5^p%JV$=3?dMKkoxR- z1rD$d2ptH`zC+`b*N(mvBz*XE+R@|oo^(Pl7$)@@-$Bv&H1<^M>Nb`~=$O$oyx|UA zx|oRcRdhuk4tT1hVeaAEiNPTw@19@LK*9KcKO{P5Y;x`Fl9t=pCmm$|nnNX|dTww5 zxKp+b!zLH^V+r^jgVOHnq12l;J{AH*6pZbdI_Lw)4|Nd|+I|O~nYyGq975vFe zRNT-7NU5T?Bss^YzA+vYT~al-_>N9S<(!zx zPi;#&6jm*7GRc@PT)T&~U4T18%9vMaYsKsw+I}eP?g2UH60uXR8Os}y;(D2!AwK|) zgt|Tu8%5W;U*t9?XEyoZQx0Ro=E>QWjG2|EZ%{I*YhKwEb_vO;`VC{%^^YxYUpphb z4;bYWGAeP1b$ubOT1?5kf1Tm`G&W;U#s<$L;kpGBXL$#^+oBP4S~2oxK*0z>JhOXj z?UGd>Xf?MR?{I62w}rUJ%qAnasP!tF0?>*Elwaw$#3gGbPp~p?;qWO zhEL$fIFPor%%snI`KBI3Jk=EFwp@03pyqAwt>rZe<=rkeA_8IAY zFu8M$hC|10VpZJUb&D$^8PG6yf@d08)84J>pE|oP2;O_VZ`r+Po-pQBZ7}g>UfUk$ z7R#e{+9UQ$R`~))#SsWC+qj8kz>NutC3N|PVC)c6UNyNUAfuc3t$uQeOXn^4P}Y2e zr)Oc~^X_x&I3nE>Nf|Sn=*p!lVm14HR15}x0Ig~x61wQCpozHy+_bz-Y!+ot^^gcY zlft#O9uz}*Kw_Ls_pC}K0P~x8gY@)G;a(h_d;n%iNM6aW$6>LZgn)#KTSVULLrw3{ zITjdAsx=8Ieb#*dCSR3o@(Zo22|=PH*T6rDS-1=j;yi9=$+9=j_ET zBDRR4L(BBq#?B4n1CCdwz&dRE{0iflPsG=Pw*iT*gL{Z-LCN)tScJ$7?xE$ENMM>m zeQ^qdQ@v<-Y?;?@bsIN^j5(1*$wA;@g422LgerLK7MW9WXJ%JCfyb$3`EmB_8k0xM z(JSy%W==klJ;d1~(DK^HK+eKzL=-`xFGGB?HV);>@c){hmt%YXOdYwG`@_+>i0cQ!~6pz`-ObQ$V4G&nLyON2;9+G zRUNujB-TEuMMIb&kSwiz>nNhK^Lf8V`7Y^wghEF!36Rr>zy++a#-el04Q zSFrSf&T~{zls=wQF97@nq`u<@dz*LL_$JBh9D#8c0L9KqvGQI z51#ptQPU4b1t~QlL=!6I&MzpW4WXG(7(fB{2pYa@g29ZMU>J}_^B<9>v567Hl|cv; zFoVJziq|$aZ(ZToS>(rm&`ii82uTFvKBGYz!GwhR`i3+Zaw8N?^DsD@3DID`(qOO_ zKNuPWn?Yn|TRVJdkS-AtCc<$#NHN&I{x6&f8EYY4@d&DcPWq3m_U!EZk_u7@LN397 z4YhC@jUEnmF+dAtguq88ctBVtfK!?Oq3c-L*}0(k2e?5~{hv7qsOGh{4vsF|9s0u9 z4{oOQe+Pee0njo6p%w&RYOo&+7BUZm!9vquq;M<~l6yc<8nl|(|3Na#DykqJ6_V0c zS3?Zie^At>$;s(OD3**M9EJ$J;5V{%HLtZu}rw376LQx8^Lg>scGRnCS<6ELTVlcgGocnmw}or)Z`!=Bpk?W zg25F1V5CsBcQ|&ZqNV|rOLu{*D&Z`qhaZgWKXu>#;W5+Tg4!%7;1qNYe06i7t$+ei z&=3bb4}-x9;D0Mjg8?|gR#Xg+k{{3v(-2hNZ+rqCkfnBN>d&8h(@-h+e<|pH?V^h? zI0Owrb{J4#!iiKYKNt)fYB*^y7_JfI8HMm>e0%~w7=Y+JLLyR9GAcnq!6#4Qs@i{L zwGglg7mO18L!^p{iOZ-!*fTt={Qjq+`aJ9(J15K*`beRg>To^hzw{;qqe8eIwEmu+ zP-*qV#H1turyZgAhv7^~fg5b$^fTN*3eBbEwRNJwIZikQ?FV6;Q0s&5sr}GPfPZSn zLj>Rd7<(Zq6)x)?pZs%ib#-%dLjVbEp?89S02Pl%M!}$?V_`FuGgW|bKp-LVKLB(Z zL~$BHu1;uaD9|wD<>iBbV>km2hrl5WT;QpYh{y{AQ#%BsSAj23;lt|nYg24$wlHn@!Ddp$Wi$ z2-PlV0&r@3FH;g>AA&exhfq6$Z`)(&!3jjco}QXokU-<=0v?xF(7HebADre!rZqJ) z)ic$GF`DYZ9t5i3v9iLUUpV8e3XLOm4L?ZK2`xkmYT8ZzQk=TFx~2};5cCXvgBY4X z!smAZrlzogwUq(9rm+Wx>>J8Nm>?7HEh9jU8yrm<8b;To6N}1s?aHAe)E5VB~2q z7)2TkjS>pTJ4%&lfpDMN588@BMJTlNjABw!fflW1Fga6wJouP_j}iuiI><7Hlq44{aU_IIkU4h`F+{15K^|Gq-9gg@B72_!x)i z9vAfWD+uw*P(01#Z$g03P7%}RFi}&9c?1Mh_%MLj&Y)C8DOoF1K?GY{Q#P1gAS)KM ztHX!+$1l)MZc1ZHmxl1K2MBuU^m!QM0WyL#Kv2tsT9#QNdM-3&T+#Nsr#J8!aX%Cg z;t4UH|B=u_d-c_|5eymHt8Z@8V6eM+7!;<@poJnD!C**GLxza=e?-*(sHou+#F+R5 zq@--n_T%Epl!Wn`3Zg)}wBTd0&MYGYi`;eOtk4{b?>RXTrX2c>5T3&DPY#2nExaTt zbkvB0;(z=0n(;7Z-Zq~wgMhQOzpPu7u=p|ywLQUsVqA*{m>qDmocE*wyW z57wUk!SxMOYtnxX5^#JUp0WVXR=B!`A<)p|wm1dP_o63(m-Q`iV9QRWtcMTrypz?rh-}v?Y9lTmQAG*R#qXEZ4ScP z+B?PheaQPee@6qEf9H>2u)+B|1{iDz^25Sl!}G^57^I3NhQUVRHrTQGJ5ng`WPT6! z7mI~vck^H%`l5Y0GFs=kEe`sGC`*W@O--oZC)tp_`kx;tuU$(V!LnNG#A0=5T(*pt zUfO`!vtGHJn-%0EZL0Noo;cN|DPTj2*rp*LJX3LWF?UIK6p7cT`Qqnca9bv|A05u^ zSq%8BrCgDdu+@Dwm1V6-VR?K$-n%~K=I888=#3FiXVwdDhu&)9wBy^-52(!14wk?3 z6wrHMY}6^D9w7YjtsJd182SEaWCk%v7~!SP52`Qk^Qgu8aM@m9$|5}Be@Ms?p$C@m z*-gSky6|yWTJJxRpuj#3jP2|ZCKx_Q6}a_h3vvhe0@`zluT6}eCPlEmYMJ?F(@RUx zmUGcG(9|YE5dW$XbW!>hJT-d#URv|p({OYD;(9WBf~zlqqg#A@{M(}|SH8Q>5$BPFv}dyuk;4hn z#keRSie$FEy89*wnaqv*09MhI{xnQU&=_pJ_}uZqcrh^K9941G}Wk0QA~AqKY7ggXX3S#1RE!*NFAr9UwHB03MTu;}6<%&Sqp zb}E)}Pb73&4e{cE?(s!`fZe6ES$A9B zy^=LNg{@U5uSig#&W;?PA-K8qyQwo>7YTTePkc2hJc10T_Fs|e3*wHf#TMne(JNSs;&Jo=z$6&2M z3GQ5ftGrn5d-;y#rKUtfe3RC@{O@9;Z|NIqBq-l6l0F2~MtQM=#EeK3e%kLRaEDb~ z$qLjAm?;ZXIW1S_KTw^4bTqPT|M#uUgO|f%Ptb2g7zHkG`jFls2(nLxtu+bLg9!oU zorUpsHzHSQdMjKbc>neBwXTL5^lM=@EQ@*0y(jxJ2k@Z@J3+yo9q zs+hFLenCfJ-hNrn`%BVf^_`)G%+ z+Dg9_rHdLm?MK}p(7bj0^2QlGB8eji!d6Rb7_MC?vwaJc@G5zHnl_zW_8c=(@1|8- z^)g8-!LRj_jCls^r<{{I2SbFStT7 zk&XMssCuy?L1)4R#rW5=OpLvlinoIPfjBd-a|tH#Ji5F6LrCf7`+&PsP*s`LOG#=q zyUgTYt<4AW*e+3q>;4U-k8#ZlU#f%FpM^qd%qc5o>h$Jk*X5GMk^GsM!v?Uy(m#dM zCogS*UU#@P&OVzkm5*%npf1wIW>?chrp7f?UWI~jcn7N}@+b93i}x<_*o)8VCX^%16!H6JCar+L>h(~`;w@@$4G*&3PuKg2D zfgI;jakiEcCRp#4$X6vsw-aG;=OC7kTB?}AFtIa0y;ySKgBrx``TSpt@gdzhpAmt6ua^ay=I$^Nb+`e`VokL=O z6KA2soFjDK-hT`kw60a=cKPTg|6%dwRTi~x#;lwp!JJrq@P|TCE%wE{I#|%>3q`;Q zo9VodLxS>No@oZz(k?XAm-&tQ&b8#T*KHstybBMdW0}B*`JJb*R99HwZ+g+7mRx&@ zA23Y1t3D*4kE6+olKnGEiPVk=fZemJR&+i1*g$e@s6t;_oa6ht~` zU-DaOlk5%A7*}_!(Ev7TiC*iF%L9*tsJzBMpueI9;e6t4r?iK|k-YckM&NUy(u zr%?nwFayuK7u0?5;=!)!ztF%RtPT#US@2pzV;Hp1Hb&tX8E!@veV(RD&KpqZ1xtAAwCsw7js7|uGB-OVi^hCCJ^6wpVe@4%Jt>P za7Yn1SdKBo%)l-@aiSLJv&EoGM<3@*FZUp2Qq<#YCCqIo4F z6ttU+oWn`5dfP`U9V>RD@fN(kOzvlVcRADl!;BP#-kbAjMvA_!$zksO$;ChBj6YQ{ zLaRE|U+xKqxKy9~FUIjMPYuD3;{T)^|2t>;7c&J=nH%^;hXeeI=`Uyc7c&L$+dEL^ zEmR5yy0nJw93*{BPJwO|{4d-V{CD2=FK!F|J8%0Jw*~*5xBZLTg8$Cj{>5zpE8BnL zZU5r7e?Jrmi>;W?hc2(-#A_A2tA=+!;av^9tA%%U@U9--HNd;Z`7PN06KMMPo8R)dr>*jsFz&UJ@oem=T|T>{ zdHuzv4y?$n<9P7do4h3mb6E(hOvU~kpQA)_nH9jz)S@FqGY4oFh%eLLec#@t__~DH zkViS>YHUfk&7ZY!Z0&tb#O?uxac|$=Bz1Oap3)baF)N+d-m>B6xYV_EIZMgYirl;b z_WOM~dlHLxTk5;}hm9{kQsB?+IK8o0qey+KNGti}JIEEdGIOY7nK5Dgi0=5ynnE2J z(eJsNih%?=LDH>u@)_8W#*3A4d-e`}vF`zRdfCoy@N)pSP*aRo=;O zLiJ%|++Q@m+|``+JS^ip@sPgF+Jhw8-AMd1*fx(Dnr0UEu_0_F_|!#DE&BA)JOL_? zMDPC0#3rHsG;pE`X&v95m%|LZDDmWYz!r^$lm$Z?(stD)5z1-FwomYV-KMxsXDI(=^)^-ag-2ui8GRaDDH+Wa4|->t{Y*k`oLiL^-a`(R>)DSM<||fTw7`O>rkqxOQ3phU0Mmf zDLyJ;CV_435BC$@x8{FCtoNa?qNF1D3E( zXwOzZpO*l3>2L6KF)apR6vyKhPi>mamr|Y+;^V&$FN;d!ZG(8DjHtm*9kN;#KUUOP z2_(7S?UW%!j+?^P17(BeO_T}q;LnMZKYR4$CzWV|VBIa=!SXoGmlC>Sw$B(y`XlMD zATtM2l9SRb!E=q%p@dMOyW1z^6m0%yyHySQsj(Kp&}^R|y@8YDs~>?0nd{M=Kiu`p z64u)hS?RwIi6pw_@+Q4IOUV3 zW@s1D)HVJKN)?NaE0%to*00qi(#(CKl%uKOZZzs?Me-M06fNe{8X;t1qlP0|j9Vom zuhnhu%LF@0upvBi)%dD`#s%f*6Z@tJCIU|W=fO0ZP9YEqmP z@09p#v21In+COyY(+vV7#QiG0-E68cl(#>8XVEnko{ymPCKkP_cLN<(ULj-bPXO8| z?7vb+r%s=w-hJ)l-^VOK9a|DsaeCu8MzkZjqBH{R;;`ixl#e(JG$kH!qH7ORW!2bH z-mUI<^GyaIc{q@RHA<{A*X%v{=kL#Fi_25+yeD2TpGKMg5!mY*8LD@t16ro(Ag+1A z9dlw!7X4KYR|$7|%PPB9qASs$C9gCN6QH{&If`l){L;bJvDac%UZ5=-NLEjx(}i%4 ztHZY_Yy(KB=Ntc2%Ta&Z$8>!v-1S@Y!PHL;T|TE-EdAr(Y>=*!lbwiF@)0?ACE4RZ z&g=9SEl+VV>N^!3I@)=8Js;twfb5(tj_zCV{&PiK)c03k^c_8ea+#dDf z=ha{}#iW@}G3I3OMb1~Sew=|O!s%8f@xEsB)gN<|JHB_!&2g`?F%JLWV597Q2O0zW z%pCjQBGd+>g5@yO!`iJ*NIY5w5{~dj>y5?a)xh+U?GAQkX84U&d^@vFlA)~I8n?*H z_O!>}MEn#-%XomvbC{>v?e1q0TV1B#Tq1MRUm7u2Sy)u=b9e7u9+ffx!4*HDd$mqt zW^Rqx^xJQCrO-K&cHPXMP|3JIyeH)81XR!-nO~D}$lE$%=duMOZDD005cjxrd)QRb zm#w-&cXwn@Ee%c$xvjX+rcme=seCV0B+%&%%P%idH?2!KCFB9A9W%M7;&;0<)q5#v zt36+qD3kGU^Flfq{q@+~8w9t(gh@QlB=Q#@GD~s{o`l6e4v`#ts7TN`u*PK?MuD|9 z5T+$Rekmfo;TrhO`WG&d{@3q9*tmUkf}2B3XFBSxE?@{F%ddD<_?v!ZdGxRPq%pn{ zl#f0i(gl<&tOM6=s+vH%Y=_4tYS9Rio{l(Tm4R2BQqo(V75m|!yZ{f?c3m>?zB7&a z&n3A}1Qj-)eaGX(Eb*GOO3k|mbVZTqr|;8Bflu?cj)LusU^P}w>&l)@!iTe5NTxxS zhS49q#q8KH4bJd~-`fx<;pX{CsaC|Wrn)N-O1ypL-S1y<)x0Q3tptAGXxS(anQHAj z>m&>M{wxa0*`(@SDUBm!;v25;Z}$i4WkY&9Ea}yo&-~D8ddJEfD@kzOoUYL(X2X72 zB&W-P7n7fQu6|kL#GP7Qe}Ca=gkeYZf!HUb=|SOVA&f^?RiJ)*$hHjWgK%#PYSsA1 zawQ~=nh-%r!lp7D`fPl3n~_;%5lIICaIwPQ#-B zE=Z+9ECt=RUs|FW3{Y~PFi#z$ekP_JP8xFKHJ*^{1(^Lo-GgpY+0LK!&+f9nTC@+@ zO`A8*;omJ8Y6<%6j)0cgB40f-WFCueN3D6NOmjHwWH7XPnw-DcDy_JYb*vYp2#1c07s?APjWrW2RheJ zekO~@cVhjis%w)fP9LAyFR^~PVg_HP%mpY9*mA3fOmDdy+il}+^{VA!zd#Z5&CCwZZ0#N6 zJ&@A#jVMPWH?(%~OdJDHSyIkLpfQ3GOqou*#}H4!G^-l3|oDRTa}|5W(|0 zM0{@Lm~_XW`u+u~Oor_JjZ2B(>-*|y-Q-G{3;v*A(G}T3*X$8vekmdE-0l$rx46BN ze{jr|y6#KMoPu&NawM&1lbm0U$|i2~+A1(Udv1M?Q{Y8-PC1vTv3q(6SbEclY#!5V zY5lIcosRWGNi@1F4Kx$1FpP)GBsF#$3_04L>LBr i!b-b`{Y1@As5>-D*!=wK? z{!bTsbiB+x5x7W_^k+}?`SB>r3jjPA+ylBMy z0G7Cx&bMDzC}<>P0)9o!tJ~M;q#}|p;|t5%C>7MT9Y8axy^H4^3KlJMKy1q5eFY^) zkHmtBLmqJ(ui&KQUJ4NMJ{Ad`HD~OoK{kqD>ZcOhBdnGM7PjKAr4PlnBy`)r1KF@d zjNsVzUj95{XXdC34nft_`h^Q(Az5APx7Cdc;P8%+PTAml&fwJ9)k87AxWsQ|)!o>* z?lsNKHf|qdazSy=Dk+e33ynBSDr(#!4Wes1setF2>NVT)R47z?12rFL~JWPsNZ zpW-A9e8cNdc{NJ*G+!l+Un1fAUn>KRn1YALEJMYG3!{5X{DLBqGSMx&?qw76x5#R* zo!n|bee*RcEt6;+-Sg<~HIumFilwuA4|(*8#^XJMMbtChqSF%B-qwJI2 zJATOn%#r&q#buk14GRcKL%}x#eusAPS*2X$Bg{6|MS?&hM=6FtG7Cj_$xy zVV}h2c4Rgw>ko;8h{&{}k`~F`lZWVliOo9)g5QClsEq2Hn1qt~Yb3y^tYw@&y9A8# z+a**jcF_xiI|l(rx8ObnY{*N-T%alS42N1SA!%R?g|caU!{NZ6uvHj*TochGw|tY^ zHumRDa!U`Fs+Lje1`3XnQ)1P?BnBNT&@}Mbl;2_lvkQ^fF&&=`;%#>+6+qT+6ieTbj;mKZ+&lE` z{3G;yrpfwtRo!>QD*9&GkIjL13hQ&b>iIQHd|KWoQs0WpHxER_WJ15qE?vs&#mMn=0|x;)@*hy>!a2 zr|Me>T3&ktR+HbFq0#9RyFQ;%Gkc~E=$V+`)=m7`zb&a)LB!>H3}jSu3tRfHaPZh3 zYrTp6oc6s8m7Xm%s{@-z(!e@m9T#{9ew4Oz_V~iY`3i;>Wn14wC7i@luMt#ydyk#t zMM@56IVb8~Hw%uby=T@vzc@8dq4}L8x`bO$Ou;gLklZ&HpfNu;iK=fIB`>V(S>2|B zun&BaRM=g z7hiJMG4DT7dEI*Nxt#y!GUR!}1`D6|LowsT;nhb#((YYW>)zQNyO~wEfw@OPRc!|n z1&6SLt@DSHiqTafAS7nu>iao0t7>?1gN{=~NuBYTq;W>>y5$U!U`$G`0kF|BVDV;u zRCnA+_+9{?3WRpka$@*S%y02ow_gX=FapI`-(Tr(T znOLL*9aYDA@7&2TxMHcB+T+y0!ktjNW}4aV8WlO^SV>g&X5meE&3tT#)q*n0>EaPuK zucbB`LH=y89X4>*3&EmdfmDMzM?;sIA?ip*F#w4QBsc73i0w}A|fpzNQiWYARq!NAs{J8x0IB0OGq~;A)NwJ0)k3P+d*QXkDrG|HH{t~pOXP0ncI)^2B*GK zGI9gaTZ#{&@+#kdJz$j9Gf3Yd5c7H4+H2iw9N$KI%X>i+cus`3bSzi(3*8J%&7Idw zCgGAu?PeCb{<^5|)0v)mIavLMOK&rF@}NNOYNpI#YaGjsu-A3dU)Q8P{f;?=Z(9PJ z_~yZH2sThM3(6=csjU9GdxA!0`ncfz0*!#i-DfG_>-I4opKwz4ySnaAsxLa1mF&W5 zTDqjX>sD`D`BzV@0sCII8~#;jQI4mMS$>YDlRpB!GL{U?(d+Y2)_g9j4FV(T&7+Ci zMwu0iVluDE_+%7}O>MG^77#^4JMXYJ#r5Fxys>F`bVb|z@AJzNH&i?ly2YM0ZuaTm-f&_?m>I%bBY8>GAHDkaQ7_-CzbS0Km)j~!h0yClc&43 zc8J-O0!JNQ6-1s}G#oMo6nuabJ{;lsG)t~f{hrCGy6*GVDLVOmBQv|WcN4sd_kPe{ zH3Cjga?0C2O`#KAv+yrySk<^^9Wv>hHoSXiLr5PZSrYO3G*~k(V zdrbdYrqTO-3CAqm6xD<`fy%4+cSED=7sVwN)F1ms#U*EzRBxU0WS7UB|@gj77%iyc+pitQ>>W4-|`emSIX#C zF8p9@|2R5Lky76ZPT0R+x*T3MF^zBG?i=!HX5}m}x}kHnK|QoLH7O%|0PwL~{uCC` z#-3F_a^1fFs$u-#$eeB5;#VBN9G|~|mH}EN-^@yNAy|^;PQKCVCXdteYkw2ca0!^E zO>L50wMwLELxVGIyq*^n*Y+Nf7S(l<)ANc#879i=SxC?PG?%>RvHqChhggNmRKGnkG5(o+Z_ z%tHiUI58?nU~*EpgDf4v{6kVrh?`Cc}W(Wg03_)FleL`~zN6 zWoAMK^)G~)n^#o)N6k8bQqW?du(VHu%?Lge(Ly*46(ca~=X3;X6cuCedY~xOzXa;^ z+|OT-TSP&aXfV(r?hJuve3W1l#i(&|@faiMP(=$f@WF-O=P!wgTuVm~LDUNoYDU0c z4inmVA%X`PJV{9@nHz==AP{C|W^Qh7VL|p6cDA&#wy}HY>>3ae5$S_qLK*GrD3~)E zrixiW5F{3^FymmvLu?R&nF+8wd}5L@LISVC8~Cy->ze;)Y1@qv%s=e2F+vM9Ehyn) z-@xZBhycU*fr_6nei=hX6Lp6B=?HQFpB$o|9KnkV5w}0J;!n;IY&-@gCT3=4SXiA6 zg+8;Rz-KZ}lu;Dr;N{WK{HvseXND@Qj_CM6UK1|5=?J3Nj3D|x2*zI_FM!OZk+HGa zi-5quK&asi3WB^QWS*f{6vgSqRiIV;AvWQ`)y>GC^6IGbd5>Dv8N<;eALIh`@uHwj zh-^aQySbxxc^Sgb{(;NrPti6v%^wkLZT+$C;u4WjQbFkRkq;U|0}rKzIkNrD0|*#V z@t5zuWWtBdt)Qp~1v3677%1H=6mnV;so&Luye0~3hT+kSnA;;>FvC++|9b{WaIC+y zrVm2l^M~w)Fdt+#QBu*2th_upb0Qc6LYqbAUgV`^`yrgd7ESFD@-XbIwe9#2l@hhf`MY?A;XDa86(tx5YGR|s!^W`WLJ6l_~2}SY+Xb! z9WmEH6BahVgCij=tDvp>z$hIa2g9TpI+{^Xx0w%un8PO)@I7!NMsb~g!A=yTZ5z;w zj}lV5xQC%YNq;wV*d@#j2_cGI^}e~erKJV>nay>5(98{eAl?ZxSYdZU^DLYL05kUZ zAk=>VPt<2ZKgvrzGJ@(Cs4mfNk9KfiZb)>D3fTPNz$gm~s}F(+jm&J9&F{mj&(Vxv z{z3m25Cq|ZZzH5V%{_^E;IJvQQS*t4!bt$y_slIR{=6ZEdZ41zB&mM>g^{t+&v&wyakd5H#d_I)bqSBcL7U7r`HJ`C`z%^$!dN*y{al zaah>cqYB1>v2SR4Vm(HN7NP&1i90_MDlkEgD#x6;DYiid-}U%8=(34_-jMs z?BW{wEK<~rtdUIwO<`2PFk!=}t!tPy*McCr^*H9&uPbZctv|0}^&v!gAM*yS`_W<3 zg?wr|7W|e3Td==9{zW+JfSv(d5|NbhH$Y^vHOw`MP!0B`96T*+TU$H(ywKpybPq19pxJy_IKPP2JG(G}W@WQ*E{}%H zFPt;O;pK%Pqy&8-1m%5AN1#*p-_S6E0ABBT&kRA@9ki?jxeUuzl89vudd&E`oJ^4f zn|I342uRTZdWyy}x@PxPQ2rV81 zC#FrEym%_xk=jn;H2h|d;b03m+0&$4V0mqpPGKfN9(#eG=mYyNRx?+dJu_J^b3to* zAe$3YZGUCpmwl{Ut<7BYvPh`K%2<%9$FWPc@P4?f0ytbvo-VK;uab~Vnj~y09b^7j z8=$Dr`<|jy&>GWj_Z2uRl6IjtSPPVt>+j=Wlcy2WCtcnCI2E8eiQJ57vMmJPtJ+j% zSH2L>T*xWPyGEGf?zuS?X75~}$zN|d#%4?hSX5>o3oAdz?mTGVR%uU1`}mV$nVLe@ zRSwVLBKp^B$-p?B|ANklW5Oe*d)V8*x#HTnq9%4i$c>zOJ-b<%ja9*&sY=~?lObIE zpO}jr;Z4S*)neA;T#Qw1*mhd>&7%pRgLpVEt|>&p{Dcaz^s^7mQP@|zwAh7;Qvs7`N2<#5kKLD2ZSqZGDCVxM}EA zHphm{Y~>O<@sp$a{6VZg27BynysFf6umpl)UgNG_nXg6mvRwm&klECo6p%{2r>CYR zo9A^WSw*YpYj}~E3hq#=m(NSQ>i7LBkFmSE&4;Wn zd2kbyw*qHC#iI1`_-izxeWnW+jxXH1nE7+=px;zS->F8IEax9XvH8NS?^|7 zuUZy#p9&QXU8i3hq~zy+*Ddd)vsg`+pb@X0! z1|Gs>aP&U2Fn6V1c_g!^7mV(Uaa^vyQNCdwh8KC%1%jQcc9N!8STW!S-B|^nJy$WNM8p&F`3?*q2V{L#De52D ziR5*c&q#oSZxWUjLnUcd_ijo)6 zpUA*{IYt$cC+ZO!Z@*t`&Hohp!G7(QxXuRVJFA5ECz?^Wf#grNKKzd>Gu1EKY#TVp zTxQ7oml}$t5b7o*HTyiTEPqQveEGTdum>et+YhIrfU&T*rGQg!lVaYRbyJM18MIa|7Z4%g#Z( z{9D9ywzKG|9bwMp6AzyB@AEzW>8p`%MQ=)50;;nl(*m~Nab78OA7$Kef*L&J0*hI+ zf)6)Rw48ewgTU0&P?q?O#D|ZzT5d4*D~K|oITtnnUp#F6jRE}E3Ri%$F16Tc@M1G3 zzr~BPZ|OB<(gb+5?=)mLeT&k!txWN& z$0E=POA~!DtzmUD^p@k37cttP&tLJ{Q3-GE!$*p5N+#-L?l=)g|=W_4_iL0sTAp?4$2FLP{DmGD~%%B&ir2B7r0xXg>l&0ksbYo^s^XjkQLMf?n$ zv%6FpaZU1i_UkavIxHV_CA^k0!Y8mX6Mc<`Rw|QOwmR$8wA;ExRK?yF5DXcw`R37Z z*6rovu2QDmuO0CCvCCM!j;bSd#jojv5irEs&b%_+ZY|YaX=@| ziXOQ;^8hR>5EQ*`8W2@sqNYcePxb21X8X8fgVl^fDC%nVI6V$nO?~>9@rhTJVZAYk z{6Kguh0@EJRdjh;EZ^ZFJrx%&(BMjUP*TyC^t@P?Hty{v>V>-) z6Oh9gxq=&;l%Ac49z#Bu^97m>U%xRon~Io#(W;~flkv9#(E`R;rkVWLIBa+IwcpCoxL4nlN~?^e1^dZ+ z4#Cl9bW=4K0(h+-v=j6hEDERUQK2`o@sO9Pae?^p{(UL8w85gos<(sDMp*p3FY*!z z3KktPE@Uzb>GXU-RAB10N5^?-H|uZ)Fbqug%Y&mJEj1-m zjQVqY`>VvG@osHh6xnGjp?KZ!uGERzfK`I~=Q-Xlcl`^WOuT4BhEM1h7O?VP3$Jjb zDucRl5pwHai80J0@mMxDZdIVG>THmmO4C#{sLnQWzL z8sZZVqt>!F{ckA&`@62yzMKz9RD)eF%UeH`xzo1}LLZq(?nP9oD|dgu17X)0?qca& zNjeiExnu4BjeLm3GAqm!M@WXX`wH{4hb_3&<|u(Pnp)r(Y?NQwZq^q@G@zgTJHI#o zR!+p1)$)c-vE)1ADGweMrc zG;^5X(G2;WjBu7`91D*brJnA0+ngAEd+}`L5!vW>EE0Yrdmt3HM}Ek8Z+UUT_4o-- z)gTXNgR$eAuHEA23q999`1pfE2j<6T_}YbZmP|yJc=6Y0?a z`i~dNk0olQHgKmmHwz80Z{57{x%DfPIR%HU$2YRn*LcepicYM?6v_g#_R=*GpZ`K# z5lzJX9POMm{J*{>XP|+-{eQmJfBfh_d=&h-p#yJU!8=!%{_&&#@KGRynx`i&aa~eM zTIL@=`VXT8|Ltr2$I<@7XuDj;=pDt}LT=^q_Y1 zF2urLS^u*A`$h8qvDFO|>UJz7q9ITI>nJ#BG6O=d8~+YM@{lM0p8X%U{uc)J=*nLP z_6vMufhYO@ahCr_4eb3OR^Q!V+|1)|cUEz8?^%0h?&!abOJ&W~E4|gjwV?%a#q! zT=K@V+qZ6heq>{KXEEtpbYL$xkj9}74`Ne1TpD8 zJ_f7C4R54w+`ZqhuBez%BfWlmTGaek@BQhAKpIRgo&P$Fp>WyVrW>Zcv~eE8^XPaU zKlFV}8Ijr3Y?)xN?qMzXq9IScd|`SQj$@%;cuNZ`<=iYZ>{7!!9f;$>6>hs%oG}*f zY)ffZJ@Qisf6J9WC8b*~?s{{8kdy2(0*B!R#T<@@u9+CovNaF&&XdL~4+mJ$8wLD~ zH*2>Djli(pa@`p9d-)WVI}2^++|dbd&fD9BO?l16DdSnhjA#LY$3|DZ(uvVB#a1r5 zULt>ne(wY6=)K=9W9i9s{3c|;JX?|bdGzWy(@G1ncIf&^kX0lsJEV4{Cb!OSOfG>R z;2hbB9?!hgiVL~!o^P+C3FCe+n}Hx{d4L>6IB1Wd%L>UX9bYh(x0)MS{YH^Z z{NycV1nwU7K*x*9Ks>NqO~!b`R$QWqo6vl@wJnYTe}j4@^|OkAC2~&k3XUMP62wb~ zlzcW}5tQvXHQI^9N~)9A!^JMd>&wLAcyBiZBzX$rLwcE{scua`B!l>LV<{hT(s962 z=MHs=(X6Wi--K`jdlIAVJ;*}ObGp@@iN9?MT`T1zoz;D1Fsf^M3owqpcA>piG()Ud zP%#{zTCHIqlemPPjh#|Q5%=nD+Yb0p{Jf~*An5TSQ;+d@jzyyPPg#wI-Z_oK7H{i{ zkl{d3;}WG27n5VIzKEmz<;B3DQAS6{#rPlgdwdm(NP2@dn86?mvpC8$i7P1#iPNB9 z=yq2Qi!FXvi<6xF@`iul4^Vi^vsonikno|q)dUsYi_Nr5&BD`0BI;I3EE5WLQe1F7 ztkuKoibrOA;k;E3N%>$X)?3;WYPqpIqTg?m#$dz4s4Dw!z`BgRsW^75Ya~ovb$-m8lt&MpHCEnkXMRBb|qG_^a;M z&F@eAtbgj^a_Kxen9!fMOO$ie0CV$05#Kg~vCj!J*A-F|Z_!SZ4U~38opY<|;D0EO zZU^|n&xJS3Ub^&}^diRmF4EgBFN3K|Zdm0HNSzT7UatUIfwD&ti`39LYu(tr?R|WkGm>>nx`oP+k7rq)7*3lh z=$Dl|2$q+Qw4IS@(|4#nVm{Mtzz|4^aep;!?GDK9o$>ez9W^d`U02U)l1wYv|M4MG z-r#l(y~+}80hR!`F?f^mj#GMqN@`L<0W+nt_+#~Ik}YOJGg&6N=Ard&fS_~5VLO>m z$8Xro+D< zk{#k;`GVs@GS-cCMWlb2m;Fh|2lsD`Cb@^Kc+Gn2L7`Hw-Su$*_lcf$vZUb_Bsn1H5TxLy09s9MX zK%cnb22=jpO+F^43T}@3K#Vr0eUGE{nvn~KpueTJJeaAY>^I>ccu9?geuG zHP&P@`|l$)$4(tQD}Z?qSkg))YL>Vp~|V|yuV_Y=-(rU>23liCF}wVh@T zcO+!Z6rD2?06HV@uhnDcCnm%GBe&5+@ZV2#-zV386L3RHz)YLcF&C8ILP~SlTfjTi-?mp^XqT%t|*v(fhg3APT4^-dGk+bw4DhO@*gfS5b0h9Of+gSsdj{2gYMs13~^sh885Hi9eeZG z+da>-39D4Y2;@t;taAmwvYN@z*nDc}Zn=2&#UM4r5FOsHTF)KnjRj~O#YyWApP6PF zXP=SO8T3#ayiZmQRqx;L-#su)7K#8A<4>e7ned0(d=1B|ud};4=PkpjHLo_baOfW0 zFD~E>vR`Bfc&j6N%T z*=@*>b*YW=uDIn#Om-ahZ}T~lJ{8~6Z&k1!0=pSu%hOZ54sp+ECQMsNS*@rCc}y?9 z2DgeU_#JJf8Ux=?oJ)Hb=@jcP#CQr(ehGWL#@CC!6jdD)>`m!&?aCv7-8QgQf1DT? zr?&EpNzy&2Pup%Vn5)`n4~~<_mPG6TbE*V~owklc1|yCX$xBztanYP-SWi?QQ=12U znC#FT2DWXih$Hv><#Xz;X=m|G&2vZHj>2%m4;`|mu5^;di$Lu4WflTN!Gp2OPv4mZ zOZ6T)MF(vsi!@g@g+rxR9RRw84F?)$1g^G~EtzIVG}w0Of}4is+50BX(Cy4#kidFW zg>#SZ4!WBOayf7dRWgr7H)vu7l31QUvMOtOC|^qm(gnHYGD}B|446IMs&y&_*YmBe zR;qfpiD1fdmOEqO zOfe(LpTn^^4jxwRzj7yc_{;js+?v>O>&PCkX`gU{PfTeQE&iP8X;ajqd*j*}g-vcj z%|~h)rl2?7V3~|c+b8~geaF~6hmxw#d*}G1vS!aR%A49ap>fXmISBlDjB)vx)<3Vc zdx)D?)VqbXMTh`-) za$^D3#1pFlDWBB(0bF|7XA#M}2SSo^Ms_~Y6wE@f)SVW1J30R=B5iSdpA=|Yh3?{! zU*xxZ5{gZG&y3>QXUU8uK-4KomJ+!@D7GpTRa(L)YxAtQZ3AiPIg(Hol}aYc1l?MX z4mEQYlrZ<(=P#_tOPH9uKKFaW!!Olkho?4%j6;p0{G*UJy4l^-Zn>S8SdS zFL6CctnHnnlB@=|9SW|fYAXBXLx5#T%^>0M##@!}4C3gquY_vadsN<_oelfjs3M%` zlv#611@677Xc}0@q!zoGH;GF`c?rr(Jd4ZDZyZ_O#H4>1*Y_JCP}Z=1^19m5 zGrO4E9mEUXu}W%~U!xXo?>qX$BXXlj=V7O!Plvo#2!q@nfhcebyzmfBv{BC_>tRUp zZ^!ARH0P00^0_4vUg4=#osbMLzDvL_e9JATpq@tkepK$z+}AU_i!7R^!LO#5aGt$= z2g<8wzwh&0la_N1h^&HD#wpnq!%CY5e&~AnCHIZ~CI!?CZd4pOC6PrqOfOb%tg$@L zmRx?b(=KOavvSUuJGlT(zOG4>UC716V_=n%%k2ZaBH}6!PRV!`6AH>Z<_?*FjbC7V zM*jPKZmW#C&QT_n74q9p5$Enf@f$kEW)av7Aa7!s;;KVvef>{i@#ZW{RrNdNRE`xL zx`)b>YdRL-2T*&o%j5lilFGO88=B9Hcbhv$Sk}H({Ufj_I1RsZPy!%eRQGsQ&@(>E z#QVHz?8_b=t8B#12}^8t&k?tn?&KCgBcZnr$Q&V)(HNTiO_h6#bIgL&II(W(SmzO^ z>!+Kp;PUiJKw-D3ki52St8jHk&pdJ1GMR{5OvBunopWmbIKaZC%Ba>#jCv%{ccB(?LH?`Tu0~nGCH{ygJ=6t$G$Le`^F+i20EamOdhDjmlzifi|UhJ6j4-d93%gM?b5S{X}Ce%%K7Pf zs&Wa)aBW+?l~&Z*Gx+_Mo?GP1I&z8g_I=C8FT+O|^`Dm21KyyjNh~=}zuZ=0W?sR& zuZHKhF9*b}{v!055V~#fq7*bq-%t=%FmX#9AiDL+WDS1(C=T7n@JY2>`c^dzFRuN-CY3W!9GzcU|9*5)P~LVGAeXsC#Crx#&?s01WaP6O`&Q5h zxMWOUCDxO0>sW>~0Tv7Y&OPDC0n5e|(hrP}S0wwi61SyoBM_E1bIj~c*`V?qU0L_X zseoHn*_T6k?*Wy%Av-iNS~Y!-Fr}0d)l_il#oKo+tU{U&9-CD6y(2OTD!V_Ea0$HW z8$H3hAfxID-b@@kF!RgEeOKN!u=t(Bq3iQXK-0)9VB;6_C;Lr7111ZKW%;icOg;R3 zB6+7nT(fBV1OqQa=5E^P(&qP*d@*2XcAzIub}hZ2^Wz~Sr&I(Q3AdnE4^Vz}gb%nB z4KlzUE+ey6*6dH=J1&XuJ`C=&UfrW({)B=~=;qUi=t9ue zIWY5^gicJyF5qo$Ew;4!T`S*^?ybYyj;?WO2UrY1Tr#q7a3|pXh)(xRp=5f;%=Ng$ zcYX2Y{gtPdwPzk#pd-um$Hl?3!I4E_DG@`@8>()xyUM!mA?*`ic4^h#7K5I1dVbA- z*Zb&-{;#5wI?gnV&}3Ya=$OPSMN6B}n`6LMbDvSg`{5(Hz>I;r>m2SDYYJhhyUHfA zCE|BevUj3@VA?PNYeI5wUXP_)N--j&UK*Ycn^bSm~Y;xYbW9d{h zF}w5Y(sRE&rkP{gyrRm%PG2}qS9K$-J5hkAYIL%Y;55Wms#||vu-S236I*{=~3xh@wl>O<6IA_!Hj@{ zk3&iI^w&c{kK#V`#;&==)%`C_&5rCnSQ?S1T!8zUI)}z*yQ0ozF8%TS-{|hXag7V; zxP;VhL7~yaaNzf{@IA-JDSM=R*Thr|lk( zm%xbPx~VZ`M#*HZc_pQlD;1V8fJ?4&J20+{m}MV>(1tv?%FME~hZF-JN8LRnDwpC>;+q~8}YDop3fS`=}reQEP_Zwf>E9U~e*9bbNKtVY( zm(pXe%;L`JN6~xd8m33!w)ZffqTpkdyAA580Z}>mLb`UtKp~-l;46Dl$PM-K}9peQ;+$1U`O-93TqFgh=8z zD*nd|IypT9Z`Us#96~Dd50r_H&IqAQlpqrof56N?{zDE3WI_Eb6wsz4$TgT1MPy<@ zGbVIn8Y74Z)Rsas=wB!kVySG##6moVD%go4bY=*i_$e%=TaEkiZu_ z^cfmi{|CfO|0Byp_7`yf17fBlIM9~~RfEUBeGnWJaCdrkes01IQ0mP8fS4#0^FIdK zKQJa_P%@YXqT8V+(6<|G!k` zf2yO0y~2eoT1;FCB zdv<$!`}=nyXxja;M@b1SnlNZ!E?RiqP!df#dYF&_?qGyTp?UW|Y$g-j!)%OTvtDNB z=DDS0jL<=wCPXx0p+A%#^se@O6rK48QiH&=4}$d+70=*N&(je+Zmhh@_t+gMms^gr6!3y{-90nZinA4aEOOi!bfv+0n~L=E=L!wnQd z_QeMw`X4}ZX=!L$RAMsHtgb ziRe&`3>8u^q?;kVxqu+Z4Pyk6MQz+ft)P$-VSo%cL^x5bo`QmslCtt0Q)|aR95hNo z`v@|Fri7^O1+RgZZ**L0TDu0?n{?y9PEPd9%*qeAH%i<|6v3Ty%~jY!UFV|nC+_m>gi|*PC7z~ixTZZ5hn~( zt#~qu3l|~BX>NsFgSF^|jFDyZd)e9~5)uZemh{KtYz6~*NiASHOCJQWN=FcDn3f79 z*oE{a1pQE9Z|~rMGUmAYJ0Nk{7U+;?_SeOO9S3%s)XbJP*u|j3xxK>}!R&<51v7QS zr6(Oh>+SPFNKx?SM~HF{ZU6kWhZ?RlSH=Dhw`{J6gT=tiiefg65j1voXoKZ|&RkA^ zb(HUus~JJK7Z3#DF-DN9@Cu=@)N7)5@7*&uG*>s5#fLq^$k@{Q*>fKsb1`fbsvHy) zoDN}4`1hf32}?&vQOq)m*8IzB#>N$9YvKGQHS6o!C8?U5A%Y6OsX;(_6&0{oz}WCX z(7t{1ztxO}Y%L%NvTd$|_I<|(L5KY5+hm-5$X%a7Ox|Au56%dHa{^GTvbh)@E1MJw zREA+LXpDxa!fOesO-x8_rXz^DF@m81(M@<>T5!1w8>Wt~f#H+Ca{*wlFu%Ku+%rZa z@`GIgBB(DRt(=ix;IDxVdjX7Mcu)z8Dcb7*PvqSLd3lp!9ao7 zCbHPxe*USD@6Rhn%gOPV!AB`_-xc;kKpB!^YlLY~Z3-J%20C;n=Ve%DqD@XgEFSjd zxw*Lo*kO@B-2NJpNer2ND91(3U4YG*n6EqAIz2DVTy~8h%{tvW6A`!0A^(pk`Z}tk zLNo47Ib+joU3fN@{wn^m=F&v=FdR^VS@<8_>!`fePtK z2jmt{CD}L6Up7Ql34czf`?aY^^={j5>nVMBwr*(j2UcLFi~nr(6Nsrcvy&(s?_XGH zr=D41B$5%G!#+BcWd3mJwn(385;!Ps&?>+j-3?7JDA6&@=J%Bw)i^bg z={si(Ji7eaHVoYBHPAIAO!FSuzeargiP1T!=%tt%1M;$g1)oDQg;E6+R@P{&VKa{> zn>33L?2U&IUg|Sc^i=XTeYf^3`Kz`apu3|Hvsv0|T^vPz5Psc2`2ZDF}8jXSZ$L62sVC^0?>aM+wBzypvex{6@te7Sk;4Cff!S3cE=lx%vp z8TD;uVcxRLRHeqigl09pu9fs+lJNuvX#d7D#-iDE`!#`DIYHXNQ#s~{T4}SLmsfR$ znSFJ|1Ax+fF@75Mk-U|UuZtr%DzC|CWlT6ddEe_4YYa!lzN0`ld>QwFk zJa;C|W4f?eoc(a%=H^+lKf3_t%5<8|qmODl{Ax6mUO@i&%9{ghG4sQHzK+Dc2z$22 z?YD*pu?IbSB6!^vF9(3==O=vBSADJGxAFLBOWZS$uTN}nXPdv#W8=8CRB!VZGX0JDOf647(|9iujqo_*|E&B`s~DxIY&2sT3nxAxuF15#6U!@d2Iu zs-oEt8Gfx0f=gO21AU9AJ?y@TwU2!SdJhP8gxF6CuTHf7bfL3y_tf9-?&3?87o<*7 z*lmGGoZZ`Kg(>Zea0^m7{mNzU{kXbmg7MG?;yjw-&EQw!yQV$zSQ3`s04Q z3T{@s0hhI4*)u{P<3kYFGtKx$?wI3Q_Q%7;UnaOcQag*>=_I8+mx`s%)N&3%Y}2o1 z5nPPyN)xJ|{q~q13|BFoKNgb|TLdOCBrpjpg9`~Ep(g7MS1$d$_;K+kcVnbb>rqGL z+J_%D5sC6@I^y7FHZyW9JG?h;Nmkx%MzET0JSAo$x8ZF9_t~vElKN7>dGhgzc#(Hy zNt^JQ;E&VVipwS213G?rzq6i=*xr2|1o~MC6=_^se!c4Kr?4EABXC_jqrodq(=sEvqrK6_OZ4AMr@!7&#VHXveNAM*l;t2kmeOe5B!f6TYA(QN#32U9p*kdH&Ga%aij~b&W%Ye|6WZ zWRTrE8Y;4|L7@}#RU+UTZ!Ndl0e{$@-FMmFbejvUfjEFo<;05U^k~|gprmt%Ho8kH z?OWHX&bR4AIri`{peV3(GxVE7&9sQ~S1 zFjm7{Qm<23A9FL477LC$?gv`C&W*QYOu$E$c*8)K|1mD_`#br-e@L7?WR2$*n)U#7-uWwXutAf{Lm6p-w*|o%N@?wJO2=k$jG(CSL7(fn+#|sy@3j zE`Yv>qQSF$rYE?6QVM%&{=l=trHjB$LSJHQIsAp(`5_?3WU`cNqjn@O&kLT(3PeXV z`Z{fJXVI5@+b!KQ87_kcd>NiK_vfIgyjeUv?(Ji~)!*K6zY{NfJ*t&0Y@%5L6#5j5 zq0vj#84c`jPRZz+l+Md>=51UQk|a$=@SJ?{zzb{7_=+cPa;~);mMJILM_BhwI22Y6B-JQ(xXPWEHJb7s9OD&a-f!%Xg@})lEj9Un(puyp)F|E|+ zCo1sZja5JB$yQ?dTwcjt98<1^ZH#XT1QdR!wZHwWaQppbJpWR9jp*gV$ul2x$+_JY zjwg>MUxCe|IDIEh?dqAT8o_5XdDOGY%@0!FC6ttpvQ|8r$1DNc4@Hiz?#Jg@ZhzBI z^=W^5A?ug;Q`YBfnV(yGVwYY$f>YaHCx^quW zifVI{wbHz_TIkX)1M!zb+&{Rr?p}0% zcB~sa{t=H!hAdfst;}Wo79k@@6?*A)g<&f==S|wv?=Q=TZatEn_<19K+|4Jai}`)U zBG5};eAy!%u;f)XZRRybz_PHvudAwm?{&TYD1_YbC;*kX;&hBkM_Na1D-Rm61!d#g z0UHe)@30q4RCjNI+P}@=u&Ai8d5$5@evn-sr!}{ zzp^A1=qyezN&Gl|BQ|{BBEU>H`MWo}l$_8zNwvy_|B=FiE4|DWHF|69)b4=Vk~l!B(_{|zer$CQHq9V-3D)&h6~3l>9x#gqQr zuOcEQAti&;J^zcX{omo*e{3!I-{IPSY%MS{{@>u*e{3!A_W38N{Rh|nV{89i6v)8# zF5E+qJ`~d0k6I0&Rv%HTPpH)(T+N^Jm7uuS;Xm5~Z{YU)`L#IsaE_dF?JkJGU$6gC z{vV0eZsh+#!2Z|Y8zKMoG>o^+_PFJyxCrmY%T(d3_dcgn^`vW-JP=LLZ@E{c*Jf@Zl%^KzF9n7Y%SVQ%%4?8exx4bt0ED_&WEg`2`v;Atya#n$iVzkHgE z3Ejq$(bYF%8hbVYx5&n|boYpr1p-n4=Ffc!2aIXHhA#P{<&}g#?SD1`Og>oU&R~ex z4;WscYEe-Q4eLD<07*eEGE%#vJLo-jfPCdUW&Te&4IvU1&3KG&tM5Hb&l$>v6z)|O zP#x|)^a80WL1IE!0+~xuj(Amt8;fBn$E_qH&q`S*r^xW0Hl6_73=1n*$^;s%6(-KE zV7`lZy!L=MCu%+O4N)dNC1(hDbK`|wgGV-!K=F8U!M)^3&&3psRz{@Jp9AYzEWYy) zSnt0dzdMchtq$Fz<%5*oSdt{6{aAYFw#ogLvnSWN$-rp0)39iNCf3-e_8iB=)mMi_ z8P5gBV(;3PZiOLf`#*sx`!BLV_LK*Te7!N(9YnZw4Bw(NbZR~R(99JpPZyLA)}L_} zj}%J=XJuY^%GJs%DBvhD6IrZ%EMH}J?KZNQ zTxh%J?-WtD;-YYXhQA_cj6#BGE=t-!a6Mez&=*P&^e|Lc%=fqMPuA$eIZ-9HtO*IQyooIAA^N zlO%qZHv5v`b8Yz96M^VLdCiXvfayIBwU$fYdQ;x%`xL`1jP*rkwM3{V@5MyY^byXW3DdJ2wCQ^cv6ZH}|w zKjL}b^J$%!UB_Qz^%A)bGJ3nZb2S}#-#=;xKBelkpOkprmz&;8U%{O^jEYu!0UUX< zUnUP-;v_gNHH%i`Px`4G_hmLBwFs+nE^;zx)&a0>i*8HdrU!oS|HS>tUGwZq48emN zWzRe)#^u^RnB6}I1l^o8{RszeGZaD=atQ=fv{`Z5pSYUU?@6%i-TEOF20{dXy?Q^~ z=LWJ~X|5dmRGKy5D*KM9V(fmr*HLcC~-{mSC7qoq{M?X+ZU1o2moPYk>7u+DauTEC^LFH^! z_9D0Qc=9dPmqm5LoQz5LRXlIw6x{}b3=+R6h>F*h%hA{a>WL1yodB`0#s% z?ha946VfHpAxMZIQc8n_0@6q$ARw)DNT(tV0uq9>w16}s5=tl_NF)92@qO?A{qDNo zT6e8`pS8|m7}h*<_RQXAn6rQT_Y{42m)$Dp^P1;!0V$JaqbI3sTTH_jM;j2p^M_tg z*Be9fe0D*jex1%41;~6(lm4G8R;N`;-ch3ePZl3j&Ui zyHlGcVGCc$L-+RA^FyM~Z4PBe$au3?$iyFJJvV#NDgxwZJd_mPIi5!6;#OM)hoX z;gMh=W{BLYj{Yu_J!D3FRq{{;roK z2KqQ&@+gCxSmX4)8|s$bUF~aD#Y}^#+UMxiDS42%oSpXvhflu3{pu_{_;92{WC)uzRJSH zX2Ul&7wa@U2A|-JJ#S)t=bTeM0C#A2vI$wa(SX_ z<`_=7?pe(|5t6W^jka!J{4HsLGrY|$qTd6!!u3-kZH76vN8L0>O26)00?Mo&My~mk z+e8mP7q~BLiyxHw2$OZi$LvPgx!jFC^#V`!B^p*Wm%X4->t(9VWML$`A1!IPW|!@6 zx5ulRwrb$4=sV+bMQXhi>vz1_yGHWfH{xIt1fah;K%g zNwR@!zNR!i24bvMpS{g@1C%<hySE~|Bc|aADEj`^h_Zy^2Sj3)2*ROjOWdY;MIhCs4^|6YmiyZnnEw%Wn20OR?zLwi`^)fv(wrvKtUU(%A?JIVL z4>L8^z3lz%7fXxRl3Gi<^!@W%fvCYAuulOR_pbUs|Bywfp8htE*}biUL1lhrYv(Y% z`X?owDzJ2nYuXhEsU4j@B_h-G3}4%mSA7(bP(8~j6fwr`{u=at-e-7{z2uhsW#a@+ zl6{dD?s&CDKDegw=;Q85E`2O0?_H9Sv#RgImx|Sx>cPO3HMjBV$7AWA$EUh$?dTT? zE^>y)*EdoMUbA={8k>_pdy!x3fyRJrHtA>!#eMwFQrdfFpCY<{7A)fR%qw#)&#YF6Hf$wdGwakgravDDyoToQD!Mk3 z1p^X~H%Re1Q*6cO{H7aC$1;9Z2lRK|ipl$B=XVn87@7gc0tOz45GGb)FkBVYG!B}U z*3iA@2K{H7L01)H?QNEvfu-H{(_EXC#hs~Ia70DauMk?AAjM+sj~@hC2fsTWOs6I9oB zF05?9CZ}iTmIfL{TPzYv>PDVV^Xo2gsNSFcx$2RAh{vg+w~r{A#yS9(nT6A^m$H^3 zvSWA`W()6Tblne3EGA$Pyc@JFZ)0c&+&8XR@V;@Ft8{p-FAs>?Vmroq>)mPUOh@meE}F$?BQ~)Rgn$+ifp|f zkXVkQPWrx~qU~BRrex<_5Z?&$iX^@+JuASY5)t=gMBSVDfx&DRTfA`S7?C` zOwJ$cmGz0Lg=TM_NItMD!le|{H-7ZIz5DC@Z*W;E4K%m+PBAGMzG*T}EF)2O#AT|P zm?#LEkm9^S-6IwfRcmaKH|Q{5`N@Dp*7_SR z1>n@SOwqUY2Njj^)y)lOYF5v^=blfJ?F(r~mv&+_d>WsfUl&Vw^%c-?8E53yEa8)I zUkgae$yxBV)Dtm z3}Z3L%KIivE+10?HSK{d5;;|U-%KJS8)K*v|amr@M4N zKKq77-!>7T(6kAQsjOQ$AkuSB%Kbr1%RV``j52)S_^S2z0;_}_aPWH4{%HkwkwirA zo{wJ}TX5OCpNdMgy)9{dy`C6r$KbAobMCac8@XG+Cbgm&zlwhMCgHtjlk=MtEIPhK z-y^^sYys3)KZ#5v zj#1p$wHA|;M^VE)GM0W7)I1Cc?Cm7&L{)&TtL{>>$*H3EIgtWqg zqe7F+g4fuW9AYw0?cwY2*7oVAhVK3oGFonFbsg8D{*e_9DMeL%)1J@!`j!rlL21`9 zJdB9cFXYT|DYG0mb1N`;`86Ke^e@;1zov{_2Cytk=BS)~+>7JsAX>55l1+Se;fH}E z`QMj$ANjo-dJf`R@pU&34yml%TE=;_^j%_as)mLilZcqvKW`buWR-^B4w^b<7BNJx z-|&e}$!qV%&!T;t{Hkba_pXV1;%g#kBa7%W`l{1cGgg{Np&*RRxp4gqjcC zvuo#sB*NDn+!|S>^<5GxX5B&X#?D1?g`k=-qL9pewJw&F>lg<|)@=z{Giv_DgRm0h zi_~-G%7=xuwY8BSY$X(QpS+$iwQ%VknV6Q*@(t`70AIgvU1Z|DWf9XsclqWm?FVti zotrommv26ZO3AA1oZA3<_!P7{$yr0A>ll~B~T#LrMC zz1hoUq}K3F&F^Koz054zXVtPyWBBrnGx%-Y02om(d^_>lIH>!ai$PqgUBEFsuekl| zH#{jVozQ1dDY;;9=mg)thJgCETQQaM)3BJLrccZGL{1^k-oD>FA>)(<+OaRrRr;p> zaGR~GJ@28nj?uiyXunB&bL)rA24|Cl2SaiR2}?7(q4^JDrk5C%beU?4*2a)7RlTdL ztEZJ=3{wb6Ldp`-arZ6IJgJRC02;T1)<|^xHDE^3 zpm{PhP=>}!6OvL>(ahD~Qsrpk64p^eU>&s_1hW}3mrx;y{SS263N;jvbo%h&LuXG< z@8B0mB*IvSU@IEDgaM_W+k1y36m)b@zIPE#T;@RH5+<3wO|9sh+;RzKaJmNy7MxT|Rl@XNDmDP=yGgOL!{~ z-h!+dOc(+qG!)J$M8%ZDc=HBYX8)lr|6$8Wp`PPiJsP>3fdY=Xx%mKyTEYz9;kE#8 zF9slB2?n%$q7k~ED{Je-XvFd_UJ2<+wBnGI7LAo&7X62*gpe70tQ_3r;o}u@{^2RH z(LCi1C72D3ouQ#hWr$U(Y1%w`1c5VHunz{*$wHu#7Sd*a$+`HXr1WeUIp~0HRS21) z$>mphFy(8QI=>Y`3gA`gqC*TF|8UEal2Rm6 zQqq4>wQJYq&=tnvfm)!$(hR}0va)&z(_6!^foT!j90dH!UZUYkCns2{@G*p!lmC^; zLUK8SC?g{~uLW|Kf1yiwKt1rldRx&769hqh?1#S%Kq?e12Zb>-Iy%0+cK}^6XkPi) z47qRu1MLSzGo>gT0zyW#H5LXZ91DNFnJ@tlOoa!%nP`J7A0#lzpgI#R&x9N%WVOY$ z^z=LE^2kv4?k!>x5}U$N^8j*-kimozjEwF?eyb%Mp*c^ls?acjK zJROdq1juKd^c58|D}@W5r|@%{Y5bmtpEhM z1Mk4NdsiDms{e4f>u6fQiPFEx0V$kRZrv2EzyqjfyQmL&;?ptT`x1 zV!}pMqMKON3Q0_uuo@;rY61{q2x7u`SO5NfV`JM?us$+pp>3f_j273x0kX2bPE0~V z8i3&Z3n5#m1fUQ~n1l)@p@tg`{2LrEwD4?TE{+M!J+c;th(exr@NF_QjtOPBYMORX zw+zGC4BD1+{@RuiH}ny?!^eBTJ3V0zG?WPqq3|t*=YYW6MU4B%>tI8yzoc>SEfO?j zT~=0EH86x0ERUj_G6qwPn;}>b(43e=-|-oyn}X*vG;;kq7H@WLX&D0pBK*M*Fd(AY zp+yX_Y9bO6iYr&IUKJ7&4nQy>y$cBM#QweDUHxQXd{nYOpzy4v!%N660v%_#cV1WdR{1_Tf0s zFF+&v9qtwmm>*$SI1mm%)^ucYYX1QCb~7kShL1f8KrHN#<6uWjbf-5%P-kZrUS=p9 z1O{|Pzs^A6a#(mwYG2&C}EpDUUzqj_c_Qrsp0Y4FMq_q|4aYwba zS-X?w>zr@a#`d&oB?iv@3k&fEj`? zq2F2N0EpWMAU(lkm(k2L8#_A}7cZK(SJMc#Ai4v4GCb|;8p8M7H&_+r=LerV2ZcO^ zjfXm73)5B<65NU)Auum3bUVWjw!eC3I9wy5ASNAK{N~LY3r9>y*;fbOA^E2VH@t72 zo`G=gtaTppEe8qK3P2$Xg|Cq%m}nVpKVa8_C;Xo)oh<}AyN9Ht=upI?DE_53+Cg8ZI8XG5Nk%x|Jf`rsX?Qpm+JqL3Xl5xYBo z&WW~O(;(61|IIF6o#DzSA+3m2=63QHiHwONlg^0_RnJ$z zhiHNJtWQb2dD?q8%=zMoy}BhUi_dRAT)+MGEioTcVA$HeN*FG2A^Icsg^Wkv7)9d) z9q+YBNzJ6Zd;H~xxHZ622>Pv0qgt{_*Rz&JV8c{@A8GhFPpUd0bc6n>C2 zvVF8bq3+&%`lAT@qCHI|-FX{ZRF1qqZrd$>P*Uci)oxvUo5?4p7;~p-akPidnE6Yw zp_h5THQD~pX3&)2XDqlV&K9m3CmIMUZ|r={uRN9`S`u&Z!lwRquLhWoR_V-3JK%6H z|CphyE+Mi{U(Ur#UqXnPaWeU&KM2qmfGD$M_RVkL@ zHj=l_@z1Lbm%`WQ@XBB2-~x>C*B2NT_pw7;46tc}y>8(RyIrNndq&ZM6(EsRmNX8s zc&Hx_(l8wUewRrWL&)DS|AE)x3z4$KqMP zBY#Xpl)YT`%S6mQLQwI5&-HWGii1)~NB*TB)YUbXz3n|}&MAEOy(D*nu{D53IjhoW zRpHB7q3?wy)s<5QVSStad_q652TR;6%w5I7&xFnj1HpGjp-)XxR?K}Qjo9eh&qG_S!uG;F`4?xCSj~8-C_Oo^!`+SoDv|f zQT{#Ete@~}h*Ve4q5V#TjW>l&s(4cTpiF2S*QZmk;`S#;EX#LCm`uw`jN<(*nk+u6 zFL`d4!-MAh*zxiFfqY0N!HaGx)eMVijm4|W0g9|44~y9+4t6Sqm{=arkb>h}jNR{M zkFiZMTt~!Gnp84LpLQjD#oEUd-#WsK^=N z^$(wYfBxb}3PV8U8^&;+@BQlzpK!tBIdiQ~t1GwD{hh)j9kLW(yT22=KOK_%orY60 zgDw9aI7S+3MXxBWRgXBF-Ep;(!=)ilp!{%qB+X-Di7n-GH&`n65__hX^;Tf_mW6&! z$da3;?p~Vw!Norg?zJ^fNlk$%Zu#40tf{y@h6K-xO7Ty+!cmaPpc?W$Vwkt&dv63b zFE`D0kjIpsk?FU6`~H!riLtm?r~J5?W{Q1)h#Quk%?Pq6mN@@}BkDWGFZvRLQJyOw zv1xa3@qU=|dF89GH*iXw3eR1hd|9v3YE@ZvA^lWeBK>qHU`3=sOX@j~Wie2kJ~-0v zZ3_H3%(z@6&3r4wq|Rteja$G~M8d|OE$1$vH#CaFVwVmr6N(^7W9`!xF_^#lC&l_E zlGtoBTBO4PC@MGV3R)E$Z_64+FK6WJJf4_O$ZMZ$)nL$YZ@*UjskARQt~KQ?y@HPz{@)n#Dpws)LB*aWa`qR5)r& zTq}Y3Q(nQz#Yed{_&sTd6!=7<8y7#>r)HM<*2OnbbMxDQ2eMBI$`gX<39~WeDSTAI3fp3!i=DXn> zG&FZPR+w(3Zq(SnwZM{+@v;WpZJ>s9C#g-WAk)~YMv*o z+`l?{t?v8zcbD^JqbjB6>6YGsrhtzwTfU}7fPpl6z(H51fNI;Iv#qas=14S}`ngO$ z^;_`NM|l~L%BVz|y%J1hRTbCede>3t)t?@Ahv!F)Taz&O(8TfLl~tAMw`(<90$+ZK z;ENA9T2adqzooO}3YI;(1Fp>Gzp40kfOI?U{MLN2Gj!X8r?+jDich55dLu{4d0CV1NX=)vSzy6+!y(UhCQlO#!p;xA{DDiwG! zLx@Z0L`+pC1#)<_$J3h@wQHv=jF#q z8HyErQnrC~%k?8#FKfLxw^A$>W}y8{O+RyNJR=Fa=Dfu;%uD*4rQiv3at|Zf&kG%+ zzuLh@=E$AvLmU_8zt8xHxlpyH<*~foQXCm>d_z!TM-)K>u4kL$UAw;+uJ+wuv6qsn z&;I18?mLR#$Eufu-JxGf8`Q=((i0D9>?)UiDy72>Eb`BJ=!Q>0@<$+o#cIrq`v6=B z_+&Fc$>^u~!|ZxGOS(0s^OvvdbdPV|`uIE#8$LbZ1)jG$&e749YnK(Y=F+J0z;Q@nEuX*M2 zq%Yhm>aX(2x;xyaEulJBz+C>&S&~wr-=+`PN39d%4W|q>pD!`(@6_}gq@FG#2lEd~-SrREerzxl zYRr1Y3zU5F%91amyJ4a1GHj`B&;{hi3s-)ca6aJ=H~tZzTbs4Gh11%$n^`g?KL7nr z5TPURR_@B3IjE7&m}0=t{}^k0mQyO|s1rt$ICFoWFO&`&JRVe6BuKWsdie2D|AJnD z)w-Y(Zja8-u2uHmp;Ka3<-ncws>sW)+*!&*sbznB+hk_A%m(cP%#07Vd6dYF0{Q{n zXPlEDJuV5eVHZy=MMgi4xJBJIcB5YzJ0Y+6y-*yWcS?47GB1#AM2A7`7+a%+)A!!N zS?Od{*V@8NfUaaP2<=z}nYkp(I z8T_G_h1Py4(*i?zX`@TGVaM$>`eX}F2L}vhnR|)NXpp!$o^!P`J7#UNFrOw?c{iSE z<%9*Jv|2zoN%IbVBj%La3R%O~iIS*2$unuQSGOZpV)lAZA2P)Pa+?Y5r2?bO@7u!< zS}trCC-ihpm9M0>*)Wbs6z1ak;hTSIYa76XlwzjZ^H{cRaXSB3c+hG|4)tWe=iCoV#nvp5M%;PzfPiepV7N1 z^zI9KH;vwXMekLCD(JB>N6+*$>)MdKzAEC z$WZnITwWv+ZsS6|(r-E6JJT%kj+VZ>TT}zt4fnt1wtV;l z0@YLZhFG@ntEb+{<-Rjwm5kz6D;lkl;MHng{%Je40bJi70`2f2Aq^7yRZ2p60ri_f z7klrAhJ8HFF{LKYB?rmRiLN^0-ODE%;s{sc!_=pJ9$V6Jw^^y3W^BfmF=HIKEHyYZ zCOpF0i!@+7Rwu| zXMzIDGHIbxK=bZBjF}6%v!$D6T2~r!^3^fq=aKgHr%m5XL@s?Wa0WpbaXqNin6bPM zQT{0{XRK^Hgvph6vObt~w%&jAJ2@L*sY5O|&XGBW??8S}tQT|cj}7)wyN2maH6o=` z*YObmC#n?E8+vG&Ew}pCJ_$+WihT9Z9<-P2)^p3Cvb^{X9CxnYuMBb#QRb;_CKp}T z;$#rh!NSk_fXkM?7OvU70QT06lx~*S3YwLegtC9%B%prpCUAxOL#8eNjl0dK0-S(& zsxLR`Qg1>1B}p06Tt#_1(V}iwOSvQxv&+i(pS(DLi`gpowPoJ2i}lR)56*n2LaDnv z1oMk_RPFIN4J!m$L8-9Cyyzd6U3tX^JwGs1KLS8<+(g!8sz3kAcLdy#YbG`+ zOqi>r^3>>bzT&Sr!Et9>{z=Q}m!i{}ocwX{-g-envbI3j`m$2sO{a@pu1ZuVl^XI@ zJUO?PD5dg#!6lxI3VHYK@l}3{(O#?E6D_c_in|=*sl`^xVD>JI_aR^`mS`7zxxn6O z+JD`zit=2U!$hs;61Nx-Zgo4fHslXBAS2lduLjXfuyECSnNpAE7x zMC8il0tVe+6Wu)nuJ`zE)T@mgz9noVH>j~bhf=IINpJQV(gJ1Icj-!|NrZ8gbqdV$ zg+6KS2K=VunNCl*_$LBhL!q5pEFmN{uKcJEzgSTw^Rt47glCdz*p}!TYN8l_@}3Fc zk3NiA_;WyMWE0h85tK|eCVi50ajN@(I?_9$jQIKjD7WPn5-0HmF2@WrLp|c_p2~^4xR(XuFYXh^59Qh?_CcTCW>K)c=xOvake3YCm5J zNmixbIm$>&+^crd)nKrWk!XviQtJ2Bu2EM5B}C44dFGeGe7_2ZuIsSePv-Nv$$0oc zXa8N9fz>g+6$nwfy|<3X`qd$yk-#fmdRgN9@uC)8VEyyr63+XE#WSEpbK2E%%IepK zOIF0g75h6I&-HPi+eGo6pR$B>Wzk#)B6ID(LYTHRSnt_f6EDZ%u)WW6WKGs4nLg=0 z!DrxZ1+v-VpVJ68=RfE*o_nM&VI_R?99XdoxF*a9$~yGaKLR(-iPRh=>wLw!|CCoe z|qqSRoVu$z^yn2>{XcQMF~k?ogQ$?|?2-dz^=c zUfU-d#6eU24yvNbZy$jLR^H-G?j=f7%c0%QX^$7%##`74Z5f!m(%fa5Imc~a<5W7~ z!WGkTaj_7aqx(9ejzxPlq+b|a2^c#nsqU=$fXCT}yo<-bkSpHF0>27v){5`t9Q$>C zaF1KQ@u3l~_#r4gJZgD)GplPbO@64V@Egh4v-Fgyq|?Xg7FaF9S#kS-yn$Rd0t-_k zE;sPD=x!&sb&0gHqlw?FPw6MlUv1HgAZFH!=M(G1c^IygyO}IA74aUqfUJqi9kcXt z`mUqZ6QDiR<7UB#_rOG*Y@7Y{g;ceP{ej+WNc!yTMMxdroj}X8VGPtwpMueR)Qmv#C7A zi{-}2TjzUX)Vj`doI4|+?~V)B*8+RX9Qi;z?5A93uQTazR#L90vN1ikIcw&Q1C*s$ zVJ@aPdsetEFvQmC@@Y({ z6-}jAy6ow1oZ)1=f1d4NxiI82VZJV63*4M_;@WD%-+2{%LMa9{a{&)7sRqrsOC<(} z5|s;U_rZ0H`DSlB5l647+a#%vo+){2bl7;5Jfiz`l+knvIK==Nu_0V}rjvU)e|X%7 zPzAkO_z$`Mxc9}BY-meNN}a;1NpF?iTUZDS_s=D4^R2LPO{vs!Bnh#pPQ)>oN#;t} zKsl!&6LVWJ7rtd7yWG)CoESAxln(vZ!jtc$Ps?r1Z2-?sr0%2Qvaa(9)2WX7FA;Z# zlZ5S}@Hwy2zB}8UmL>r17Q2rw7e-I3B`8x;#PTzK<2k!EQdqt|q0V*1hNX-d(2R(8 z{HZ=RXVB⁢MLRvA||SIV5eXjtW0DU7|1b1C`UGVpR0{l7YRL&Wgu*&m_zTwQ%sc zM~MA1JZ9~m-vV5W-|<*~&x;p*8)Pxa0Br<2hZhktgaS1v%LbQ6m;gNC4uKl7CplF< zemEb=l09F`eC2Bu=s(r2!~4>R%ccWx*|+*W1Eye!s@u!)qbV zc!o)RG8#KuDNbsGE%{nTbc%rS=0(nfut#}y?HySaYd`ndon;tD#y#~kjv`6hJU-t7 zRQq4UM? zA6~#G9BeH4YR~%U@)qcP5oK$DORMtY#eqQvxwCgLr6OU%%q)lPqWjVPr8WW(_%b}2 zE$HMLr>O=I3QM&+Cwq3(x@piBP0VL6U1s_g1Tm|$w->#*5+OHwB}HgvTCO!Dh@a0` zS=(HHchNFn35v<|>1d1GF6>#wEjk{tLwvy&|y&n0;X_#bb`{7g{q z8Z|8f{!#2=5@g#X`Albz>Ybw#o#uH>q=d*TQguJzoHuo2faqZpdgEdo`)y!xrseu4 zEAzqB8~UOKLxGS~)3;u|$-pJnU4oJHYCv`G9pP`oLKSP4Q^k8<{fdpK@9g{iTw#P7 z*LW*nC8{$ws%u9@Jjw3sL!+&lbr9(8^K2T)@FW66%-1G<%#u4lg`% z?HM>`HXjFbY^Lcr{k5NY8~5uxS1<7^*}2A)Pn{ZA$G#f>s_FR@G&GOUDQml>=k5ME zqvqDu53LxGORQ<1U8TD!A!B6u2>66&PtN^`N!z27P);muV~I3cV)L!IFwIp8Voe(}P-y~1yBu^jX{kTc0X~}wDH>OKip0hYACjBe0^vU|R#2_G~vW>?fpleXM zPj7h7#q)V`Y0ojaamnH)U=~u<^nLvYQ$WL`q~9aGpp};6YE<&M$J5piyoz2gZ-Kbd z4ej9*d(<4M<0KyW^?P|!7d&EzxueYcmvo(<)Y1SBK0;9=!D~r1*XX7DvGU8$DPN@I zHBS6oWm8b@pcPjKrpdpAG<74IhH&T%trN?)Fojf&{s8VWP{c+FV2q`Bwau7DX4CG_&yz**}=IH5Z*jN zX5j0ezpw^QZ-r!a4^MvM70chilDMg=XYLf1(mbOwFcTI3DaoN1Jmg9_`#mgQH9=dn z!t9w(PUrO4EV^R$nB&TZNZ6cXI#6wzU>8$)Tu?uJMOfY?IIDGdhfmb8{S!w}T47t) zCn^B+B9pR;+XbCMUZ0ck-7t!X&%maz2zu7L{O6K@{|*fheEcH*BhLjo@~08RTxy}u z7BKO=G(6u9osdW4@^7%oFZ~m@0q)JlpaYM_*5*e?f_09 zskbfTb2y}ePM)c+np#k>bT@%%{+jukqLu+?ne)&EX141pw)41pAy?WKuzZ5(ZLa->3r#viv>&9YMZT&W zKNnJY@O+XlW^i(L{^uE?f}y4DOE5$vbFY4C=7@mul7Vf>BrYevVnp5wtB_@ao+XM# z_BrU}iC)~G5%FQPz<)6>X@tccQ~vx#=jSC3pWsQn+cR@ODEL{MO>AASjNToJPq{qI z{1f*E$7vFZ=UD7rqRTf(fuOpMQO-{hZNmqFEr-~6o+%lx3a5W=W0FEj#=}3U6bw;W zBC^qiedl;wx7RJ6$QoX4H0?Lz5^7jd3QY6MtAxeQZ}OYCQ09K+1l&?#R||?rb87AYlD*bs%? zlgw#cQVL$Lgvt+7^Cz@UzOO%S6WDr818Ot(ALHuY0knaY__Ryu^_|gWts?h)LlWOA zJr2o*3-WgR4Kb*JYQ?R&_zJb-Wn5mlu4_TKczbKZsuxl~y(DCQ!I}^oc7--FZsf_Z9&W`7C@%*+rkvXgGOp2Sul0 z-*a$|KfuBz09Q@?Llc+5b*H%G-D4gZwS)mCw%0|~ZPUNc?E``%&$+fxQeU-|0DgUk zm}N4KOwzY&ciQRAeKPxRCj8{Lr@H=ITJSeF-yzUQTKYnw`4Iz)LGTq0C5wS;?fa%# zTn6FmHuiaMYh_eHbaH;nk6(u*j20m!)!pMLUXjqMzOF3_dOpYacFz$RU_Rs;eC_&0 zzhW}`j?L@0oufW5l>-O{Mi*Cq92}m4rfg{Y4J)5KC*#*QHuvwD`XhDS|1Idx_~9J=e4BxY{W>bz7GXIiJK*LS|!+XBXLkSleN? zd1o~dInR`J=BM*ZGmF>c)dNCjpB9zlG0EJw0Zs{7uPd9_EZm>v6^~<)U(q%S&ng(1 zoF=3+ar1oD{R`}+^!_@<*LM=_mx!Mbd3O9P`HoTQ?2i+#s8|%ApoAtcGW+SCu2FPO zp=Vs(`SDQsMFs_vn#NRuU1VUV4_yMr=1)lIBa=RS zUINFKkF)EWroQ5lu^Ku@t&#Ex>6kzA@J)P~)c%JhIeQiCGF=Hz9evL@!pcG~-~_l_ zle!iT?%o3;MsKRSk>O21K;o=0i1hI|y!&cuS^nE#au*TO^G?pdBDyLP_-mg4TohKZ ze6#U~T=ri2G)>NRRWk(phPG~L&(r}9{{eGT5pTXzt z`!AU5zpn#B*YJ|v?z9Ka0|?vpBVTM-vaq1;(~^OCU=E@knL!BHd9H* z5nhz_ekK%$3nOND{qqVsf01YbnxR&&q0hekfZz;Ss)^+Zv5If7m^3m0rD@ z0A8&zhUb30qPo^C+H}=2D3P6Z;IXSf%l^~05j;vgk66&Zqi1USsHEYF7F-0=d%_|v zXW<#$O>s3e;_KEG>yLyWcmI+~=@h9^MzQbqxtG2W(L~}0;eON5*wvm-`Y6Iy(5s|l z(Mu(Fma1sFsT?+-VqxcA-*!>d-lOVkcun2m8Nk-Fat};MPHp)#IyCM?`8pF9X?MGk~Fh$kwx93fi*NkDe9F3=Uq!>T@zZpwj27uD?D#*Pa@LD ze?juDbz%a}qs~KA&N|N(=~e`C%LcKa<{ciTmUhWMUqB`0p1uL<>6xjAL^_PtE}y3AdSR6L;&kE6H`khkv-IU!G*mZo5=}=Qp>{ z0&kWu_>7)}|JuX2#HsEPv$c1~cvr9Fn4DSIx5sv9OAXZ1CKuotvS`^(%yP-O4D)p- zl=Y6zaXbut-uc`u=8WwU2OpO8L513nXY80V4#nk@nsZwVb2w=Lwfb``*Ro96je(B>A5D@$#BlqRYSFH#R z6j`EGmS+D0Tp~sAb}{q>VxmDa$Vftq;hV~;n%W*XC4i1`G-URVFm?*^meZG}XCPoY z`|aDeh2{UdC>93?Hvr9CA_(?BnoIZ_A;i=mdqzZThET)8m3LLO~&6gCt+ z$AP9VkI@tw3`k#|5kmkIO<-bRpxH|EgEhytq{V5 zkNO`7^Dl#m*5sn$yX53lbX(KXD?tscX5QG;1Ti)UGowLfD33+gY;Q+XY@Jr@&RU^5rVgf z(y~w?K$EHh0>aS5EM{@7Ll%(;mN!EoQmqJ@=tQoWAsCS6G(%9=uUjUfEUohN5F25Qa47u`zYPg)*nrph5Y#U1 z=8GyUu}l`6~yk% zcOg(DetrR(#OKd1Lb(x~YcBMgKW9N9M{o~&_xw2(@^KF0vG$YmpHRpd8kdd!JWq;3 z#QvMtMo;$N&|)a31y zP^?nUrnu_i9GeAbi~XyP_OCBR1I+5QE7$Md4Y?xZOOtc7?6S&VMc*L1>ot zejNN6TR3})pZb`P;OxrFC!{D_*`%3Z)h0QbkNyW|9s?0TZbvlZ``Ef%j3-?fwYQQQ zK^cGNsJ*-kj}HFAk?R>T;BE1>+^S5T$H}P2xrKLwnLNQ(=l-^ecPSm6_Ia7~5FpAq zwOgX!+csYPypFppCdTS=(HooBA6xZTRO$fZpIpGBu+^qM`B}E<^{orSH%Y3KyRNYy z%n==;veR!Jur^mg3Hz#ccNLM} zy-CF>t((c4E!6aG$x2ywnMoCIG=BJ=J^@sFcD}6%e{nvGSn+M1Js#qWEbL2SuF~HB z)sz-T7>@&%d7a?APeF#x-1ylf`BX|KGr_9#l^$#Hpm;Krg1gpNDxlmpzZ7vNni?kLD zxd>$0#0A92zSf=Do)byeivGb0z4ux<+K{okQ%_IB`oc00wspU-D$Eo*ES{aPnr)BK z|FkZ^crEe9TYCkmp`i*H5a=r$)s-5zJs=sAnaAF9!ArrQd5%URfbONMWRx2$+2e-b zALR?~)M0Wl# z371FEa%qWpY~?0+sHMM{yGZqb#YkwWi9vSG((K|povSne!O z^Oka1lk-l0*G$F-LS`1vcV5(MPuxDf3IK`&SFSLne6XA~%VFxQWOyc;eOKkY?J_?9 zj|3+z2{Y!|z3uenIOd0T@bLzyE)MDVt)N_5Vvr%!&&lZ)&c-y@=aZla( z&ta`~>sZ6Ys7L23A}bbtB+8AJGGVcPK4$#|Y@}Y6J%_#&?b?OkR;-`5xTl^;w%<__bcc}J{>h{?NTsLe=~Iy$d4(T2@>akpvignF z@Q=Y_inknZl69XwXaJw2j6vyJbJzU^BaQve(*0HW`B}fRW&c0&+lB{TT8==%_Zy`m z5xy+V#d{aboXjd>-}+9KALAq$J+sumWBtMvNU5F3Dj$i|8dw=-*ak8ZzH57d4lw8!N5vL7$gKv0agUq;bsGfyR) zEMBZ+&*IVx;>i-q4cB{RkE!jF3ZnEtSPkucpGlmqE8aW)z3{s@BQrbg*w-CqsrOGK zZ7>63L7@u^ts_eg@z5$08OP^@^mIqCZ-{L=F z_9h38sj73RuWBS2Y~QcnH=+VktNfw|YTQm6n~cA@`PuB$9Q@;tcP1@5Erufi_vRn4 z>R%^xM>BfUw=SaZR7c%hvR#4t*q=+m`?I>;DCS#oaQiEdUk>snK8ll~?`5$@TqEcs z*Us}1{Av7DA-zj{9`GtWWSu1lRcQA8_|WrartM|pHBSZ9T_x>Xm&3jfN#cS?+dGoO zQ#H09OKf(i@f`({F`a{JD3h*GW*YodB_h8G{uHumYY5)`I8NF4M*YVsFPUe*c)T@V z@a~HzH*|+DeE{kx`VQ9i)U-?nywCXKs8>2DnL#8Y6p=K0Q2QW$Hy3O%A0wf zBs%fhK^K><8;vK$N54{1>mIQ<%QOd7&qw&`d6Mf|yRX{Mbp9{a-U6zsuU*vNba&?j zq(izxq)WP`yG5h~NlEDrK|o5nLs~%^R6;>IrMnTh3%_&zzjN;W#u@)R#(l@wleITv zPu5y{&b3)49)~hA+5fyO z-r^OO7zOQL3EgHLx@AlX&`5`yd(h#Z2&7xl&_6z-mN2cxfM zSLaORh3FqB2$(btI;{c5I_BoY#Qb2D8uQ(E#!sBmQdA}BnuEP$2KkFG{hWz`;bHhO zO6vHpIfSMQ1(EE-=KO0p{85C5Y`52&o6O?mpdWYi9XhXf!S73*B*gy1H{_qquM4@B z;Gf`X1hF3iC)Tib;oZrYz~XtpSEsJJ|fHpQM7PmX>K>kd-#GonU&{lw6eqR z+BWg>3PL-&H3BCIG?ZfIIORW@e z#QC^HU$-Bf8eZb`3A4`r)@CM30URxzYq@qzfer-~*i57S%3aYve02Se20_1fkB;Mj zW_fL#8ZM^8&A{dO4H#8b`rteg`khQRH;HAXw#p-4)ot{PoX<1?$uTf4JDr4}Z>Bf9)x)>m?oQ7Xx-ccP2#Ghe5|BBHi0w(u7e(UzDFK zUqRw#OCW+ev!c8bl!dCY&Iz2JDZftEJbJ(I49=~GqY*>fKv~mzPt$MvBapjRQnBi{ z^`FIP{WF%|&~6B@1@K}J-(~$4%S@Fn+XkJ13H7_bXcF_LGbc`WGpyU@4d2SMo=>2> zkxpxHsb&DlCrQ>OBnhhc<+@47Qe)ZAxDTtJ?qO5Zx?X%_8@uTQ6#cHq**!!Hw>YkffQ02%nwury}&CD)KLK^fqh5=xH8#ep~XFa}h%S*q`=$7B6Mlv_|N^{Wtu- zld%6DE&Ycq1^>AqRMZ&vP`Rj9dxyJNCm+`TVT0lo73 zzS+2MHt(CQ#U$AOB@z2ySk_XAhW%$n?#iLc8UMfI-*B)9|G~j-v;Lf9ueWUHixBX1mVIHwnpEOFFN$vv! z&gmaskT>eoUI4<+ei+i0J$zXjd!x-+UO}B7$z(G=u&8@h)_|d{@}eJv|Fk}OfRp!~ ztraDRb+AnhF#JTgt)7t0qtr?}aH=8)lQerp=BjW>``3hY@@kTg9xrl<-n_Lec(pp4 zpF-k(1@t@$uYA0ZI9*u}t;g6vhY(cv+%2G=Ti&+y!;^KSPm5@UKG3 zjq3R99_pqH42czl;yX#J`jCJo^_0dNeJyV9)37qe6}4Ly-lXXYDRbBqw!q+)PU#Oo zm#{sfG*iO#Agv!6(VhcYp2-%Dp0+FN{DjF;)I+xppjjwt&O9u?*kB{eZZMyd3^pD| zA!({vD>wgX>(06J7Q76lK|peVA4PcmiHdDNQ0%04w#mQcV_;z3=z{2Dwq!tmMK+BS z7gJU2sD4W-P5*Qz$c_oCaf@m)i-DTz(>6SKIA4irZy0t)PE(_R4r_vB}^uaRN%vkxFQ@9~d5*#?iE*F-g#$ zDFgQJ7woW4Z8X|plML=Uu#GnpqxuwPXWIZ4{-xWHVzWyBYq6W__fvqI<2eBayS${iiBr(}{&8Ky4`#x%vAURdykSS%_rTidq6R#eO!?U6|VMS)R0L!+lk z&Cb*u69$PZuolsipGRlyPkfu(j*#L7Sd~1~c31=yB|&Bgo$KXj1sko=TP8lvn? zO%x;N1`=COJAMDyc3bfuCJj5l@^1#DzFp5>KQfqG`fX65vJ5a!IE8r>$czGZCaB2F zP4s6-1%A+pAD(HT|Dov1Y#{{g(Z{C>iJe4bJ3QI?Zn>x2UU8_ArQAdawLd%wT{76f zu$KU4^c&&8h3kIGrQ4;m*`NL}qg^TAz#(GuV$Y3a;Nhq>RyAJwil3Kvo)@rZ^Ct$3~V*cp;U4K8dWPgeBkyvqw9{z zRKoGeA7Ta0fF-P%iPUDQfv*vSCR)VikP_$?<12j|Zsou}-|I)8+3-h1Nnr!8EQjD? zyy;VlM{`~PE?%PjA4wc5f|#{?T$GXA<^i^$C_jI;&4wvu9Bgj8fqX-o>YE$fV&f#c zyl>o@hn9CLuVQ+|UmV))IwB+wjDQrR7X!R__BO?Bz9vZ;vp@59{_whuOsAZ2W==?@ z#A5@!eN)3PCZD4yaSzBk#Ak_p6fd&j7b2ZGwYuo-sNSc73E@XSL_~SjOWyLx;7%B^ z5fancEa%x)!7f9ZFiHe0K)s8M!~O*lNzu|Gb$Wes3QY$Og2Wzk=2 zx_?1^#NF5DJ}!7{BFMXyZh z5}WM#mWYij#o7}Toty!1>1Z_bWNO~yP^f2RvF#&&6-y(!t|^9SYkHeUhq4t8;ECoO zb+?&cA(E1=3*nvLbqY3mErZ=xhPo`c1U#E$!NyPKOjUFk>XY@DU-m2Cq{EhrGHkH| z7Oc0@!Iw=KLJQfyU6AN;P_z!}d$uGH#@~I(RxX(+Cv5y7h=K6R=EDc{ip=vO(S*d@WlAPeG za$v#(m7k`#l78Oe`mXU-iqAMQmh9v$e}J+@R7K{J8r1~}0Yxmh!81}9l50{~5Le;L zzc|4T-Ckhj!8XxjOpw<;0aiV06P}cd8Ca`>yyA^tTXyUR&Q~jHqdSI!1$Ir>^?}Lo zo$#L!o~q7Y*KLT`cS-WDb-q9S=wn8C=RlrX7zwEUZ0;rTA${8BhNw&qF6l+obFA^A z8%o#uBA(9to-ZQ+UiD>T-}AHX3+m?y53hRJI@|iR>gpYv=YETc2(eMF>@~*5TQ0IC53GtGzWCWCQBQ4~Vq z{+R(CNf0A|{C)`SEa6Ork!c~lno+gic=RoKJ1C&f6h04gLjz5PJ)1#+LM34X`Q4?a z4*R{7^>?lw;a_y7`k%4cUQ7X2)7`>; ze|F~lNq(fyi{S^w?OVDpLhk28k5#VK=%I>cK3Tg2fbRWgu}1ypp{EGEwkGtbFLP*E zsCZWH62FK{rb-GO`U3JFOv5c*Plvz6|61KAVw2F0=oq=UzC{;G%&wavfpQ;JV)8$9 zkqfjgA>gZ6cJRcfXY&3HYYZM49n&B7_Ir&5F zFWcIwwL{Cctvf=`h?u69OR&lyz!Ns6=ewMeHiYQREv;18%E}q?{sRgDQ{8uix6c9P zX`Eg$0}?6kqwlgNju{OkUcU}euz=FbSDD$xpT1xMw^zBXV-(WPS?E~6{&i$lJ2t0; zmZ9(HA!XwGZzv28fv`muyqpvA?{81*yEGF{qLO3Raada(sG64T;CRKtguyde$Jn=o z#Z=*ikK$U!w^5x7_OWSLf@2diSBRybT6=?#f%%g=BvSLRgvM_Na9GS5>CHdqgsq%| z%16!~D?P0PU(OZX%O`O-jcneu5gFP#S~__3cbbT?hNLD_J<<4p0VEC!%QYT7^gV)JUbif2E-!G04%%oAYh8d!yv_MsWt%%5^S^$IB6Jw@VuWbiDavi|gz zS5<$nmZf{`(B#ZD8aCj4 z+>h;(kktN_MM5cWXmX8IIVh&7^~(wweO~wYDgHAM((vUgn^yk#@f-1Z5@LpFVkY@d zWK^PwbV{M`Z+NFYK!Iu3AId68RFX`|=h^!#4+FDXmhp)dpSr{#P|+~T`GAb!16m$o zX$SAni1_sChM6N0YK3R{oxP`IGRg)8pQgZ?!7&OhwYGlR$3ADbNG|Ct{I@SfZa=QG zIY;6=4T)FVFjfKgQO+E*N=uR9Nmk9E$ZSelP2-S{;OF$pI+==d{l^s* zwU~@AqdV|`U0BO)c4>u#Gd8sj9yr~S%jmvHt;1*3dp@^*1xIHa+seUg_rmJt#;A6j zR}-MjPzl;j$HHyBy1e5TO+13n>on0fc;EZu*DaEXi-#X*_%ir&o<>kvC$yw(nA6<# zRX72sl%k5|>&(JhG*02rPI zYgE7Qpm~DZKE`TxWD+PKAyqB}6`U@kVf2yM`vY<*3V(T_?bRHc+&&IT{O|)tN>0sd zR5vhje0In3#G)PiL`0?S7~X;rKh*PnThY+8iz{9|Qv`eJ9y2|6PT9o+){!+nGPy;U z(CvLeGk1EOYfLEmvXZcrhC@?l3>RG%3BZ;NtVX91UWPpJjxVhHxy`^TrfgtdSXEn( zOZV9QRVsL2Qr|KTqY?X1KcSM_KDlb>5KvIpb_2u27gDcf(zgKFg+q-z@JdBGC|j+Y z&J|5qZo#wbQU+1O*y}e0^s|r!^8O7R9Z5@M$w+<1I z0VWx%p{rXNbaPhP#L}^-ee4t&Pqy>)4wGL0Wo$EQ7-*Q>-UoU{_P#So12{IgMki8a z4<$&5)pegrwhCG_Z-8su#tUL8*{K;+qfp{yPdNT(gGBPa;l-@p%!y5A56%EFhhQ%v z2?M)!(df4WQm%x(I~*d8X9H8mx9m^!jBT31m)R>MleP({7pATsCzUH&0Jzp(AwC4-8s9W1$^#lxxK#-x%d?=qvJ~}mv;7%pd+J+P2cbZ8U;JA zE_m_k-G?nARdt`TuJr@{mvLDwpN$;cVO)IrPqmj+J2S!R19>a2^ivAOoNe@o+^JL7 zNMgsRaeS4ZY~IaFEYblW-_$v&?{JH&!XPV`^*K~Gr>JR`P`svR>KH-$Y1FO=aPxeV zJ$8smO=FT$KRdrk%`KtjFJxd^+P{zg>UCrl4d7GIGBGP@?+0bv+AY|p?*~7PECuFh zTMj!V)Ly{(PXP{x-w69Hw3@2+$<}pSa1X^^#unDJ&5%XJm9_Lu?cf4qx3toh8B`%- zhy0e#!C%`bWZX}*^g3qYQ8Ek=gN1d)&|?~@vZ5#KleM`sKGBzDLhTWp#1I2`%@6+e-xSS#}X`r;Kerav< z{11VM^snVZF=G^b1H0Xm>$z1@`iQYxa!r7^hWfZ^+3xJu0yV~oKM05&nEbi+kwZ## zBXkxm=l65)s#tD(;NtUc9(gZ=XvZz0Md>*@mbAv;?m4!exlhapxH9*iW|xprH#GKq zonJY(K_(ip!YpoF{tc0s*~TTe3UrJdz$0N=JqyYHaI5Hb7?MS?Xgj(awh4C}5w&;$ECtaD3S_?Lah5L5KM1;eeU z=o~qmmQj@1`0m36?W%CL#q&W-a!D>JML&%F-he`wj%!j0tFoT8n`i!qsws9wZS%O? z;aMU^)+Q1j18d;>I=thKl*1<|G`*~9bOL1O|Dd0_LbN%dO`QAIKDP}pX@cg%dnA*V zDMoahd}G#ks2_;*Eo?fcOdlhkVZ{poe8QCU{Tmz_MtyUaFgQG5n%%m4cKHX6nk&AM zRX|DwM3#P?Ts$UsdYPC~-8nq|8;e_5LI0_9%G>U_BmSXPejuUN*s^&_NF(;F9*?Bh zdJLH*aBVLUkH{}D1SadUfe1*a1^U=)`wmd>%C9~|<6-9Gc(rxzvq-pR6v1ny^o@gQ zIC6ePw}|;G7E||kfzmf2G#nsG1Qh#Xq=NDJE zbZuRWCvIY^35-7U0w(W3ZspKTi~QT4Fmpc~-O!vaDJHS1fYSBihW;< zJpY@7&%`1kGk+I@(mH!`m0et3UC-Lq^A$*`?OWI|2r6tAunBG$n>>O?WR_GkwFt|u z{Yv_-q`VHaE2A%~WR=$Un}?xL18!j%d1L!%p_jSkM-PoG-P5L-*tmF&a~gjmGO(J4 zd?lIZ)So!yw<8ubcGY#emTL)~R?@jQTtcuFjMEGTQV6$% z0@8Xyo(a)Mj~<)cla`PvmgrvQX=!O~9SsHK(Sz!ZLvq-7s4Jv@@s&`2Nr5m*2(SDX zV40Gdo}K|kZf;xKe`1w^Fob)mG7yIMA9BFnz9ERIyoW0Hp>;Wc0zxX0?vb&h<1WaL z7kF>SgTc`5smgoP;rRtbMP5P7(ajA!B^AU~($Yduz@14hOnf+Ol2+%rk4u?{{dA(Smo2Frsj6u|Dr1Ify$5&s5p5jd1&Zg zdEj3$Zgk2$QF$MbGq$!4>Y^T6HCO|*&e_~Z@AoY8k16Oa z)6nBr*S%2$5i*M8LPC*T82tSF0<>Ef7Z;aSe(zshBSPiP?~9xNhd&l7asJnIa_`I~ zB&WJJ$KHEmA%7+e2B85km}nq`TXw-v?**Fo0^LW@Ym$L5072Z3A&OL5T1HMm#mp8m zX+q$o<6pJQUppwWo4a>FOhSek3@-C+*I$Vy(!E17``sc82FnSA!E&JmL`bEX_a53( z$fpUJbMGnc!os2todbh|!^4on1v1D&3^Qa1B^!evw{hrR)8x;&x!v=tzbNxP$$WDQ zL7hl{@1{T$CUgtw0VNUyzCq+0gd!p#{jK5-xgpsglPu?bSTVuz@`g}j3JQvdX&FIr z4=_V(V+ySe%;UB}2dPK4At+*Ng zqLe#3JFSFzgyBAY?t+CpGJ}Kln89FQphqwC=!5uM2-SwR3Nq6Se;d2kE*EIPjtZV2 zp4@|&t8l`Y5S~p!c0YfhpoOSHI=UW-g2!fXND!RNz`zLg!qf#LW4@1jNiGX3D;paJ z2R9ENKQx;l|7OUO0yUUkh%Bn%Q{RBVdjz=)_Al#ZAPg3EPrimjFGMWDV6ezs80-yX zmWA-_`$I=`4CKR2tqF00tFJGx4l#l?_vpe}t<+K5y1M%o?&)JIEi3I1UAXn%fzU0v zE*KItPoSA%21EK6q1gpPhNjHn**O8UyEH>2Q7Iu2E&vZ09ega z?4Hj=fV8;E5Z8s!*ui9}Xa(dKJ#Pz}(6N&es>BDE+L|Q6Rn)($*IghG<*q zgy?p`!AhZi%DP~%a_DD8APo7hG`gxf5N6$i+R)I{^sxovAPa(fe6VKkmC^I_(8yn2 zMMhbLd)i|HL$|WX{R?fvu7uZLD25&mv=?7vch88?Zk3_=JQFSU+51qE$4s zdy=8g^ItC9v_KdPg_apk`viTiH`m=5$Q!RhL5z<$E?7ooXn0ByiMLH!q@ej2&~Zyp?M zl~a&j5QggRfc2 zJc8(+n~i!syO*ut;{~F~mGlwqa_RWV%8s!V()5hy!_VqG(eIsDyHsaXK`An#fCPoZ zpK`w-o>uJodu714*|%+XIRVkeS{kip2}i58+Pij|!*#Rz<>UEB(ab@8IZGVPbn zhkr1(KP&==EZgf|x666+Jvr9Ej~;C`V#tLhfkxD7vD^Jf>)qqvT~jJ!ZCcewiXV^+Vk~=N8TI)+SckO51(~dVt^KRJ9OM7nR-mmrz7t}86)Q-wC^Sj z8UtT%Mzl%Ql6V3kn%VN-#@2!-W@u`bR+^j|qq!OO$1M6d# zQWNu3-q5DkR)1mW}K5ge>+%7GE3Im2I3v(4P6 zzjtqL#~(FcV;a7k2mq!$J53lWKZ;P6*d&@yitNSlHkDcJ5?`j_iwQR1vM2%02BI(h zT5lTcCJs>PTl-x*k*fM~eBu4-SN5uOh}?UDyW~+W+(Vv55&u(0t>%||cUF#)IO^Fu zMg%_vd*+l|AVl^7%kB?X6EG`HUMQ02ze(`@P2SVq{y)xHpB1lUBftwKHDzQo6KR%f zm*TA6F}oAe*+fj$H(T6uAut`xC}M!i1S7T*Qi*ST?QoM~ko?p1tECKM zVLKSKX^zsH(U=DXs|W?-fAB3wKia&~kI+1Up;k@WVOyaAO&5~2LE`C7`mm2tc5{Tx zM&Jj|NYDrNbvg5&yqoc(;E|fb6ThTX)lJn&pGKaV$?_o5?v5lPtU+Ai8M{YbO#qL; zvr-p6cjC9#_13Y}ziA8uOm~bE$=V7O;VxzE?50Zc`@d(dz7{jRO zTbWW6Pq@n6FdcNDWL$m9u(EB?q^lEha~M6%K3kYe;dm2&O`st1iI`ClI2($4ErS}T zD{D;8Z!}9mmo+UDH+Vatf)DcFXyqOV0hNYybu9+O?+yH8>emIQ>1JPY22I@_aQxse zMyyO6QwJg3f|M1qsJP2oR0@CO?26Gk5;@7~+)CHeNTc4-qcVdqc*BsUMaALJ)W`m} zyeYW|bCrlv1hkNhZD5ci2y?D%6&N7252iDy3M`5$dPCW$;65tn?SKWK@R}ZVL!TVJe2@Hn^Ag@; zzc`HV)Raqobgo|~s&6Jn`fM{ez86y$$9?GyXl|>geI89AYxI5aBs0V^g&1xufVd78 z*e>wZdOVQv4KUd3$pT`0i^%LWhVvS#GFDlrsSh^F+NL&5T7)hl8GvSn25!%AP&+>p z7K*h>@H%67NfoA-pfY9{6K}6nc^*)Ur%U)cao0gV^1RgK{fs*KDwm&-CJByS?B-Qr zS@;S-yE?fwz0z59h6;&n;HS;|D9sHWRXt=CAM)gfXT}-^lnOfp1vp#&e5$>eAa< z8Ly+AQ^)ZV<1MN^q*U2!KtiszIL-niBI=ixkZE5A=VZ4%B~i&H7$&h52N8lz10Z5^ znZgJs^R`TW8=FN>sJa_D_Kh5iTg8BdR^3rlk_4PElz;t##ABi0O?tJ~CF+^=(Wo*{ zGPC@#Raf-ykD55ZO;GC4O-m|E?zYukVoMh1Yx8zv?*@X7zbS?q^`D+)@YB}D?>%o7 z+`xvy;?I(=r049Wcu-bFsB9INRCmz#HmYxxPdlu_mLUe0vhUp3=f zv%Y;+ahlJNRwu$K@?FKNNW%ORK)0!lu`Mc;eul#=swN)eZbGU3*ebeBbQM(J)@FCR zf~md{%y(47-|dT^qn?U<<0!@`*r;(S?+C(J{e&ht6AR2V72LH?O0yqwZmq(vj~wW0 zYqFujW}<6S2!qI&-YJ7LA8K7xyc6$-x)GAQV-$&XX~`9hAwDywKJ<4>n$j^KJoCX7 zJlcUF30QKsd9c-jPa1}#G%cJ=+3^X)KCJtW^U@aD&Nk% zm5C+%5<3}ePmsEv1YlVdSkq;CH5Ltn_ZDqC=Gvt7y##z3He!{cbwiv&wE<58qC{Li z9Q8(bgB7gHrufOFjkpje*+>)t0hV(+)^tCkL4`NOE&K*fVSqXrIjLncU2HMxV84hq zM*JOhUe0{3aoK^lfzMCsQ7J$oobjiGBwkAJg@I)Q1M^5(Lnn5pmP77-piN1~sK)~k zS$2cJ5#57dG3-6)Tjn(HSwWrw*Iy+Aiy>M`^j-b{`XwvXr71tD{2^9hXB*E_q&-yE z&0+L?zPhZC(%2>!4X%wJq5%v%}{RuE;}8UVg*D3$#6VWWqRpbGdx~6VmpBBD~qBsoL@AvxlTajtMi| znIv#lI6a8yor%N!`EG7DP?%#w05M_zG%j}~^c+|o+5hh3!Z~wh}>Y1ILi^K786Yi-} zc&;4^Dmai!jQ}3>I_V*!EKFWR-SEm|cTtySD``|tx^;QGEzLDbd>B~(jBPG%-$Gg& z2XL}4I5AC#eOVdK`=29hQN1MTan>AT02`HW9WGkJyQ};&ALa3qde0d3xSVUsmRfS$ z(V<(oNB~q4QqA-wWIumquJ$~gQMmdaH$IdCAJ9XIUEa+O+{&V(Mt*pTGsCLtJo!Hp zu>aNC`VUh24^ay4E3SO{e4o(U*Z&Vv`VUbGpqf96P+I8f+B$T3=HEzL!OiU*bUp-~ z4I!gIr~3b=v=vZ6B}{1P9?&x|LOIU=M%oI*p$xtI%xqaXsJzL)k=Fuas5lc;Uj|Ct zzCZK(KjpRHzuIg6L2LgZYyV+AAp&-5(EtY9hKSaMJBGT&eK@Et1w^;*-8cL9&B1+h zc;6h|H^=wQ3Dhjyu~{q%K&L?e^!E3n$N$vy!~V-}{~sf;`2T^xUfmtIMuKzRsTJx-$Ow^@$lgZ=>{at0B8+Y)YD#4OvS+a5^6AW}tav zi6Hq&Z-EpkqYq8)(vLdE=7+xZI`dN}Tc8#ou;uc28U>3E9aT9Pe!K7Ow&~&2A-0sN zRap&u+C#93$gMv&fsx0qLX{B7ix|dYs(zI9rZ&2D9IZ4$SmXt`K#r389rMX?X(qcg zJJD2bLixqmdZCMNn?hS~l}3jsc!gZL@oeCYhVdqU^A%@sD#vL^s!{}@Rh?u*7e8S^ zHy9@kiDA*^@veO=W+r!aq2<_#8E)=Ca%63)EZ9|r`wsL*U1zkD!l-_TtyMipCWU8s z+i!-v224{40;ZRxjCtfunv94WqZi7=s~pa1FV zmg8B4Ws>-56;ONB9Aic+1qqnv$G7FOS25+|DirhPorFe|xt$QgTYw)C8I|G46Heq- z`6kJ#mA=lxuNmDqeq1A*JtU$-Q^W^pjcg{H(?i|7n~_%DEG&o(*))nQg04~z-)_7R z^l<|qcBF4a<2;4+Ec0oRg`d((MwPv|3u7DG{^VNRuQkev!1gPV*P;p9K!6O^V!UH) zzsOIzJR>hTOy!r|Xg}PG=Alc=k2x8ZJ#DER<-a+gQL&v*tKLvM`1wZj)Mwg<`AP#i z=0{8i%{1GxdS%A3)CLG&yC2vTF@J`)M9cUB!~X*1I<8)2d()8apu4L|4h!r$yor1L zgOprsMa1$~qL#=KGgvaH8pY{7epin#H!8K$8s!qPSQ*B4^sF)oq1M#V!%eTjWepo+&I@Hk+^!Vf^c@#6}@h-xeB5(}*rpR;Rf2hM0 zp-b`lNm5&4<3Vru*eBwv;}`A?>uSJMLHt}QqDGx2$i(T2ri5VXCVA-cv^@@?zr(ps zYSkFf{o(eU5k6pRCg9^f-8b7Faeo@Q8k8IppM1Na5l~bKt_29Athq>p5X~G4;Ihaf z^=8LuwtGJMv;KbX+$gO560_4%*aZYf#O(WOwAgkmuzelu9@!H;Vk$XT(GgA7QEJ-h4YF`w807ikFJN021E?e_2 z^uckRXSDg?8CJ=1^)_dm!sJM3L4MK;ELf+yqB#l%bz0VAlf)-8Ak&p;wNNyAELeQR zK=&r0pUQ=G7_|ha82J;Kk(QG*EkKLM(l^+$FnsG=4CjY)W3g~}l10ybzJODovlA9^ z1OrbGE_;=#NQo}f33y9B&NG}~FUk_<$l!FZqm7s1Rux0V0gklT+m%IPNVJ7KWwP4d zG$l6kaUbq!Dep3nls%aTn!l8O3DEPW6AH)~G!P#b-5m@MkhnryH=k*wz7sKaY z(7_RSY1k-WuxyD(`ZZI+jS)nN>rPHDPFUa!ZX-QY%U`2PUZQr4NG=&t48bTE9+?7@ zX&R*{iqt1w&**yJVjmGRx3Q={yC5Yq8$fZ53!3Z)2zEB?o(Z_cf;el23-V~2=R94#K_hMeUlJ?d$0q|H|m<+f1 zXRjMk+jaS8>1Olw`H#L;!+lpp)TvHJsRzx0C4SR2;=lM)kT+OY5j2l@vm$1nO~og! zy9H6F*v^6N_d9-~iagRdOL+d(n3dAP&Z?II*soZQiAS-lb{BboUwF9-26oPy{HX^= z-n_c5TfcSB6+W$>X7VDwmi!!F47wdwQTePh=9@op$|HYk2K@&ns3N>43^3(t4-y)g z4loi2o$Hj8`08k&;Mv^exJFk6Dp6v85!daZdd=auW&x4~(%<}OWJfqk#3ZYVVSaff zqo?8UB*nYZy68#AQPw%gt~HKr@u@FwEZ6)VeO5y`Nm8@%kgQc87;Z%8i9HSn=+7_~ z9Puhqd10l=*F^F5RG2#Yg)5mNeM{U1p550F93YSLGK_99Zk0|gCD(tgI9~WLbVz?j3I7`+^ea4w8QPJl!>{ zvL(MQM&pI>mW=97wz+6EtwsjH*QQAs*zgm()&EIe9VuqVrelnexmNX_jx=L04QSQk zUKmrX0z;hSd#GNw6#zMvCgdv1x2KZoseG*aGp)SN5Fr>p?)K%M5!x771{*r9aNE z_8hy)AwheEJsEwb8Wxy3(SA~uZ1N&AbaEd&f#XS!J`AHqk{3HOYbc^-RF*SZ+6^CW zr+8#Ogz62|r$pc3WUE&qm|>`C%Da46CHP?Z6FVJm!%lkxN@`Y0CO}Y;MIiJ|W{Ub6 zvB~Lli>b%lESS4Fb_0{RhEeoT<^rOIfnvDwq7IWUl4=>!(ew-!o~^9~7qwehh%BMq zQq~|OXfN6)*|k-?#-#%*x7?$m)_&F2&;8TT<$-Ef{u~YHC+v0zxc;yu7vXiex{_ke zWafh1;ln;)K+%aWFY+)J9H0d0io9|o(#%)pXL$TLzFT!ULB3~d9Zmg_ro>l71#pxg zFcaz`=aE8#5g2A1%F?m6OTxg+BWUj`q8AtfrvS{8S1g^`b>JVoWJN?&J4C^yio)?hR^eB&s03? zdnX@^s|Xn>CDo3;u9`bKzebjF{k7u<3eGK`CnNC3a+}ghuPip~+Z;JQ_i6m9Zj;wD zJg?-H2Fl0R;8E$BRs8Cfpn7SK6+?60w~sHba>dgML*5qG53F(u z>bZE|D!y+$1We+NAZXzhzNnW?Ij_sqYS8-IzEfE07K0tDLc=pd7uM~!=ihZydyG>h$w{OG;e(*#i~CQp%9bPezN{;-w6{0JqsI`s>!YX56w2( zck;)ABkOh)Tz$t^nY^05QPFeo07J)lMWbiIZ{A@MvnV{ZaR|t5zN8Z~G_vpfbcu%f z7}6YigE(bt#!=GDJW!e;tr?foBSQaT zFJTLV?o3aglkhq8?_=Xb)!?F%(g$W2>CE4M85kK~LdK(&*YJ875R#NJjzA=!0{r7k zR(8$5PcSf<+e9Ta9N}|mzpEJdh6U)H{T4Y&mcThZpT1$hhel4jG8`P{w|NQ4IzIP3ct0KB`iJ?6sqdLj?a!Tqu$(f`@EP%;vQJ1> zZs+_yHZ`BHN>x2FJ|mxqq~n|Frq0oyV0LZabpZQOeBsg#4wb33V{t8;gqm|uQi`St zUS3uGCO~JGMUCCZcwaO!>^Vei^WD%r-8H#*8$~f>@)uVJk2`2&V$|-WCN<3-LMJcU zx?)xtCZPIoLr7t4ZsX(?Rx%ELV-V4^a?7geK`e6O*ea4x=$p>|?V`19OINS3x^7nR zN7y>BnQHA*{5Qw=T8tQC#-=?)6g*Ty=!W9nF?VPbm}Hb(MMQZdmA+(1BcPw5_HuA_ z4>D*9Dru#a?a;Gxg5HyBWK2TtCq`Crr`O25&MBF?EOr?#&0rQ=fR}XYdVt%b5!#>LQ0@MijqvA)SfztAmnl6kyOq0D7m!#2nmCd zMO041>RlaJ#pi$SpPExRjT4;PJiWGgO+vw-Y2uwzOT!{(Q`$WW0^5G!TYNrM@Goza z^7pO^C4NPo4qaK@kR)XgKjvAm0$zf}6DxK-+Kn^c_fFWY!at)#;81ai8HM}_L`dGL)29`0!g5xt5zxayOB*hA3HBM1;W%0ZQ1Hb02 z&IFw@KDK=t82doXYa+373`ezZ*rNfiJ?q%P|EdmO! zL2$&|PlqsNE0@N;p;c^4$B>MiyqeuxfF&Yh_pG38Z3_#RLL=t=$GN4`D>Qs6K2yKP zQT_Y)EJOL4H+yH^Leq-zJYg%D#qsXec6AgQ>x*;wp)0Z(I zJ%7gX#oLCDgQ$%4b7;oTDouOR|kf3wx3>aS?NQDbvrX|)xM46s+<#+K16KX`i_v34vr($->srW&p2`w1?3c>xV!6dNHN-^Gb%t_xLQ{ zH}y@f@an(FZEOcWj+C6cW)La3^g?QTcJ?u4o@fMxR5oA}NZBN1z~Nbd=Ruz@;D~AT zU5bn%lg18%MiejX><51Q9@savBy1-*N>TrPp3>0~rj?dLM8_9MvtL2cy-(Q-^- z>o=@w{a}{HEj%i|`p(HOdhq+)>d`4N_^@_%iO=*rb6|1x8Wm8o$!QpT8v7}j^r3g} zoJ`*N^%8zd|I!W~wUJ5F_jMCsIcuS0@v5qcpyk`r%^((IncFCxr?=~nT*x5Z0!LOU z*uQz~GBEXPZfSK3N87;6Dd2TNZF4UTC*P~=g61DHYv7dq+2Ac276Y4(TVYl6C>*JI z<2MQ#c1`$wLds5&QlYfOr&*Ij$ji*q~S~a?S)nSO5zjQ$TD!F6ogM<_KO(&?} zk0ztnd!SVM`w_3mBSOhkdBW(blsMkFWZ5_Q2`I%i=>s=Qp5n3BPsq*@-W{ zCSm%{ij1XY_Eg9ii?o_+5P`-zac~i+=O{g=1VT^KE=8Y8B2?V?Qb_f}02`;8tyg|m zKlF`r%wy{A{c!`2kzGOEwy?8%>JSmoMOTddA(mD$a7iQqOqMSgxeDr+16DS+*euFp z%b6b{A7J4p;?YO6UZMKF29M=p<1f^Tl+MXT!+%GgDn}BR60$$!3rXYP6xCTm6a_}6 z9$q0|k+G;1pIAFbWH#?3;FGe5scPD~6!xv_yxs@&B9G-$GCKMf?W_J5X>T1>Mb|cP z58d4o8&tYGq*Xux1w>N%kkTcov>>3glz@QJ-3S5-0#YK~sI(v=pp@|K!~MSNe%ALr z>-*=u)|xqo1GDGsGjq*hW`6s3ozY6%8K%0WA37*EhQlqsTdMyKlmJc|pH#eHUU5|q z%fPC490K=W$!9 zYTgs^fx?pZf#KgL#Liv;T&S?&Jv!->@=tE0)L z_a1Cf=a9(WUzBeh-lt=>blwjXRK82fXz6S;lkhz`ny>=roWeY3FdJ z&CTtfzpx>BNkfD7S)zrOc#zIQ2SX05JO?L6I5C+c2y+lZ3i-=(u_xO_c6Ml!HAk4y z*d^pH(PB%q*Yg(I>8X2H-^IfVqL&ZgVm@&4ZHM3`go|*X(em7Bi3H{#xc`G*LUb}X z7^0_-BXbK1Aa;r7E=$pdz<*?(kUOm<8yOuRpO{3)6gsAJAc5JAV9&ysL;Eh~;Yt?F z;WcnHgbDljkI?hm>MAZFA;d2sfJsaOgA^(Rq0y6)3Wu6e4AN(CsVlH4*&yh;gv=e< zB`B`|Ur@|JFd=#g-x;JY(XgrNjT;)b(fp+&Iv}Fx?1BzgH##?Tkv%{EN2zHUFf!3` zj$pzjv(3>2CcK~U5;mC&+dl^|UzsBS&6*a$?xAbwp#jW)5KPEmq7h68V3I=5;LPs+ zpTlD`ehK+Y1VPSnkTa|^y5|T+JA#F#FJYtDK?s5ayNwG2F9;!n#3>0W7xc*r3ZX+7 zuIk(-dyY7ZqXW&J$|(P@Pu9%L4Cf!xc@aSnOW2y#A})e?4rC%W@JCztS=zxG?l2r+ zML`!g?+8dh$3d74@{9@pK+nwQARDBfGhWm}r78@xNVWrwJj3Wje*j%*67WM1g4GR; zy5(Y!O-ql-q_Fc{A%XLD%F%s~)#_{gycv1sVh zg*II@q!|Q-y0DLEunm%JX#Nxy3q)5%yn9zq52`@V<*|lFBxsiHo@L-If4&6x%=mgiiRf43X`7U0!kaDhOj^g7T!0zsCX4k zGDAabz8Z3~9hx=aThf5bX__M#w{9;Y2+98<-MYHyVvZ=SPL(j({3|%2>2NnHgox-r zyfR$01TI<%mq5zk59R1SR=`FoD^Ygl7_jtvbxjb0TU+1Q)HXhea>kgM34>c2V_{(l zQSa>09H>))y*M}Fq7hE`OKSKt&4mjrXoT|$ z>OKujoO2B)^iHEQ=DO-RtQ@9^i%u7qFz^kzz-C+*;U0@7INjXMA;F1;GCkqva87EU z7pe>l%0xX_!t_91qU=%TBPhYJWNc_%`HIvGJ;@0m=k4;;GlHz@$gCDKmdp+hiRhJ{}}|(jv%6N zIk6xFAEpO-1b~EO5JHURv(a6Xm6KC6hYDJwu)Ems!?LjnyGCM%&Iok(oLt?apP}T; zG0@&vBrykBLT(|+D4ie-hB+3 z+S%DT=lWF`9_Bk^934X<^90_7#lD0Nlq14{G9`m_GB2M1 zn)1Jc4qdp!UGxUmgEQ=Nb@C$Yx}hP;4a3L?<%I_m;2gy^M_yH6TZD#3MC5y8L_R^8 zqb$f^El_kZP_!sIxvILh4kEj7uQ!KeKf1*x^hRoi?Z3%EkQVsZYL1ZqBgAck>E77| zLDhvtR2C`$6Z&Ms9wI-M5I@}gKZNirg>DVwvpGiOc;P4(^dd9bO<*uFtzk^U!YeQ! zg^eD`z|O_Pb4>*vVNubM(~+}yTH1H-x_du(&@*J$heq{#dN2b50t!FET^Nn(w<8z+ z8L)6Jfrcp^85vUmk$w2RQCeCW7JyMv0bRaC=)Jw6Wnd8Q3e#b>oen*p?LK16FSJ7# zcMucq?2&`SXyY?_Y{L4+CIQhJ@(CM$H;_}HtO=>9X=oW3Md6NpC9)rpT_f&C6yOu3 zAS80Aa1ayj-9ZS+`Pc)sbBX$GJBPyQuuzEQuu@r({Z z2p5l_)qL?x2tkM!&#;i9XN&t72PBTPh$^L1=+F*5*Hp|GSd-X@sjHg4HC4Ps}bl_rI zF|DmvTRO6$A1vp8EFW_)I?QinWg7f9m>9`Ws{$1t91Hc?;)10IEF7|OQX)Um-WE4} zXpv2`{Csv#B{2gi3342ggm!X$QZaBG#l7&0WPz9W)lMIu_G!?T_@A>1K*FyX8+v(5 zU97jUGQ~!dJJXm$?*i>%=s?o^j%sR&B~WqvVQDaa}c{>lL57rUNST*9)O6 zyqS7Dh6g}D%`iMJLA0Of?tda^!h^U50liu-`%v&01^`4&mp#mWo?q zFcqg%r6;^fvNr?Pao)dplO!BzOOX~wU@R<_u=+VV?K(|uwvwpLAQQ73=;1vexLxm` z1tv7{-@k^{-*iQg#K1wed>|WqhlYOv#CS$4*UKSz&vT-U_K(e}I#sZb94<2Gk^(VK z%$adTuuc;F)zf#svc=^S};6QT7~!<$3%KVP8eSN~ia2r=YZ3l4jKWw5|qhDtpg z5Z%T|5!)E$nHSVubF3QV53;$st-q$_-FGi>`2m zX_?pNtbn|kIWX?zPCd}ZZf50?lou>jle<$>^-)dSGGzD{Za`OR?4B@R&9xA4^24(z z!8JXZV&y8PWo&Jyye46aHmYOd9qF1`oZvSTAR$`?9^%lkFSn2Q_~_KXpbAPCJ3YhM z5^rF(T~2zE1s3aSiC3-2=j$*1=>EOI&S>*qLidx|Bl%S838NcAMXex(AtloHM1eO) z7fU-(mip$9(sv^Z5%0!7B}+GYyiXE<%*B1aZjZLa+b=7wdUXg}<(emBiuEdwP%w~) zgmmu+g7&Zz#$K7SwnY!SM{3tZ1svu&XV2DaRO1UZ@sg?ClmX{lx1I+Nii5=UG4lM; z_LzMftHtVe>xVp?Z5>`inLTi@`nYF@o%yneSL^=6uCPmQ@bpczkE-95=vS-dFX1l( zzE6J=LG6^^-WZS6&yB46+E05Tp48uN5QxUQPVlX+9K2Q{kyCppa>1p^csf#B--xs0 z!jKL&UapejNAjC8NwV)X$~x$6+> z+%M@Q*joCn%{_YTw}tA%x@+_kXUV!Uw}v}^fql-LseLTmPqy~IJ-7v$jtc2=Ct`-B zjiiosxe6}}&;glm%Un_0afViV4#B%Wuj{yN(G=a(%pN;6v_5w1Cj1Uo7@E?*9dR#w z`7k^iEU`(`5KVroBXBD6<(%R0PFv9$cuab_sD41M!y!_t-yGp{;L}XM-@JO5b|OnS zdQ$e?7vPSw_|+6qwN{Hi_}Ehr`GL0lD(|&K=hY`ILX|WDlMg|=0{sue7CTu_$|wzT z`!=ugTukc7OiVIK-1!##M2=*j>i)zXYfrmFjyZ_`jt@PsdlFn-1Q_fYXzKh77N&Z*YF&R>DRx9FQskgsoQ-$f9X*Z)4M z=5GJsdyAdJ)apVV?O^pL;4^x`;?f>GfNZjkX!HLOV#XPIH>sTG+A_06sk)Sz0f>F) z?m8CmK9i6BW|teJe#uJdb1?J5#13xWJ>QW#Wkz86+v(%|H3#y5z>H4>C|L?J5w(8p z(yue@X(T_B?P@}SBDr}8Kf)wcySJS(uAM2g=ADI8A8U>$l#%OZnr)>J0L}_KcwS_lQeYvt|Do6_RVxp?gTa0O_yc4 zanIHT{WK8R%$^BOwxnE6YJMfvmo1sT`An^w?BJTN;VKs>=*&##BTgBKUPA;FbowHq^GHAa6_M{Q z3k?16s&Xv(ZX{ztsoTjbJHPohpgVN_rB2tDnXpK7r#wgsP64_)clA9=^&_cY`a@&v0J1h| zNZqI^jnPSa)&dmT+2cQUKC>Iw;92DO?_|KMWDf8`b|8zd{X{K`X>X8 zGx{%cU|T&Ny?1+4<5$>(KME+@=Qi)A<%SqPtaP4O zeK*T#1L!>VxQ1ty$@Bd1rj zx-IM|5?<-YcpGcR&Bfdw%B}|$gE`cWle~rWUUg@l0`BBDq6EF)^i}u1r;F#cGVm`1 z(`C2Bl|~~0bMw26n|UE>Nzc-I6Es3Kx-IfmnZv`nfj1u0kp7R1eAkv+N%J93V=@Gu z8|;PG+dks1-VpjWwgjZ;4a&rCFkV%7xLLe&lHAzEOa4ofPAEjp*RAN4r7HyxK!wPt zNg0>PSFil&Hi$8AT^TO=ibEJakRgH)!{eSqismdNBJC()aMT-bo~68&h%OWQHS!U3;7E|ur&I@jVqTsd4>t| z#;T8P#Unh*=3b^h#n;~1;wdGq<4k`AdOqN#(`zw@0cmM(r+wUEzAWP7N{z*rc)gYM zIaT`Ogq$0Z(vCUF0S`w0|3TRQPL}=)mV*CImi`Ntg2%9)Ml?JXf@eYr|B|Ku0=592 zWx#U`Smmg)3Vme&eO&++2>BPV1^=C}{THwW|DCY?7qA8Yov{5Eum%5}u>BXX{r8Lk zg0TCG73ew?XtMQk6dHs*K%=gQ=-Cl^c8s2#pl5&K>*=2`rKft)R_qAxXx68_uR ze?YGP{b?)4f4u&G;b2?Oz)vFNKLQhjg8nBwjYm(HdEtcz_&Z|w_yjsCHOt{(V`&j)o2KW@SF-;_f>?BHIPX<1DxLaa|nU^yXrP?A6cuv*B9E(%LwWy8G z->$cQ97Du%@X(h(k5W-|K)Zfg;p*F9f7}6W??bbDtppo})bbIlu4;Vu*cePorBSXV@>9LW^IWRv_Q7XZu3`_EX|ojwodD==1bF0I!Zwg+;>3OQ%S zT(|6`H%tNF#p85c^&0N+u-vb@|2YF&X+O$HTA@geYrje^@DA@HVBu^$5X?N?rVefp z{bg0p*Tet0(f9td`g_-uE?v1$eG1}szExEDVkh!$eo(&V zNSOv+e4GoS{PuD|z)W7Sg4GF;uDJA-Nrf-GZ}LVB8(ysId&0eIGf4@-a{YXj7d*aH5AIPKbf0QNf^JAAK451?=dfSF6`npo@4d&*N>F`&) zSS^6}?Wbn&4^5WdP8nL6P6 za>H`S?%g*%wr^ps;5IDQTv$x%aCyIghcSbcx^Gz1ekpD!ykx>cZ7HdMsa_JCgz$lb4z4@}@8;yj|x8v^F=z3uM_X5F1rxW**(c207!*mz}D_kfp zNo5s#laY}4P2vp@<6*v%#a?Ggsc`FZ#ghllSt2T4{nUfbWl#Ad#=RoPzg^9<3R-X$3^>w7`e78(wwV96w$krw0cLC#&H}AGh5rnw1v9 z?Fe@@!lMFdgHQI>hQ``S{?ZWg>C0Vg&2a9`e8*^nb0nhp^8H0|AW**hftKufjszFU z-($At4?hupp_p^#ZnmzAJM+%gJqFh)uzOY%2IpSZj9bWS*y;+{sEOUC`&g@Z$3I=A znD{<$2(T()@-fI-w*-!RPn57bm0X%CBqh84OdpGDqAdI1-iPa;LUH zuzfw&D|+E-v*dVbmCYtz0j*Bjk&r*)m~W0QaY%<}0`TxmcRBaU!|gj?e7xM>VMj|w zIC3dnjd$n^sA;-(qZKd=;8wRe&U?K%ocM$_f2|`xnYv5H|U63y_^uz zUn@HQ*?$%*SP>-Bc-pCBQOZ6441X9e;w$UHh)Ar$sk(#n%_yNr^_jyumnch3 zh+px#Cdkr#FL%=bVYJHGQOwM>{FO>XyoMbhcaxef0@co7SM=^5fOL(1y=hiaA<%eY$Kp$|4zO zV3#IWn6Sgp^{3cNI)@d`k%;cP8x=#x-jP4nr&!Gl1Hq(b8eQv!M1RpaO4dYYACGdr zwD7lSl22&FC@^g25zZ2iRdXghE8=|RTrY*)d9IoS6j^D? zi>9W=)7K5s@rc>$Y*i0BpGwFrp>!UP4`FiVf!6O*`w7?EB-AG=l}Im9_OAYQ^jPiK zYm?kmwVwPXs1LBL$p$lmwEdUv#HYB&M(Dv4qf| zh3YY#J>c=?3a<>GwfgnyD!r$S-Fn=wpZqa1AS7bgEBKtyk~I&4yZ08KqwXcX$x6>3 zdK=_v`Al7^Ax164rtn76mrFnRK_Je_+~{9+?a=Y9Pgj;(=?Kyi1744Zz`SNA?+^PZ z3@(?~GF$nXT+H6lp3Z0C2)CyXXaImsK!`J}CdjA8n}j?0{CT z^HX%mPBs0t;v+!56F|(eNWA@$e-L-_u~)B1ggWs4c|b`2{-gNozh_e*)Y8Umh3?SL zl$pn7GM>2E)4MuhaDGPHo~yo`z|r*wNVB%)pqpPi$q({;KeKL-5~9NO?TSaqM7sFZ zU#`JN3Lx67y|}6wj=jewC*;9b7V%khkACq)o#{zg@~Z#al{5f{#j^io5v*~=GW9i` zyH_or+#PCyVqlW>_NdL~JyTy_y1 zFw-gDF_~0W{C$DGuZZ-)(WJiN3{5ca{ZHFF65DRcd7vz2p=?1pb?I@B?2X6Cm$=#) z_*Og8k5;Q&)GLSuNnOE`Ui#cz&G(VO6>znZoq{YOc0TAHV5#qTIi%{HV95(&qiOCk zQn|DyHT;Yje5i8dPFJgV17EY1P#cTZId4S(^gOHvj!XwwF?d6mpM+a{$~yiyveSYgX-5ZB?URbn zD9*(V8M^{4tdHT>iofCzZ0HF-&E>fWcuy|>t|*Il_dYys_$acnL+C(^^`eJ&#FHV2 zYcnkK8j$NuyMmuJsOKo3t)z4;l7o4FhG)HYVd3zbVZP}z(PCg|HoZW!ep!Tb&3t%4 za8lHVF^rrka2S85vM$YJ^5_rnw@BBf`N@%ESG!qlR2$`7R+j3G={Je<_!yQ2mpfDd zmSIzA#IF=Bu=-*YjBnejumlzPN`;DsLNK)i(EI50{u- z!q6-*wDirur%ikozxQBxG=YLAB%{kFy?*<$p>4n`qd!JXO-HAkhj-VX@oY;%7<~%i zE8siaclh;CdOo&-MLR5GWA9Wy$Ic_TsSl|F9ivkiq#TlF(Z%0)u~lzsC*`dj(Mu{@ zIQuN_9~oQuf|S(l-?-euDyGd-_#PFFGZ^GDI!-s{^-69S5!(xAlmH2SYN_Co$;kLT zZ1H<17BOl29`W^WtYb$=3E;>G0k#O(R4OgrZA=C{<3(M( znNdzkZ)n$ifg^lsdvP;M%L)uPU6fT3QdJk`?~8X36FKJQGjL5Qo<62vjmarpT>S-@ z*(DTU@!;bAi{e+V>pD1RSM{uJVQ|?+H4gJjJgKS!>(nNZc#eJ%Nwpsac5ttGl-bwe znd3{3#muo+_&hz-1A9hk#qYkINyNAD>y?YD=S6%u6c{Su^{ifXs5Vwb<$|jEZ67Fl z+a%xhJ~YB^hbK*yn1$(8@G%tTvMB@ld}sy zUHe%>LH!)4ypH)uc}dR8f4ZupPbS)SmdZUSuDD}^ygn|?!s^vE@NRFIoA1gE4c+%_ z#%6W}4Fg9cs&^ecpJGzUq~#X&eF9&$FNzpCJ}M!+z{w+TX5;zb*%H?sL&wK)rEPfr zA?Xu9OyPsLX=F}=$j!o4y~?t}dHDvZf{NB<+S@O1pC$G)f>iCw-e?>#+1o}D@zR>F za48Fd6IKT=cR9VsWeOi(_zn)mdw=Q~2i8x{{yw48HH&!lW>7)%-m`>pa&{@tfQVR7 zH#+-e6Q77&;;P&D6kP>yaa~u>pd8RPs`2*2)YGPu$6^59Rb6p-QNAmgnOl7C$#VZC-!+rvLO zr+*w*)xg#-IHIh&e}q$54(J-2Sl)jW{WNp<>kkYrsjEI82%Py9orC(a4+ou@-Z=tE zb~@FDOY)Jcq6YcYBmZtzAk{^?>4r z-pLItQaaVzrj1K{PC3(p2`ST}dWM-`H^AV{dKUMdxtF0LuV;T<%U78jF5$1)#mZZ( z0;eCmhg`2w6C;N|qWLW&nfqaGIl`)DGOcxI_WK_t^T3jhesGLU#e4s8ZYfJxWW|tE zKw@@zWz)#Ts_&OXSO4M)X4HZ1-|VKv?p2j55wx5{Bie`NhIsOxjh{9uhEM22vkUJ4 z`=RftCuCi_<4#tAq|=yMrm4jPBy=}zoI_$?7uHPU0B);?X=N+81k9|rQBKcakJHJj zl3e%6Zydv7j$CBZO#&$;i<@lfrs0(}&C=#Bfi*pwSFYa(!6PBXUS2v?nG*wFNwcwt zLW*b!Rq-bkYP2kMomTKJ2}D&*PFa47IZmnso49;~n`~FFTXoNE5_0ovhQtn!p5pp8 zEi4}Zp{s_yU~nBFq!YBX4X%9GyFfzDWMv;&KRh%4=LjMDYnZ6byWW9@j4{ zD?Y#h46NLa{z36QgC|71o~hjfpC-3$+O~g_$r@SJO)nhc0uq+M)>$f^D@M^zmkutA zs5us_{Q8ZVULv;`Bq;i)M+1~puPfU;Sh>b%HN2tQ_HKkthe1-Ybl>aGm^Hrj)gCG! zUWljp^sQA`Hx;+CQPS5{5>;)Z93DZ5SJQ&pPH8pVK-thOC9R}!YD>Jn@WZS_+R)dK zHPX9Qb3~GgI$I0cQGovyt+bKp%UvxIHI!Z3@#x}c!leBDyn=V9r0GjLB-9}NRmpy|{^A#Fj{N3p<5Bkg#@GCQ%HkpFM$-hATHE+E9yPeCVUYT=YnYK$ zM%Tj4e_~}9p91g*-g`1g%q4Z(GW!#lUpo_%RYiHW46g4nD_r#tkI5_ka?Rvf-8h%P zwT2m7!2SS7d-E5&yj@JZc@`#L+eyNc@@lVi)}&WQ61QSHra@epQd;QIM z@xvZvctur*yj^fR_GM1dr#QmeIn8s&^bxcv&KkMLK;z-)>=K2RMBs1g2P&_t7i9aE zt<=;^wt(2n(pd^wOW+t2KY$SHg}%oY)vaz?$CXpcF07dN#;mMU{`L>J%nLLPj9nXt zM@|_oa!6Qp?ej<)B){!g*)em?>B9=l-jD>(Uw&EQPjXY~q~R?UN*BBnSK8^ATKuNl zG7Y0{(XRgm=^Oik{U+#F7W}TF#*L`Ur{8vs2EC<+V5j%^L)=L502daY>b1u(i2# zPKgac;4geW3-5^;Y{ihFzXTV6vSwj<6D_l#c*={sy2k!3a74|{ZDI2;B=*NHv9z{b zctPjb%;5<(Iq&1fPXr{i*MV1jZBsk-qkMv3P>? zO!2A5mwNGLlypBR8NZ&I6~3iq6kHE9Q;I6)f9`vL7cJjtuN%aq7QCIozQ}I+>;k?A-w>V)sI*V zucX~u%-=A*9Qln!)8P3QDOK@%5ee(~mLIyn|8YtGALeW3_k99`n)XPvY@_PsZkxEi znA;;$c$8DS2#o!U#yAxo46O@|2~hgzJEfLP(FC?qE@Rt-Hu6bOM1e9)6A3yjzO(y* z#5o1TGA^NSgQCar2$@-3(`%dGZ?Xe%UAx{-vW@{66)kPOzXcVCCvh*h#*C=l@uYP7Ai$RM@O8d|r!NDQ4EE9?{Nnu53bV=x`xo`VNkk5kD7#cT&0aXnUI%`J|EXZsk zXGFpXP7s3NLc|ac9ncMmwu2H9{sW|vQ8IEtBn={)!XnIQwIB>Aq(vKP&uKJ>Y)Z@A zF}i1FW)AT^3mDLw2^&Yj$r_?sHa5072x7O0AmE(SL{q9xE*@w=6B1o$rt2KgM2j@Z zpd}H_sAlIjHoa|!fF@k96HZ<25YI$oUft#h(qoQb^+H0k9RYnX`XP7+`PAW&u`x)h z{ljUZtD2)hO~wQ09Yn*LP)&=LgfK8)L?ddK(ZL=BaZT79Cw%0BZDXGsHQN!U|DiSc z1q6hIg>@mT2~kZwNUWh@O`{-$2u-S)z#BJap<&^0*@zrSYQlCR7ZHeR!Y5DAP+D{j z0{#(eqMf`j&|2R3_{8M2^!f&Lz%uBB=Th2cw2`(Ivda)zg9cGFp8Bp2+Grt-iY|nn z1MA)eL0t%JcoY2zYy}}0Kepkf`uP*x+kHwj5>3y*!0?ZRmI>|ML?h4upB2KJ5Zpvl z(8vuq-3&so)nRC~pR=3DE!YT}hW>}%MB6v-czXHx`TIkfEfCEz)ZDCPG-$2+sEHo0`4n3W4 z(a(zr6m>4*Ajl|u1wx+GXc;e5kV4G!oCu$uorSVtD{V}ebot>J*x0B*EHn{~@}PiO zMnuU8)9W7|T3A>Z<&y87?}Z^Io`Zz>VMt1uBY4v1q~{em3wg|YX6C413}~VWz=o`+ ztu4xm0L^?mJwsA$kq7Dm4whmJX+kASAq-CMzeupa9K3 zL+K8T4-k2VURsnT#64jyjf_sB(+FjTfySMY-|dk0G>5b&e13@D8b@%GW1$Po!_0>0 zclZ#_KkgmW6HF-bM1_-|%W2PXXSCE>SX-ylF$e<_rVn~)!*&Gw+})XjAopOiCh*ZT z2cd<>4tUr=p==&TL_|D}gmEr+4s#(zCk_O<6O+;^;J#Fka?W=`xgyOd4;+{_FgkrP zTU%Q@{YfF!4SNK;)C;p_W24h9%oukEvh*-J;EEXX4N>>7(Tpb(TqSc5g0R2|K&tj1 z%9D-lA_RsnU1H}_g{0>VlpCabEy!VVKy+2t748py=!(tisQ0IHU0E1s<#WP_`%syJ0f)_sT!I>GFDbgdNFOCxrVodXU2mJfQZxM=7rmA7%n<~?9ifD{Kit2Gh)5vyeqP8_QVJ!B2eD-t899Z!g*A4i zc7+($HaQ5EEsUOG#2zl_V2&|??I_fSeGNzB8eV-!F!Vk3|tXIR3c z&A~vp;mUa0k;vy^0vP-P?FioaSDdJnZe%Wofq~8IMGFjsYVk}G-qKw>V?Yp^#SH}7 zzt2RW{u`}?wQpUV#DD_uv&+c+uEjA7gmLkV5t8kTN*ModMXbS;;Ph9a84EU^?O&bx z%{`=pk;RVjSicDvy!V<#<9~sPyBFAb)u#~EKBGZ*(ws+@S69PQ*3?@G4;(;iorLsmptwR+{ge5 z;nVA>p|znWR%BLhmYnspK0Fq?@Z<)bd6S8JD)2dFb-9@?M*8Gbt=M7n3X8tv>$g+8 zsUdh}XEmLJdbQvrfv{Wk1*Z5DH=Jk3?b;7FFYMfn$zotsOpsgk`l$H~FzKcSs8T#= zxN#?H$>)+*f%EFyo z^dagqM~^`y+_1xy?r;|n5(b8CT-@Oc523CRSe)M z4w6*62CT5rihpSwR=y+8 z0Is8{4SrC8dcD<~VK2YZlz#TN>)X=$KFt06f_X`6JitaJ^X#-07i&Y67q$QV(}E5W zlrPdNZ0(QP%Ql%TADG6(IQ8hX71WijLQ-!)(d#lGPH4BTr>$o&jRiCJo zvd+ZJS87Z5Z-ZH;0@hohA1(<>ywDTb3BpvHFAw7>{@$anrz21Bg})Q<=3LsksPHok z+1+V8deMb5Nd1fjuSO{-c}!Kj9VPn|$k|a~;@fG{h?ukzHJ!cPkBI+hFkejc8qblR zbyHWJ9++JnOnBlS!f>Jb>78cs_t|;)>5p%jJRM( zA9ZJH`sGD~d}R+lU&-R=WZvbkAoKVrz~MA0Axf13&to%WqV+)ZjUJxG=0xmI_j#rY z8F2iX)96#J)0ESLP%6_}A1YVbC>*^@{Om!LWP`tzs2_sFT!B}4&F@EJr<^=x51L+# zQfwdkJUOC#=mVtVs{_^!V_4Wob%|#v+)=UyTX+WkX zs9-uf{v2`&P&P`5WP+x` z--m#>`<>-$LbUdEUumD^yJ=*KX&8>5xZx2;I!HHDQB8A#N5{gZ3YQbL^PI?*j>1b% zpRwO1`fnWg;?q>muKIUG8QZu7-kvZyxn5NEcQ_0w?fTq$yK{2St5;P`qN~dajP!fwVc_njv0|-o zbXwbcmb47qPE2&uGi53+Xa!YD;F0RI2b)9d3!avqzZo(Y)(an+%2pm(wKdrmK3Zjb z(*cCMN}{+&4<&929Xy%z{3=((|0VLb)Z{Ap%(U@u0{KkPF#VUdrFKhvEiX33>2ydw zmQ=Q=>~^K_jUJrOE+Q|Dfq{pJB3C`0;MDxEf3`*y4u#pEf2#eA2D!p~F>}~OL!c@t zE5Ud(MBbBbJtJPGGxu-mg{tPybfPDw%_QUo8Bt*4on>F4jx9fLG>1-m)<Bm5`{MIH?Vi~(xU$+rrxza^9L=G- z$7C|HoxY}6`Z3$C`>|)%c)LGsC-61ZEsMAP70~`ewlnfdxX@m7(NKzm>7;@z2L6H$ z1rwOrw=qkbwk<#N&CVIE_1q(P##Q=!c8sl8oYgJSsq+*Fle{R6E5v3`bfn6hTGyhn zdP>2b_DbgLU@kogPk%KYocM%g);#-)QeRe66*-J$49&o=K zxQxL*=|XEV#c^?lj{M{BC7G}b;x{ipvMUzIO5FlPdb@#3FB-0Wkxko4azT;iJ*;t+ zHw(r}@O)9Yp7lW-l=59u5xqtx1<5MIdW$c8S}i{;zDCr}xjwJU8RO04({H#@C{?UFHwPVcC&FYu0_6`bLvsvlofTL`noz#^w2b20$ z(^s*P9K=mG7jefQd2sxc%6)!4B@!&X`7JPG?U=&Seto!4Kp-OO><2w93#JiMZ%2^d z*@qQykQ#lxG{bEzULYaDN5taJ@^#zVc9Mm^W<&1lRVH@npc&C5d5(uF;!rDJA|UUp zSrKD#wjd{cd_tXFl1z=y0IuqpXE%5s5ohfSRnuR}!6ha&<+b}LB&NRgwdbCRtqJhD zfuTAbAN5o{ss;~BmTml^su&Go-dK%s{WmJ}1GK=Mb`p0_X}ZU2Q?AsKsyzMO!d$yW^Y3==)WLNlDP;_40``$l@UoB=ff!l2yN{=M`zsik3_B!J6CN}k*v$Las)^BDH~(q-w3!MiHQ zp8Cogl13)>%93CsOJytS$-ss7nctUMy|OBuCD{nHt~@JrIACPl{n$k=f97x1 z-usSM7~+lM>ctgrGGkjK?M-e155FEZV9Q%PHLK0U@8=d&Sgl>q>z!MFcG+lP{eYLN z4y-MEJgFHN!Mj6f!<-&B_=Z}b+PJM@JX}aW|0gvi*Z{AXEd8Q>O%js}6t&%c^k-%$ z@*4LThJMJ+QI)2|EFTBZT+upL?MvV&SJp5)bjHd?tovkioND3ruF6$4&JOb?Ac?6}NOOdLx?# zTpNPN9hRrf;Jw?0E`wEHx%3Y87duza0?p|#sm_wWJH$BeY~I+q)_2n#;8_+d`{Ux> z%MQZ2_-x98iQ(^<3%2C0?4yY!UyShGP#~4=BbMi>pQ)m46%IEkr^1#jl-c*O#nlm#Y3O7DBZva)W?nV)n66kGke{hUyK8CC*Ozu%xTC z6$_3yfit#hXF=qkxGY|#;_%MfzjU-3+g*iMXK>BB??z4vYtA_Y=wIMw1gN3e)t})k zR+y%Y8CKu@QZqjx{xt3+WjD`KzB?N4`~>Y2=9^vyss{z0sTS}&NHXv%%>~O+p5^`; zvaf`nY6ZbqW5LO-;_xq|*7iQ9cDq*6Z3amr3#}yeYxl#jxMK(b)stnc(JvU^lDXa- z{84C0$m`Sc=06!rwU8%KPTk|<1KVAJ5m_0N2?Zh?ZI6cw3bvYC#ayi1^rW?!wYq)9 zyNRoOJH4`}OuF$T5tG*K95MoNjrm_M>%{w59nzUPQih{0tvIgZO{=Ki)) zQd7MB{o0lCr)P4*f_`(!pl`TlZ7RTz`r^}!Vdmb$3NNA!7OKi|GA?@)h8F@sM_@A% zh80^+2d1u=b}%JIGjfIpLL@VWal zMMa$}BG+qAIV>@1A9*Ya9p!y)$abnej0XZ^k(v#?HrE+hu*n@~;+6 zb(gKrYU_aDI5yU+$`}%VgcFnGUn)!T)Agp@#!s`qNXqhF-1D^|VE)^sAr?RxT0@~k zc{8f6T7^G1`gL-fds+LPh%Jyy3pn3IeGT`Xpdod#;qDYIIHpGbFbL}_OawZc4%jwmUaf^0@Zyo z{8Z!p;QDN*&VZ=+V?g5t?$r|X$UecFSf_yx!73GHrN9dPo5 zMC7WLgj0}NY^81H@bfG%iq?Ig`_}Y*S_(6GTZ^8^k84jDPmHbK5L*&$azzRx)&2c{OqR=Ew6g!Tq#YA_l?~z)_MHg}48(^pon~ zXhaK@Ip4D$mzFvKPaYe0iKd}NV?bRYVt2gqRs)0W1r9;_h0xvFD>o7v%eib~pP9H> zM^XSk9cC|?-#xVNh37fLJnZ}>VlS*cSNftmO7ksuczp6E&@uUHz!obJ{XP2Uq7L0B z?g-Wq8cNQoPTVyE9N7i&FF?KL#`b}=wseOY$AW?NqMT6!w}$uhl{(hif~?5B~6QF6GyQxq#d%Fs`^74mYfmP~kx`2ez~@ATXKVsEeW z28sN7y=KJm)%iuPA0yeRAVc1Bwcbh~Qf^0L*r1jz6PvF}_L@F_qqZ=0MhLG8pV)JIJ``C1KOnGD=MC zSrU-#t?_|Ehs&_mp4;zGF&RwI-78}%F>>O2lf9d$|LAgfFwF*4=u7f#E7rpq(!nM0 zQow|VY|8L2H7RWY9|idzp6@G#j~sBk+QwpBoo3}vz%qUBY%7ZF!k7Ctqe;@EFL>VY zQ4+JK&@T}sx~YDTHvw%vw3v^tG=F4ct#mZ{UN%j&=^`zQOpiTva zhBU2~T#it+%U}=dd9L?O#<-2+)|InU-?$jwX<%S;_Qh_N{CmwkH)GEPdunT&s2}pK zlA9DfnXD#w=LUh()9{~RT&)a`-aZI&z{#Vi{F*R?)m@|V>k(^)k_`>9TO{G)d(J!2MQsr@~p&G>7=LQD<#aC^d8uCw7(Y|VrE`% z7y^ZfU7+Q=x4U_vP?~Z+Z48Uz5$B^#tC(-wiWOS%9kg524m6We2S%~~rk@EWkh=Z+TOU1Ehik8I#}|c$ml1=4 z;KVeMy^NX@C;VMb_gmxTsb6*7t$Jn#3!8&ZZSev{cECFE$pjMjt-S2WLowJ-jjiSP z{2s$lP&g?)>h+6x7!mKiRP?gzgVEB8##ieKpABt2n+It?*H{v>CYRq*ZP^m+D<>UsUI%PAC#cBfq~%!cp455uwnRdw6Y zn!RIc9>sePUyndW-_r!v?uqb#% z-8{K_@H?4`jk|Abc^xT4FOi8~_Wn>%i%R7Wt)61z5eDCiP01XQeHiny`jgiSuZo_bLPMHchZ~xeg-hQQDVIwJ!q{9h-!_st)|KZ0hjL>Zz>4{b%{Fzf8~VlL=+-{J5qGntI278<@UW zrKH!tRn)(w8Q#3wy6=8XL@{UNkU;y=V^COz6PPypO;SCmj-2CmJfY~-gjKWMh;OrhR(q2W!dX@vl|Ck=UMa|{j+*L z?jPgw+_rX2EUtZXf%m#92nc#RcZf@H!zQ_S|4`M$;#qN9XD|gTM|4x$dd+mib6~~q zrP?^gCZcD^=oDTzh~=I@E+=}&nXa){dUs4zj{(TsZMmXbG&N)7`{-H!5dpAu$|)b; z+y8|vqig3L{o)m<6P8r9%q#i2$|`>IcJkAV?8=s@6_UWJw|$dbE@Q|;P__5xB5w+j zob^)_y(EoI@{=zwqr0!U6iZGUmv8LGe+9dIGQAYy{`&Uwh>Ci6Oa|xJ#{IXr6f7qP z^gn-}_re9-I>w%z=XC>87l~pj{0tydb z6c6!u_(oTJ-Z{D~tz~8r4YJ9^l%3sw5vV(PC)R&P!Mb)q{jk7w!{44$f9mfnh6CgL z7oY7{MdZk?n0We?Hsh$6rq!?eFJbXS=G#6zv3v?@+X+nE3!08@?@|TMO6fPul2SeD zSYE%V5mEf=)8sN9Af=!Osx~DftOAny;fcRUuL?W5`rOa1`-#F=P}aTc1xn|Q?u5ra zZ@$*bVv>jqhIk0=1 zEw^QZL=#HB-hpkK!n($uKJ7a$RqcJB2^pRwR8g=?-A{QrLBXm8s+!p?>=Npl2`<_A z5(yZ8`9qFNW03qaTl?b=Xim`90)%}$nuV&8%-AmyWHJ^Aa!=Ij>Bfe-G zlb8W&TMZR zXOw{zx5BHm<@B%`frkW_z?}w3!!HZU{#ETPY#wc&lb%}xAusnO#<@^tI0Ukj4W-!N_t1WEbjw+S!H9l$KmmLZ5`9=e^|5%x<*$J zECOoA#L|IT9H$P@EvBkt+1S1HL%`Nip!oGFw?Iro<<}3}0)^cZOV-Wp;5`i=Gw}Qi8cEDaCo#dmWS0eTS$yJoM7KjQ7nwdDkkkf}VwbzJzJWFOl z^%)VRoUQ-REnDxxx7elSE~-!Rz@GMV+W6Ftp;gb4w!NRfF9>Sha_|f(X?wRoA}Fot z{ur=x8$Ie8`Y_HXTHZh0LQEs{>Vu%B-@xRLU*3443hhMT6qh)mMA>0zWJ#6ODy3*? zm+`vc5o1tPURhOLuW_daaH$5G#AE{EKVz}g4nJPm_-@u5y~5x;5qB+yySBY4+!oE|lUPn1G6YdfcW%DzuVVsk$1QqucHrJ-I@4u|=y zx0cNw0D`#Q@x^_T$g1zsUOu@;#3FV*gLYg}*3}>PdR_CX zpgTdgOXZjqsc`&{{s}fSyXJNRy)l3m(Ox8Qnm)a@YD)k13u=teHh5%y&*gC?E*Z@Y zbnh|1Bd1ZjZSGrAyZiGOE<2ZE(Yx*aQ%xf?3$LItYDH}mkex81ei)Au79ZT(}vPQr! zF1e_w6<<^V zxWLLQ|ELml$=SwI7$;<2nj1h)jlzjs?QUu;w=ulhhgx4KKG7Lbsc!zLgRQiAcA#wL~sB@72*mT-q8 z^B+eR7#0>005N6&f*XYy(K!eb12qw*oEiHB3MGIcWsqYgCa2ayhzW%v9MEC@C!pEd zdcLgl705b;6`g6=IZ0vGMsxU?it9%%U`A?BnOG``J5%1Ps_VRu%k&r8UVQ^y#3{tm{Smxa|nVuju!_5+UONznpav5{g7o1=?|hll4AOpZ3m62Zk3X_Hd|5G2(S`KQ4-hrkFY zd_hJ#^qH_7`49Zg&c?{o=g;$-;lhaBe~D)AdrRa3TrVDjH2*U1kDtD7VxqJVJI~=D zIFLIZ9$~ijD+emg09a44gz*&k{4@Ze`~wF(#{>79;QVE%99dXd+2E@)Xo0bSUoM@Qw7kk^axkcdL!9O4<`9pa0=j}4t>YXE`^kuzk@mI&2b!Su|= z#>Unb`VkhKTWBBry}bi?#*dB;?HuB2i9#;Jhr!T}U_sf84g`#t0pq(E&5fB@aA`-F z+=b0i0Z|pq0*=UykWiG4PRN7vP&FGE-ZsTdrTcJi9t1$VIfp>K3GY0{Q2GG)!9xNq z5hSP`!GU-)I3x!l2@Qn-QjA26io(n=bP=p}=I5fSs?e$Ecw(5=>BjI)3}>F6fp0q7 z4o}v>=kqxT7Q~xNScRy?B?y7PVFv74udJ-DZy%h46O4?EB0n7yr|n9{hZ*a?cp2tf z6Hu~pm_t||ZbTsmIS-T0a57=krm_mOpQ@0gs@aaBZfKzk(0N#H?(R#dO!!cODIndv ze?Kq-9x6FGxw&x8AVio1DcqY^kpVUZ}fvmIz`G52HtLoA)dc zoWGfB+hsCWH#Z;OB+RUUU^x|D1c0`~f|mfG4e@hegBoL$LvH7wP`$lNh!ru0{bR;I z!V|M!gAn9nm?yjd;KRr9y&qln*bw~xLf`?Mn=88xR`G&=H?OI*fwa7kS;+aauwBL z*OY@oV%w3TcSxKig2dbP1fXmy@RL(h(=*}GzJ%x#VUkNw@k3$#u4lPu1huiTio8cQ zSCIi^D`Eh(y^S`2AK;yx-mheT>$-pI(mxN6j((q#h8WuocWEO``D%D9obvLJuLu3X z#(b}^8$)hHOso=2hFGp5Gl(91-}*4~`cFz4p4&DyH8r=e+YYft-$nwLkQ+z{S{@%B zz|S$YaBMF?SDKr)yz{)7H-00?vF=y$d`BRZYLpW2ZQ(S zQ~Ia12pZj*(kj+?Z+xSnBcoBAv znMNV-)Q5I9OIht1E8pAt45z*x&2N^p?aUwyO-OZ2Hg$JAWwqf=&s)CMuLj=(4w^{m z&{_N31s@0ibKQf-=YRaR@Oap)Tir3UXMU5$AQApdEMCCd*M&Q|3OdGKi3OqW<33{2 zEqHtTm_hZkQpwI`&!QIAiI)r&^l(is`rd9c`A`{YgL|e9d{JYq^OY>-hDoK`Wq~F1{o3D zILj629Si%|K(y!V)~IS&()g>3bWM%vT2MJiIJfcrlhe)G>oZsGNc6b~Set&h%GMM4 z@Lh)eJb!CtGU#mT<kL5tt$>|`H4)fL&*!9`uht?f+-~({=G{__O5IiC3J`k(5)~l8*)fdA$+bN&9A`v z!FJ%Ym>a=k|0`8V3cA-edcjEZ7tM#0Z$H%N3~bjq%(eK^29YrtTn@11e?xHf%T3tk zU9QrPptC=G8ic(Z_fbjwd(G%w@dlTBnbRsd0;{%UK$s$sT^9%8yg#fmTV1L}AtpJS z{8-&}5&uar{p^jqwxB5ZeoB<=XSVM1kzKEMi#s1v1RB)y?Z&ZYhU4F~Z!Nru4iel4_r3+akrpT70XUf12*rtc*l)lz@<1ZRzD#UM&qU^Ir-{nC4N~Bylwmc4mc1vAHEY>{v#>Vx%fH5P*LIy5 zY>(_OT%+B2*8PLmI0KKa$N3FbcJ;*WHgQUllD}>KF>rEs(ztDXfn9L#7cKo}Fvtl! zC-*e0gT{G+Gs5EybsXqc*=KT2EP9-*&pGFEF@8}!O6lT)HPm#6FAV}QP~F>OLz(j11j&p02#MHAV(Lw%0jgTyZ;zV3HNEGsZR=S{@d8|ggu z+HJ7H;It{V{#8MO9(V5J67?vjnipp@Rz65uqdTQM+!T z#@6Sl{?(UMncI!uxPWQ>xe zxnFWPagsXK#8!k7{mI|I-u$kw>o0xfBxisHocEy}#<9;7Qxff)WhsAuoH;GzbH3_8 zJAY*=P^~BQ2Vh@XtLwAd-`QS>X`c!i@MJ8*(~n^kl;XVefbq(cO%JepK-5J;C0)FC zg`8JBD`D-!j{l3BH|%c>2_6heMzidLL3iIfIR-9TcxTL-y(JU)U_DQe%BloZ&O27l&fQHt3=2)27!O@?)^d)|;CYyJ za^@ojVx>1vTGoWSCs0d(I{%Z`YR_;ZMW|tWL&QgS)y|1aL)OXPZ>8jF_=w-X4dxwG zi65N^p6dM)DTO`lM~Jd6Zwlp*Rd+e;{y|g1tf&bL1zMXE}B^>?&ZC%7XoQBWrg063NuaSz> zS+~rVGwAGpO4hBy%)Bx2QJqQbF|~|w@o&pvYVT-It4;r7yL3=?pX3--AfNd9;tI*> z zdSLEJ+h0+7F;>c~=8y2st&>>WH+eOq=Dx#DOF#mK922q+dSj0W(>9X>VSIA^6nabREg5>NZj~P|X|!@lCJoCyH*r^Z^0N9nQl& zxUVgFPv~_Ij_uBiu}LxXDH$+&-YOKzo(KbO^KHJ7>=6Y82bLsMH)+YfCL_rtdDgFG zDjjNaYSV6m!hFZ8F*atuzp8w^MaMElxtH*He1pvYlE-c6jp~v`$}P<_ESy#W8V%n6}ckcZo z$McoI`ubG&W!w*GH)+2!duN@#DPS{E*Y1*xzV&hG%=OZ1azK_LuAZhq}U41EKD zj;VnBLve7N`l9%4#nsK`5N=$`>?p#T*1K4Yk~=vCghR5Q9MyiWTXpjOzq8n9ng6C; z|8=JSGE?xs)2{zI(|?)iKY#j|`{xqd|5kPd|J~dE%Wc7b_qP9XTkzk#?Z4a>{C98r zFSiB%-P``lZU6m^0kT-aITHk~Q2}`?5#|Xo<_QVr2`T0Y8GJH(#%G2xuoUnqor#YA2_Vl8R7qfvo-s_bJ%0}D-#v` z9d!Xd|NoneEh|wdJG;QI-=X=wUg!9IfY>ILA#uL+`7E104dW~PWnf+Lx3Q*ME5%0O zk6YR6hv7gcY0HpGwma`Ind;?!oAyr_Tn3Qr|E!7k=B46Ejj$<0!a??V0}UF(%ZWJux^c=0x~gE z{;JFK->qnd-{9-d3qJbf#|*wms@zh*Qad;86zKl8snP4P8g`=+INc@*b)N4 z2afomq*qC4ll^KnNp;Jc8cw~0u^Ho%&A}})Bnd3sfH4ZioOP4%f=r|e-e~KK$&PEB zgfaX!Lwu1`Z1P?cXMl_QVbK*&1s8*duCFzdnaZ?8XwA)RsCfyT%`k&pU9eu>SZ1g8VcPe!Lm{4^8ENT%3&P3?15(Kj|=; zAtiR@r0nT^pm~q=;@H>S4Kmu(KWe_yB|XhB6&0uKy`3P79}L*;JW42`|9*C( zPB>!Qrdv>ckI3hq?wgOpHbRGHsrPHZ`D=sbvD*p)cYbi_PJ0&yUeis6t#of|%FB^VFLi2R=PFEsI&-&de=EAz@yrFK2)!Y(deeE;H{Ue?eQ zaJ}a-nP=g_n@({ju-0r;pT{Dh4=v$qN7QcZ7gIQ!0t|g^0wU}!3|3AK6 zqHh>_+)CX2gS3O*!We8@eqcID;8~T|;oXZ_DdtO&e{Lh^!TY4wfp{YKY5^ti$lw$l zP|CcfyzLN#l!m12pGxKoh-h!PM7*^}GTW4s=$18J6TspLV@1 zX$brBo}Q)8d*HxbP9crW_TDda=}D{?C7TeL6p+5P#vEIPJwY;d&){rl`7)!nPptg?SX#nrAU@WWCV65iRZ?j{ zUz11Fbw{&^dDO_YDk&e2-j**UCExeU`(aU8r5rm^Kqt~&1jOq{AL3RHI67IG2gS+Y zVXOHIf3&c5zCohb0(`k+3bhv*C?#u^RA?D{RFr%j_k|pFrA&94OTIoJN(5mR>3Pa0 zx`UVXrRF%*9DArNGguAq<6}&XvEuI2vu%TWt#j7 zP(Ie0>|XqGAt7h=TWV3f^~d!{`wu{mm5KFO}vMxHV!p?JwHkw z2&R~W))=Eq76wa}dLg!va*fyj!r* z__4D7IlRD6m`)9_$0T3zz*^A`1jsvQyVwn zS$qkX1i1?A@usb04Xrfq-d=vkaq#As%)uhrR7BT4nfR2boBwYd=Y(hM9Gw@h{5l`H z_4FpV&Y&Yp%R|mfS$F$}VXv{ja)?VewA|!pQ{wi2TBKlM(1Oh5g z4?-8e^J)fy4HLl1WFju;VyIC66JF$_V2GNjQnfCKzI?mp&x`y7C#-E?QTL|!SA9$K zb6lGr^ zeFOQcE>7OA<;(flnblVCGM^SgxGK`QrWo&Mhn9F3+jGE&UMbDa+Pam)OMxfdd`gC8 zemhThq^z*rpEH@aQjXMu+RgL3+E(}0341kf6-@Y{e6wQ?*F$JEnu0Pr*b{t`z$n=i zYqb(5fsD)9$2TTxV@#`i%O&_%L$RMZiaa16bpiN#J(R1@-v*bZKgv%rzg%4rF7!+1 z%a+@ROWk&_9AebKL;wMu#Fk^-0h!;+=pXR{oLBc0oMdg}OcK3*ZTFINfW-Ow5q;O7 znu~6#2VaNQiF8v{9t@n^KdKtYpf}%2n+NV2u6>727sQy?MM>;FJxQMG>)jqWzQIGX zIcCMF!+9UbPg=Zt_wbqYYmF~cLZ2;dXV60NsTXO^H%79u-=#J&0_CwPYxQ{HG;1bn z+9|J=MfjwAsjuA9UN)?s)cO>mkO5LnWV*aZZ)hTYe36A_xDojB#cv|jQ)*(E>o{#Q z0@VPQ#_Mi;^)A0q+=1tmGP_D^S90J_$|wB&frEVUr_!~+cdf(6M4|NKvsSKxRr(Pe z=8Js<D>uDcm90?Qk*_}L zZ12`vnmbWp5_0dlL38y(0Ry4<*-=X?rO6#>l+yDq-3o`}xu{fHlLzWy_rN);Ar9Ff z=W3-c-%m=be%uk)XbWjP^4KD}RyaWY zIL?zW!b(CHc-Jr~>l|JA(qVD6&`IU0nZ8gtRs!8SHl>o|yX?ewCBW=WEzyL8edo8D zEVT;r!XZ&1-^6&j*X9~~gR^Q#qlN+T+;8zRBDtd-@g84v29~A@`ud1PgW>~N{b34H; zN#v-i=sNZG%X7UKmE^wO*%tsNr@UdO8)fY=m|n{9u@C(viR)D z%*a_e{KcGDH9obtyaupoM5|QolTx28?xCnI zNNCy;P-yP_U{u3Y(WVsUF&%^?d*S67MYccBBR5Q(ge}pahqO^WwN=`-HEA<&Vui(q6h*u2g`!rvldrJPE zQ&qUrr>1qBlwK%>_TyD`!;1Q*L#jOjFeW6W8574X|EPW0&w!`0euc&^t6wjzq^S!L z7L!*s26in6zxX9Qy+gv+2_nl78bL9g{F=s19EsPE$=VjzfQ=Jik(yoAF4c#? zx+ zi>IWLoMs@XxZ#@pX+3}b;gNJ1p6QT~c60yYA(6+gn4ZX%n-+)gh{{Py%Q)Z=iYR`4 z5ZgjVgZ8QI`g9O%x#u1jmfN^SE3W6bMX%m67n=3)P$+SWKk|7W zE(jWV8A521vG<}``({6()2qtrL7d<vwQEB5>z#)sjVk(&7qo4HiP`BdfxuSk ztoPeLIr%>=G`(Y&?_6f74%rs5bIek2F|fPjI1Fe*%R3fN@kv?zI*w>A37R(yT@^GA z$n2f?MttFlhJ`JN%YFBLff@*#J_;NhTV@heN8j~*`F>^NyWSm-n2!O403mlRTp+6d zEr$@Led8W`Io0a%w?E1J8j?0ybGi12b#qr@6(moA`i7>=PK@!XeQ%L_5Mn$|aD$gnRLI zJ2_2wN%<5|eHfpmTM2sOE*UAxJiI`{$qubR)-o}>J=;?)v;maFq^u!=4#!d&FnN60-TFcUWYe&(98%Z_LncsEa}=<1hJ z**fwGUN~{#;uUHCnDN;))HPA1n~go+alrZ5syFYyOyes%d8G_|AkdF^QQh}PE?{Jp z%rk7{D}yZXPcIe!%Gbo!OxTju;ih8io@nqegPz?pV^rDNk4QKQkZ3=ykTcrxsAikt zI@YzEls1dZD4O1+Q}r62+@c1oKnNXF-hj}uOWZU}Sr^0}=)<$N<&RPY&fYH{x+WYJusaTD}@Pb24nF999~r@mSFMd^@L#m;B! z5cK-v__tmAkn-LKahcDr`^LQjRU^L~5-Ziv_Rh~=znNLt{Y@+Ae*f7!T5%`DU#>J}yPV5GU9jC8yQ#*$1Y@9 z+ub`jy|{98BBtW_D0mY5!XuWFvrZYF+{7Ui_9-Q6=vpSCRxBk9in^%&9!riNh?Ksa zvP|2e3vQlXm5)x@OFSpylk)ZsuYLcOo%0$ur@^t(2x(?w{>7V{h7XI{7dMY-E^{T6 z49+6@=H3ajjBMbVYWsI=E@{Jio);y|d~+JeeILZTCl(EEs3*+r%jmrV)pZRE5-*$B z4ZW>=KQPuc>%Hh=crv|5m6=z(`TZB_5^z*Jxh(WJhN|HC2}g>KOjEyh!>3hza^Ab8 z3+uaQD0*;B$1bd(rDJuMKj9EJId4@ZzHEw`bLW@B4WIPxQ4VQPxK2esPp$L3?F*TD zu}1pAy%FC)u1VhY$J3Mo_dJ4PL0kj;aYx_OGIjfq`gs+d#;xG^h>1~WiA)ySw*CWJ zUZ865D6nszLs0Lo_v>}kHR0B^&8D4auN!-32ro10S=xY{f%&y_3dWw^k(nb#PE#o( z;ClX>Q7kF%Ya^$GHZjk@+o|(ljL$f*<&)Rro+%-Z1{eRLR%BOY2Z?R#?B3@B(#CO> zY2wRHFV9bkg}LM2Q+P;0xVoUMf=FtZr<%b@z2{nE3EjUYvGlg zTeg686?Gv+>K8Sw)Q-Mx$2g^=;cGH>J|$a(yt ziwFlmpb2lHIS4LBo{5RWcO#L5;6tE^A)3+w2*7kf;o|ieo@tAbnHZW0S4M}!!NK94 zlM~Tjo9Y(#FUkyn>))nfLbOlQF)A=42bxSv1j&T&D9aMTg(@>U`#A*1Ik_)h7Glzw z|3n8dDy=^Nxr~vS5Tju@+VF=j`!okH2nJ3hkf0u!W#a+0=m~_eC{A@dvm~-$gOac%LO@lZMzQi0uR4pKb z`yY@ALz*`5LkRcU{-2CybTnKx5UR{L=rZAnmA}c|%!YFitNn$~O_p%k^f_1zfj$!o zP0VP6|3umY5aew;0-$5-=!9iWIR43n_6)7AL#w&Dg&Eu1q}vz^je*b?F!=cry(NML z>2nT($!kIx26ZpQnt!?G|I~L`;DEJI5lA&PHMO*KfQ}AaC>{>XO7U>~^{3`m5B}n3 zjD5y?1o1QE&+Q2AKc&q%1i{8wO}L}~V>QDuxt5stmlc@tPmlI5fu@4RO~{|2f5udI zo7?^tXlE_|PqB$C%t3j%*p47e@DAO7%;pMaU;y|!92;;KNy*49kq~)eYHEyv#x!>? zT?XPITv(7m!;L9mJ`IWi2o@yJ0SE%sCZgJoP-Ebx+CSnOa&IkdxSx6kt|3+^4-d2v zmY0`Tz9K1RR^3ni58!<9;zgHWzHmDVDS(?OgpL~{pE3E};u1@Q0s>A9NQH>Ayz+H* zAI!CW4v}9%WC-9)nwp+n_=dt-!V*L9au5U`9%O$@&h7AX8=_^2LWnF85@IO%Fb3q~`e#o(i`n?oSn#C#!ez}&kxhx(7*M5A&3 z((Z3a0iKt)e{yPCTD~>fCjU0tm=JU7vY-XRiExCcqaa!Y`jmNukxGshF<@85tRw*>EvUczpoc0dC703tk-% zqKEyye{CZGLF-|z2|&KX?d;6K^OJBYz=ST0GynLp4<|DO+K^~t#wi6np^6z0F~haZ z(dK9qJXUT6%!L5$2%=?)AlmTl5uE@8xrw=>3qRQZKuvRk$t=in|GVP?N& zNG#z$(*ZLTLYEN#bCJ<;ui&v!UIFvLkJ?coVOY@r!-p6%hoF!*mIzYcj$DEhAD%ph z_#d7d#$;x{VUF~*^^MKV9h?{9XlJ`7v`0HCq6MLfXuChY3$ z8n7|s+O~sa=AU!g*pTyMDE|_cCl<^{qrL4q&%?u;l$3&!j$J@VXcg(`^2X@>qGm)A z^Rbi4K@jPPc7ngPXc&pMzH8Tx*ylT8W1xPBK9-wzqFv<@woU*F$$f?>+!-D!wh9xA75!gln@bRIm+rc5edOtF>bt+j-cxUnPwN>x2{Z9m z4ptzSWnIC!2}sqsiFNU_8B~sx+MZI>RJD!BhoocWF*ExwTvc&B%7G4z-RVgkO{2wW zw%;cXS}B(gg-IcAx4zse4HM~Lzkv^yoSAHBN#1FS|I#T7h-~HCo;LD}A-0K=!Ql`p zR(>T7&NInKZoW`1Oyyck>RUG7U$@7r-tVgH>d@ceOy$J00HN$9MLeu{18y!qE^i7k zyVb~1H(1)8Xattl^c_{~VuS6Yp(tK^ky3fS-{xY;F*Y2&OP3sIQP*_2Lvjm$d0T>Q z7Th}z_6eUv|EkMseJ&-$(oaC4g)NX#k8RdW`hctl@ZJgOU9{n?^7o!+u{L76`Qqi* zm_XkXDO(y%QKm;YFM!1C{U+dbKcR7wv6Z#sd5{Axy`~--U;EeoWu!V!AO!#{f#LnE zh|X=n!swnyd*t~<71@F#z@d*|uAp@RhY;vcJwbhqJQbb$9FX=s+Rr76nNwn%RkLxO zduR#yS|#DJC3EZ$ z1s2xt^|JcAwh8y_xZ~#zEb|=UF&VmG^ICsL=MFbU&vzu zlsbVAu|rmZ+z?97weDMGNjVKozC&uR*&|6b)9l;K*gEQR=Hswl789}O>` z5y!E9Q?7#_+&{06NciPxf;k>pUg|2zj17vEjUf9@qtLnoN(-vbGgfo7>pES{;hy|7arn->#>vzWy%R)56y*m!mk^wv#G?8}IH)7~xh zikv%lF=(Z4#P8uNtOc%I`wKzi^uU{VwRURxV_UeT=52&#G~ULY{&cw@{(5qz5!T&~ z>*^q_`s}Drx%@@uNfd*;UUR3z7B=st-mO~)TyI%w4k9^#o+X!1sN>h+(oc?5J{K2r zb|yN14E<`N`EvbopytQ!aA0o9a7QcS&ZvIV&$(4@&74~gYP6hHr|gi*x`nV0^PS*I zVp@Ow?}aLFpLqjar;U8Nio6)5ElPKqGN%OBboL;ytfp5~q>{)jb}yu8`g>!e`=Gk5 zfhMiVtvM^Ed@~~g@U&r_HAbH_&<<@ieCem2 z=cndLC%Toj(qZiX^affu(jCjROr7#kIrBWwA?r~J)BSKf<00ewUGMH^+1|V#lBh4g zEr905-kp6C>w)FnV4>wEEt)Io8CzYY0+PRP6JELq zvT|#EyGOW1YfaRzhi3STr;b1J{dPHyumtbL?w8T&Ffi3g{LIg)<8W5{;>~fLe5zN! zQ|OqI8|#gKIu&Z$>IH#0jp3l?_<*~yl+ z`b>_?$pV)IoGq(S&SPqJ<-}b-zx5e^{rr~jF5nD#(Hzwzd8tfGRde`T{dyFSRafbr zFLP6w(%b5SPXu7nwY%>yqug5Yfj*hmrE=Wgbt3D0u6N`kdtS^At!exOwL66o%7f-( zMz)`}Zr2pi9IJgRkyOolX%vN$1XH|C! zKNmb^sDId`ZT8VOxusM8)yr&Eu%6Z&+wCwY{JOo-Eol*F!{?4?l^v?Dt36|rAfDb< z5@frKnp93i#u834H__ug*S)2>%22+N9VYwcg*jFaCpUqT{W_#|<2*kfvwR&02jTYl1CcGs&@>C_yA&6)PQ25< ze?_WE4EjeO4jTg79nJbmoC6LXFUB_A2%F;o^d-W<7^x(6T4s$1P8)l$xf>Req550H z@f=paeOhYddO`SIZo<97Kn<+Mlb&`@U^!dj6V;m;ZK$9xgRAb52+~lKzQJi4+ z)XUR_W$=CBwu#u|)aqiT4c*lG;E0=NQ}g{+IQ&<;m@=Y7219}OZE>8^BQfT4bGjwj zEI+XO(u_-i*%Y@7ixF8rcBw3=8c>Z>@}l^j+S5f}FS_>S!V1L;hDxOXzH7P}dM9ON zpqaZ$q`wrARKEPv13K<~TogGn~U{d>y^))ps4QLUkb!lr_D-Ew&k(fsXRsR9h{8L2T zA<^SzWUq7PI18+7z<8t{iL3Wh^!uMgpU=qy60TCe(8ppvBs?D4nztwX^KTh{$vSM| zX2Q$-)b1m<2MX<*k%6rC`VFoh9S--OWxg_f?>pU67xR3PuRywwpAO_GM&Ec@VT-6#rIw(rM4f$wVcTMAW_qk}<-Q2wd|vfQucmZ<%Q6F;DJ zFd!rdjBH#gQR3t$mq-yw|7`lf)aC$eq{}?*r^-;|y3sJY43dhDsh_6~G>)jYtut|# zNYQe!hjtCtIvpl9%YY1fI?(y?&Hq8#TR=tiwGI12cS_d=1*IFMyG1~{K~lO^K#(r! zP6ec-8>FQrB_$*Uq+2?^GydNH_dM(U-tSrKzrK5|*)!u}wkP&JXXc#izHY`FqgM%0 zCZ3cSy%V4Bddh@i+N)*p?bou?2b+sPzsN1^;6o0fAqBPL3kU7e*+uo861qy1mS~1} z^bNakpwAdgV`nzf)jY5rBDLyy<lA7QCF`f@y0a4lizW{ID`^jm~D_8Aef~<-ry$Nwk|FDFsL-;hW7@ zEVL$V9u_q2ob>POhv(l2_v0$-67>1r{r(J&rTfuy50Gqza)&~sC62lZ@40kPMO{0s z)r;0)U||Y@*_eADavaW7Rk}V9_|(p%7)KFsp$cxHuU`eO1>f*oix1R7f_WYq+^ORUnOIpIYx`o zD>i)t~#RXvma@s9J3x(Kv%JKinuD=j;cV1jeH7oeqN0 zzwjmUDL&xb6XpH%jk}2-%v?9+#?^B?8$v|j4abzs<&!o{e8c14v!QNXUza%h6i}<7 z1&qu5x|z4S-z)NUfJ6(WhgDy)K!|X?=2^unz7~)kjThY1A#;zBpDx@fll*B(ainWV zePZ1$M+Vb?pScQH<2{mHo^ti-$dZ_uduL|w%omY`k>-tTj`N8$MkfX{pzk42?Y|SO zxQXv4NbcHpmJJPSrM3u^{&1&o=qdhO9b{p~-}x2afB$VUS>WBWhc()SMbbfz)1;TV zj_l9_mUUp>-NICBe~0J1Z|45V)z_-~geh7Q$tsGkVnUG$2PYn|jKqsRd#$bcQR(aB z(3z|rWapHgds0lw1zMqgO4HeR0DC6m(_39Mo$dTYp8kV-28lz#-IG1Ve38FFV79>5 z@8I+7ksG4fkep@k^EDki!k|ZNy_X^)5b0OtRGObzGXoY3C~I+Y4QWes2=o0!t&(g+ z^2}+u%0%?}SSFXuC^|sQ12G1H4@@}Ow-@NL-pLEhWv3^d1&HlCbnk}q%*iWH5yEqz7n!R*(Mr7Naftct2r zxd@g5kqV3bnWw5IeN0}H*fX*%a(ZrdAwqi zWSaKyTF&QP-;X2DxShlVE`Ztt?Su~>BVj?w82770R+)KM2L#lq0ulKCaR1N-H?okA zilRB`QYucv=%j?&Hzs={*+m+=tTE4vlFUot>(HX3b-ItJQ22?7{&RFrq!TK-94&I3bqfy1>Rg0 z?o5tq=6>k_W7&C@a?BP1>I1sahMYe#Tr)VGA!jm-CC}wNBNo8^)yGy)47TbX zu<6Ita4vGK?r{>H#qDKE+62oL#MtP4MIy%uLjwky)Xf_&X|3Lr_t1;;@f9TGJ5(th z+2y2$$u988oqYpO*O&r!UmA zEW$XORucp-kJ)}%z91v%Z_4m`5*PBexU<}Q&7FL?-Ad$(F9j;_$-7=dDraRnWv=!A zok{jc(u^P~-#5A~2vu(G)T+@BtSb>bNb=B4_{~_MmP2c;!%jM?Qd~%M+Q_Jnnoe7i z3`FXu0^gu!=sWpW(1y-okLe#q=hs!`7F@ZZG1}nf=zvS1ZB?yco& zG>>CyH+;mQ^t8s8tYZ#Hak^~;x%w|ksB?o{}{ z?JF*}-Tj3a2aw&+4Q7kiP1auc)*oQG*h^*o5(>;Hm_kpl?pbY>I0c^7BOV6~s5X1+ z4`Wam>$??;ONc4wtoAcUZ8wm}8y=in zRGL5n=XW>B0%YDN6;2-goBSu*sXK1SM!Z23$GD#&gRcyo}x{M;K%YX8cM+W^0hkEEgCq*vVmirSpI|~rBQSE2M3<70`he{n z8tUeoPm>v^KJoRiJaF&#;O?5uw+C7IS$j(pqPN~#a^*ALV3+2?+z!9>O#q%;@21rN z1{;XLAm+_9h`?age$DMe9wWd^8@WY`J2gck1&K6Xf8+tdC$y37+`b7zu0%aP)aSDb zA3GeVvTcz!^^5yb1R&7)gI0*aG@Dzc$o{F4-r(;jAcdVNxqXDlA>iXvrwgI6x+Bu@ z;?`14ji>(ORkQo|-qdP@FP3!pA*0AOOG}WM13W5%JpSJ&$pnn9lq^pW73`Xd&19Z1 zJ({^iCyt$bG7L=o+_13_fU!bF+V!YyK3ft=;EqoFv3XX8LA%Eq0X?XmoEq&=&h`7b zQSWd`@^MlUcb6>Mpzy9e{pHZdoGttE{!eK=TJAp zqEd(im{^{QlYV*^qi-+aRhVH=LANJMK{=oD^S%t#A&b%@X&_O{wbDyP;j``>Wl;QX z%Kz8MUcG|}xvXHprx~!_;11}KVfQ*iuzcr^_ivF@1lfxPj7HvllJ(19y?1QNFF6gK z*xQkjZwDM>uCxRj#!9zu<4O_g0I&!^d_&f$MMAc z6Bod{@cMQNUPsr-t=*$Pn79QnQMHscrF>xrH4Z`cIt`mx}($ z)ygLA2Lw!s^}3@)UU&;#K!N(p*u&>~>suUe5FQ$5vCThSzTHG(3HiL&=iGU6zYox} zwm$W#9AuDC(Qn(kl?_kGuKIO&ifZWLlllRbSlJHv#ukkKzQUJMG_j1%JGddUcP?Of zEcnzeB4%W4=>qE|@GK#e_gYg~lCc_hJP(LXKc@}(w(!KQ*FR?b)I2G(0<6ZS8ieOO zaK}JN^&h6w3>+3N?YZKSS1V}iJ$fW0t^^z4m8{cqP;oXU3D7Z?u&rDGCP zW*5Oa3Z<&XhrBU9G0mv)RZg*jZ!Fw`!frkDTe=>PUiRSu4mq8H(C@RzMsL#}aw~ZR zrRGRAObOI>pX^ZkHEdaNg7U5!s&5N)4U3nuTGsY0(-gE^L5Y(ye`o@eGin<-_<@{H zWO3!x5)uOwhk(dSrv(NfZ3EB1%-w@)0#irdupz*#`tr@^>Tka=ICZ^hNLXZ}MiEtC z<@c`{&+bq^jl+!sKB>;5q=f^Bn6l*<{JzNAp`VT)X*Od9h3JGzd?*`S2jLa9lc;8a zA+hgOYYb~qv2HZ zjM?71UjST_(?9oLCk0^mL<$uaw~lzkB(QnK?qN5Lt{*+oIIsZ0NX94pgi03jTCJY% zk}H2K9Y|QYMtmHc!ZkLp`?_-k@QJA(N}48S6%54=Y#+%egv6#*w{#vMl10T-VDmeB zf~7+w#p?50TrsbJ`2)bf9z<_w+eXAFylxWwC1SYL8hp}!m+*Ux?d|LE*pq<$!p3$~ zJvyfM4`R~r>0IB$wM~KDi_A-=^)rtb?n56bsrD=JwNggPj-Q86Q56o_6Lvpni`|q1?H__jC@o z9-HGWap4AWc00IyleKzZOfI>!;rql5$~`Kpw`sXh74v}h6E5jjkzc-oU3_+F*Ee;m zTbN|(A<0>v8qN^x-b9jkAImj=9AO)EWX3h^-9P9L{15BPPyNw(}pc>?cy@=f7wfzH?@i0zvh%nMc|H~ z21%85&j|7(UJ;tR?BtK#saU*@$jE9O89lp{4eTc3GXw#_k)5;amj+hpIXz3~#Oz8g z5g*5wZzWvgyRT5VG#o+tIcNOB{R|dv_p5!??IvOlIr*2tYO7p%6ZnSaStM$}wfd(- zC+$vs=y@Pf51RR#@Vdn{8n-t=rTx>t#LXj9!1(4a3VwO#?hWjL;LBIR{dm;oK@r)- zqo>F?k37mM+rZqRxudm}U z{|zGf*<<>qcX8OyXXCRd35j!yst0}meD;VVAxTA5YsYtqWIWbyVn3V^QV8VNuI^*K zh_2`!2Xns(GHdFZduI0W)zh2CG4egE-O?73-C%^jfT3f!iT85(=XpQrw% za-CmEw-ukl?)tHUH*QqyXJk|moy2*IhHL(iAa2oy*V10b%<2}MRo*tGW_WzZ(AxPM zm;$iS35Q1FX?-UEe1m`> z3$|oFp9llAHIw+N+VX;?0WUDJw64$Zw| z`rSWCjRLA$o`6pzEYD5dvXoTj*EkC)gqNk9eX3f$$|F~IV-vVPWP0k_%9;;_Auct`?gP?v|Ry~_Z#K`yw)@%Q=W}Mo< z23koafc@OUH7Bo-reNHRPtd7N#0-)?Pk0z(55z)4?e?cJh zOm7yQh%WZ?_pw_{6x=kvoXWC6(qis1de~UZG!BrB%Ux%{SbLasU zj4tr~d$!IH=!K?tFdSc%N5|O#;+fFovF|M6zG(@BFIki` zWPT=FCxv0qKfxOoD<{=|<{CgN@>^i^vTY9pEX%jtP)Qnvi*t~iskq)_b(`?{P-3^3 z#Aqb7&7Rr>?hy48^MoGY5@p3j9|ZLLDwcZfP($-tX5b_VC%WJe4{$kiXFshf8wh9M z6^~5)F-It+qd!XK_t~oNl#qqC#}}-e)V@0*mS3D6FG$2>a|-Q3HM1H>DK-n)r_~P? zX)6Fms05B*=Yx2y<@N&m+2&f_YM}(oLJ$g*HJ&sjzAkTo4~U z^1vh%qbZZszvQx9b|0PPi}*7n&jMVFvJ*`y)m%_KdVt(AOf=GZaElrzt|_x;Z2e(E zJ28wy9CNWzedareC)pTUJiB5tDy}^ln?J=@>bYh8cF9^Pq;7UpOD;nOS|Uw9?op?* zTRH?ph&wvzn=@Td2N)mK%~GC!r+nz(4T^R6z{su9$#T?P=pW^)bz#L1AqSRs%Fd+= zziHTYN5KifZ{!wYv~MqTU0!rj9Q2NTq>SgAM5SaG$S7{GvT*{d^cfWq8=T^(a;d^H zvY3+LYYmT;1IWBnC%$AzbZ8rbo3VXEE-UY;K*QI0Jl?Thc`KIAe_B?9W@n!e)-;_n z0CgvR`-tW^@60TPu;XBw>HGQTC-*A9_A>I@$b09_s{qe_dI(Pr6uhLYAAh=SGU5OV zkpGzOuXp|0g@vZ}*n?p4@wHw{mkFlKA2L4mZ)eY?%3*^rR1fi};Pl`7*r&t6!OuSk%I$>o}BkswUdb zF}#-zqU10dz{cc`?3R^QQcmp%$~Whv$yJMXv1cycJc4T_D*LLV)POY)$*i$cOy8uH zlsC91B*WO$!Yd_Ww6ca+bJ`)-eFD7XHEdbD7F)KYPr0&FG{7Z&I5d!OE~LqRJ}O-) zxXuLj9r~gdW;H?&M77PyX?V2s)t=Ppn!{MXaFioqWGr?9IrkNvBHAZ~r2Vt=LI(;# zq-xf|dG|D@U(O(8PolV%0lk-!ez9$12w0DACDNOPYHsd&#~|SH`}ZG5aNhFV1cm_@ z0A5@|an%kPFyTZOq`-vTLXSHL7lc6pc@7Z}5fPD)(aA|yIYPDzJuFF^5^xP*FbgX5;{P%p5rCNNk>77V2m z9$gSV1~HfaC_sA$28Ne+cHr3M-rhcB?t}!i2mgR(e=VR8V|GCT7tcc0SsIW9LxQ}s zbWm^imtfEZc3()xX97e1OI~Kd2q0S~1e`K4vB@budj<(;RUqh$4DOzVLzobN`44ic z>-LX%7V5<}>wjA^VFB$hSl|*21`9HQ!Gg13n2`PxqA%eQ25n);xC_yyF)=Ace>u#` zO2|X|4}uA2FdG`Mzce+?{9~Vm2sEg#U%n7~2|d=J$2zpX(EmX%Ato&g1|a%!dwYL> z|L}x>fZ!fH;5J$~l?FkWkU|sZAG{eb2?;}3vn14o6!egW9x_WX7&3%7%gRC6D4b7| zS1^I0z+p_qr_f?T=qX&l3#~nT{UI*X#nsgf3V0nrxQ7-Sss#vPYQBB}A3uG{&4MB4 zL6HyjThIx-SJYx|Q|1g=*|4|B$vh2s8VFFTXLIE%L_iuzVM4tZs$3qK|YbdDg z5Tls|LxD4z=onDHm{|}!*bYPcN3e;53oRoa6!=gPdlEjr$B&;pfl3@gH4gtvx&nu| zF{BmE|5|6^eoaIOY=*kAg%;Hg3VRb65}a^0fx(>GVZa#*w^whYqTzgVDm);nF)b|} zl5IkfnMsuSmu`kIx155{{~y@g4-H8qh}j%mg8g-E!iJ&Mgy?3-w+RVu|3aJN{Ggp(6fxX=WaEV1Hk!at;bQ96wk~s(Kt+^QxKQ>t1R{%I!FkQy6FdS! zC<6I%`4NaopbwCmK=%W)U|8hvp!f@9Q(7co0{D775|)&dk}>B;{yQ1En9!A;scBfk zNBt^{l+?@H+b1xvptxjU>VMPu5SKp>4a22hzc#l~P|>ikpF9zO)AfJ7wPJr02_$8$ ztO;x&*Rj35 zfQ-cg9d3M%SGp=rIi zxU!ClhJk?z*>Iu2g=Q)-pOA={we>wHy}-`i-X2P;a7lpAFeqyv+gv_S0X7sUPB1bu zK5=~m1$^ZZQCL~oKHcDR{)77SJr)u+GB$&FeX~-+82G?WOv=oHhHyt$@7~_QLBP`h zC0ux7!TH4nd}uN}Vpc8ChH050-qVH7PcO{iWlJOA2i$5n^KN-f&Q#O>m(xKt+*ZvP6=Gz&dqW(=~ z;N*m+y0C$fkr6bfEi7MJ$3Z8-q}2LWbGO1-Oz1q<-7~rcoy-njAWOG7kwlb~*&7VL)nPXBT((HyIy-HkWu2dKi|V;EOcf zJ4%>s@X|U0?D3L6+!?M6Im4I4U@(CtStu!Gi3bMZ$HEYPyd(kf$4lHWnCOxq3?{b3 z1%rvhtyB_APhfGfORNZSo=bEHzm%>2?Y6lF0w74XTpO9$n96!^c7!FbI_UdRwxyqn zRJ(W6_`T<}%r=yX9=vqM)P?lQVH@IN^g<;j6i8?w)(A^|?)GV>o6CIv-uL9{#;T|CyuEVd(*Eh^N>E9d zX1`X?D34a-CA&=`QwBO0vl_mwqbB{}FWsXZzxdz(0k_9_$#UC=vJW@9BV8rp7&`fu zH&Y1H10-S%xKVHgrog@~r!sbXi)%2=l@bFsgMqL1%U9pU@S?|sE-DJF=_G&`L!q3Y zKTpuxO6)q{P5!*FkO(Cv!U|c2D2>4W7~j`GqM|oU4)`z)jO-IkxL*i8Wwd)-QseS5 zp;#V?^HQ%9ytTqhx{i<)yEk@=$lSoE;5-byqB7TZwVli#hXZLA zXFrmppTNR<5|(dF)((n*-lm#}(e>A#f(5~RWWOJ)yLjfmw29;PvM%b;L`MEI15k)a zbsjLjX-^2-aamR(aVZRJMR#-XN6jGY_lgP7+67@+EHA@L zec~CDUdeRByN>MW!mP_T-!0-^DnH=S2XBNLXvt~{=Gb-g9P21URVwy>kIa}pb48OU zjSj!wKLPyrL$S6@PBdJTUKrXJYW=atHsyV!oueM5z8~~fwy6(9`qPLZ($lQP25r#R zQLB1&{&ahI6TSxuFZ(zuf; z4g3-68C>F~rpIr|9v0+aI7Z#?eY=RwZ|zHK?+5wFvI3vd8iw{uhe9yX4m?z=51-!98@RB#h-$qEh06Qs@RV|Wv}+n9 zKQ)m+PW8h~x@vs$T+eSSnysZ%@XS^K1ZdL6Y<|aIxD0Ajx69`?b0qRAh%9tR9`n9z zB(%8V09(h%SnN(qZHp({nM7LVIHMu^3*uy6lKoZ3CQ;~@-$5mP_}RBEM7?%D!FxRf z8_m}xgg9Kpm6N|jtLEu)19riPAC{UsU%h|5le3g&rm>P!Fr9}xw3GUKmtU@%H0eu#1GW5q6|shv#7SW>yCe#u3Cm+m z>WAWwvHTSWk_*ulz|WAt&I$>OFV5#Rj`A)ug)ah}A61BAz45=Zkm~oUl?FKBd;y|; zMibrVmPu0&J7}V8L~ij4s=3ep4l1QKIY0S9u8C_^Siv4=v^|PGp&ui7ICd0F%R&(uNMMn8i~O z)Kn?Ek5grF##>m2{4qKFeA~*A6=2qE8Ea79@@+i%I*~5cdtA~C9T7|H{Hs&OYt4?+ zwYMPa0-qCxu-g}k&aKW;Lfmf1BhcjZ_TA3;M2pjQ|GgxTXK(save^PL61>GLwENM> zz<(N-Fj&#)65k`2-u3_yBq{6(#+~eGH7@<2Ao+bdmi+lo7tc4X6fi3g#xt+l0_;k1 zOvYp7S;i=4hS&K`NO|_lK4cJD6tNlAEKnApj{$TJ{$KIS-tP@p6?`Q) z`7CrAR)_8I+HoE*_%6$$RS_JnkqdamPP%jkO`CNk-&dGKcJ*JGI1niSa)f*>8CDXQ zEyK0$uLIFp-L$7}OmJKSQ4x2f8<*ziKxmAxDLOM(#MU?o%EM**%~6D(wHk}}U(ATh zviL$p7=eb-f(i+9{NTH*m8xl0;2=CN_r%hqDx!~%Gfh5bI}N&b-M!iGluMsf5Vzzx z*%Cy{uH?ha{NDZ&!2UZp(c|^ zFk3v9dxG`|Ym75(Mh7S}qqO;Fwd?5t@(#V$BP;g2IfRHcCR0_qe0H@MnJ7@@&8b&6 z6N0VZwoPh8gEBpI=Tp`3urrGj!KQ|K@i!}YL5uw-^s1_MjoRBC z{x14wf2d+}0ONhJgcK}n+fZf+|l>dv1w&^xfwp8~ApW-0NZ zBeOyos1jtF5alnUKEX=N`j!)RJ1MHm>!Ul!h@2g{`JAv<+BOgZ1!;<~Tt7L(NOOk|#yuE@GYV2O_+~L5x70I&Xx%jrAF6N4UXS&2(wyfo&UzRk zmCl(|?_{J#RhAycHs@MqU_jjz?s}*s2CO zz?A^sXd%nzaY^3|vH9nkV+iRHBoeRsOvwYyFFAA?%)y;C0(pfJ{`)NCWJBqq)CWBE znQiZ9k3#9XiLyoWhEc*U9Oiezi$If+ii>(B0_wFsBQh&LPus4jbSHU^xqWU0EivT4ekM>Rjme9n-L3tz!G`2tQZvVeF=A%9>A?>qWzYhMkV zRSjy5uRo{n7Vr8~W1sjUas^;y3^3T#3u-;);y4$x__y!FW>AXmzrBT0=k}qCV8{P~ zxCKzMDflE;g8q?(}OUs~V)kvgXN{x7ZX--1T}&FcHF4FbWzU&q2Htb>glcs_Wzd=HoN0P|F;f~ z|KAy56SjXCVR`8J|CJFYDKhys&o*L&uR(j|@^s5rLOlXMHlg9OJq_M=bmY}3fXeo6 zeVypI6j{DpLluc4Q(jg0_LbB^*-2zyDzqgZwR{3A@0q85u9Z8Y z50)mXiEk|{4-4w3)new`^L2D`e6TP9lo(lrA@~AmVuu=Jn!v>nyOaB^>t%OAsbWoo znc=_~kO;eA?`8V)V{ph#JD~Q@(szgM`LTBas2CnOb|MISW1!MR-zKKoA@s7}WL?I_ zlPnGe^GC6HdPU?_p!}1?=XBsoCR1aDTb4yFF9~+Vf7p8TJdE3oOsI2~N8GtSj=Qj5)%zAZ&381qyJgb;0SDktNM}C zuI53>YyWNuOW#VS_UvDL#vgs`L}rOaf|dcx-W~Cb>Mu&pLj>wp{(c&9z7y=k-AkU4 z_rX@=;U5lwzTcy3-TpJJ7S%!bWeS-Mo{n1_1EJ#jYm7NEp}O2{ppMX)Q^epPV8B_m zgIDEmS<3(I!SvUI>37vA0zMBO>;l^^b2j~hZG9|Nwm)kO0wwnH+$y;#6x``?Y++ms z2Gr0cvth?S&qXyF++}U_sbb}QEy_rV2_*LQoSzU_Q%dXuNT1Z%VP>N>{09SlO3!CypM6LYCON(S^fD8%t9Ayeu?A+WVh*PO{Zd>|_BX!ZiF70%8Woi{p2M$-T z+&_0WGtSzlFL4&BW2zhVPQLS?7u53AA-eKs(*aRcsp@-mGZ1%-Q2{Q?=l)BHdcoGSlDP;4UMSYX#L-Ne|?4`&x;FC?4w3 zp*zPJC)ppKG48XA1ffu(dJ+M#k5QyW7;Lszc^jJOWrY@Gx`R6MCbofWJg#q#TM;@y zH$!lh_(qJR=$9|mKbR+mnDQZmi_M zBtT*t!4nWe{>b4e5ty(hdYDJxcNAVH1VeEH6)Y;RD%yigS=HMPXhzzC(S@D56$&OB zF7vVh7qdbRSiZT!sqJm@{y5c3E4A=W*ndZEwbm4tpgHJ%$|v%C8&H!Yupc*ACRYs< z$7(+Nl>b?T@{E|A>>Kumrl^t7&r2X1!}GJFlUj3%ehYJ_{~_ABMop!lg;`&eVo9R; zBFQBi>&};dS^veE$t(Ru2y@QEeX_J&{l&~#Fy%}56@%;Zqpchp*daD6 z_i5(iu+0LCZ428y`6C~X2f#@7K89JhI`CVsFtx!eV(e>9aM~@y(3|87jP2%8rdgb7NI!o2;=9KljwZ7~6xIt$k7ZKj* zWLp$Ule$rE{<@o8@W*z}M`ORQ@z=K6iY`092s2L>RJ{IKsqCBQjFwF|7=Tby_dfFR zvqgt!O3z^%qrT4k7lGS&XeD2bvJz5>Xi7o!58a@a+^%KPPEk3hzFho>sktHJXU%>R0{{K^D>_&Lr?(^-qDCNEa; zxlRz2pjQeaUE?nUjmm;9U09%dW<+dcvjmJI+wX|~n%?~M@{Y(^#2nj`( zmob`2h;qVDtRB1`rCeeK2n@1*{n-yGQktY>bofPIblhP5zj*-#nr-IO^q6xTY}c|Gd0fWv zASOHaZI9nH9IJ|t29RgGUCPE>zm1=y1Y1w?8fDjx7+qWaMd!8G#`waNSy%hyyWMU_ zCH6Xc{&ny5YkoYW#Ck-+tM)ofM3I?fc!I#tXis@T<%JOt-c|`AJiico;J7 zN$Fkbi;2f`&pSv9TNSc^_GK=t@Onz09vUiT79;DzFw`|$Ln0Xz{OuB(KJp}jxsHYp z9L)M|U&5KA6+B<7w<-?&M5w)Zc-E32Z+&Q>0T54+I7UBfBH-pA;|x#5(Do`z$**UN zM#fn`qf{P;_Qe%yY`;pf z3@w}$04pJnA2&wTgH)-WPFwC8k6(CEVg|Re3f|4sR=gb(@4%;kfmzLG2PXGc=}*Ki zXs@&`pWDVLSLFIu5Fwqul1>JVk0=U*dQ-|Ri(=>olJ&Ke@%D7yR*{+~#xqhPJNyy? z)WR0^thY=}x5B@L(426X+pwoJWs{!|vxxbh(^pd20X`a)LV9JLI&$HnUN3*?5iNz%hepLd~%L#vJ}7mysAN{`*6*n0utQ*S4xZo$1~@TtRDK&slC zu@YsunabcEP_db_K4Lmbz_(M-YCQLSFy+RrN9v{Y)ZMQ>XXPR6Ex3zO@h`iFierCZ zK%ShH(tB65Af|RrFD6-ZRVDUbFCJ8ArDRR_rkvXlzpDKD3svTG;zKFhKS&$Sx?PW# z^T=9&vSHyn8)Xe+!k@&=%St*oyFn{orH(%%do7sUydqVL1u25tLdHk7sQdB>A>(J z!ayqaA2-Anb;K=%l{ex>4iQ27faH8)*RzGmy!({epn86Zgd%&C{h3u*NyO$YwW(<5 zIiP4n7m}}R6qQV@yJq9O#5~?0)?#sNl~HeA!_X>nI0n~=Y3&K@xCynfpSfzsjeb9h z=@{5WBo}qsf3S+j>5~R(X4xxq$Jwk2=fbgnx$=9hP|AJV|Aa=T>=V0#B@7wWa*IV@ zMVS@u7--x`*3qdY(tP;2Ti((&=P|u8z#yhI4*c?nW5aV<4f3;>9&i-ILG_B}44Rvt2mAr0)O3|5|L`y*rs=dg^?%Y0vkuwqae^L<0i_L#=Z zb5Y)CpVP~A)ZTk)(fzv_?*!f%j-~~8>qo+mJO0pq?e#9Jij`RNw?)nR_lZR~WtCI- zSb6TwfY+izP$9BziYc#etZ*{*Asc%8%%bsx+v~(Rx6!MCYsedrp(qPN-lr7*RZlBw%8lRIJ?PCri zTX!euJpY_IW?%*-kX6Al$cHs#6+U}1MSY@I#ZM>!1KUJ$>i&VUDxz&PLiGI@^oRGa zsW@H~j%}Vk4J(pQ1VTg)Ja3dgOkR~6rY*2UOT+FWQjItc~F@Mzv69pq=)il=X(hjLy(X47fDo zYd3~;?IS&Pi(0;<#WwR8ARD#c#t}f%`!F%D>IWE#d9qxTn~M?xw9iyya`*AM{84Re z@o*4n8lG?Qbz=cXQf|2j^AaTWX_zU51h0LfvfBNz2DtM?X`fQRyhynLi5)~&oi)iA* zaBYR&GiHtX@|s&Y&wN1lmE(S~S3M_wt@ow%Z?XJVXB{q2n@+;-J-dZy6lgerPN#1% zqr>8YZ1JckSAw;{O{te9$1wG7i}u&!dF>nm;I}i;E-Ir=Mj&VOM90Q&@e=0so-`oi z+>GI~@Fl0JJm?Wf;ubNA?oG+1#t(>IICL5MA+FRWD1tI%>u2(tz6<GcJ^IWD#^zwBAWw)PVHr`>YIj z`T9dE9j~Zw+eI~w=+kXVqXF05>LnjHmQ%mA(xE>RK<`zvm{j%PcZN$Wi@9|$_NP*w z*jieccm_Rm6J>z@IS6u&B9>RuHt_w3WY}pGH<)v}%QJoyTpQ<+*O7KCu?Cox-SWC0 zDn%K&#$LH-4vgILidU>qugVP!lUfA5&uavFMs5);G|B#`d|k@5WNt3+dPW{I_9JS} zEL{L5L49aT9%Io4Y@lONbH{bA(7QzE)hsUWpjn2two^Y+bd2f()U51Dzpshxex{K; zw)2mPzaS@h@K!mqA>Dh607u0M4>-CX@LpXyM3>(2X|-#0jW7M$IK9WC8re5}bw?vs zx(W*R@j1-vCA9k2LgJTwVh)$vN}GIpBh)rQOVV(Lnyj1m4~+pDtn{j#1GloY8mw^yw$`pQ#pmXCB@;sg{Z+$^eCoNNf>tObKBH#r zj?~!kb#Ybw(AdQ04h{`1JMR-!NB8U!u(GG=^d`1z?3#c^%-prIw&4_$T0mS{O|yT& zb9if)7cfJ=kTZ4;q~g%00B4pGDT9RVQUvO~$G z<{9##helDeh)7u3VU|7{1R;DYh z!QCU3xCB?2PiXeuDGi6#F_TS~Ch;Q{b|SZoea&k{)9~jFCkzZgATs;?+p-YTP1X4N-&o8R z8I70hYWD9ln&%Ozm@Qr>g8b1-w1*t#>Dk@AnD`X;xkBE@r40U{7Kp6MImF5GQHgO924(jR(3Arog5s5U}1=8gLIRSI&=E!<_7YxA|j!}E8lZ* zal>x{2*WWY@WRaEWf(-CK^!Z@?LzhJ;V>2yNl6gx1i^%$6V6C(Y#sh{^8vCu!s+A7 zTL{Tg_)F1(zZz4B2h=k#4GInodmjNYS&&);3W)E62tR~|FVKQC{--W1DIG5`4&ezw^FX%HUD*nq7PEW(ZCb%JS zVPR-oc=5u_3?d{UMzXW3 z2aeN0a@GKdB!jb7aIhDIg{}TAW4{539_65bNLmHR@e7$q2QV<9itqqJ;PBuQMF^aN zZiP4xLjfV8-^a!n;Yc+nCm9!S&|fAP+LG~!@NEX6dnYG<{``5t3H~ZyA>>$73qmK$ zAZ`u5h2Q{WBOGCT?EyR>8W*mDg`m6HS!fLx76nDc#FIWkVBs~iDsZM7qPY1_bS1j2dcnZM>s2n2*E;~n_To~KVC)9OD+ z?_Zd=yc}{5V?%%#86Lj%U!>0gZn*4)I7WEI`O$H9c2hP0k*pBk#>)#ICjV8+J{iJE zAt3u)Lla^`0h|e~{YSUk)O3#&S}V9Gm)gVYFJbKM4f9!smHp!@mV_&Yp~%Y4&dJS% zNOeSr6^5ueQ!_JkI9(38S*J7QVi*3D?y^YF?932ycF- z0(oNLL^3v{eEo+bCUk@rA5H?hx&dhU$HvAWd>1Zep8Ns1n4v)dQM)K;=;$mE0sp^P zdkd&4zP|By4j|p#o060iBm||TB%~!Jl}z_+xtz!ufMwzjsh1xNB27-8x|gq@9z3Nnt6Tjf)Opf+^p z-q6+6GYE`80hs$?VSm}q=-A4t>e~7lnDv;Phb~jheDz?1l!}Um_R19ng?}v9YHIMT zdHO)K+uuJhGdmZ+uNRtj3knK~U|^=#gka|CK)A?NDinvj~B39=xy2o2EPy}f;qkN)cd9sGO%(bf}uLS{B@9`LWSf(wF>?YwAa zdCMvs_5m0X^IxPjsR2O8(A&4o7~?W*ck}=Fls6&T4`U0a&o0Kw~?^?%p5R%AoQb6D*;KjXeS&7tIE7 zadu2_z<+RBAy`~oTy_d{&@IddyQ%BfVUFow6x6UQGBLOFe((ep6bwH@NG?Ji3IqCT z|5C+Jwi^-I3acM-5mZ!^T|9X#JhAbQ;dKIvcPlXJ>9zsFe}Ua~u*)x`C%_nYr)DuE zt<}H0({R0z`!DS@Tz^AD2z?n7b_b}{RXahPjSX1lVFMW8d4r?ZFyPgJhK`Qm{CQ|K z$AkjhOc7Q~K=m{P(v?GD!9y#8sI(%tFHm8u(|;M}>({k)^$kri!_$zC$CNkRh0--FkOSAfX@AHHyF7S}g;!Y&&u(|y(K#$47IxGy zpnMu*pT>!Y@fdFQx1=Ey=?z4ZUWQ;LC#QrW_^_`{hqW?I?_sS)3rurNkJCM{0lEM0 z-|e;Rbsp%pqW&tUasDc&;m6r&MUY*G3}nwC%W;ShcFCvkIs?W3pa4WNQE+l{Vy=OZ zsumDYyru%t2K!7LSpA}{6AG=@*F5X1)a3tJ3rZHG2O5PP&C;vk1UJBR93M=zlIAg4`% zf)evH9ORKc+WP+`Uyh-jgFJL?_UN5n6(VKo!6Q|R(G9&xB&euDq$B{+D!(`u=nu3R zh~2m70~1^x_avBa9UQ*5ZK19|7Jg}UP8WO|tPZdwTOk*@jH`P+<#O8#GP7`nKa(!J zpYinW${J>ZnV}@PkyoEj9k!QlXWF>1j>i7Tb!v{!crm=)SkLJw4e%8h^RL7nuCEI^zKGN)YekezONifEI(hn zCq@Of_g9OXwxZvdhg)@Aiwc;Zd+6d%^F}y*V~x`A?)r0l@N-C+eV(_lhn#xysXIQ? zIH50Bv#_z^nC~^qmF8Bg10Ww6Zq0N)ffwJsU`}}acM{P)9;MBwgdnHtB2f-Gd_XC5 zLeaDP$x=#H$imv?dBTYZd)<2KBmUp{0TlP8hh1y{9z!PDL~Bp6Y)bLlc(55`&UeM0 zmv5IPOG7?C!w>t=0eF3qa*umssXlCG3xw70wY$*7ynHhM9!;3AXJ?~62nEntq-?kG zRs3Gtz2XeriXGd){ftr!ZhDok766=syw zyO!4Vog{V|@E*1qog;Xk-loz{B`9xUy}~TPQz3eC09L3R7U<<+p<>!lhzxW(PdVTm25Ww7jUHyBF zP!F>l&$R=ryBn*exZrNd$epHv`n8B68#`rfqqCs5atq9b{a(_S%el%7ObGzq!mTD& z>Bo^yeUb4u4ad&PvwtApE%Z3-bWf`91jpb3^;;CYsmjAySEC1|bh=hw_uG&cJ=ON! znSI?@&t;j!0>;eFXsT%wP^0KVmQ2ALvw?j~HS~FT$_jTU=+l@g3IT6TvCKs7NS=uj zX*R*yrxT|3w1~rwmFjGG1-+6%qz20Ck{j4o1Nh|}M?5DJKShq?>J0XxFIa~NUN(|? zcFYN!eG=JvKcdMCR(JVJSqwdP^LQ@$@ku{;piLlhQ^4y0e1Bc`Ff^Jr^8QIEqefbl z`A2*wTl}V*^zNSW;WZ+@Ux32L1){dD#A5+N#ilbXh6;{)mKODfV6wmg5)!fBza@dN zb5@$uiEP-bri~iw`X>5)Gd*l-uT@7%~o|t>7fsZTj)MOL+3<@s^X-LzxTb8kxw`n#L*p#mbx+LBb64+5WGscN8Qn zqet2%?8y#Ku+CM7^Sj9BePw?3T@VyK!T%}a3V!Eal=ip+l?1C-o4=n3)jc%(rs7Vn z(zykc=FJ{Zv3JRP`wOhJlWP6gB6*c>YqYPPbsn4dAxXh?`QeR&; zV)3$Wcq!&C*Po5cG?f<~1n$eo6`Qn-Q%dvl5aVV{J5yX5)=bTC3&@(poiCKQzXRwz z{}7o^6L;twx+i`vKj1 z7#Mlcv!*EZo6oauuqSr-^G-HXG48hzZS$$s^{S$2>7~dCxmArf+%D=$oxqa(&;XZ_ z%Xl#KqQUY<{K3@@C+yk&2(Pw^V`^3+u1awCjoLL9v}_+CON+1k2i)R;>8y>g9()eh z=i=g)V@gUO!aAiy^SL{_Nh0@*(@Vl%PjVT>;g|rI2U?Wmc@TCZ77mck1F0pSQU-q^ZE7NL6qb$Ekoz=*WSN7eg82uo z243>=vlOS^Cve6(uXi?~z#)6v_REaV#n}K6y@5X#pS=t3meLFvD<(W?KE}%WC;()m zd|vEw5?r8dKmKw}(QjF7W(iT=)X_xa;Adqic?kpB1}C0E2dWj(M50))rhANGyRmBK zQ|Qne4|i~q#AkRwM`cIxbp}?cN0V>987=l)Je4nK%%E6P|JhlbK3lI94rb~U>Mt@) zneCn%9~mrj25a&1cSMtngga#xXju7w832ig?KQYh70!-VdtMz`Ffx~Z@YJ4v)FUh9 z**fp+1fLf5FOR({{1~HTpGTGxyV^lBEB^#rMe?QIhqH~|a#9Li@N@i=;;gyyS&iTA zOs0_U?=GDe@jEw1`0k=$Sm0x|Z$H5IFM;{Mic%x(*wHYUS7&o#UD_qB)W-*p)h0sf zgRC=9BwWfU=e(+c#X#GPVrmh}q*Am#jy^1`aMPII!ZKC^#D)^h$j<$pT%h5eP&heK zo+~z+g{1k?tw&yceuaSvoV%b=$fzVgFhj4!8?t>$8zJ)4>L~>)?ZrVa6X*H%DG-Kh zRpnr4-S^dlF;(N+rgg6Pk0olg-9QJbxBmA$?ze(8@ssO8S1aBUjRt9^zt+^ea=H60 zkq@(pz>|W9)1SzH0nU~uPncdbm+VQVT#{neMu~MMlvo$%?uXw9dU=Cey8AT}%nMTq{`xDJOASCV0oK?=}lr2l@ z7O_JX$Ij!%TF1Z{?C6_{De>yVKfyVl)80D7O@FqZ>2X?!9_x~A?)b#jHG5#N@LNCR*@Lq~q z)?K3AI#pGHMi1u`BLgcr&`z(2#e@**4w>%6vrY5}J=bREZk13Mb;2$K#Lq@-!27`t zwnC*xjv*74CtXv6T@8#+s>$r`ykcdNp{`dXy9UNGW)@~TCr8M=A0O;1J`G!Se0)(Q zn0Ias*EIF)-Vij>gwEA4N`CX}Z zfBhyS?q2!|YQFI7&y)9)gDq!swLFB(V&D&L&BxC{6{v3~;Ra=+IEp#uG}%nT*j8qP zgRJuIMt0!aV;n382H*6{SoZbz^FkeXdVUHdzBxNmV*Kepo5>IjmYyxtG(<<=H;t^4 zHKU3)SUPY2j!|dYXnGfSylf@A4%}ZE{PrfOf~8-nT%c)9IqC{}mnQU!;qqH5BFzRm zt}CF!xIEBc_N`)N2ZR24_SZsHD0@0>4`F%EE6up`d7u_hlarWCjWM~tRv8-2=?N=W_}L!aDB_a?a;>w^%3sNBJ`NA56)AMakfQFeU#LCF8-{L(DTf z^!ypKDZorK^JDWrGur_3KoJ(x{zqmT@WDB<;Otock=X|SK->SB*#_`2&OZ+-y}bWh zTQphhL(C2RznSfSL(Pz{=0{t=`#%gaeF3uLm`3t`Mc1hWvuEW|L2i_nDJb?UG561a-J&GKR| z2XEF7KA)GxZQ>W5qvb<)8-}e2=@q z{{HFW=#6Xsm#^V3L{QhDTCMX$4Cp3%wg@k9nSkN$<`1J0!Euv50xe%GTTEWCWh!`G zz#_&fwoxo&uKEGeHnAG>{3P%0Jy|M=a2EoH#iZOQm`{fdpuPMBC>CMtM_r; zkoA@rBJ)q|`on-%h7P{&mO_X~v^D7nf#eyvRz32hwKH0X?@7XQI9&D6g&TG02zZE!B808mpFbijcdFW z%sT3OV`$gDQxsod%5%UYT=Rs=Od|9vr_KfMq9;{-J(Z;=V(+%<)Py8aQc;0G%3f+W zZe~@=@#x#L`>CUutslBCl#<kP9!4^&w*vLooEIQ!3CsZD)!{@2T>6u$3> z&q(KkmqxFwiJ+x*@BWu^slu?2)Aj7UkB^=9C&F*ucIV+sVNiKwc#EeAkQZ?=vV8TX zPS5}$L+Kntcb1&F%G@Db^D zbJQpqyMvNR^h-+D}glUg~wRpS^g5Wm}OY4200j zaTH4<-i@?9fuz~kWsE%HjW{puEbl2vD`DAj&Vnx`nT-yjJ_(Ont_$a_{6dF2hl~cD z`>o2cs5>%UJmw98mb*O(J_nsI!y~b9cfT0X7-f+e!a~b9@bknuEB`1>HdZkftQKD@#bOvd74D{HOE!RcsS z;8Xs}e+(@0+;1+i-C@U#j5pyD*(Fk!43_wqvpxRWC%A}v;@%0Mw-a!&?WCi+LDYHi z*_fkAopirLRrl9N#nP!SF3tv$4g&%&guj<5J579V3Bws?gH+lLEox>$C|= z9)Lh6zn*+>gyDtEmHHp*Mb?}#V&-{d>5pU_5}q_HjoSc+JwG0%{R1p&g402DYHD{6 zA|KT^HB0Enhc5ch*R0e*^;}5V7ZmSw>=V1ex(~+_We-Z$pC8C52_2IVtr@i|fqTCm zb}37mzg~5x^9j)Gjb%S;5gq%uRryeoa3HfkuuAOPc$)sk=KE*mZkI-$ht+C>1d>73Yol;Co-3vf_yb*4biNd`Ik+(}{fe=_bFH`8$bP zZT-$1?gA?~cQ$9%Toe^aHObaLc07nrbYFW=8N25tU4tA$y@Hz`;JlB|zs#15pG7Dl z7T_?cZ}Aqf*z@N!ExsM`Lu{ks5=c2!s`dypic&~#%D_{XD9d+^)5-0iX`B`Ix}7l* zFb}v}w9Sv_0Y3LeFzR-aZE0g=n8UB>Pn!gu*l0%9rKDP1FdK&|J>y*-c@gEAFzkTDS_xqozU4>RX94?WcJA`xwQ?Dya0Ke8T z8d@!qV7XNKI|YGa$n%@4K2L?>QYrG|dK|jbWWjlwa9z}h3dq|{vDyE*Y@W5llSZd`C|gEtMw!s+TGmxoxu@9lSclN%~HjY^|r zkBR+TNd0dtUugdM^G0sPd&S1iR=J!8y z&;}XYmpJbxtScNcku5Ra==RF0>7HIZx6&orUdc?9YN`$BOp^MZwOSkMPAYEI~n0@whdZhn>3HAZAo6II|Vyj%0NnP-rhjA+8_dtYl zHFqV=DhK);)uWZBbYiYPM^3@L!DnhR4hkLps~^B*6`p~fMt`Yae)V_e*s~$(K3V5= zud2jkN84}#{b5b;U_?4i_B)QeiWjP=OP5|dy%X%x(c?d|Re?jqLo7UPND?6Il9+xmx?;>d~@uu#vholM?uXo0DaJVOR`*Zam^? zme-2b3;0HT;c&Uq(O=vwTz^cH9r$d>P#5YfJ*iCLi{O7TsVkHjeD6d;pHJI=T*G15 zSQcccdz+7m3^#ue~Zy$seZc4@Mp) zsQXvx7RP({>&!=PUCMQ(_w4(2kkU(yV;wf7X$Wk>BOYH2jksS?H7i3CE)g1#RO?iT z_hDxHkeD%rD47X(33<4L(U`m`Bdy5>vx&Fl3xd;L+wbzt~~{Zk$b_cDvb=P#s51+{3>c-|i4$|wC* zmcFD_clf}B`g5v8rKjw#Xk@Wj<=RXSDoC!=M09VfANx3|~)j0Z)Jc3Tr39Q4b zPI`^6WViX#EPoNn&0GC^AB)%Js~Bn-)M-68z5wgi>{}pa#x*4+R4-gnfox$;)1ZgA zE3-HxgIfPZ{R>c@S3N^<#Qq@V%F5F6CV}Y&lPO8Wl^x2Z{IB}wEfhw8P~5$$OP}y- z1bk!<28bC|=!CYle3Y0o@Z<%F3k*-Z!O1%jX1*N#&f1^8^j!J&IRvMv3H3i{c^+#s zr~mlP`5cVZ5x?FqtWA~iq+#UNyq(oBL}wI~xuxbjax5lg^{4eb{yUmZm{F6Wh|~!C1a43UvM1 z4TIio?~7Q+KK-Fn^2+88n#8=o6M;ue>GzkouXt~ zxQ8!vNejIH_J^AOvOObDT5(w$Biq&J?2^*8b48_}l(b^v>xaIB-+I-rC(E0OIe9L~ z$p^)ywX<6BUpw3^ET2t#*DwEI2&~sJ5%2{?P3bzm{B>cOyhi!w@WR^VtatlI+>Sme zZJa>BGq7?JThrR9q~#N}sz=GRL*XKoh0TMs&dF6eJ_8G2tUwMf zkIVhgCtV+hHc)uL(JQXF5|91zO_z@8<5OuKS!Hm4c>GYn$(_&(z6FuHpSk5AF-K}=Qa5CV&*vK!x@is_j?Z5iGoU{=t#h%V^g7L(C* zewa;qKC}c>_7J=Ig*D8S&j~k7@C3yrmoAFSx&>^KQZunO4t#S4zG>19h%hQR_s4Yj z)rCLy&v3MS9>ji{X1Ea9Gp}^F9egFc;$N|IPW18>gPRZDpJVrosr>fi^0g4rM=diC zvib(W!t!@qvo+p^mK6tc=k(2bX>!q!a+z?)z~_^~M08cJ!Q0QPX$~U-sva><7S~WT zhOQX}ogaU&a)?-FH~*ny;s$DFu3-sD!V=on?moE%#r-=nPHqMD%QQgL_OrCUH7hWU z77;TGOnPCDVBHnbimN56&6T$FJLv?zgtF%KO|9VbIm4U&OLBMC6|CGMqVURaB?d=q zv5h{j22uL_RGz&I3PvWDc7AJF`#*^JyheOYKO|%I$F_2O{kKgaji_d69bn`-JV(i7 z;=|hd`A2-4yvq--J-%j9K{c&f(ZYUu*?S=MEsh#Liu;n=`zOOVd?GeUpYh2&LZb5O zn~u)W-}Zh7KA+$aF__uT?)@R8;}O;K^egN6rF<*sVcPTdLp|5@LeSXFBY)d3dz4!^ zh3}HWjl>?@xP#NjYRVZ0hQ4cpwFf|=iLzl}(yJTs?!qDV6m2AFK~o4{Q)M^5H+Vrv zymB4<+84HcJAsXVvt;Oxw4qsaLCx@&KUAC|VYT(mzxe_RKqVf-9sgJB`$xieTG`}{ zkju4;K+#{^A-G`%+bXzF7-Sg)R^la${xGTX%94ABZyxfXb9Ry0+sgTF)9&4euik^! zTg_T+HB48`QfdTrJX5o)kMU`wbu8VZQ_EWCw$2d(lJklh&dDv^8hU9tUp2H%tUQaG zwogb{M2)>_+dmzk0DsZv!$<)+-$bJ4=aeak9tJ*j_j_-Q#=TyrANZtXQUoZz>E6|_ z@7Ts73m{fr@q6?^>Tam-<$Hyl$5L8)mR7zX;OT2xAr*(%s&%2*^urUX^ZbVQ!jp1~ z-t_O`-h!4vFvP%R=H$_`Pfl-W72h)bi^e7*b(w+R_|4t{ht%bu=b*-sR5zGIn|>xy60*X1)D zLJmQ2#Vq*4w;g&}J=?%1_0x-}ixQSD{v}nN{fpcxn(+xmps9E9hP`Xjn<4ZcGAdK= z{*$ZIx1v|KvFT~wBK)^oIY4y9oMCj+_w4$%^V#|5{lFRldG7!Z_UcB^bMf9 z#;fjDKk{{zR{FuvH$FYnlB$|HQX|L08MmfQJW3T1S={(lSSGS&|AJ=N3U>OCJI9WW zg|y|fW?o6xkSBzXz_{4~uChiD+iyy?-fK^W=P$i}-@~9){2u!5jpCE@IzZ3VtgND@ zd(5LjT;k#Z9s#eU{IaIWFN?CNIjy5=aAe>`kEoJP^2-_{W3T%m-I8Op-s{P1ubTHC z4XIY?zgorvs?W3Lj+q0J42*4tebgjI( za|D||q5Spmjgl?r{JOCXVx^lFUVh+dOW)|%UnY)8Z2XDGyz)z7am+dz8n2vfqN@9e zXUu{@8-Q?q76CckyRAcnICfLw)YT1q z{&cE*TShXGN7(kUw$Qk?0`+Qp5q>xF$e4hro)bC?kD#QS z#+JTM3p;eYKGoj{lz~U`Fby5EzMXGU@5ngK^RK@SsIFc&EPD4*RzLN5YxfeM){Pzb z#je^%f4#7o(45I8*OG-&q-_GBic6UhbUl9u`1O*cb;%F;$T+{OS&$dmTeGkZlQv1O zx!$#XhC?AN1I$uuPAG&gD&8z^p4z3nBxB|5QT!T*l!4F4^7V%)#1go4%q|fc1_Z|! z4=wFsD?fO+N1#+CBX2)-b67z3rN=tJ3UhB7nh|kp8QnTBap$|RwCQKAdLz5yJ`!Ou z>BMn%AZLT#<+Bed#U|$D7d5x`4bIIkdezqbadSsh-YB`W3T!i+=atqrqv915kyEi~ z90M0LE$vI2S$V|nHJsGXE~pw!ok)FA(KcVlCSitN_w{>R4@DY}08gNV9i>Y=hsdSx?OxmibWQ_W9M)mvQ1tqhn34v_%{d%fF5VByB{C)s+!WKP=~G^F$76T0U|4|h2V8Sc7@2+XY3kScz{hE=J-dIfXuQK;Hn*(&Vm~ih z-O>)0Hcyo9EFD~sHc89c<55%#OY8s4CD1pteyHo!YSD=WKC$>WhrPP8e$6Z9<~yE? zkDG{I6DpZlCW>}0NcZP{p92BzQBU1Ms5KqDlDfYkB>bTj?ANtToSO$$_Bm|s=9hr> z&qSoO98$M@A17s3H!W@g4pntkgZsJpr4=nb+x*hNq3V4P73X!&5Lz)+^VIj<3xszb znOR%k55{nr;Smwkk&w;Au)`3sjf4DjVPVPN8R-ci8uyn~uC9T=Q7r_H>d?qv?J4+6 zMPr<&?;+IJIzByz;TIPcmXK56%?e?_NP#gRGvt^tGGJ}H+kah;kb{JT z;6IRDD1!L5BFH^7f*^hv%FZADD!>dnMZ$JT>OTE^UtjI zP)!K~16O0zqST}q9rYzlKv6FA0K+{=LqZHfmk_&zM&Mj%mdr&ULJZ^Y^!6A)P(e`< zDr|0J1i=mtj!w`@N(%W^h-pJLshj7$w6yeA1os~q@H6-!kmqnOnXL#aD;pkP&R=;e z1`33S2#>M&!+%ME|AFY3`Z0ka>Y>&3ABOH9DiG>P4?+>-5VOZ4D+D=ig%$oOBVS|5_rrlw}02q}gj#vsHHsDp7U z7w#ey!Na(>Vc3Qu3=oWlc%X|1hOUcy^cY4$0*2gAhFV~ptX$~Z4n>f6tqAfSu3O;x zzjWI~5Ix4ofibvvmlZ+@nO=CLy%?rIYNtU70db5`8=7x1LfIXB$d^W{ zVq@^qNFh5u6eFV@9}c9`+2kYn>;w?yCFpEMAu4EizEoB1WOGr7dMiQ>TMcYC{}{C4 zQ5ksJso5#m$=FHI!UBbVyxACYcy8_s3}X!w2!4p7Py`#QSc@Zt;7(pzAxLQ`g1mwa z4nviem0KZ17gvS2`b88YL=#Y^X;;1|*#ibTq{q6q)V{7*1G zTOkw}pdQoYN5>|=LPIZ<(A&u(r*@*qS)>3JhONgmZrG^VIk};G9VUG)f)Wr|LN3~g zVGBVAGVEt)L?A{A<6k8O5|UDI^vK-YPPRbW?lNL+Cq-)u4+JK={q5VX4)ydtnCCEl z!Vh34hXpeobEZ){J9bJ|C}b}b!QIEi@89+@_=krlCx7T!0Vq&kLX_xWdSg(3UOoX~ zj7ePw1_ab^{3S_Sp&~o?uOS;Tv_cT0R)h(IlVTj%CNNA*%^?|UYws8w0)5R95q8?R zu#O=n=3#tKSV;s@Yp06FL#?7B9HL;tG=?I`8+dkMo;Sa1MZ?R(;-Z~pq+U!0KIXFU zeRGq9%uWfRjERGvnA#x|ONa&u z#H3--2d26}-@wbuPNpXu@v&1v`T7>9TA}PTtx!Foh<{InL+C0hJkkj}1eRJv-ob)O z;g|@d%nBhbFNYahTZgHl!1VAAL6{C6nQTQ$LQqpvF=42gnN{Qg@&)!me?7|fg}9g^ zg%w=fNR7^cfq1c%he(njZMSP{>`hHO5E` zUEBW(C`=Q1m~erlZjfB1{$RA^S*5=9Vg|?i{5%{S4{Bm(qO(YVM=|~_(y~y7aW&54 zrRzq5l7EfwZ&gO#?F6z5I&I}YK@@(djy$S4^3W;EyF727g8rsQv$*ri(}K2H+sb(N z^PsE4-c+rQ+C5E&t-|Qpbol5t?8fo4qI%m{ZH0KrL2u=1-;9a_f)OKJ{M(GygpKFP)QzV7LD3`1 zkH_B{jqm+X>k;pGe7t?@!@#kIwpQ;Sb^H8G>`EYBFy|ZN>Z^3;s`JUq&C%fJ$8YZ} z#!6=2pj~)yDpZ*Vqy-#@=svO$f0AiZ_GO;_R7=GoD))`PXe|mWb@WHz0(g-rW&YdE z!o?=^DZ8e(sfeHrJ6U(4H*Ip5eeV2596Y@Y^%iugLIAafU?#`juA6 z>e}>X;oJ#ekPww=p`~O!ljrFAP6FJ1|V^ zULEU*kXOIVap9VzAGlfkX1EmZRr>|cwVTn2s8N@9e4JSAefx@MFBw@R8mhs_*z~Uj z)?17>Wj((S-wnBOmZ~jM>i-#wB~yI$cQCCu*yS}M%n`e}oA1zU%w8$7@av0m7m2>% zv)lBG$y2a^$re zG(VOAd1Dv8pj;Xb=%h*QKQcZnp%3@5{>gFwXE}uiBY#3bJIJ3)6gaV#R`{6rO(iB( zMTfG&q(^Pv{BeiK#w7;ZEBe4~UVDBB_im$Z4R7nOS6h7?a&@}V{_mTpFaD6_sP?!B zyyIGT_a*~8bX(k&z>eoIZNpJ*R@*n}+S5ggjfW_y6GNAf9eTYVxl|%2__l{g9 zSig@Ox_?JGEq-9CClR4JBJBga6>A5>OIdV>k7Wm?b)~8leQnrWMLyEG=BRPmbt?z~ zSr1vk{1pn)vbIUxuAn1EUlm4?w|03s}CeJ@^lD_bb2D6TG5iU($2tJQ?az7NH zE-8?Vrcuo=0%mS1hjR)U*}uwp@!szSnO$>K{IDeNG;Y|%5O+{RUjfqUUg(S0zFA6^ zO!=8drNA}RfcJ47|K-zdB}q!vm;FHi`SyX)c;)a)?8KCjjH1?+E(-1z(c5J)r)?J* zxB}Qe0_>ao4aq%RE+MfCYa;0#j-HM^3;6chE5Z+3@}nf~xB>Kpe`sdN-DFpf`3h@E zsxSQW=%DEo*-(_Q*tfZkL}PF-9PIrxT|BYR;#+0hxI<=Yj@PBTOK)8EplYd9($OAZ zarFsrMsaU7O%)b1S+QPSpx`Fe)Z0zT+^F=K?u+;i76fe>pIop0+ziL5b3WQ{$0;bw z>}17$6O3QAI(xxq4i1NMKE&&Py+KZ}Lh3J7?l6&`|6cRW)yIP<*P&k>EKsx!WDxSwgW`+ zjXv#Y16wF{Evvjw%{?rF2BS-^gwH|A5d+YqxJ(fFoh%PuI7~C}?yrd4*uIKuKUuC@ zqbxsxCu@|9+LVp#SCsA@N)B2*BhE>k9&jF8wT$WFkk9*A2ZRjw3fsKUl>H(6CLJ=h z7PlD>l)T(CX;(-F_Lcc3W5Cc{oi;v=!1)p04IR6knpIi`c#|6?nKixz! z6LvkpiW_kjIRi2I;AMw%jMElQ!hG&3C4*{09C52(aX~7L!uMXHF7hO!Nud5oUe%NS zxr{fR*bm;bm>8bGt(17K=j&8s$!TiOemw)|1@j&}#)J6z>m_OgY?-m-2H3-vv+!HA5IuDb z_*2A|lYkS{cBh4Ng??Y@dbst?#mXzr(z3chHZoEI=Zhx~%7|0yD9p;& zI?6Y}-LxvwKP8Tnfi6k7mA&MFrB9}Mrx!1f6{E*#SdZ~=fgnNIDb~PtwV%8|L+!1P zj|Kylj#<3E+2Cm!pX~nB^a1RYB8gHX5^9BW_Vn)DVKelUBIjK{WGUe9SQ8is$;bh& zq=Ai|xXIDl^ABgqe)F6bbfonKZ2lMpU(#zH(gYC##qWw*cQ%B*W8e6^>sVituFC_3y zZ0JNa=nh}3=RW&#Y9OyuJ(qm&)a`6DR{~P7i+;n$&0$g7zEFTPT$ixqEki^HMTmbp z&yiWE1s0TnebXx>zmq(ZOt5kUPmC1g&CGik_Cvp&4mb!mj~ZH>2Z8rh+>Q<6{)`o= z@lx;+AKoYX8tQ4Lna~u>nlmdqd=C`d$Wl+RDxIf!H@kXkMbwhrQI9W^>(W`~qxi5) z|HLy8N!ZNix$}Xc>DGpAr3^Cm;dyA)q_uqez-{Vr(WYu@K!9?6+enz*)}=OaZ+EP$ z_=6`vfdF^7xbwq;Xr%egPt075+b=2Ow2Z$PdYZhc-!c?p${_?% zIJnB|L~Aa$->829WE8}%>vr_A|3qqG1U2hP@m|DRD> zz=@e&1y(5kCzSTzcMOntl|a`baBh=*Ay5P1;7ZJs&5V7&6ZLWck4R z`q78+d#I)Q+6;nHFt5*@#uPj$q2$ui9s2Oao5}F;0`tumJco1-L(_W<*}z4gD<36E zQsv7wNsm5-XFIoW`SB)7i9BZKsSyr3&Akf-p3>=8$y)3l6F13!dbhDQJ1vcVCu{fd zd_S5dG&b7j?NTj>SmpXRUHJFz*c(pPA|HzT zlaM^{reZ!yaLpKBp=LmINilXq`nu0NDv;%E5h5*}c2$2A*g0KoRm@`{wB&UDp*c3J zJj52Xcw@w&mqz@Pg&x5!7BHG!w$RIqYG1Uj%xu(RxoVS6-yFrH_4WD+;mqxioT;F$ zdZdwBE4(6ers6Um(a=QNBw4ssPtc#>M}?$$HL))M1Ad5qMC#rB=`&R%}Lbc52JemGiA5!w~`SGXJ8eN>=lJ=fl zzuvm9_Wj%?@an5`HA>xSzdVV7+J!dKuJZLq-m}1Kfn@$3B4x992_Q1*e!!>t+n%-e z=8<_HLyjv6l}ft4?vLBK#sX*BoqGV!_lBVaL$@q5qN11)X1wX!kM?bmNhTSNQb>T3M3m-St#(vMz_b5tFm2WCABd7*=}!(nNWx2xCX zL?5J`UpHT#>J|CH@bTFI9O`wm3}SspDHG+_-zG=E#Yy!-Gn<0@$urVB8431&%-HT= zJc4=DtDr$%v1u?v-w4|rE5g8BcAZ%i6}{m={wjYC?2fy1hi;mm?CQw+iW8*HTys)k zUeK|pcxN0SEAKKm04|`~74rL2R#uN#B_<17o9E;WCND*oGCfCyw3A$(v;-QU!?G=C zanznl7dt#F+0IXL!$*$Bz~x<~flg8GbSn@Kyt5%uw)mmvnY_4Ufw+6akPY) zrHUEUxR*wOh^+WbR}BZfN`Ql+=fvo$*E`|4>SW;IFJUnBM*K-PDANw8K6`%`%aXws zeJ336M+Cv`0@+FZOXT{FE9Rp7E`alPn!pb#$rqt_TO8FQ-}nbzJ#V|Kzh+{msN zc|`fRR=(#Ov>{+J)SEa>;%J{V>--|Cih^y2!QMi$dueR^|%JIV)jQ z6M#3}Eb)Q+&g}5nTlv(s9m%OA`5o7~mDh@-rvMO1e9+L6-U_aw@%0hb_d zlw!*FNyP{EJ6xq%w`p()ZwbEfZ?#MS8{3l`jzFCuoW!rz|Flj-*jYhuyzc6wRwoLx zot{8MbHYF8X&lh3jx9`lMSm8~YwGD!X5Q|OyGo;>AxJ6uR#77VVy-;6H7v(J?6Kh* zY|oVBOyYQAeNwHaIL+`pOYE>>q?qXkWxnXlk#+1zS;mnk z04kYZfE}E9$&GA&Q$3bD+t>}>mvTSrR7gF|YP!0g6dxgfRT*&KN{MSJRLOL#eq~sD zZ}X^P$G(*qG3t_iE%|^(0OtfW*;Ja5Sk&LLGb}e9JpY5d$54)K(yF|Q=*;=dWgul5 z+_qKmbu#rznhr%Nc@GJ+mAh|b`7C<*Yy4`_N<+U%21W-RONClFMfHZAw++|sk?UQr z(EqHXC2_!XKkocb+g;#PtZeBe5 z$m#vhN1(>}+hJo&-R+eR7hbqml1}?^A4)E~@RL)IPWhJLa)Sh1XIknzRALDZ#Gxle zUuAmM{FCzOOIyVcUuEd6EVi*-Km*+~@_E%Mv7EIsE$iFX6^~4>>h!%H{6UTLMV$b<#Pthe1}aj zc~ka&4(5XcLO~fP++#mL%r?z$VPlg{iiB^XS2w2X6FC@uCzUyC!$Z|IfSuz!num(`qZMp-O??4^N*BF zQn&6=Qhyr2<+-Lw*mU_39>ewv#{`r)Evf1Cp8udREJkB2hK zE9mblZ2kb(Wcka$h@$YzCj`YG}P1H?|YIRq|$$VIO4NA0vrjB>UT9c>w3$c^f4l9Tl- zX$4P2G+e&@s0fqEqcdK5YJ&=HAjxd=I7d5t_u=s`mZ25!Yg?a*F>a=Vh@9-^t}CuR z&5O%VcYeouT(J!>7=7Zr4a#3qpm#rrryKuDuRMFLEW+KM<+P&X=gOfsMr3*M;47$= zjPd(s_J<{6f?J8bW=<`N@o;@?(d^Zi_=!RF@Z?`0xK4}Uf<>T-Rz^COe$`5poy?<%bN&B|wYLtdqI(~Hhn6k_0a<`_gMcC}U82&U z~ZJ8R#&Z_cUmBAoxLoom)clUvNJ z@6IG;rZ0Jx{h_lYba06SB;t>9zTnYo8itST{0VFH+M)C$y6YdB_ES0H#S#IlF|hCs zDwy6oMd(@e++S9W{=Uj9AghvA#bA<@R#5kgI}22e&Mo~WXXHy?JK=v`-!rp%26${- za=y_p#3bcQJ+T0^A7H&{hS47<1Jf6-h$-H8Xu{=rT>RyF%jo1*N;&od*kB0Wj=2({ zl)M%oBiCJu7O)ZDME;UrF)g< z>QQ|DH2-ugg#)Luj&(|Q^Xat*z$`9jgDHREB5Neg%<6@(cg+OU+)k-foRTUJpJg<( zw1dgT->26lv>#a{7YxnpBKYigZIie76nz5r4@2`lEnESbCXOGE{@inZ$;=*Cj$p4S zo`>0y`ujdzW8+*r7EgW)))1?)&v~4`Gwj-MsVQ$;RAMu%tP91E@H&zbkHiP zy7WZGHP7G>U8H5ZAsYCqtdCR?I0UA?X>8kOmeGBjlK$!IxtxJTRN2(>j+%y9WX-mo zEAYl+yk$RQnRC-fIjE-r1|MU@tp}Cz$aKq@W_%{p4M*{j>z$Ny&7T;}R=Xcr+Zt-vDz|@dLPn^;P zDv?$bVpiuv2GG38lUvNrBU?Q76LBo&5Yx8|YVI69V-qs64vi@s`2x;Ny+R|NzpUFl z$MOh?Zy#rtHwvG=_|UDaPvSxF^XZ4c+_XaOK6XV!#{~?-HSUM_sCNd&u@@}u8Xu1= z=vubO0+Mxt0neR_C9o;i-w_^6%)!-L?_;E8a?}WpFm{~M{O-i8w ze8!SeWZ+-}sbpT`E%+zRI3j!1yEF)oWpW?2maFbPSn+|zmN9{aMWeT-OC#?~ji za15V{F*31YXl7ym5)jHF)2r{=IK>2abX_-&%Rl^PzMtO0AlUp2X`{Dr{Q^?|$bUk{ zrCzE=7Ol&hx`yOqMMR`-J}M*{9wV{9e3%Ma*#n~&84T=enm0L%s_NS(wlM8e(n{La zeqZ7cbAfkPUlo$IjvHYY3LHBkB#~3>`DqaJrh4V=&kszW19KkEVFT`Y!a;E}=eNJl zb=DbE4_ViL>1_+L^WOU$9k%S~oIL{0Z#=MR8D9BKOs}jP+B&y?Th1dYKEHDwkD-W0dw=X63Zg%3nm!J{XI>&z>Ec_f z;#4v!J;odQl3gl%(Lh+j)c`P?`3(iO;$HFT8jvrO#?eTt=-V(cv&s`g(2OWXHOA@MQM^leG2gs%1bTsrYi} zjFjt+c~De&OV1Ftfu;Mx4=Q2Lx-S?wml+j7NbleeX3pp}S_hXJX6forJi717Z+zMg zuBQ8{lDLR@1EjdsbdtX79j3S9R$eJ7uM;hxKWL$Q64f9g>k_{B10UQ`)-tlK>*}BS zEo0*|cZ?+}<=oh`aa+?gBI$MU$j&jqV7z79PA;I7nASC1GNGkgRkrlU75n~p+E1Lq z>e+oPz$|*#$!!`3}k& zgry!G-w9~o_kH%VaQ(M+WaT;4&9pK#r|-``%EugWQiCOT)r+GmoDS>PcpT!}b||!r zU3{M?pgHL0_WVAh`jnh)R*lTGlJyrrvGLjEAmMMWcLztEx)0!FFa>V}V>`gUjl2hUv{ zTmR79%13rZ^P9qA@@a=2Yg+Mnb=o{sPiUPxbv*Zapb6ZqP9qoOP(GJ0NEi*EF|E{l#TjRNVx6$Fb%8p1(}* z+B{Kxl~UR=_w$&Plh0-1h?PSwdXe%z(Dn^}{oSl=oLI>AY1>7@0cqWA0yZ@VQ`($e$vU-x1`UiY^ne&Z*}@Ej#H{&kg*)WF^6Wy;b8 z0%i_jt=BcJiw9&JPo5{uulz9pPRY6Ns%wY7P|@=|+qk~If#>+HiBGpg?c0rBqb&=P zX28ARR(H3!?R~zyGP7jyXPE6xl&JfFarNQ6CI#%z<8JNcJN~oCld|%$d zCtjtlBV8s&93GPyN0%}Obi|B<(o1(jn;y;2ezPZ+|2)->d^y_kXL=1G&;d+2Ly}) z7G1qxwwSp2!k<-x-hKq1P3VrsBa4ip!PNr{w%e3I3*{M^THMsi=@6O>CYN^bxs5CO zCkO=|nj~hG)O=mRAz%zl>g=Dk*ghmv2gxx_9G_oQZu-&3S7TD+ODQ(f+>5UquVp8a zjW`Tcb_KXsuM=M6y8?V)RMyEVMi+kSn_!Sq*EV&z_r&w_IC2H>C>XzMomtuXB_Jl_ z9T4`qgiSS7MpoVeQk9Uaw6Y?FXeBg*LjCO%J3F)>HXXrra`JqhkdOqqpJW*S;FT>9 zuM9wt*RZ{T?X59_q{GJyV}vpj9T2t5%`5x|uY_nNgc|$N@h@XGH1zLOz}Fu;`w*r z{pHN~Z``;cEUXP7%l`nDj|}Iq(S|+bFJg(9z>n}RV+sBN&mehb@z~lv5{e7akR@7? z3?W|_ahLw_-$Jl7>2-A@j1TB&3P5nsrq1RTbf2v)2+|gSU_;=ty(0iYI*k#kkLdU- zY3%M9SVj9m&<@m{o!xW<*$Y6fp^-~y)BRia{`l-7>!-8Mt&p`^1 zDIEc5))K91LmjnJ<;6+!x4tS z77E`z3^JVmQkM`cjf#qnd07HgtFT^U1++P%?VeRNq-dLS?LQ*trmx@Tz6U_Br!gci z;r2_AZ$$IU%Pa87D(oE_(rRn#EeP@h4i+tPM3Z+v_kTmlCp!LWJ}>{ZKtq0+gqoU~ z<{At}#=rD3{GrRq$;rd573L5qUW6-3aDe~Nn2=-pOJt&5xzG};ZSz<2iPlD6{8#en z13A;wzc_DBPEKw*)O*5~4}UrZ=qNNs@Qcv#FOG@*m&SzM|3ht8RMbFWEu`F_3>Hd1 z|7t(~;g_k=(&>Ky=I>DZiH8RT!Z7~w%f^t+L?2Fn;mkRBK$1W_6TV0mfB^D;)TU^y z=~V$J8HNg3NHU|nL-27p9U=b* z-2992jl&N&{rfoB6J?3gqJUm$G@%S9)QCvnlo&)r$7V~=dq==jjdfs*t2ISnQZxB#Q+Q>)))G!z6<7*P;6XExK(*$p_y-I#IXrAfDWd1~ySbsGAr&JHetTVdN(q#Qq$D z;Gn_egF`4;g~gs+Glw8ZZ3{vK?YC&vF*-vU8qAXkAbtvk$7n!#F<3WS8|}MQ##moR$r7Q{ z0e-UGUl)kTDNy1V7cT|;rTWnzCl&1SY72r;!(_!@_J;vI zzi|+=HD7Xu)5OA6jok(&0pE0D_3NAcz=T7dJ*Q zBqTawG2!8lN-)MCyaPi(_*YZ?d>@+ z#u_&E+yO=poMjfl=#`#3$HG8-=eGVIz$;E!CDSwGrb!M;h5H|`?6=(swGzMb!j)1( zx-oiZ9R617zn@QwH_juDEty#PVY}n3G&}T;2vv4e<=NfWH_rB{Kp9U`6o2Ye5SMaU zRX-EM=>&70sY)tQ<&RdHzS^mfFF-o3bs0_df>D1CN~L({3h5;N63IAj80y?Q1bbY-KcP?Wd6^dZ=I z$6N85Oq`-o(fuiVhP7bqeI~ayx8Pxg*|(iCjNgvH@4T`^54@t~O-7RgQ!`yXC+Qikr9(%>b|;44C~A;lnjLoe zIPS6>W~bJ?bI?2DrbUyyn^qXN8d*hOc#8%BT|B9Udx0!Tdbg7$u@qLz(-!k7`c^_B zV>R3(aS2bz!R}P;FNN7V86OgKCO)pDHISxOn>1Y~tG1MXc=hSR*l$qTdxJnxPjpc+ z{Q(_&&{wI)rDhOqieIch{crDnfC@Hq815z(GmEPnlQ-KYJjKTcw6wthdX_G9F; z#CMRZ2jUHzG$VKyxNqd#zx{6cqPcLmRD=H6?TO363lWRPZmEE8k8cfY4L{AA9MMpI zqp9}J#%ZWc8Y($gXGS$1R<;23%Nhh#NfCGw9~(#No`z7wbmq%#WqpjMeH?K6HlyS$ zXnjz3)MB6Ltswn+hF!btQrNR$to|@w?BCZC!u7JumBEPV5NLKb3#0dh^m1!%@KbnfdovbQH_U8^ic58vkAQSg(tZ6Y-FK%cBr_wK{U}BHSg$ ziIgtBTLjk)Tc3-K*dhx1*&n*t66{8&VHN&1kSU5%CRVE+B4mr(mCq3{p%>G+i@Kn^ zjTA_(jBww12`utVniujOsNZijk5j>N6AA+_6BwlXrZDKcoz z`@--A+++JFZGVq9cA0tH*OGU=l82c41NEJVE2`v|uoPp`Ex?G9A!i^y>1Zs;m+Pzb zj~0l7t0J&@^XFD=v=6Z!9&~}nQJ2>m(@a6c>dnCq6zv{dIN^#=l=Hk>6t_e7ltCK^ zWxf`2W^ZMf*J}Jdu8+MQS{)*!#=DBE_@3B@@KHYs7)S=sM$Bk>Pk*gcHDFIPODw?6 zv=_^p`+zAGg+XPo3#c^;h1JT}Hk?9&0v|HrjutQmaPjEw%Ct<0UG0=Sv85SylmU&%}xxBRGD9m$^?v#7|H zxX;z@n&*3gT=fESO(PNo0ir7E+h2Au@A71*ai4kzU99fMvFDBx04)(;WO`NY=grau z$Jp(@m-hIsjx|1spUwzv4pmruCJjz0C8I?L2p92~^(vYy5fc;qO&h0BPg)Vfm`h8~ ztTn*ytLyFdzlUdn>qB=l1?=T0^L%W`3$I}2cV(7@%MyP9e!ugN=YFOZn_-+=Q>}47 z3U+e*Y{2#GgX*0-TXgR^-GNM7{pBXwunO-^BHw&QpTKcAA{$q#P*6> z1@7^_v$ycSTi*-yHO=mQd2@|!fE6pz*~lI!hh}75HBy=;vN4zR#(j$)Vn)#AytX$O zSKi5SVU+U#Y#y-K4vS*@8}*k6ZBpgwG-wS`9NoD!DY&drd-nc2{6hH%$LqV$_xy{q zv|GN9jA-&-ee=J5C4hMCBFWR+++XHEJ);xlm8n}E*|tLToL&cyjvnj`kU+!vmL=FQtw}|W(YceR+>(=Q?snK@{_bM z1~-XnxomtQiyygJ(kD83O^%0J_07$=3`&+uwwS1BQ-E;sw~4HjfRb?Rmy{GP4~AVA zd#D>PD;~ja^OLksSfb!%AFr{0Xj4Q)*ux0t^YVe~0-54dMc&R!!YEPeMnP3Tye_`} zEymrqGmoVWqghQs_%8oaQRLIhUVFEKcUbvjfzQshwB@$+7&aNhz4V2m#F>!7hn^nK z%cqpoesA_bPy@82pLBMQh4xfFzhWB}AWp68z@RC7AxjIURg zM3eQs{nb`Bg>Zc$ez;Tb^kAh1!fdB0c)cH<$s@;huLe+SvHl?R5#ghHE{3ArpL-{R zX5P7~dC`pA%v{8M`5)y4?u594oh$s9x!Q@kfl{puXA3&mOr@Cu1Nu~2`Cr3dCwZ@{ zgUgCES2=D+&JP8XL~@clsEQ77U+6B^98dox5@Inpwg?UvE?8KGJwKw}D6LYPVc3mn zw?Uou1!Z4Xp_5p1-faSy*VrV9Ipt+ImaCR5Y?Ffn2suVLJNJjeIp}pYcDU$(J=WI3 z-IxcRRd}UsjlPfjW0{_i6tvAaTw`<=O_S-_18k)OpB?xUY;U)}kvL<>nT{mDBDLdH z;BoM1%)q0UT?ct8VQb2^f{6QeD`g{dt@isn;!*r~+EMpLT?Qv9&I!Q1dzR#yObRQZ zCKA}JYMnA!WaiQjo>Ym+aX)-?dQ>64<;MiaHV|Ka1*zm$eWHBvrLLRIiTv1y1Sy!I?@bH#U1oQ2EC9) zFXZ52_MF>gZW~->3iQwTsCA_WJ+-n%4`Q z|6s3Z-Ru8X?A5)5)=1%{cu_)}F+cIM?p#;zbQF(muI%xV){$q3{bBG_+nxuq+NS8om^mfX%9K^?>pi79Cr(x2zt&jIY29 z!f47KB>11Z3b8ZJ+v&s_@W-nPP&+?W2y^_j@wytr8Pr@lWhOh2T`8FjYB%QXFg}Rr z6)h?CQDa-}@iJcJT><-xay_ig(Y)2gHhfxd)_7W2^V^cFAI?4bj!%47xO)MtJha)L zO?4OD4*_$i+HFZGVHPfPO%K2F-Dq4VEQ39Ejd{8`?eNsnKO$M4%oc}p@?IbO-?$)vJ|*8nPlfOa=J7M5Q6wh-#M ztRmWq3XiOS<9me*$jo3})sOlp~m>_3hwR&;HeGD3z| z7iK;SQRzQ?YbrZq3Ih1CPVV)Nx8n{_*bUN`dOS&1%&RHP>$I};xxXTra0brwDQwm! zC`KY~HZ~V&*>6eyQfg%Rk#BCJ*6$*)1;@Z~xh4a-wjGFRssfGPB=YWwhYDF$?DJGn9r{N*~+X zV#}U&x3XQo_2}lI=*g@Rj!XzOBA5rXu-OR`{YDEQ~^UnHbo3Oey; zyM zcu9YJH2HFZRjt7d`T24{e6(UDWH7>^$kMdIYWH%$eWAXOMVp%5B>hq#gK{Z7*d%fv z_D}I?GUoSSZOfNtXQ!+Pu&r{JeBMv+l?m&bH0aR8y=R6>E_R9t`x3KCJ=TAVR(+n= zgqgF#rRrCADI1`CgsI`6=*l(4^dMr}x37^kDXQ<_yjaYZG+o2zkFfxt=1>OAkrQFI zm$;k1$}3p)oHvx?Yc!wj-HNIAiW^@Ag!itVPxO6|{T4b|9lBUm&f}d&syFrig_B*d zC5-@I1Ss#uiOifxtYj<~n)xb)iRlu?tt6;D&rUsh(1(%xI1XI?R55_bXiT&JdB}a# zo|u2ja!zdEWjvk7BvzbUt&JKm?-<8;zojxXxixn|tUQ2g!N5WJ;JzcyZ`J*QL8F=p zpmkS6XhSLL%IB3>)2xxP$Ln9P1zE1}ey^)7OBDuVQy=EOS9I?nNd8Q8Nx*o-D6uazNcE6V~GNctkTezR;QOKRL`E zQn;JoN^*}iPJ&b?uRxKJXT6;KZZ1(GptoM<;trNDWbb%S61vJ#l*>?{UQfAmFetNM zZ`0G?3~n$qY*z>g66qV^_DYkA-;Qh;Fq=Gl=%C`tblrttj~Ec!TL!(R_A~xr(x&ww zjY=eDpVV|o`wrUMmTRE8{v!5?IqK`;vu3kUtZ5yet7cRLQ zm<+b(vHmb(+MZJ%Ace;sq7=XFZ(3b=TU32Y-^FF=8F=&dbyEEVbyEMxT>FAj`cAmZ z06W)5coiPXe)=$Ezz960{9IqrfA4rDJ?jM*p`$Xp7HgwcwlNTXVxVFOsM-_?v_aDqHy$ zPlZ-xEn+VfUje)K){Mt!lNIzSq`g+=Rx|^)#K-x$?Kcis`P6N0x^4q44utm^_Y$U! zuKKmlyBD+FYy&FB;29kskB%<4b0C8B8x3HoYlVgjzw=O<+VX5c29-K7MC~(B^tn zrck`{O%Xb$Vnt^=_TWg0GGd@b=iJgdrLe_)Ebi^hK>Y634y(!6rR>9>QW11J3mC^> zA4^&xd8JH|UO=`(+N&tI?Z@QRlnWg+O_-OfSxzT-z!XN&;7)HebHv-9CcmQ6+#2m8k3AeS2O037*Azp@|-&qL)bjAxHToxQukR;rhyzBH9wJB2wRy90>#6(WVO zs>MgPqS8zBBEOi4{M?tKl3d1#qUfi)BuWq3iD;#&!rAGZRb13I#R5LEpi*owmCQxG z_rD1U(M8#Uo9aAt>{*O+9S^=bl3kfH?v>&YNziKVSNU0&QFwvS0N!ubi}QnYshTi9 zw@&%I6Nl!!>W9^8h|Yla_g~xXu*tnjQ`pv=ePlrxF&2#Ki!rj2QQH{WuI3yF3S{4HTJ-} zT)uvaEvD?GWpUHHl7;{Bf|8>@K~HgathHvtoPfsssfGK;9g>xsOb6GQegO?{dX0*N z8##f3)0QHfx5CaF#F9&&I&LC-rb7M_Z*PEx>`+gQjkod6RQQR; zCAmWCi`(!4Fr7blupH2PLQ%*wIj10$#YVu3bL5r6N%+obLaEax0=P6ke=o60+^gcN zKb-I@FblPv!tH7MwqBL7%VW{HxOeQ>8U~Kvv-0-U3pAXPPe9>iX$k&R z0T-v1Whr5EeCv=@9-&)~&Bu9{Og?mvsJMaP1+AX>D?XdJ0=g;v3+y|@p&4X@zlyup zxI`rG8M*m^%n@7Pn2zxcam7a{m*M=1ZwuELn0Rze9>=B>*3KOffoq&zA^AVBWk1Z{ zeQ4$G^=*OmRpTs$phvWs zBwxRRudCu7c?la=87@@`4RV>ZOw4coMEGT1WK}j#?X#G8favu0^`qk}R;~d_HM<;w z8t;2o&KN}H5;H&H5Rip54}-ZyW+Rk`cSstdYwQv+a40V4+L%}~yZvGJi+ew?A}$k0DX#PQ zGmqAzpq3>}YQS&q7t+C@`gl<72lfr^7q#?v^^s4+HFc{IrhBz@}Xyd#@hJHFsw1VZAfruB`>3_5x#&6l3! z_6Zn7fRCda$2u0FaaDu(A6{ei`|V5J#7fTzfDl z_Q1x!MpiTIDq?PHpDC{I5t@=kD5<2^ zDs7?`O;>8A7FTBVv>0q$+2|_RlW>=~}_UGtE!6Cfg^F@JcXQ};ts>6Lam_0ieP>a%xyCO#oAXXc0uJ4e7D%r*7s#?!?+ zC8@R4Dzk}rZ-@7=m~ze^O)ko5xC9jez2vvQ&lq{!W18DG_Rm%HQ*v;4CG`!R-Jj;j zt2sKSfx4E?%>z+cEvtZt*ll9Ed!D}a-+rb$MI(4@BH6ePzXERO??eo?j&9{OS2^56 z%QpIt5Il$Q_Jn?Jr-Ik~MvGt|V(R5`w2V}-KE6K z=O5-gNSvpdCB=I$1QyzUKZ>Z>J3R3ViA?#(X5k){bxy}Cr)m<0LwiG5UJ)3^5CBHL z=>>l8@YJ^-$pq!^y7H=|-xv&wrfr)GDM0~s~X#A6yDq43bd zC$;25%lr9)~}rgm(3SNG=~C)yq%r`Hv|Uly#NvqsZ! z+z9}c^L7#|VLEIXR~roMoihI@pyE?toe|^fH2-~xCx}y zO>Lu+vZfexi|f{;Eo|jB3vY)UUYOQ3v$a>j5$Ok*_!L2F+XoIAbrNoo1;Yx4GwXhV zp=nt2ie6t0!_V>H-H@VAEnP!WMsL!~-gk{6#AIA|oACLh?(3UaVv>p|gRp}WoD2N7 zjBQ`+oKbP1Y@J+75H7os%C5ol>w000_~3p>d0QVQziMm+N>DlV#a#=IA;~|*SMK`n zc@J%0V^{(B0gc2a6+QN?sy7T8PEQE9-gnNh@Ci!m8zl};iAKEyX^U%oLL!QHwIA7g zO`ecT>Nw=A{9u>4r=izykyS$N0Wk3hOKJJkzd=bwEr5Dk$ib^TvbO7)oVWM`MO`su z8V>YPV;B0zL`=N`Dmz((L={fNHSFhGtvt#WSpsPs=G?$Bv%ORErE8`Q!;{;;S@|A0B@7D3j_1yeJ@|wQhP}Q6kllMt3AHrvn1#QXM z@(C<2UEYegir+Pyz$GDn6urT&8d681_T0kb@Eb_5{u2D|^P|oQc7FyY-n+(r7>Sq6O6wFr)>8~du#azUqOj6=!&*8RSj8YAh8 zPt>ah!>Z)KBg=AZMloZ*3FD8Xa@w{oUfr`;dJp@Tw@FxvJ`+n8fa;DN;Ri2M%g2bR zXbtQgeZvyo)u`)jO6c>LajM&_WdQGGAL9)v2oae9-H ziuQpA&RuG@70XA2xf3`P)QsG^v9GFz5o*B6D;xYWt)&MnEbm7N!4-`6%zHgXtXPff`$q6Mrd z=Td3!sPyWW&3IC@V*;)%TYtzBbIUp>k7?MAB2&TM0SWV?oaQky`N!5z0^7P4KhFI@ zNNDI-ZmSvO_x*w;Uc5`j<+f;f9vElUlhG<@nPLR9a|e9J;1Rdg*E=E}0>6>m5KKep9sey8yr!*BLo2Y&}WcYuaZK?-PDsmy)w;?&y+H(#-$* z?GOQYge(pb^Yo0Nsc*(+pyZY4vbgMheaDug6AW>`)$KFz(9|(>_Zm2-wd-ZdfEFA+ zmYR2^V=fi#W4YCM9BQuMpleb1^_KGyWt`!#^)u^{B+?IR2EMh!$TgG6-&Bl}z``rL zwqtbS0Dcb}67eFjw7j!h-PAQRZ<&OQ@)8w?8qj}{oZ0pHPeb=|YC&cF=mM4016{|$ zVb0Xt50^!y6|^tA1o!YhoZ%jkjFtbzmCAs$3F|6*uR+;xC;e0+k6YJcfX_>O4`=-ksvs56@Xm%*O>_6)!5iXG{#v418Ovlk;`ZW?Z32I1H@NbJ3h^Q zhX@zkcnNm03{g3p6?Ck^uGZkI>n)JvL<4jiaBzRwHMB-?d-vdg5TcxaK~6NfhL+J% z(_qlh8Y9FI=Y;g?b++4(AI{V3+?Y75{N6qA^xY zZ5=l^HxC$pi?`GMQ@-5?@@o)X3knL0dYc8=PPCFXFE1bV_b=Pof?%P^PDs7sl%V4s ze9uzYf7w6m9;EjwYa7QW{#JAU`W14WXz?a2+lTRO2Fh2_QqlQ&s4ZMQ$HK=aFh(vA zLYNI5#Ks6h5`Yjwq|wxP|m5TS;zIKZAA;hx3&hwOBAfusFfx!%hg)^|r6IiZsC&6|HT zwdw!x(^vo6Mssp<@_YW3)BZ1f8og_W(jn^^fM7yJXA6Ri!Z#U%L@nf5$4&SEx=7*d z?Ee1mKc}Z>=jU(|2L`m${&jXjn+iS=2`Mvl(?UBf92qaVKfXBx;-B!DKntw&mkta4 z!F3_{C=7{Iw59jfEith>@~{HDv9YlU{L!O1YEv_`zZ1@VS(vC_MibQkL8#FLb?kpY zQ0%`TDD3v-9D*RPV4q3p$R)_NroPV1u5LjKJX_Ju+IBd_WsGF!pk80-86IETM03-| z2(pVxL8W0rY8q~MFoz(>VLE~;F~-O)2k1Qfb8-ql* z!s_S)4Q3oX|XdrGH6Kc#2_wXB9RX zm|yY=ii=1LqMRLssAjvM90@fwwPF6aqr)Q|!l1^G1r2~GC~Q702;vLLStzcB$%H0B zAqxtvu4pj)X;4T=WG2k2dPdIn?~=RZ;%f5LuS$E zg*n*qJUsoOX;*0eTwKPuK+q9~5NAiDqDUzq^?ms=6^*b6WS=4ZoDK<4^!5+|MI>O8 zgiWdi&O)Mc$^W51(F$vL-hk3l8=H>A;8%zPDuf7Dv2^wHO@L_uWwinD27`2Xg8|(1 zZ90OJj*blYB(nuUvH}n!8@3#{%h4x@y!^8AkKKJR`a80NQ!YUWdKAXk_?Jm&-JL%= zIfHQy_xV$7bT(kZwPzUE*r*p+kn_fcBPGGZ!^6iXCM74QgtNfBd>ui-{uqLS!7g}^ z=hjAxno;&BN5pgvK@c-Ea*KlRZk~=H7RCt9V{}-;lL`amzBh#*5kBginq!ds96N+cpU z9*N9;xrR{U#>6HU7nf8PTttOq)YU~05q)TCYU%9inw^6x^Q8h@WCe~p0^1k^^%PnA zgd2bX5A;|}2tEfO$d(nZF$T`g-cNYtD8RPD3&x!j!9e`x&Lt4Uf9@OyK?3H^FC&jj z=gx0qAW!Gkk(lYZb6$*Lin(Kq0yyi;j8Vvg_P!RWw_yC=wXc<)h7}bFPh>UmN7g(I z7f)%1zWcveNk8MUe*alflra{(4jK;b$HnPUDvICcY&kA{ak1FP%gRTePA|CSrCF8YyDP2#{BugYs!8;@XOYCQ2Fk!M`c=s~W9d7)dhqr)jpvc{c18fax8O2pVC#M8@W9!}G!ExtdC7h*xwnduUEroA zt3neqV8OO34BgkGa%kSOe+*{K)>JKk%Sj?%k(^kD13Jl4cvh9mg4Ph~v;m$X- zTDe<$o41?X&wPy#G7nMNl7h1NifWNa#j4wppI-cm$}#QYe6U<^(xLh7tM1_W9hE1A8+bv#Iu3Nq0(-CgD6qTV@baR%#i_n&B z+~m#Ys@1rsb0$KP<_TFY(o(9M*wsp<0&ZSl<&d!6)<8329Q(V#m{Zp)fd|bs-kd)8!zOjW z_uk-XEWO>0tL`LM-0m=`(VxDQ)~NOUet7dyWe&gS2_UAL6MT1wlg8G~#$9QmNXSas z&<&s>Az4Pas;`!k@3` zL7n$4Jo6CV4q)?XpI@ga^`(a5lW?*e%tzCso`lczciw1hf0mO>+B^kbBc)wl976P; z!gC+iKYNi~EihDm4!P>OlDSY zi>I2fvrA@TgW64h&jMcH@MY1`e_=DF|5E>;%V|A!VMQtaB}dK>qr!!-Wga`=r<(DL zERJK0JZYfU>|{=zi*1;|ZvD*r*zob2riSuP;P;yMsUfylDRu1@kmU}nWu4SD&91Gv zu4(#EGB?sj6?_(Kk@XCk8dlq&UdA2yAv@bEO*4MWC}3zUoXAwqs0Up7EG%{=!!4~b zI+i`*?mH6d{aQsKdjyM6iA;#^C+|COlgn2kTR`I#kNEiy67cIHsu5GylIAGCDopO*0;D&&Yk9p4ROy?dgikB2=xMpK zr0AoxRs;fcB(-;b*#WPvNf8Ia*w12ru0EmC={~F0EgNo>HNAU2K}jW1%=p2X==o_1ATer4rV9}v7YeyF()ZzyKZayQ2Spm~ z#T(T!6pz*XAA{5`pZ=>YmwMeqmpH}O#>W*~gW;7uk z^DiDXX3%m+_@P!%UD`BKV*E^X|2;Bo&V1&r%@tuUrfD9eQx7)#*C(75*YnAk_vk+i z7pu*@3C>)9TBq`)`FlNIqhl?w1fNSZo`hZ%?rrrY!Lu-p@>yl4I9cd5EEwkQeo#CN z>YH@37m{atC<*+3iPZJkXndJJ&`=rt?vGLsCPn%(fc96@1KAy!?)|;H#NDTFlO047 z4h*uegYU>U`yCcYm4T`uYNvX&30y0Vupk;4azA0>vYkw3t)APthbZPtqfbC!xNy%8 ziPFSCaz8=7bG!83g$~R!Pt|0Bp{ku^AXR#vVz4ttPi<{-)ipr zu&1lCOQgIMpKja^uJ`6V8@~ba6+Z)Ct^A9YJQ0o(v2siPzgc?)aK*J}XPXm3c<7zu zo(g^f;(B_Yoo5eU@ZHkz@VLJen}nj2px*Wt;MH^`3C$7@1tqPq$w#sjW9oEUdxQCW zU$~~I*36!Y`G|3EBa8!*d;sTYKtj8mWlnw*z-ZGJ<&AdKvf(`^@k(% zL;~&?`0EOELHCa>#VNXHPs-P-GlUyCT2mzaNoV(zLowWFN z_YUoo-+WQwl+Ve;jFuBis~>yaQEvb@CC7y13^}Y%wT;Hk_{R&oge`t_lAKz9nxPM^ zP3e*Y!Vj{sc+`tTdB1L0k9{d?>Ey-gG_ z-n5G26=bgqmz6w^)w-}~Xf-UEWqH90Gr(Q+6A95vU`prZAcUtgbJHX)MkNhn|K_5f zae=T#&Zm-Q4D3&Stf1<8xS5d}*_@D`kW5D5WBFZ?FZ#IgA>B2DNi%OrL%0F;pZe|I?!noGEl4|FJ^tkw*(iCC&R0&&|Y_&Kk=efobf_ufHKHS449BIg_=Nt3fAB`8P^ zk~2t7gMb7DM9E16Bnn6r5ClYWMkIp>l5-Fcksu(Th$2CO+rzi_*}r@5u2Z+_{Bz%` z?wNs_p4CJ5EN1o7&&xk|IQ>mNEhq@Tb)0biqik68mUm@R=Kr38g}c!*pJip|JkN#u zMgNPR6`(i2!pswG?H%xY_kZ!Tf|=P5=t~GN0n2A}hKDcf8~=AdD>yhjf?q@6wFLxb z0{Jg~R&aq1UL#_H7l&BjPR9S@X9W_HSFcG)Uzd@UgUO7Pl;L+2%;}u={hJk3J`Bp7-rH!t1(3LK_ z(nDAJ=*j?H8KNtrC5iw4aj?dgUzstQI-uopI$d_7~Qz$4w$*_c*;e&^L~iC_)f#ve9?lWDc5-pSH;vc zkR;MK({h26+PyhR=YLj7p5SR64G8FXf6v zV35|L+Q;1}GP29a1FI`OOIP(kQD8(9g^No4snEi_)aZ&^a08}8{A}TYblc&YK&sM9 zKq_9wl0Q;VLXpOkM36*M5g>72MtTns)<4^TMge|#a0bH=Fn`8wy|x{D;h#Ybt>AJ0~py6Sik+_tCg_98v;B8HVK<%uHg4+B+l>8L%6xcd9SJ%8`BS%i=IcMEnXQgb;IF>? z0}A08mdDdbS;w02*yMTYTzjW?2}NUZl90+&qx0`iS7_G6;-uXzi(5{7=1bTG?j5e$hv0gje5g3Fs{15#Zfazm_T zX}$W@Uc5vHpOdfl+0oZv#)Iv*A0GbvzP&+#ijxV?=vIFuP%4Me45>)M^cE63ENiXDY2{C8^S z5jnF;qv+>n8N1cB*g*2j_p`{HH+gDud`k6fujN^%%sOsc@h;HYjGY{!1UtblJ`SOU zXZw5FYh4kI+od>LD+k(`;>mKsATMmEVMtks-&h$ z#7!D|!;{zlfS31ziRRkAuaWSYTiwaI(S}+#f4#U)v^z*peUoghdj^ygQwrK2Q(SS< zM@_tx;Z(bs!i7h3P}h}?Vh=YZ^)Ue@j2_X$#0fRGCiG%fv@UK+KVG`4f!d&ZJQB>= zc;Dj@NTT-m{8iumi;2dw+bd;ZuTu`3$IXWI35GPfJ5F7KuK-S^U@~EqgA4r=F%Q|} zjekZe-+K7c=F+u~JUM*bjWsucov0+ji?d?JuQRP=c=u)nBj;7pV9$VOixpz?Zhd|o zfcnPIM^ls*VVa(}tOr^I8b&!r=F8J%_m*fvVk;^ZcR(LD^=#<1JB`gx7KdXl=w8Yk`b(jZS@`CwKhp=*;XGYGo;ZYoDqMquT8?s<;alTY$+D41~+!PYEzQ$P|lca*K|Dz*YxM>xfI!36l0%GDOpuq znG<|Cin_yi=-L3rbGgP*j;sZl72S=`oGUYawU%ohhQ$dAKiA1li2Q}XWXu~PHZspM z%ZwDZNJ*LHSEr%}ONRrW1&oMuBfb-6fg#@0yAox;0|e8;-Wm9oe7B9q`#8kq_@JeA znwg*M!XdD^wCso^YdoHNQY(0E>$U{8+FK{{%;2mK`PG#QYI0&=`w?k8%Y1anp1EVa zEB4}HKN+D=H}SVh+T4cZ>3o+YWNP4bE^mj~z`@j3L1HJ^Q2Y+0-GAGvttEl&y@S7A<8CisGfJt8?K<{iz3g^~T&-3M z*jICkdPbEZGm$Jp_w^am-|YUI%28C7xS71a6YeK9s)CyEiQ}#!1xy?y#WXanD@_dJ z*OY;SWntmx!;I^)wBNxiLWl39<^#U60ml}zF=wT&_Du1wvQx~|j-!wJ7*AlZB&-1i?fBcd` z%{nQy#2+QN$k~38YI#IK+aL=tqQIr~p1QmZtFQH)2J5Iu@@kLNnwML6xwVu(o>trj z(nLp;UmiZ!$fJvhbU$6=ze}F6=%fhh$lvqCPlefDE5;g=) zJj?d67-_F%gMbPwHlYW3O9qcJRO^Uq1UHj^17*djusN&}dYLUjQ=ez}%&c5ji>rQf zT~|`&%-vy*_5iO2urI&q^AAlP{Ds-Pw)Oo?KvwZV$IANFMK%sORed`UoYgom`sFvf zgmOv!jIpa%a9qLY!l&I6TxM~du-Mh_fJVnE^~J)kV@aRPNqiAYZiR(ED{;}}0ZwyH z!uh=)2*K0F6=G$gL7{6OPDnM=K13yRd!!FP$zS_@BGo-L2SGS{*Qbr-j56M1cwFLo zw|s+>s*cE+p5;}rvfD-GR&Az$uD%Ttr$?DD-;7L>F}Zlh5n4C3zas&<4=tHi*Cei& zf>uiV;dLpC3`))$o=QizoZk)0Xln$2sQ=>YE>$u@c?&#y{<3j?`2t%}N!{YN{p(hC z4nb{wM;Od}5;}LhD%Zq;-trC;%(m>4+WHOms^QbF5lSGe8sD))^%MXFhqY;Dn~3{$p<0J$ALNN|!CQ z>PIX0qTZqsX1;+#n+H1>WMZm*&+8U7bgi8HY=GTOm;O*m|3WdyXUuh;NWdVe6@U`wcDNWs-xyy)iQ8U_ygov?)KmZA8XD*Co7 zybSEw!C+x8KXQD2qkdw0m)36Q?_2dw~&oMcsQB~;6po7a1l+Tn5X?kPp% zA-0N<*K^gS&Yo!kL7nk=G9l$#t)IZRAA}^zfr)7i@A`jX6Dv8nhdyfW)4vdTcTTULxZ|$6MB%xW%&keIC&%xXOmfulnFY-Eyur`;WxkS6H(m@_75>GvI{EQC~g@3u!G4h z9-Exo)G^M^b6G~yCa<(<7o41tiOQSX|D@p;F>v>Nn*R2LmhYO&ovQU;x1yfq6tV#h zF$tI2l%FY&-K&kN@Y4Oi$mPj*26re5^hG#C!q!X zBRu?4&hI~{0Otl=GEp^6`$tm?6lQnl{tzj|zG)uZ#=ou^IySo~tPXC6JW|#~!O{ zOxs!-On3UQpl)>OqM*J-Xie{DHA7q1k=iuK!S-k|vD$qKAr2%R5qW+doNf9Tq63Fi6rOGa_XG zv!do}w0ue$hW5^00rCA~Kcq~f83k{s8&}qkNo$z70^icwcT*df(t7qDCAA&h4MA&rp&7Cpi4_n#5f!$zy96w84F2zK19YTtYZ?HyNm-a^4Ua0 z&sAFA`Xw2V(a2_yknzgKB`T(U;f$1yS1BP0yY~xUyz)otV-sxNDrAS<1~b@Z%R65hZIo@A6s}J`>;?@I%l_x;^!B ztYbfe5^~)y`MqaS#laq4vqVV*i2Vr%bVWr z>D-~XXf?l)b&P3PS7_uoZxIXqQN%pfj#WQM+h_NguN_|?lf5mkH#;L%b$11uOXT4$ zV7J)7=8;uU)4rXSb%M{vd0EFiY3C38u=enJ`FadD#Nyt=-*jF}OmJ~CO%<(plUmc&{J!4k2C_f^)P}>TIzV2hI zYu8``?#q%|PF@ww3!gWCk_c$uatkgWU&iGG4{F|hMHp>eAJV7>z`X7C90hdkOc&+1CoepVe_wtc99uW7arxCeks^oqfft4w6^uL@x#*3m>w`V`GL+WDZQoh zk7w}1r1$ewoJy+d1r0m2+zMGIlyq01P}n=QoLhQk5trCLK9ihRd2LVc_1qDohAQt` zVf8yvaO=tQ4|fwn5?gqp=T7P6)J=W9M z(4)CJ10y3e!g|-^-hH&8)7SSrZ8}8!(C``L&cbrhbQTP>nG>z%L}yAz(EKJ`$3&0{ z$Pgiw@F!Kd2!IIZxqlI@ByD)p1nJFrw2>3$n}#mJrKM#63D&iZuQ>lwauULT_~yR> zP7)Fl3=+~M2%VxCPBL;x<(*TUu;G6hN&f}k(J{cqhyU0)<>ch3pq*1e;a^Cas+zX0 zJ* zr#PfJA%OZXNvV_+%*(Byg62AHZEgRBbUMJGLMuMcu{P-WbcsB7<0is`O@i+#Ivx8{ zcs~Vw(5dJOVx0gAKGV-lh>-0>^LziKn}_1k-28LE6D9+MfyUinO2GG!bc4k8(D?e+ zH^^J>p#uvo$A#3|znN=DyrFw=7=jQ&`{xnNK@Z~(Jodj3`b6`5r!$Gvw z+Q!bo!O<}cNKyL)>2`o5rH>y1qth*?8TGQ{N20TF9x^Pr$Xqt*2AR}8QL zgc03sCdgbC1mt2M0REW81|ef*g-dBXJp6L1s&KhXO|2jVLsK(6m;?=0>*}JNz{cJW zd{AzvJ2n`IKWwKU05<^Q)DWl+fzX`|f_;vGLSPaNfubWkJUlYDxC9ji1+gC8&Lp+9 z^^J{V(`aTIPCWEMe1xyP*a5Q)+91qm6DT@c{pZjB5TGlNCPxRPT)%F8BP@svf7Bg7 z1*vIBOw(MrC?R?7z(q6DxsU;cjmU;T26PF55NIxfeGY+!AQVb4pa~c*0jjF1q1X5B zc6%b8;jRUaI1hX>GPAN!*5P)!7zIukxp^H3Hkyvkw>f7&ao~i4fOrUkQB;HqLV06C zV6xj1nL=q3ewhCRM+jO(gP?RmFi?ge7zkDeat60DT}E)=({Yy&1i{NiFrnS|(GVaY zAR-|nhf@bmn#<693zeYf&@*IN(H|4CSf+4(p!9LkGX%~NG~|!!fcPgYw_tI!K@g{0 zgz_8$cR}m3Ap&~W18tH<-N%I*T(klgWlRKT1zebY^#(4ycA>OTI>@^Y1P2O2;e-e| z--^cZ{KrV$Kg%ubgqP9HP>RM1+RB)Tp)YSA}I}M<_$i~4hH2l#ja$^a> zz(U)ha}ksY96mAVmSUk9{s{u6a4{MJNtO3Mp0~x@EjQ0KeP9qcnfgu@a(*OBCDrdMh zAJtl*j$c$%Tv|B@#poMIE5_K^2J(6tzWd`CNYv7qFjDYr=}a8M0p=0H_=0pUol#*F z%`dHBAaP4)Vh9qybS8ix2}_Fz@?`15|4SB&ohKAp?i0=tzCYzF%y4PxA(^JY{@MI9 zB4e4wAHndOX*rz??hVUbac@(abj65RWpMNR0jW@=6^2aQoVwgWAl#Xg&~)xW)8 zjGwf35t_ewmNw(1-FwB%S0;O4lJp6PeqKknGMRI-8yM)Sl&6$#5=6OhM37l{jOQNy zyEWu4_`vwfEjr_@?3h2J^7qqjn}xT3xZ8iN;l@Q6VT3fqR)gh~_^WwJBheN0G<+o? zdCob%0{Z%!i$#~plS~Je54WKz<~jWye_m*Ev$?eB$TcUJJS;eSHLTt)f6m7?+Vlm8 z%d{R+EwN-f?d+r6Bfpnr(vkdg zj(_{`i@@~cwhYT4H4$)seMh{l@tP!ya=JpG6@lH%hB4KrpPK6GGU6-cOdjNbNk(Nd zE5g!Z=N}jD8 z{mQC1DSa#ReavKuH7C<(!ly|>{VBByfTLXZ(3pWhpNW53gl_5J4(?+8EIt-|{Y2(w6c(`l??p7cd5XQNAwVf6&U9`wEQT5!&82&Nc68a+{$bKR)&q z4XN*^%of*5lQV16m)`~h-`ivG^$T86Qti#oW(PjIx@#jQN;Wv^H26zD&g)4kSbH_= z`ihO=jX+m%`8;5GGHw_n7_a;8vo$T#Pfeu!6;R5*a=&0;4>LE1%-D#!qzjtfFWmAh z->9u>y2#O+7XWHWG_4IeLYM-T@@77MOq`7kbRf60+#5A6;_3K|%+G`Lw4Et_;vd{| zPBs)l^Y+ya$$tc;2U_F`PajJdDM)w&Hl2too>^oYJTBZx9K-?7yagEwp6L5Z9UO#9s4ZGNx9*wyQpkft{Hx|9B<_{ZF3V!lH^;pYpUdOoskyltE=POoBMI|J|%m)(WCdW9tHZkiROU*G(&*xt%e1I?F;_3h#ZLy zA<4P-9JP-~nxS3x7cUCTJg#o(%z%#mC7PQ~934B~L&*B+^0)0zrw#%KQHf_@VJ!d{ zvs8abA)w3oY_1rl#8;AvA0TOWMM4m0c^4;-0 zzOLenf=J9k|C}DrS9-Ml)(X0~nWH6uT5yXkOQRS+;|jL0)Sd9%8($~B$ljP*_}ks( z|2_udU)(ni_qZ4no;E9pQ1ja`y$dhjA!p2|`r{_4w~(L&UC|z9Rn3c!>ubowM8zd? zQ?K=gKb1=#^yi2i%wRMdq+bI>&P}HX2mFbxVX^0ZmgC1CuuV1x zj8|oSJn(H;`@M{!7>yH;V zOtNrmN%enNHtbP;SoH<*zpKXA^G$P1<3k4(ukzKL9N@ETvuni7Igg^4eJ(Tsrqu>3 z0%hJ{Il7r|rs~g{yk5QgaAPDWLLXIyZ$zmDIa+xir+AJI;@$E5P%1l%E6bGPg27u# z%eR~!m`8rd@C3Omsqc4own=Jl^(?UEzRj#y5On3i3saHgY^FvkX+42ygvA?Pk#z?S zB1!tUYlDJMaAMS7YM>euB!m)^lB?Q*xB5%6r-~a{%^*0T2po4!nld0bLB8-~(@Tu+G zil!D51(zt6Hb>1&vb5H560i`9VYg0O-cwjb1){(KseetrOJk~@T=nBy3{H9=+`qpc(31{si7+!cbLE`M)X?59F53KB@sjM&~*UkdPis^aodu^!yZlhDIY6|94E(bQUf0QV?NZ+_^ zx)7C&$B@n?UM!gy7R1!Lum36j^#w!VcM>((q*>tjvYfzM43E5LC#vmUP;N+pJjNl zMSnFJ1?h!nZ^r7Jo_T^mMP~AGDFvBu?qS<0lZXqlt^&)S3K^3GT{5D_PcC$W>fCEI zVj{*t&Dj3MdqULyzNaqxBoTWtDOYu~q;BiDfB`GmO%5!hRaY96hj@JcRN0QR_oj~1FC6DaDCUqC5!EVARISjTTwuxK1nCz{31oI0LKBmC; zaArnWFeHah<@@MGLERfZV9a|})IFYZ`$cgA!)Q5RRKLW?+6LI2$K1bueM8vczHbmL z=5;$XVrSr|b6G|wm|+bnFOn7CeXB!bw80?5;D-vz$4gAt=fgM?|G{s;f9G%igWrPx&foqAzXkuDzx@w> z`#&*RNV?X;-LYuq)dW_b&Ui=BGFa2|`~27CH%gIU}8|v?ZRth=rYIm4m(#Thv&2WzAw z$|OO<^Frt%QhUgT>=in&7PJ{fOy3gF*VP&Lj^17H9BHMwNUZqvIVm& zhxFdKu|r2!2qJPXP4OMM$eRpDSd?RX++gM+XLBYG$Bb)!>4Fkk{R%1?D{cDa=&p1w z|GnIACDG?i5p>ecN8mN^_BvPC3H48Kby)OiN-P)4O~T$XfmP}DG47#1nuh5C->4b0 zeV&MPg3LlMzT@jyypP!X9(PHjlVxJSz-qr({Sw!RXRcAm-h~b!8So>>fKh+~BUOtWMJMr&m?{F7 zI#yB%*J9g{L)JM_`72oI9v-lMq~wM5+2T?lV{2x0)rSfF$i1Mer+p)5_E=qDO8rBv zqK0wgc0B{rd?o?u*Cg5A?(aM`vTFu6jYbpSgJyGKTpqdgr^@l`C(Q<)*z$MpEaxaI zN|71qds*yBLJChfPb@fGC*gt9f{{)^_9Ygc&wVu~eb4c5HZEM`5$6ZHjw>u~L*e>V zj3qj{fhyMxV|xPr@HKDS@JOh0uqm|wEQzfZJj0hXr=P7^>YDsGe-GTtc_rC{-zQxb zym~RN2ke;SuYGL$mP5i4G)0~eAM)aL?rpnNqrRIvTW_!Ftk(ls$Gx|00lmVJwbC~W z->ahBu%1U^IC=#=DE(G^r}1LNgR2a6#$GvRLS{gqbLAG3L^1xs4 zH^{+j?-5yJzTfwRT3hUX7SDByAOgM|w#j|y?7vKwfe)UnhD;fFz9kK&Q`1w~Ypzvl zd&^+8wtI)NCd`JZ-HHPEE(gvK)M#%VYw;n)KbGh94Xo8vIZ4EJ%5Gd&#xWrTYc?al z$LL}{eLTF~`6~9QVjK6-04Xl#pPuxtWfQ^|20-v^y-3*L$CcW%0qU<*3y0b}zfmS? z4Rhl9i_@p>5&D1^TSw%GNKbn#N3tnvkp0q|;!EQPAF3ZQ8!{OiiDkV9S9R)lwuXK# zFE2zBTuN1@PLkU;Y4HoD!zSI#=-G@E0bX-`Rjrvx)g!KS+fod1_EDKkUjCHtwR-AW zj_IinB*A@&{n}%eO1jWJ+VJi-*2AiCo9_cY8;U5p2*11aEw&#}lrC2O4%j)p7qr1~ zl;XqVxmOex)KB>mWB4AkLNr1R6fGWR_tN$Wd~eq=)u4XwuzTor(A_})_icCu*7_n( zBETKi@AI@Q&~eW%we8(*JeDBkbj0x1$XvPD37k_2nf^1)n1gz@rV+-ywG zas6C{19HbVn@mD@p&|#g1yBd^kQ7l;6{4S!l0AJx(PJ(^v12y}glKH* zNt5OqXkFwO^>eh(bBp{T7$>z~NcV!zn}~g{3nVK&FRnK|X83V&TEt^qN$5)RJwFfMg7^v0a3s3SBUV&zG@T{si*1PR}eq}rN2GVD_gQP z9h4i6M~-Cij2)OlgC##^bSt$eV`Y4EK%6#X)jbgx#2XuBg?pVS*Nc5 zZSuGkL8C-_d{3I$p-9?A`h#%boBSk@4YO*BuOvs4=BNJlqouf$;>iBv4f2Px+_V9A z!1I&d$IoLl>ZNcFC5U=aWRy=0c$g}p74aRE@(MyfZ@c_00g7_HU z0(OjoVu!Qe$yeLcu6BaxZ(g6fD%Fvix3nUcDs@jHmy0er$6&N1vPJ3!j~R}Dz#j%o zt*vqgikTx;FEi|CgEH`&QwLsK1_pF(Rzw`~f;gmyiYeU-#ledZsq*0yUNdI);GU1d-@jux3D?CIRo|+)7WT!a-?|fMQ;s)vio`@8 z+#5)n?>Gv3&0UwBP>MN&5d59HO!5*JB&pRKQ`2T`M8)HseMsB&>Ch&V3Fu;&=wYsk zuZ_=m0m@Zo!WwK89+=mb31_d4xw7?Bees>tEq58zS-I|4r3>CSxPQogv+$AqLUD2G zC!KDek5VB4ido9&`OV8b}^^`CD+9w9KuWx5Z$1MH^iVb_@2XmLQj!6nQ}Du+JgJ0h6VRS zg@{6TP^D10S!)=>%0WxELqPHspA` z;(omEBhmR&yO*0qbd^~7)mOnMg0{ePtq*@Un*7rB)<>w>Mr5^w=l$ zi8y}0PRq3&b0+#fuKYGnHBch_k*q5qi=;pJ#`72{0!$+d_lKQ?Z?VL%FKGEBXW>)o z$j2F61^T3~#8gbN!gCMwU-G9t(zw}np=!4PbTvG3arWtAVm#;9fv*&A8Z@ll3tj-FxUheGj z1fd5@8uVgP22r>Hy%pMS8+&iEtdLtDR{a)w%l&B=TVS$jRfYKzr0imtZd5RRcH57y zgJz1A7h_h`Qq-S;rzAj%?ahESW8L~?&%zATd%3Jpt0cCl*vrP(1Y7>reN?yxhCT&+ zVr3u#LR^E5! zA7T;=;Q_PD;^3w!nfZLz)4HnqNF(iuSx1unTb;foI-lS_lhLN%!sGw}6-P=Z-MdFh zd}*fdpR}Cjd*Hk>z;Kj%VpqMsSK(g|49H_{tkHb`d@9<>QM$R)ElU^a^hq)=-|}Re z$?YzoAJ9lE2wqwt(MZMWUD`cWa0rb~864d@U=xYy?EQsD%>jIZ223sQ&TsQXXO#~f z{9$B!`f$xI%B>>nv5xe->`Dmuek3gATWs%~^mfBlwH&iM-;r?gDL;O367(=BJv+Z_We=Nx#u0e<)^zQ0E2-!kwb4~65ahE5v z%iAWoS;kiviTlEuXLm7FG+m+-+Hll83T98}Kw$ItpMU5%#h}B_+9^B^OEtE(rEiar zo`>H&D7KJR9z?wxz3AZN7nA({fYj4BAokU}u~j+_k6#yA?gV&a;yQtjb&c+kl;*!W zne-`bOIEM@r*~-T8b)>r*ff2%)VxH2jMkh* zHS-5pfLZotUHd00x#6i#24QgrB1$SIE}rGp?LVnxouc#Z+yf61OWy6BNZzibXKnD9 zxip4Pv(FTrHIg;DX`V6g-L4vpc>51Czq%heWjR`i>K@PdLB-B1;uie)o4o$dE51=N zplo$>kH#=Ks=RW8OiD?^Ej%f+v~}d*n1W8!!oTkQHqdl>7?qk`-8s$Rn#scdYJ>A2 zoyNT3HQ8@er=-!&A1c6orF#DRV>WqaRlSfZ$JH%+;i=whE0~NtLRI5G1vO28WotJc zwS-&vlUGecUx;}xU(>&j}N z+3mub>~{wWK`Vg2q5o60Mp&(ILjADB^}9)Fb;sB*$G!y*Y`>#x8aOqEKs0kmHW|OF znr&pm>JM_^+a6i@9V7c(0$M%^ZT;ihfS6tQa#~j764jMEJI8u=qhB|F5|Olf{q2-T zTGOCsiw7uFb$_6-x~FCv*VwL~NzTeI@BZc#UonN8UWPGkJK+}yId*^Yru`3)GI#LK zs&8&5pt-Ie@#@QEsT)C$Vv|Y;01KBK%IQvEYRy*?rfZcuyBBn#lNvg^=NN<)HC>-g zwSj(23hLXw1^hhnQ%@?(_ltX`>H9w7Q->HO{Ni(95_1Bb1K+R%r0g<((8l%?Di{1A zxyUc;;`ZQ8$Lt?kMqVQyP+HzJPC!B{t{ae1+R(i5^FYKbeS+WE-1g3c;BK0mmY^6B zyppe#FP)nE%LLdYW2!JHFNiDNEozy^rVtX7R=9mX_GQ~oN(m_$6K4+)QMy_fy-Zv?E?00}2{?uBuk{8$Le*D@Bd?KdoOjwM@<ufj+rlqGne>0>MXdUs#N4HLy+d?O+a>ES1%qzj4!#D^^Lx<>c&-|lM`pIZ z-#kVHLWZ}#!}*Ih^|9)88cUkooz3=_mUrYwU2${^=Uw}qb#{NNAMs5G_I5Vq|j;C*A z=8LAb*{uU^K}o#_^=;t8Dz}ifUT9hEtdz}zsrh}G_|&PH9WnvU`)~Ru&AebL`E^W% z)J9#TWGriW&@9Iwcezd8uVUomDKRs9;VQIp3Y(VmCLVDK^$-v%IAxP?<`MHg)#SM8 zbwTE79qR>NX^`2K|9VYCR?&GBL)xBJ(8AjBPC{B~;}7wxx|W?|#6my=6&_R4(e(~$ za&KDM`ajEQ`g1C+q-kpD{zpPx*WMqLR!*<)iJHYE=8Jb|O;Yb@u1@c;`9`-V4&Z(e zK7uMji7z5jj> zLr6@mJtDf^4@|NO==GnW?g9U#?A|f4nG;eLo=3CPgob{{fdzG&DO8Ms#OfP8weIpAz0%Gn@Qwz(xhQHy^Gjk-D zwNCFM*w6A`O|9dy0WQ_Zgp`5t#h+Msq)uLsOKUp^xcMZq3yc2ZvGU49KLIzArruQl z9{%#HX>i)Y;|;z^-_Y*!!d7BhKJn76I9p#($hXeuvXW@9eD&8KrWwt+x^GMZdWM#P zPhYi3Xu98j2p*SAFR)j1ef)KVN5wBGC99+x_pG|DYxb9N?VQEW zQ5u+rg7_v(Zb5N}2R(z#RyN)N57Rpb56M}1E`>ZR9ND1e76D?4{=r$L6^9%Hqq9o~ zSgJ{BbbLY*L$hCldnZ2*)&2w){^QI>6AKOxGFN>3pC#dwN49U_bEOh+J?qm^LvfUX zwskF3PTSBN@kQaw5>hs|-0C&}C$Ey8rCUx#Jq8gq5HYoT{%&!ToIyxdF}-(_(cJQB z!QlJ`x9%jSkf@3KCg8pFx_3(;fuVSrin^PEHXVO%LuMW0n6m7nV>3s9Rt+uhmo0eEptDJg`^eCvHWYu?TGo6)4+|ymGWH%VKzx4 zAqj8)89W+p$$|Ll4}aCts+$Q!^g@B{>fhY_u8E7hNx3cjg4ZM4I*xEDX@y)u9wk0(}#KHuturAVOO_bA;{A4X0ZsycHe5eNeA_?^D`&LFLm`Wyy zFY*S&4iL~>RJ|87Mt>u3`s1$ya6w61*VrmCtGweI8JF<=xalKARoB8gw{v3gI~D_b z0vMm-5!E-1>7P*6GYjpQ-5vev_i}3K9T3UMV6__i{0ETbb$+}oelMxL=V+{GM2MT| znti^-!-#&fID)RUW=puK>p+jzG{5Z_OWV;aDJ!p%Oi0NpDDKJgmu;V^gd8fRfrb{! ztK}1o@YU;aX?bNmGk9E@e$koTQ^$X;qKB+ACc$snUFC?@u0D>DW%gig!yYyzt9MK$ z{ZGPrun9+iZ3SR4Pz^>@886>08kxnpVQlAv5K(GddL^c}41B^TlGg!7=1&V+dlr8I zS>3q0hE`Ne8VwzjVsK>L=J!)9jhpu19`jZ2pya&9or9~v5!qA56t8g+dFiCgUg1;* zVe<`yPE6IarTgfbm1alx>^zgv^G%j3b>|7yAqq5UbFSIML6e!&Ga(50Cv`kJQ8rXz zKrj;%^E|;i+9-&N&Kys6o;V)znPilFf+CPDgAH8Hg>uahDA(+Oa!ptyV3AzHJO?t* z)rsfSocuYA2?d)l&K;W$4!97=ghZy34MGe#GZ)u;kIxmG$*`@bHju@HC3OjbC}zmH zVG{?Yq|V65%terA9SA@t)rRm5LNX2C^_+qB^Ye!-1cX2nXD$NGhj4iUGMGq6E<|2g4 zFd&NwVazo+HqI&2Er3fK z6wt@07=j>5xiB>#?5PT5!C|0Ta5Xh8*g+>3H@7=?AfpMx!@~o^!xNIMUg&@%G+Y{k z9Y+Ih&vRkhX!bepg$-17qMI#%cM2i)33a|Ni(uc-K=`?Uw5+1Kb_6ZE97DNbOirR4 zFs5e;%t${#XYbMAqWk6nw_(I5QHWr zhX5)KFC25>si&kiBR%0BNN=|{d}iMgJgR@d zO~|yOEu@g>8=u(yu?G#(aQ3Vso-}A#EtKY*o+8+|Of0Mr=7qt9a_qo>;3hm2-VP}4 zgh#-SejorFMAMrHRP^GascsP?SZr3#HMqhe4INNd4E{LZ)i1OLX7A+re$&P@Ne0h2gJ=(VE(l(vcBp86O+l#3sg=n9N0-Nin9T9#a;R zawbF^9^+HPlLgF4icU)U50LEU?(LKKm=9K5>N^0PAMsAkS^gazUSP>^SjR(_n+s#XO6MwUNBsf zy*EtvUTeMP`?LHD7XD`W4g=2W-SRn{k1jTp}RYF0Ya#JO#`v&RI#l6$R&{^fJD7hw$8R8pk0 zWcprP>;pf(ZPjC%+(Z^?l3V2KVsl_XTu93Z?4F|x#`BrNNbLCwk zzk*j}LK5^%2Ek(VzgvrF{;VUvnr>LO34YIwQ$g7)j|dd)5`~ETmVhqr;m|3&AL_dX zoa4o+!&Q|huJ%jVE5 zdrJZ`el-6;o#|EILDzmxl+upud6Mp``tXnNV-Ck?YJXKuupLZg5b!d7Y>2T?%RtMZ z_N3f}SH^JZb%gX2|GDpHmw+yS1Cz>$XVZ!2_-uv9S({CQgskb=)?hrCx8P!+Ue9Eq)Fgf-Alyq#k`@92tjt|Q!8!9eCdO$#*2Fp|kMeZB z(;`&R1b!K@c2z0@XDhE)0|WMCRheyl1k>wYD?jk^8wqWtUUXceE86&y2~NW}S(MI? zV{H0OX4?a0m{MY2>dhEs8R)6YmL4PcFakE!k1Stpwcfn@&D^yU^%Q{-dc*%k7!Nv>h8bL>bQ8V-~_JbR{(|preLB zDqg;IqL@RY&-Yi|J4g2J!h^4(8P!k2cgq&@9l=Q?rl0hqkTeN56Lx6wfV3S|;~0DU!3r2x>Ngj_;fpU^ zz5X4>!1i1w`Vn=6&q66C8pXWU=X3A@1#q|KtiSYbJG5vLlhE+fLZ?r}OLGK8H1QfM;giF}$M#%!;RZ`*-i+b7<}rh$*r+Tnek4cXZzekbH>Y*INB#0L)cnak`KxH?iZ zs~^EAuj-L}y2KM-SM^!Cu1AM<<(r}JCEPyrVn6iak-(yY3jcM$`M@z!9y)|wZ{jPI z$cifa=feJ=ZyS!(j`Za212(h|m#v~CSOlHGHdk%RaF&a$`htc-zYewaFosA;5hxhN zPzwrur5K`ID86fI&f!}}ZG26CTlb^IjaaVWPd1QhMr5mR*N^ZBr%Yvf7hzm0^Es-A zfbDo4X({lnZJ8GMaKJ`a=kOIHi#*`ZZ}YDq7(tw`3Xr~k5urNqo?}n%0nl0oXY>!> z4psP@<;l4u2xIq`D_TPGnsJBDs$JpQ6~Ug=gg+|&vL7L3@*5X%3K^#XAIpnc2D+&+ z$@R)C?Pe&!x)8ZtLd@~0^?1vRug0~EM$F4^a!H&Y;B_0*EJVK6GaU@hd zY`wFBXNZdI@UOSt7ezn5E^fGm0n9Xh1ngSSkHwJ-^Qf4&sKlsrIu|;S{zLoiR~w>g zG4MX&RI@T&DiNZuEJ)mYvKoEaFA^`(?P~QJ9WgbxcNcj7;Gp)o>~hrNpQ$(SxbB=Z z#SdZ^RaBSL5=5dbunz@{tKtE1R;MI6Pm6=CCL60^Lo@pCY7ZkxFllne=)S;%1WGKN zlM@M?3K{lJ?pXw)mQrcQ8cE8wNq89sK9{^0Kz?DF|2E5Lbi*so$i7#m| zL^C7$48P)SDds#f@Wq;y+KPoBn47x?ld^Hd3&MPl~0`LhWf!|cG#`MiM-jf zniKfEhF0S~Td9SYB=Qp$u47*6n*pRCH^_GD(}cHU4}Rfxg)jO1nRXDp*4EO;R1|ZM z`3|NOVCtDhkN9;pIrA1JX)F!i{sy)n)Kwc{sAm2v+PNh+5AZWQ-i=|N(-7hK@e4bP zWGpR~m2=74;&d-g@g1!djTF$G%M$fLBVY^@G<-GhAR+Ea>`W$Z>B(E)BC0H{TdEI= z`5vTGRa(d7kvuk9+!4A7XkHUET_86nFLH zVjr+Oh*_979dya&nZj z#a_Skdn-T65w6Ri=XbyYa$P=+#@T!5Dl7kNAn@H{avl(~eX+=t{)nr%nPaUm8HA9~ zrj0#wS}P&0me3Jqo8jW1`J8BaSs=g=H!Fty@;#t>_JtbZN#e`OLyj`0&Y9CW%%mm8 zd4i`xpWmRs<6c9j2)UIa;5;ksiyC?CvkTAayADVq9`x#yuS`z$8J;7#0UEKuKBATD zwWyVhb+lpwa#tR;gU70Z6Nb-}4n-=rC4i1MS>af>FxM51!<$g<9R?|0Gnuaz!@MTT z)MZDOoR@$yb;c|6j@R&K2X!P*vz_+_bC?1NRl%GzzFZo4dUy($r>C=;9aVEn%ZRX} zWsFTRO`m(G^ue<-AqA6c$vMja&{Rzd52U*p(zmOUM-E|2TL@yxul*|MJ=8p_!n+}S z2)0YsS&#f#IoCfamM6u#tP`J_B#-6%c@0cz+@3^*z66CL^)w>({VEm=eFHUjj@d9; zc?z*A(`QUO_PCryCXV0<;l6MKuVN(|kt_1P&dSz=%==4S{YO#gNh;c}1Be~~vnIWC zbraS-KV-N}>T&MTTRpFEOVKZKjFwx}T#A3hL7}wWOOKbm%cwdy%k3TsK|SiZOt6JB2?S?xc%T%LO%d`(9uZ- zw-jk$RwhDt*K&^erlxO^60Qp^SKJneth4obShwC5VBHxD?D)JXnJ8Q?=|5!gG*vcn z*5H;6Gzp|8m*CS{7J9s~>G_?d+ji9?**L|946&2n&yhgihnc zLI|ZSl`k~`%=rC$*94hefm&^XuvVV^litiww ziUEV^-Ah&d`|jO+XK>#c-gid#oiWrwA+c=lm-pacruW}5yYI}G!=SSde+RoOhW!t+ z`u_vj+9V+(g2H-Y)66;Qf#2}0DB6iVw+~T3qJ-b%n@h-hM$p&%;19D{HfeR2@{@H+ zPdMjs4hhju1CnCk!`yQrd-=r36;Tg zD~_1`A^9pI!@Rg zDc0aI`Rwn~2i`TYAl|weFPv_3M(;#r^iAwx4#A&|wQVb|KRX&dE*i9Y_8`yBh@w1^`h%L_;YS{{% zi8DyKpbw#no{K0Uc5(YM%>W&Z#4gO2`R$+ZAlJN_&DRI7hM5s|f^;1WA_i|mkKM5S zY+Nzbw`>K?Kc)E{T7{+o=k|;-kr$XBvIe|O82nluFH+>h=szvyc|V$!LouGO3{o}W z;*kox$X@QV`&i_*i8Pyq2Pz_MjK77~DI0>{!~n*H&k#%pgJo?8v{xmW_ZoPyy7bVB zm=oFTdwwM<@eTlY9-%q^MkJ;%%fa!Xx_Uf)eTR_$A+`9GDqb_D0H_EvHAVh0lRX^zcq8h*pCya_j;>jB+UCAY=)pdiD z-f7*A1|}&sNu5TejLm)fn8nwM>e&9sB4a$-^2$HHXy3oEJOi`_VSK~^Qs3Cx=_rfK zJtq&hP2rFlZ3a{$^oDuzp9cYa0@|Uo(uLRaPjG9FzmYThIv8^|JE24j2m`j;k%_;A&Ve1nf|Rcr+6j~eT)m!+sjbX*(ZAR${7v0oeHPaukFFGgDcj}L zCG7yljlU@Q4eW5LH3*K>vuhg2jaW+K;$80&>F-d*hZBbbW1UPXm^mUHz4dUerO?;l zgF7Fio3FEn=yiyzfyWJhK&r)~#HR|A1aUoVMpUzHjVi}N0p3m{2c=5#LJKz)*FdCA zSh3eqnl*Buzu|i6d1*tm+%yOI0b2%}7uBG`=QHTj(n5iv_}d@n>}z!5^4%-Z?r+TW zwWY$R^pWHy${xFe;s&DHr|kMA`z%}3UnLq@MLktv`HY)h6DVoP(+(U{KnFi^sP`?c zS!LOBG>fl$ao7BHL1@==>|Z~f0z~$*b^xwmg;+IZnFRGuHWRthR*v7C2*1kGIG^Vg zSS_`$Y&ruw`BVid->=tZRNu+d&!rV3M4sk%Krb?mv0068q;3%45sAf{G-{D}?nZba zl|95Kv2^=Z*h5$nTfkr)0YP38SfaO`($5fu+^BC2ZDGU+Y+&-@_~)cI;bAN9ZXD}< z1nk}n5e6aAJi-anSDryLYIt9MQOrw_z0v;a^+0uWsvp$H<*D2V@!!BR>=qy(%E!)) z82m0jwer{c8ljWicee&Ut-j%O`tnF&Z*q(AeXj9Qs?5hF`Wt>Z2f0z5H@uksKutrx zUb?2gqq!wnDBq3iib3C>0*yp`KG#8W@kra<40ORQ>K>Z)W86{0I;WmWyalB}cp~zwYNvPZ_J6?&3YsJ=PJ-rj(g}$+89pqFwh;kPCoa&N;%j3KxqzUWnqfva z<)# z2?2mvCi(VOpz^S|VQhFY_*+(X&pLAWHN2n_dpfmxEYT4t_U3d7r$CzJdR88Nxzzk# z@+y+WQX%cjDgJomy9KN?Fo#}Ci{?Btr{k=Bd4!%)ApG2Oz*(XDO-@ zY`0sGF~j_}4S zIV(xpY#_>BF7Pw48NXD{tBDUIGkxFNlbFt$!^B0F%&DI5sS;q`pMD^V%-NcG<6U1F zS$fL7!*d&|T4eOwlj?v!Yo{IvZTd?VTO35cuh3AusN{OhA5Dj!X6#@P>&K1wOWD>A zAOlWm0unl5xSG{M_Ke3IC+05$trnLhoey;h6(fe_!Kq(4#gnsfEc?t4`gHSteDlv8 ze1)?v1yMQzm)n-2pMcluO;RkJ-(H(vwTzIJ>lXw(B7SSv$-Rcsl-Ws7U!w|;wUd_O zZ9OgCvxGL)zNOUqm0SPVF13KFsr8&#RyT+MNE+vVSv^p#J2=N7VOG`gt0JZ2;CW#l z+ccwOYT3Ja1emy7{D0ixJXbTcj95Kdlt|R@OrN7QHG6(o)_;p%a}AJ|_XX~mn&!vmzQG^o ze{df%f=A*SHW@iJjcv9(-^w9j&(nYRKUj^`zE?I-k>%0?*tL; zmqf~z3nWjq%^OiL$Py~1F|)u!QL$33qf7YaxK);Acy1-HIsG?AwlVoNy`u+kh!|cV zuW@VzjZod8v3Fwm6iHleYIzqPiAUeUKevDHl9UpFNBqt|;2+=w$5wA7=YQV8pb2`S?3T?(+P=)Ny)xOer4e78$WoXX}ZPm%Eloov(O=+9RA!C5S05w9HIg)+s@N3 zle^Lmi5sFJ-!8Iw0Z=9|OBg3yU0jt@Firm4hvhW8yz&6=nY4LwQPbqY zD%i&$eCp-@aqp0G$2B}PJ8uF5&}v_C^0-y4!ej;ILxFHg&m`Y4LeJ}4suLkG^ZfdW zXYR*A_{|mx<~c*12{ebzu;o9u}LK5 z8k3M!Hn>J9EbdvnipeNwYS+1s>z~{HeTg5cFhwJ4?%M`qHu36gS9Uw_T3B0$e zILG)cpkdk44~$*v2Yy`|EL<9&3c%8)3S0V(l0grA zO2q*sE3b)d$__cZxU`D4-8eO?f(r2R+t`z|nteb(8eZH#v5AaL^F+?hCpfX5HLS9( zd1wJ_Ufy!GFWpL+p597x8%O*5n3FyQ4bA++D;~xPLxxm}1cc&%1r9>;Ad( zh(|y!`uO^-Yf@bk=$*r*GjbZ6=9h%znL|Kyd{Tb>_nliro|kXzeY;OE0h!oK2v%@$H-D=r4rWUVu@SM>mZI@0^dQkA; z9H4)%We9p%R^AR{jKDc2R5txVvyJ~gwNLiueNo@aI*zcIf@>-0+Wo`8&1dhE+|g@g z_px|(gPA+EptNb>=g}n!J#&06=)SlXPWn{R(4?jx9*vllf6+5R&*b}kZy;ZK`OyOg zZ;(am z5wq?)_l)w^fz5MRM0q`!Ke!VT_m4<8gD0n!3CgR5F>*Z7c3IPoE&Pe{RHc0kq&3i8 zMNHG_e%K)iNv!-1Pd7*!dO=O-~py3P0X0O?S&qK$zuPEr%z00Cfdo~CapQNN*>c^UgB}`Mt zm6YF*0P06N=8iwH={cWR+J>i>QZk#ndIZ)^&0XP;v57ZK!Jt1Fhp^UeBs4ruQ5YMy zq?&CAvmRVh(^+Cg_i3`O!5l!;a5SyPww}F0{5-aGg>%(5i4V`G$)_f!fZV`7A$tjO z72Rj9k6(4JUfwc#*URa?3(ejKJTG1u7k}=e5HJH#Ff88d6Ah?OltwcthWkD0wA zY=K$j+n5Z{k`b1iXKhZShPc(wG#@hR*rL3aGk)K~RXTWr7uHN`0^Wa%Kk1R7b8wBA zXOPlQ{Q^STk##_D?BdSe3E(iZbaV?DS|p%lS9ohv*E9BG_Z*Lyj-CIFv3Jq8 z)kC2FzD>!wsOu7mo+UF6-C*)l9gg%)c%f%+;1xBE?WGVfiyVC}5mZ=GzfbhO@XPQu zp;A&-VG~12mZ4?v4UXSOuti406u^{R?G@Cp!1+ekqmxMA@ne49k3CFIWBcIZMlkW4 zSkT%jG#Q^r^tq0;{m0Koe{eY-+r@wC9=v%-Cmsf(s*b2Q6@04d-=5$ArcZ?poIZvN z;Zj?8ZZ%8KKYv#Q+LmW|R|BZ{Oj5ez;Vh|5gp(Tj;e8|z`Q;z7ar3FZ1^Hz^u$kHU zg^hhb5ZFOFTQUaP8L-3BXWI&8HW@l)mYpGVt9$m@?IpmU>ya}=T)|JP4C$RRkcqeqv1>E zpI$%GKqO;eW|IiGMZ+NjkAxMB%|fCF1BS8tykvMZ6PE7HzW2Z3a!5qd%3jc zoQIVw{6WNsqua>jA7Rt5wCudr_HW#hT2_Ta==7`{!e&8T`q zY*T7`u23q*+ZCv6xJS*cH#JP&hYbC}#P*6WYFI$U036RPBU5i+*4@K+ERtCjyZFrf z8Vy8@I(n`lxn;w=!k}d?54{nQL7YX(?C1VD6HIsgOtsyztb2&rvI9*>Dgji*BjHwW z?ta?xC<*X%?_F7=(TZC`!`4pj+b7rY^zj1`pMPwSOT4zoDyr>XV;0x44jvkvyul;2 zkIAY2v2#KV7{t#sRTu2m)En!WtO${qw#2(#-*0q1E=>xtOk4%8TH}{ zS+ti_nH#WGTw!@@+rBpzK!r~1JK%in=$`Uw{Pa;L2cNvQbu-b!51Bc2^Ep@8m&`mcJ%A)*t1R^8> ztv^6{fgzz`Pzw*g*Jk%NlQ1?eF|)F+zWzQR^S=En9}_}S|EiFFucg``y$EZE2JCjRB=unM5Z=^-kwJPB0(#Q-PhL*R1fp!v7vf}mE@tT zHBj?RO1~GJuq+74L2;YeInZFang94rgnPaTZ5A%WV6Y;n0}xxicdMoMX0@sYD!M-T zPi!qzLJ%@}D|awVNN?W5Xn6Sh#M+L3<4wr)!BBi5GzYy{|H7LPa{DLB_uj2Oz{7v^ zPYfq?35t&opC78gF8B|Ne){y;v*-6J+E5R=as%ye4BbqiZBxiR!pt(EOEw)am<9Bz zqW%kTT3K1^zXXmUad8O=|DCJ?} z`k=4-mtip20QAM69*hKHokI}e8y>kwe50e|Q_}}$P&DVor5=pn3KE|8#`B+eTIj+N z9F$7S1P#Cp?fWm-2@k<(NXm<4vtU`ZQThR0hj5LhujD_3V>->laDd_zJXuLyT7}7oP{C(sL!?~Yi z&@_YE+4U)6mq__4M=!^hJcUv%i0!B^o5Ua|%mKp=lDRi+G>QSqbe{Yiou!c+d>l+}+*BfFhpnX9v`9mysdf znF$MY_l1KIK)-zw!uy$W?>q0?KeQW)8KsA2OJE3`n0TiPOkxem#n2V^AGIp2X!>u| z44LL!r!|->!o6tLgBf~5<2gf5$_09au6i(-n_&X#JzDh%Nd1%*7>RP9>6{16h(IUA zB4`$r8&DzsKZ^x2p~V8wLxG3Z3K#}?cNPJpCbKKS$K0ZDn6NUtZ&qDFDzOX<;SaPmuCLGeeVoptie&Z1Mgvh5a z3>lgOS-E*%bDcUZVSTwK@KEqDa_2BX2_8Hhb|;@8EPUveypgXYKCA2cOSaEg^aj`gbdT^ zMMltr3^O#&J7|AsN<)*{+|t_b{w*am%+TJ@G0+MYWkwFGGQ)bh z_N4=c2)$=~{buwTO3&^2-n&(V0NV@HHGCVWit*1o#MuQd1o_FoU)(Ex(^JIzY;({7 z3qFUZrltTl-4i&Q;^jLEI9N7xeL1Fgxd9Hw_dl*LgCjN7oG$*??NpVBOp@Q& z&(;F0UXiPA8Zk{(2$vnDd;yFkNe@+To2X(shus_9=3L-__AK3ss# zi27a36w*u`2jR!Obg&8Y4Zf;AHmX|9ZN~3R=X3XY z&6GsE1p{0xCzZuzKpm+@OTv!j^%Rvv#)|Tiso2as_Tr}u2aHFo<5QRlbPA3xSW?Dw znY~D9A+7Bk^pTIjQk-kFy3Doz0q%7ko<_lBr%6iHI^Y92;qq#VNF|KtKnL<3Usoa#PwP zod8iC#9qoE`SKIvp$e)dMc00eGQON1edr!IGbT^ zJ=+pF+ce#}nAEj3;z0#+8oaVT@ZqmM_%>7IxoavI;;#FfLu8eORxs2;00&kGP!t!g z9d+tvRRWPOrm74I+0gpV8`PEqCfeL-{78OY0usAygW?QC0fIFnqrtR_hR*G>lb0q= z$`5I#|0L9qd4Z6}Bf@0`*L_uwBkMQKpV{I~@cSaVyR);vU2BEr^HBqtSad>7?TO(9 zZ4ZQ5{O=rE9=W=xPO}a#ZtKc!g{CQh_fe_20sgo!@=@W(&&OF~6G%J+v30vEN+v`jAIFsb&IjjcP*xNXc~vVpNr53YiD07B_56Kg;x^J8<@WNgWUFIUY!-z}W2V-i)!Z~wF))dk6A5i~hL zDzzsv1w|pde5B!ePfm~gaJ-Wa;W_m96V$nBN{%Ax@Uxx9^a@Dq_`q?UoEt26#3CWEfMf!xC>o z3w6Wi-s2l62lgV8e|M`2n$Jf8-2h4AgUX-X73Lq2JSN_#zH`4CLc%|(Uit~Y-?7@M zPizK~KP(9`5-;|nIdf1H)=Wo@QgfvS#)u5Obk~B^g1^E6ch%J$XTNR{vScffUM!CSe{)F5c&Qvb?M+4 z)pA@oH_oIsKoJmBY%*aTt=AMu;#-X;0;!S}7;k<3#l8!!z3>ul)>yN%j(do!CC89S zLg~GT%>fJ^?Yvm#4Z`CWD@ zHT%)67EyeCBzXq6bGmUhdPOV?#9hd%b;SeQhzHw7F?wA_&#aiu$`AF3ZGM?D$)0j) z0@Z^Rzgesrv^(b@PrnJ+fFNV)Gv-<{u8UVefzFQXQs71S@snLcuF{rDw522$e1VJ= z!{>)Y&t>xNcv21CmFRgAH)cMZAeTGP#^7?c=0^ycmgNo4};pp4`EsL zCnSkP7MRz-@#bUe#K^`%Bq63mdgoVmrTKGTUE}_%0c^yTLdTN}P>B_s=@zqV&XC3> z5#oS}In?Z#rd;;~dh(8MYF8NzfHg-ZcO28x*0%z0j4G86wn|wj$xLOnt2o%vr61BV z#Db5U96d#MrM6V8NeZOg)p)`Wlye)S9{yyR4%V49cs&m+7KIJBSQ!*r1fb&!RX7E- zcd-=fx?ks8IG*89Pk(+0D(Gb7y=T9#QbTA?B$qFPt6EY;IK6q0B6*! zi1?ySSlHthcC_w5_x4@CA%f!;!5{_d=xC33C_vH{`wiJ5ofIE)nNmLnhOCGl$>7na zvZ*{1fg5YfX?!qq9&_OS`G_=HwCaRHoIZs;9RbN~e2+*=vJ)ZXqQVa>Oz(N3A{+}< z?qpJZ7c!xR3B)B@wJ&Q;QJ=`@qiW0m(xUoz(MeEp+5VpwSaDA!h4kOAv%3;T#GuE| z3UA#003LhNOETT#dZ6sIBKJ}?e26=r=N-Oekucr9?Q&1c4{(zlJJsbefATJ@o~y=T zSRen!lIslVgoI+3AbDXNE+0hV$Nfp(X8vM}>x=u&E#k{-I2y4luc2zgYo+JRPMnKC zle4}7$!L3S?xScO9zQ}1)-{uH*J@AO91J60ZAcylbOQ5)W6r1gDH=#?a(}RI(p6Z5 zt8+3EJPiu!?Wd2X23Q|^tTlB$?2e7+&uq2fe#~WzqfnzX~Sy#@_nTR*>kdbiDAc(zj-qOu)9o=S*0FP&g zBWc8|=04RSlC1q4C!JlAe<~Bg`wZhmO}S2P2@Yg7ck^nkt7va=GY>c><9$@cThMgN zn=FX#Z+9bg|55@R_tI3v!laxYE|$SGR1j+9;U3MuzJbGR8dK{FZu-dtNc*qK(92lq z03rVm@(_e(4x}dDZCOpZ(z6*oYtJWH=&|k#MFyj)ya}ZLci#Hnz35*q3jVtn{mVtc zfA^w)*(~_)ZuT#m1^?a6{$;b^zq{GLY!;}f{x>)Km(7Cz?q>h8*}q2&5N|bK*1tdH z0dZB!`_AgVv%c?a?mOH2&hEamzwaFGJIDLZX_@E$w5>4M-+}+bx&G%S!T$uXJo5js zN9lj&x&G%)f42$l2o?TgkK+F`v-Oq*1|xvMU>nf=|JTe`sxCy4eU}f^w;XRu1{C23 ztSOJ~u85>s-!y$H@C^~F22*xzT90m=wI6+EDWyF+IeEyDo&rtn;$&F6@97nkVa$${|HKr41v3^*vthII+FvXUZdr2g=Fb%*9a;6X^WjHn4+LIHfOTY7%9yuR z^7WCc54$OSGG0q)=z5o)SNE2rxC;2rp8&7yTfRZhGsincJ7@omtDrPe3dUO(-uH?1~@R-G7NR(bex` z>3n<F7wlRo!OO+fMl zDAC;Dr>>Y`+LyOwBb|s|cPpR7g~PJ~w)PO4G^o3fYU=rYCwVjmW0{+IJ@wDZKqulGb4N401y99WA2VKggvhqf-+3(L@=*&xz(d*_j zEXHP5UMUn=Ohq2GsPNMre&)qI_=veE%_7E~*Vg69XjMDPq%f$}z#rIdk8U)@huwJQ z23)UX#veERq1XOVc%$|5w3Lb`E2&nclZ}Jn&eXroM**nheds%*%FKulo``91)LkoN z^olqc4p_nv!J;@s;iCbUtXswQ#8SMKlP(yvnX=xSc^A4&`2vF^@ihJ;`p9*&%+HD7w>IuF0N(#WNeavDt&_Z&dh{(50 zE9b!Rf_&z*|*Vf0oYtruo zR#%ogv7bghZ9qL=t6lE4t4w=W|Ea^CD%G_leJt_C0yx>j{>UstDiXg-#ZHW3ftl+| z6^2(Cdi9`hF(h>PRVaA=^!U%$LvbRP0CNtv^*4O0iF8w#b%R#V%6mn(@2pBd_Gvm! zU9h~QJI@*~I-clLJ!V4xpp(~L?-IK|{Tg`01aLQh(wQI8b4$0A6^<&lFn7HS-z_%o zS%wIxh-;~H2AEiB-E7y{mlL2_ajBa~w2<)nmGaE+jL^W~QS$BfTV;SF*L$5juAhQ9 z@5ggHsjspAA=`%PPy9o(Iy%+->0)KT{B8V?^|b#HBjPV#3yz~i_k4SF2sEpIUl;8knp77hv6L(uti%xz zzl>}@$09E}6KJoaO9VdB?ru9(e+FRmi9CzyZ&GVrSQ{(&a4OprC(i=mQ`v#tS^2i= zuijsWHsOAmVMp9f7w*@xuNHQt;UiFNGE-21PA2&#tI=L=ov7o&-WCr`t`R|)@)eX*t1 z>Cwd}IQ`6!lV-LrAJpXkyShG|R`anZy zBEG=Jo18|(%7;0^CG`>b`CFZn!moB;^j%2*FAwqRR)KV&#ES_#d;FUSBitSjD4@fj5R@l}5|Sr4 zI7jg?GQCG9bs86x#{m;3pS3WCdC4;XyU@j^G7Cjass>$o@!<(dwcc%yuJnZ0(C7TV zj?>g*fH_btrxTyl8Ah1d5Qxy25}c2p{qnbq&`ZM*7AqY8LNH@?;5N&!u)?Ny^};7M zV^1+*U&e9RprI#S+-@Pg7Yzj4B7P8!I5ZnZBNC#`cHqa~i9K%!Ag8=zc=NniR9zhG z`c{SWS3Dg}Shsk|U#YmkszY zMf_;mW{1*nM4*u{p%#oN31#P>Ivw4dnse%fQRH0anw#7LNb)gT6P%2^}I#$1b&I(imIA~MF^ z!t;;x?9+4Fw@^K^s@DF1J48Y<8fHVg(#;(uBd4Ua8B78uCEX8+JA0h3jbf|5fb|n3 zY`XqQWJ+2_uGh8+U5EHOwn-Oo>1Eb2yIk6&%V6HPx?$g;hGD)e*10ij{_`5a=HvH)$#ZUiq%k@C!(q?Ge2($1TDP>fAB24i&%op^t3m z0L%06hZ~#O;6|e1cw7DJ{p|eq+#dmQQrQ|FAf^99$7D2FFCvY?Bs8gIcn9$z=g8?F z1Xg~Hh>tz1&>ABSKCu_CG{Yk++J;W~f--AbJ0@oq*02~kUuoHShUS3I-l^HE7m67L z^?Q`m0xt1ry3qw=YnRNfU)VTp+$d_m1G1L1sG(*Fr|iPIu1o@UCs!E#3w*xF0>PHukc_Y@6_$~tFt?+BdXGs?S!FRgvw{9<8)jvugkMoss;-9EK5a+TIAc~}5Q zsstWCG4gEb+7NgttxD~%c%x;y&suk)E8aUy8CClm;0ninouqsIM#JRG@YL=N1~Hla z$64$*MhVSrXY_1B!Ql4;Vm5uNf~xvO7&;L-t7Bqv&A>c~n7VCV1HEh3*C}wsQV4^$ z$4@~P&|P3s_vQ8oDrH+AnvJOKJku)m-FpoLjPj9p!?D=Yil!cj`F@CL{zFKv=@uA5b(7NJ=l?_;UCQT%={-a(z~{ z#&$(DFf693ZTv$Tljeb6<+^9>_Gr??3V3B`Ucu^~TwGO0b$x34%9eTj?F+Iv!D3NE zwr*d!elY?y`aMdEa$+Vg9+9NZH*}X+WJ14SNI7~uD|>Z}A`w#te#LDQ>nFmx&nxP? z#65fmM!!LQB%efhE+(@%`}wta@awiivA+55Cz2k$zv=j!-YLJ_`_6ZNj@dMCijYy+ zApi^?NjgN))lHeTRNIggw`dLM*#?K_)ngOVDyvyKMKJ;4+s{*qx~FD7@8eL=vygHN znmaVZDc49WYG^fa*UAMD(a}21^NMF2>=tTkU=O1mrQc;(jjGT&%CUnPDP84X#Ff9H7v96Q3`0s{jji(uAX6< zUOU0A8{In6IHMQQjQyPtEjldy zUlhxRR=+!^xM=6et)Aa7wjT~Y)pi`H?p%#au*DSfNNt_e(FD23qw+F{(zz_W*Ok_SteR`Pt2?qt!mxye)ey4LK^J1nt)n1n`c z)Mw-;8UJ0+Bkn~KeHS@%zw}Z}9wYalk~hCoUb~R#d4G z3!ZKV5}(@8Cj%_4eHN0C z(e%oyB=s3uN+}=yw9Y6c;~e~H{|u8cAZZ-T2)eSoJQR9jFk5@0^j7yz^-xZ`%iKyP z)XBZYWYe3rz+a_OG4lP~M_?Map<4Xy2%k>J%_Fz8>F69w)WtiX9So4NJ7<@FKoMzM z1x|nAkqS%_6SwIZ!xc;@C-#p{F#zS*zcdX!wc|wwuoJ7>Nw~!ByJzK2;9irzWfvLJ zwMzr;hQz#_4Q>{>*0P(Ae@XhyPmaJ3N2D?;8cCUza8u&JyOoXKS2%Uso!oDi&792A?2DZLiJ3blPsOcD6+WYb60$andTsR z?%GRwwK?sbUr$1reb~gq4b%@@_X|pwbS6+%fjkwfb%7 z^SD>FvwJ@QJ~ijFka+?+Rw+x{)E)vR3%ADUxy?fiQW2$q=rq{F90q}wh07rx$+gF! zMbq0HLMCQ*eMcumTw*TI3uN_|K}VM2Wde0a=Xr{|CExva6mHXV?HrR|R_?d()JTxJ zPXP3t+XpbOK5+MoY8l?)e3n%58Y2~5%Q^y$q$X5U;z7&|tq-iOf#6Op}{>3t9W@n*iaQ@?`PuMGHAQST(IVWO7u+h7WgKlth zAe0F^{zs|lhoA_F-~oeB=3kp4Dd`1D5s`mtqeCbYh9snOub~4Hsc0BgPEOg#62fR! z)^MY2U}v^D2&Nqr)^@?06n^j?g&%_D2*YU!fp;h%`2|IyaA(~;pC|qm5~e{T>R&t! z7D`8>nJ``!LN*w3UU2O}Q;21DK`e6#^M7%fXp$6ynb>GB^WCT)0>=J9Gbbh{;U3R@ zgc=ld{Q3>!FP%w^#xtRY6W*0Tp;-SyUmP3|TKh|A!v6mPny^ zLn<0_(SFd(371{;`g=#ND*KiZCAQD|$*M%VUISA6Q zgdj*Ge9{D;kU~(rwXLJG8%{(hwq0K7O$~}?gfujI!hQM6gzxMgLgo{Vdy+#S8pZ`v zc((|ye-W;aP{ALl{Sbr(60vAH9O8fUXh|spBQqOxdfvDZl#eI|Wgtp^2nH0|T1Mfj zS=u|I#~xhKJ?9^hXMTodHa47VF!Bq?Aps8KFaPc5AA$DMSSI7ZNd~7`Nl*+i#8}I# z>&7M~VL)+hH1a+uEw*Oc{SagxKK}?GKLsD+FD@>xtbE48!om*9uuMkq zd*dmL0N+6-SR1^#U+92J%+ekddz{*bYRAV_8of(^xv>*7o}y0wbV1Y;9yF-p zg>&~W&E16%z}>*XB_QMAiFifYjqa{`?4i2wuL%%aj8Q9PFp+L)b zkI!l8;W$7upfH$B5fn3X&@)VQhCt3=0u=ur0`v;xwc#%~Oc8ueF0=-TUqD^MG8(0= zZ5eP8og#tGjxc_L9ujc;`@!(fcZORO=m&EFOc5j)K86JOP{8qzzWl&|(?2pQDk{3* z4S6^P`qG2c^}67oFFh^opX{(u%gdjU--CO|+7f~w>*!_!Hqg+Dj~?>qslK~s>4sd` z!oUjBz`ex4B5@s_VT;dEH*VzXSnB5M!(n1Hc!H?Fy;oJWbbv=(%@o1DeOp~!OE<_H zabLr*MIQMf6mUTQlhfemADWT%7wRv;+`_@he~2n7+Cq_FKpC?svW0m83tl$L%Hi7s zMbwqGbq#QIPrctl=#URV9t0mhEiG-HU}3}9LWpp1(Se8eCUnUiW%_4n5oN!$#y)AQR{ZnZP6*Wbbu>c z_H$VUctlJ%9EkqziVWj8jD0%roYoYhr@*?H;82`(^~Z<>EfCl?lTjWtA2VNgf0%~( zibU*V7%lG=A9JCbibTtuOqam(LWzzWCST|If@)vp$5AVNR(t>s1#|8gMjmWmLOOH;2Bh+e^NMhEF4R<4!K? zTlw3N=nIZ9J+=qkEut*4S4?cWkt7*<|6iK@tTpbXl*DtA>0|9n&jU+99ll#du+#^~ zs9&e4cS=+hTF%|}UV$g^sphLDH32f`pv}u3rTXf`va@W}h1jgmeEdV;y)X6oR()Tr z*6O&I(!m%uMRnmft=t^XhzREjx)Va8Z2O~~)myDalqHXrG~_^F4#nDM^Sbde!O5bJMml6 zq}x|SbJr#EHJ(yk;IjtJe8>0ZeFY|mZ;CVl+TVQ(2peW01q%G7@0PZFSP41K65h@REc~5-gD)^pVm}JoI z+)%-v5btsh^{#FST%|$*(JKcfAGz83r$#fPc}Db-uPO$r5RMBa&d`C!VuQjDZXVpv z_EtR;{(N&LRf&)={XD9W*m3+1j^f*UAdl=v*=0Mv42RfylUDq@l9DmL8pNgkBobm> z0i90IN5H5c*^`CnnEOSiC&iWIHeU)xh0};)_HFmqJf#0mtvMDTGUTAAR{yT-qyHmSC#vdHu{u*AM zFq`<~z+$pw)1;YCg>mYjVD=V&T_rDP{LbV6=x+nQsjIuhgxC*KAMHy&-l7xV>)#tHIgIq zK!KbcC~M#|c-@hI`Ico7$;;+hLplUoqb zwXMm+HaRNN)=#zTt5mpex%OO2omWPq3ywvE>!fjq+2;MU%r76gT-bdH+k<9-;BZ! z4f3%bBVV!F4R+WDlkZ5J121#0TlGyxGuAV8QUqIXNLNTPxF+~VFR^e`ei-21UjcMX zr(AoPOPvh3E0o+*d~`Rh+LjBON^HfqlK1MKn3sSCMfM+JCy%w>`d2s?4lhU;_K2@n z3{0%W(Aj4jU*--3yIDEZVQt&JA8JgFW@Jh3h7MAQI^hJX3y=hsb`RqXfShTmq3gjO zcOJG{*gN3*Zse{iEIVWjKAHnMtR8duxfAwee>=(pEz!!BAgBE zd>0bdBw7jNKdL61+AaiqF1M8OY9Q{MHF`yHLKpv8=$27KN|m%aaNx)^p8G-}wx20< zC>mp*q+#P;WE%W>HSlxVVphtq5_nhhpyFXf+QctPPTh-MT{hyXg^siAcKN>^8;j_i zC*}egq8%NFlrqh32SQnLqv2M=W#nJ%x>378EAEmR!d z%35i13>S$0(U( zL5A&wX-?(LVCqx#g%YC22lWzg05wwHCp z2m7%8o7#unyVX{jCHP{mpQweod@SJ*oE!tUu-t2&)ibiQn)9&*-~=1J6WR>-3{W1< z9sV&_?a-kC)=O$PHTZ7N(TfhOIEI_kZwrXZzJBgq$%S>>CK_k^JLr!s4s?v7a_gVM zw4VLqzKd!*CKij`>X@q;CrFcX5&{W<0uvI>6l8P)H19)#6?=-Lq`zow_0@bDwuw$G(pZ}UVc8XD4IO*I<&Xz{;EKEw$%>*)T^~ueN%wH z;5E0brDH^36cecu9S-MPzw15GG|3B70@YhX?9SXk)I#~X)RiEogEwN~r_#K|D|L+I zB~{LY<6P6qa?iCXfZBG8^*N&D`-k4kb0)QeR!ukjlf!G zwIt3d3yc{2fAg=fI)(fV1z49t8K(29!NN0|u;R>}e|b^>Ynzx`SX#l#59ks>|8EZ~ z@b&W#2n-4iL4P~({D1JU0+t7M;zhLdZTrF6HpWav3 z;P+)Pm-}C^_Ft|RoWoKPm{{1bL=ry1zhLcu<7xpDOfzM>46|oBx&8%f|K)1`{lriT zzr;DeOtw@3^EA;^s~5U?gl-GEH_y>sfBF?oy`t&Y zckuE5lzyEM#vVx>HEqu)U>%xqcHdd55R=`p3~>v;Y9?WpbX6I=-e8w(68ji1twK_6 zL(xKK-5zZ>HrG{75-F(MzNuFR4pp-Cehzf)T-x?I-SR8V@;915m?hYPJ1U}I3VmN3 z1`!)RC~7`_3U!3p?Gm}zi}dnWU)V`$4x1{fZ`?M`NC2Y&)b6ee4ODx54l7w=Tg1|9 z_av_gYU<|yQS06Ro`eh12(BkPJf95x6Sdvqv@NZ3aygh$J*DJX3cr-y?(736kmdij zl-h=Ww@VX~Wpz4(;-y*JANxN_G^xBcybn`Fa{=!0egCiyjg_h{-s@9~$8?RFc&Cnk z>>r-!EYn+0Um^#Qs!vF1mwHB=4O=*3H4k1_`8lvX807iR=uQ1_n%0XQM2%>U@#2mx zFx(zbd-w2h-{lXdkJaUJEQjp^$v^Z?PXPR}SJMMaFKaRVF24;gc*skody(<$Q)&K6 z#JcvIa=j0TwR2uNp6lW;r!2qjqk#0+lF3P%i8F!xZy9omb~o5T({9`qxu0z=GpTNp z)o*^ADISkjJYEo)9GIfS(iq_0E4{#K>$++|#`Zx&e7wWH&0y!H4i@zsW|$c}^BhnF%G zEW}6MWf8;c}6P92%QF4|4NeCNTEgy+$31FIv<29Sj<1tkEcy ztuj~qj&Td2lq&6#4ds7kXt9P#wp+c^Obyaay{p79@VsnZL|gZ;(rwFs!N6fnSk#iu zbeyltao+;;yoa8$UKd&B#9szoy+J8KpC=imTXC(w=S;E79?!Y~+@0d7lMCg%G@2YW zk|Q?!Ju=V?o4;& zzB*j2N!}0i?x|J^N`T&do@D=Qg%MR)3^_xvc)!nG#-QYg|2%Rcm-*7{sm7y zG%nCp46yzoSvhODCL32?4H{FEUzuEIJKL(cI!1q3*}fNf8ixu4Ybg*A^85dQJOkyDWvxH_oZ; zwJWuLQMCYUSCwRqI5!>dfAsqHCH*D;uf(Gr9=c0!rl(7(mHXl!1NsKOCkzK|C%L-u zB;RLWIK1Rg67wG%88ED`xxIBaJPy>{v9|mE= z`|?BTJ;W6ksaU0RMgYfbD#RL_X;-%L6J_KS9$wOHh>c(R>i@7{utO z^Cbg`m?T&E60Uk1t>fuMKK}i*)S8qrCW-8mzz>~ujuF}nu&E#6RP5+}mnY(S$}-V_ zoo*{po97FpuvtW<2|37NB#vD(FsPd$j~=?8QbLNzjC-t@nu~JunG1>)&V; zTa)FIqSGMCQQOCt`B21~Fbl#GvndoFNC48zG?yK*GDogtYIaQDZ{%dp94RLqn8XX4 zK3)}1<4XV+K9b1?23^l_wqmmB?%ZqU3cWx4t!p%5sk-e(W}Sf?I6FVyQYO7{K}-5Q z!@#&yVA;+Jwu}i&nK+Y?^PG93J`k?K&u(|HYE$#P_qbk{z6UNVZgcUs#5FY~P}mx87;Nl+M#*oBeaGkx>`8YfJXrECOAX_^5 zjiOP_QKI@&cXHYb-X%PFu~@Y{?3Qp#quF0H-@psux{CgVV~-K23Dmv9o>^O99n)ob_iWIqnwJ2TR|Oqtk2^gR(Wpo z$XMaezc1%+%qw@18^`@<#>C5y(ddrH1CmyT0~GEFoBk<^j$_&^BD2EO8@k?Sdc@+Z zzLhtl=mhq13~BIu@t(f9+-++c=+v&OfB1zdXZ?78J(BMUSI2WV-#pE^04_Z%n)&VdsPsE-iJX!yG!cj2~L9-Vt&RK zbmpAG(Pt7H@r>eZ$W~H#cJa06Lgc0CjC$>ItvXp?hV;oLH$J19HZd4mDvUdjswu-2 z9-bStPQkbmy+?`b-+c>u zfwQbbN?S)87-mDqo|{33*%-;v!V~r`WFI(ja4>DW{!Nu9_@MBh*0>E-V-SkN$M4O! z_iFmFM*S6VysN7ELTIo1`qQ0Bp`G`wQ;gX$d7hG&o~#dCTKL@D3X(1qYq`l^Sf|9O zr5p*6n~zuyN;@#$qrOW-Z*AIWCIUp5k<43FdOz-VCCRfy?*}kPPlmDgot4h(rTiQk zFslI=CQSDw(!IUjAQ8yHn(zIU{@E4q$9KM1XcttO zS@Nv0qgEfkL1OyT-?ySvPFD>MNHv=2k`Rm-ooM% zmQix@@bU?Z{Je8Yq#54b|M8Sp?xxQ3f>O}%<`Z4hn_b&$@@7V#RbR0fXLjM}ReL4N zDC%Us{sc?I?FyQ8Y{~dwaK>(Z-97b{yrG3G$=$d0`b+?BYUs`HL2lG3WR6DHHfRGw z&Z@fXS|yNu!Oamvv+WQP4|cWb1XS4d;jp^gP@4W%z@R-eFAD$z;j(g z`+gK2vzSgs1L>9P?xi6ECt^&!dA-#}Sla1@6F{9KX9at561_{z6K6gl8m(R&y<_nk`CFQRG#dP7l0HZCdI1w*4>a9k^zTSvhf0S8yntMT=3 zA~(#Qyq_nN_0Oli>5ih;co-4;DLXw6XuN)s&!FATt7DT-{INBASbIN1xE|V81jBHR&eCJ!Y3*%tbKY%23c$cJ& zM&epU@6>lxOy}2U9c(r}0YyDivr9j*8Pq^P{+Hc@yU)HoYM=PHa;$jEKe@OKhxLl7 zrA>S-Yest~7@EeCxuNMBUOlt>i;_l2)56+;TFnn?e(&h3zD7Oi1{eaN<TtWtH3eP-kEFD`4v(=mUNP};k+{cR5un}q+W zhN%ni2rPcJu(m@$N_B}v>RxzMMb#)71COA%x=(Zh!&T4A!2kUMK8=KQR2&usw}M?5 zIRgvF{Gpm3V;GiT2#Pyz8f-#azxG4#Q}-t=os%e&8u{XC>idq$@!HlIC5N|*tHFnF z7M_tWJKmpSnwZ84UI?7ju0ch^AN+z-8 z6im1ZrRK$z@NQobv8`Czpt&q+@BemmX%&3sSJE;FZGN-4ja;N<(&8|5a(N!xH2UQi z4$(!Sn|EnoW*h3vYh>*U+nrGDjKc9bcDay-k8TfBM-Pd@gk}9tz;&vGct(|a%$Bsc z4&9ex)?TqCxQ-rvQ6)X^&M^hAs{l=B_k`^B)%~lnS$Wk>7>o)Qj&6@jy9T*3c7?^Q z;$dkxcA3}}cdxKWgz<{C=htJhkAG;Q8Tg191p{mPl7HO-{&NQRybq8Uw+;E@?W^Bn z5vV=NS=;yluG}~FOv+o{hlQ|bSGGwXE$-d4sz=dr%g8^@tL$155Ro?WsQ-M$mI$Qn zwwsb9ioRFixYW72b0a*q?G)c^tqVE(YP(VMjDJYoIR>p`a3-hpRMYU|#m*EKPJ^4+Qp z%lb)d&PO&)JI@)sa?mx0XYKmDdRFjedP(!a8I^9=^eTAraF}hO;+v7#M)nfE`It+ z&MvHZ$I9I^03=kj_Kp3<1ZVjtcJiZHFY@{V(o|M@%`tc`&T*1!I zTe)4Yfs(56%Wa~YuI?du^=(t1q@xp4+QAiJNqzgku=f6~Ag}~1AI7piFYQ~UVlaEq z_5L9HG+(T3QnPJj&U%f^$PIWm57%P;ZbS^QNO4|anfRA}KA_~*(oZd|?__4%K0OCy zwAUVZw!b42xUQgMl~!2RJoiQ|)=vPpoPv^pbJOgn&&PzE5_-X9t-Z%)Wuu4Z zIEtakWsQ?y|8c~~H$q+kY2SdPp=F2pA2}I2cN4Z4Ip&4cQ!{IoKy1x|ELnrT*vGG| z(&Ov$24$xE+&AyN+&emDQ&_{Nqz7vKqAE|{j(=GHeNMqEB%&UjSzLQSe??kB+u~7F zW(y;@X=EFfJjW*J@}zZ<%Ox^y>gcj->b6$T7eqN7N7K;+Or1~i-n@NDR+IROf!p}@ zb(8I9__{S48DR&6;*VZ&%LBt{Bfn;*E3Px!G`>^EN+!<&Tb8jXF5T9!PMBQBCSw9D zGPf)Ol1gU}2{@h8v#KtBGlQbq^_G|~>r}rqK!R-M<|6}=}BoY1xp(HFV zV=KC@Mr{mkzAu_(z%A|PgSbZ=6b`OOyL9dYO!moX{gWHGH=IIJ(sN6ypv8M^YM+rs zR>#vfA$$IafZ5J9ASwgzmXX85z!%Zk^~-=y`ElsN;g8=JxYb><^Da5p9tb^+&h6B1 zx$pDz+eIBc5Zy(=F73JgOQMU$)g!&Ms(S4!DLLhJ>nHgG>P{7#RiI`4+L2ze_>e%6 zeg<~!8)qtiq0EE~LF7$02Kh0qfH2Em1 ztsAy|sai_SQ?o{gJ6tTZc^b_9RI_?{5;M24vyV$|?T}pX8kPWk^z@gZiJ47wrKk#U z@C{twzUUd8+dXnfk~Z_e{ZYrj#2=J|OmzRJqm`RI0E=n@V-Z)fb!^()Huj56$?Q0_jZMVE!^20@oCHfK1R+Etl0<$8 zLJZlWizG1qLUc63qEN00fkw1l6CzJ&f)kCKNz3>lgpin%Q&d7b1#N8o5Ml^$LWC0? z=wj07P9065Wdd@6{}h9V$m%~nQE0AsoCJ%dr>6Y_ab{4VYfAqGIpJ*uh@F+RcfKAN z9DD;;AA-v;dMn?eY0eS!vv)ZNG76WmE(94jMF3i+i013i04utj-Cv0FuV3>IWQO2g zp=-mVP?(rlkl*Bn{qevRdEvshg#6WOA_6%O-h@50dGKu1SMCz{**OK$#k6#he}^+DF|&FJ>_g|9m>(AG@|sKNNFwS{;d8s0oO z>_PzAy!ltX`4?RKORhroA_gNP6c;i<{pNotPH5qT_eapd!38Nbbq(mTgQ!V;EuS44(>(NJ~0opzP@pCpc!+&joODjCc9i6XNR##Wi!1P~Y`d^zZ8sgmE zCjLf3@)zB_fDTGOI2>~zxry$Z8a)PRau5L7O{n%o2c$PIL4Fg0drZu%=wM^xy`g}i zpr8mntT%5$dKz7H{;$54b6wO9;FZ)P+Ej6UJloPu_6r{#tz@(D@AR zd`KEvWc!!o{9gjwa!Ocru%fcAuCA&7?f8F?>FJp+1e!bHF3*`lq7!z7IS=KXrU)Ly z#{Y8Ti>3%J`i2*pgbVVubRZ=DYb7NozbGOuo_`lZQu10Cf&o>Xy%xQen2?Z`h4V=c z-p0G3pse;!f%aZg#4$*Z#2HQ@FYhOC@}MIPzHmBx&3_0^xCN-JEK6%s6gC=(&Uu-G zAh|gRMqd7qr9JBHTT6Z7zhYWQaH18wKYm#XU_sjX1>Y#%h@-0wtars6B5amoxNlR~RYj3xdK|1qq zEFmbQ+Z4fo22WE2)!S>SjnUWFZ~E7~OEQR#H$%g3N5?lH#TlfZuXzzp2}p6m697B| zpy0SbqnwPu56Ra+SWFQMf+Z{zav8mcSC$~gjuv^sJBP48E_hnw=H=(VsiC2z1*=8m zn-M|7HDpuo-7_|^^7ez&q`&_f%Fxm%2ZaIQ&CGEP4uKmAYshsBMa7$NEhY30D8o_vPd-2nf(7#d z)NXenI2xMTI(m*CmL`^3lxQ>=3TV+}G9*v~0)sZ}I!E(fnleIUf=7Ll9v<1QQKc`ymAAtKC&eeM5N451Yn>B(epZ#t_zr z-rNTc;g&W*c77;JXWRGYIA~8RQn7T-gdmkmzYwHq=^Wmdt%f&_9YvPTsZsEBc+QJH zAFd*M7=}ydlBn^FrKkT*<%)sCe?#A-PDKF%1@{1~(oZ4U42oo})f)DnA~Qb)sT7gn zmVG|>k=efncp|!p=5y{`%EU@lDWzs%O!lig9o(HT414x<+Nq2y9dzk43eQ3cb!ZqRzkKvDwb#@BZ5LAqq3FB4Hi`=6PH{5C={E{* z$a=ZwXRRR*K2~{Pz5c|R51!)~u{PB7wr{M-Q3}WFll?}FgV=nUC$Rl0oD{x05`xxO z_rH%PS6tOTuGCTL!rjC`& zplL`UWs^`mc11f#4th5oFt8lu5cq@Tg*1lm17@SIg}BQa;@Eu0msG>>0Pd<^O84eB zIjNxsI=kK+_WACfX{u&91GR(6k}p>u7J=PAI8N82q6 z)xJBSBzwW9PPW`1pYF_e|Gv!p^@#X~J2c$jWT*(eQn@`eb8CSDm_DJzzPapG>Q-=y z@}l?eQPnw zWG#p)=4-D$eM(pw3g*5xsV&y?av)Ju<9J2)J*n0=yNaJE$f$G7lwdlc8iDLE^J*gd zbXl`jZQcc5HVJZC#upOz8jjyP_+PUgxwj7lpGiec)IVlN7IAbMWDRyX1TMt6=1Aw! z=lH#0n}~}6nRhi!z83G8l$Yl}94nIZ^pe^3=;g|j_V_%awG4})f!K+f)?3!84-4Zj zy=|EC&Yb>;Bd4NdrSU`JB%(ytS`HZC;yGdCNgBVHWFGq=y*5xshet`{x|`jR(afW5 zaaIE;RInT}Ht_i7pI$NC_LZP}UBs49FBDq97)vfXb4B$ls6VZA>NU~GIb&`fQ<80o zX>i#iS8AnGwy(VPCdrwK58wl~Qbbws+XE@Njr#)^5{(K*oqVh&Ez^Peb~!~&%| zI)yQMKYZmMt6jKJ5+OAAj>dU$HaR>4r&nMBuW1P&HAw--t^#r@c+>>W5A@8+tti|U z+`Q2=>M7AMoGhJmf%X{0>y;J<-A1@1xg>rMJ037-ApBz^v zy$p%keqAbG7j_gf*u{ON*nf=GP_ zUo+6rI5k(@cvWA%8v&52Eh>|m^fq2C_ z(%-Qecn1Yti7asnl#@4Or^^LTV|~Mg!zSIJp6lpdOZ?dh>#4E(Yb;$pt&cA@$jkGh z3(sJ-T58Hq;2P6={nBd_rUMF9iI)zK@t!`tR$d>fVBPv-rkAfiWEofzd}zFDc=w^> z3tO2lr|u8iMM zx{-Z!AM6U4s@@c@&Fm5s_V-XdPdJvk!(fr2&@)q6dha}in-vi7pBdK6HV7LsDzSw! z^9!jJ7k_N`GcEisGscj2v1|d@2_;n&=`__d5t1qx>%puhL2 zx#4_xKJ)iQWg~Nya#Z67quylNXHO0hPUZU;fL>}bKH-JuACj9s8+Y%zJ7Jz@2Es*kJ z?HcIdua3rk@BU0(L}ze3a$2|MEmgWUkW!tdku%nt>2aQ~J*u4eMNnoXl{Q#PH~rZ_ z$w37J3uJY49(^2;pOB<@wWT#LcQkX9DAFd zV9WkgjlaWJAk|XzXoeE3(TXNr=rDAF!nU(&RyD85QM#z#_Z8h3AnG`c{Vo?+?Y$#; zAQ{nXOye1)^VElC3CAJstmn>-UdA!5?5;F3=tIO}co?{|)Wm-&Qm`m8acsbnj?bM3 z90&Fd++{6|fGana$Y|7cv&sOJl#_95`;EH`2fwv=_bte@VN!nU^ z$%*3u%PhGql~~>!|GlPsCboi@`7vpvImOPR8-eLBx}*F++po0?WNi@I)#98!#7=x# z*x#P-S={1DNUOI2H1#I|AyfPlH3=5W>N1@ko%c*pG(Xq1PU)^lGg->sn^k(t4KkIU zIpEbT2*`*^K0R@!Hi-GWk^KGQ1Gki199dIHiiAlg0cb((4(y7V6(?Ly~OjRoYI;(YC2(;0C3;H z`0k{m$5<%DWy&J1!6e~&7&~HaPjNFP{bs^YzAuO?()dQ;*)GP@q#opFpSHy@bHf_X zQp!HF{J|@cHPSxt?nvF#u=a<@@9(&X8KcCZa#i2a2Z3APD9>|Aj=s|ArF%FGv*p&y?uDuq^nWY1w~aS@1v8vj4)e;D4rN|Al42|4hsN z3(ErZXWEf>qhsR}@awGU8CW0(reVWm*Z&2}{(DfM)YW&17(x8dq^du<2|zc2=q3o= z1f!b}bQ6kh!q81Px`|i{NB$pJ>*Bej>%U#YH{gE?;{Q^&Vw{U=pu}MYANrm8@}IxO zEI~iXI+yu{6#VB`|N95x^NXtg?FFmhr(*;IMNYE^zpq0tf4KgkT-V{_|0(5~FRhrE z?`JK##)T4jj&H0`6SEmYrAj_t^@A0oJ3YAJJ!urgZuRHt$bmx216W zTyZWrD~;|yDVCR@uK{-^cxR*EFOofCC)6K`zZq4|Dl}p1+q~^Hq@bOATk!-i@_1z> zJXT}8YIdgLf z*B1+Pxz_{=09;>(w(PM`R&7V!$4=cLWq3El2QpII8QyZu@A}>0Aq6+0kUbMHTmKdrIV|e)1x=_4cn1v!3HTa2D#KUi>`~TgkZQP^QZdd5;|iqH2ozldM=oWPd8@5l*BH0M7nbeXBr|+N?rY4K%5oLVy#7_n z`z!cWW{3~_H$4ffv+H>|Rs24ZQ7hs6;9H}H1qJaf?>|1ncc&;Np5;Xt6NPFw3s-pH$cWt^P1d*O1-3Wq6i=;{k2qGX2k^&OaT?(Rvigc%- zbcnQobV?)AAl)H%ZvD>hJLBH-#~I_kW2}Xn;d=L4bIl3tHJ|ys^)VjfPNhN=+f8B; z<)BgS@u~mv6$8~{=`%W)wN$R~ym))E0$B>{-PQS590Y(mJt$&n_O6Nc3v)w(D2_;$ zs>ZLa?L<2(lKE-o0}Jb*HU2!{rHyKLOi0B9d+0t}n8CY0Q^6O%4=TIlvk2OY0&dSc z2E6Asl~w_Zh|lxlHhHx&iLWj zb7A|kkE1iK18QCiuTJG~^5WkbPN>zI0WrpBuQ41M_G8N~*9NzFr{xTut*%&Hzl1BHBG^P~uw<~`04QHy@HpOV?l^Xh z2T#^g>d7{!Cl=~07jt+NE;O@GH}X`=oMkO|RwTN;>j5eElTyw~;#qn0livAr`h0u(Bu@1_xcMEr_yFD?ZQ1pz3|&9nR!p)A+}{)1_XZJGEEY~H~wk?WQ-Zv zIhKN!Yu=~PjyBH~NHfr;TzmV5sOIxR&Xw`qc0d|ZGj_&(I;ZaFC%?Obc_-%6rgPei zp_~-43Qv)HQx>=?-br3aA^vcKfb`FcYQpX6yBj9Iq^}(_e;!W5?$FBuc8ipFSE{H# z9LmH|J}JP>NOC|pT$_kJ!$Zb$a$kCt15Eo_#SC%ZX1S)wZ7#!Afr1NULO z`OldQ4Y#k!Jo+PZcdcsm3hvS9*N*x z@Di;N@QA80qiO+p<`0)Gm9E(3U)|kjWg%ZS@p*gAe|0^zt7Jca^eFHHXxtuTEPT=6 zdGT$Y;s=B>ci*^GMzph;pm#X;OfV!*9n?JxPv)WpyZL`iB0Xzv6OZ1htk z_)*D+-2|FnnIpsW2;5)4ZJN^bCSK#R%@N>CA%4loGB>l^p&|(y8~Oq(AM<_V%WF!y zW;2}Rt=ngb>d8|*C7B2vR0#0_PrrC<9_F-m@Tj zMakz__K_Y%wSx7F`ItrgDwP0*u7GE9|h5dNNnfXcCKJpEMSvn|)P z^4r~Y9H-Z_&qaahqWg>+LXSL1RsXz6ln=b}}SPuJ4w;)Q7 zn^ug{FK(K@P1h5SHmNu2F%D3Qd~*{!9`rnNuzX}A-qbZc9>D4ETIJfrt>`lo*|0vf zi+j~4Fd2Ah_bYa9y*Rq-adPQ!Xpa(Wi%pgDMk%+*<%1UW2Tk0?AD}nm%ZKn1 zo1$COgT*N}%yMNWCGy+&tEJ-^=jB*O5j?-ZL`~9|=zw9*s2Z0N4^FE(1Y3*~(xh(> zjdwhddKh!5=j@h+X6O`&!DtvV`2V#AXjJZm+n+-^40k=+C7rFP*w4F&F zEz4&giyd$-$nFqH#dJ;0!G%k{1E}6(xy_sNR1@#I+Rl;}ZP^cZ0*+6LIIq=cUtO-9 zxxWY`gJ{0m6*K&xALM^I#Fs=VJsi%UT#~1pr;*1g#}Gdc6!bsrH?~kTKYHS26In=b z7#0HI*UOcS8paqu?ySxupk%IiAz!#DO4S5A-}gRQew$ZJo82$oT=U=0KTKcH$_3jW z7C$>L_*&UT>v`9GS6W%Hhhxu&^=TXFr5x<~^IY5E-ypy48XLvE zaewTr^YosmqX;#9w?MP}0gJAm%zoitx-`I7QC>ElS(Oo=t6vUjxNqq_b`5`(-qhB8 zfs~$EK5z^daE29};2C?oNp2bl?G|}#8AD}m7xM}C(PpgGX#V}WOMqTh_o0Q)!LA*# z*FYVKN(Qf%_2SyO@T1bI@m+i(vq+FVzH^FCdRa)t<0TP;q+@W(;VJ$lu6u4TV&0~& zZ=tXNB^`_SZPkY;V)8rM#;&ocpC?iJLHq{wlY1Nw++zC)pt4h1)$CO^AS5ZNbBh>(WK_fQscn2;*jubeiROymX>f^O z#Z>GvE4yaoi3J{C0zl^Ic5nmbN@P-Dhs<-nLsP4j?Y8w3gVGHOZb8{cVPGCZ%%q5d z;|zmS;D(W{m*1=A&6A6Kx9@5?C1%$yBY1#TKuqQi%$GAbf`9vo?Q^f9iW&;5s9h;D z@5JPf6Wrk14eglBRcUz}p4;WqbFZVH*$3y<4{{I4q_7Jq6%Sc}Q6dtt51K0qxqYp; z_jRD11xV%eQ@D2l>>zew7VgsIvXLvd$xLSKqFD zlIOZp?&(eAtlGN)I{SA^Khu-X1ZH^cEG zZbI02SUl(b7T}b4ic3JxcI~>PwngyBA~loZli;w*F)RC5--hQg$msyHh?w@pc{sglzlqL%qn((L=k_bM3UX4Q}`tD_O*VaEy~#8%pj!%D8-Zr!x`q{?_1SB7rgftxqsTewX ze;a{an2d#!_nNwSa(e3}7Jc9yQarH@8y26-_&@?d2D4OZrG0@WaAnNGS3+9n?3Ofc-QoUiT!!o;yNBN z8Iz1^WB2emCZ3GncQCiGvb}%I$s1QWg+tuU-ZJoG_t-!7M-BJ<)1kF0J0w@roK+UO&HXWaHUP zWg8p=@_KeqqN=#wGDP_uT!1KxlSu!*^!x2c|C)f8r2Z z+tv`$+c|90+o25Vu09G5p9JSbq_j?{1^sjiXHjgtlEz5`f2j0wJ^fRjE5!cJN?Hdz z3Yy05X`d$z4BdE@JX5omsaW~c0^e7TADrP3(FiJo2^<1uS;Gt9(Q~i<1<%)Y9qhN{ z9V@2xvE=d&bqR#7fy~RJeEv*&rTb^)fw4uSJ3LMvt&7Wh*q%~EA7q_9Nr78hNm-kG zOn$|W6$F!xML8Is@}9a&Y%&U;Sgd2|@FKW&^S15N($UESc40+#Z{NccVKLtoCLWb1 zK7Q{<8E!}cZP&QTgX)Os97`kWuq^8@trwU$pVTs`+?G=o*2y&Q17r#&W%Wtu&Rwz{8Cy9*BxUXzxq3&HcYxuG$~r-bS*5#w=xngSRTg;zpTO+S6StyqTuv+h zq%2TS(?3kgBdHgWQqc0m9exM`PJeBpKK*Zw0ZT_f99Rj6CC_k3S`=$^%85Yc|-76(2y ze4n2EjWG8R@`}hk?C6@_M5x4!%!4z2kXZP%PS67TwvAhc-ASdW%gM#f^F)_u=ra#i?w?J&RP|x0I}S})*iLy>q)WBNkX=O0|HX&tD>^cp1-N>00S7fL~@U9 z>>OPOe{E24TE3_{Jf*S|3rI*k!?gDdjQ|x?iq5aH|Hw+tc;Y-WL`nHM<%n3;tm`~| z-aCAd)BXpfS}%OUo!W9M#_6iD7K*}kEwXVc%d@9^`{@NaXc&?LdKDjJ*A2k{xs zt+Sx-Xk<#>evYf~qkYKN?)^&=W(fr)RZUa#yEvEFRqls_-&BD)c_?~zN%`>M)iZ?T zrkJJuo5CJxRj=ggPFm$?psJNtUc+}=#{-l8)hRAM@v?orfsLJrW1VM*uryQ52Qc+Z z+R=|Tb=`KU(2G_?S?7XpY-a7ilDLePM{-79=McE$9#Gl$b8+S5@^v*GufT|!xrJrq zy23;E7mcfDx77c@>MSZR5_8G~qpR=Uw@sbrx)WK@*d}!M>*ZG}?!hf=_kctT%G@O~ zx8>)ONNaR5N=W&A<22}x%+iQGEfB0=!UUXZ(VP6DRz4TL5nT_;Ew293+&hCo%=>0) zVfTcQ)j1!ukN?^gRDT}vp%Mc-DDHhm%h)QXW^xY2!}Qi}I;+@sptFCR_}X5~DvEHv z>37-p?x_uuZ)Yf?23B47E$8sk4d6k<>r^)#(lzjitugILb02wZYL~pAVU(QNJ!_la zJ^-fvU{gAUM7+-}D*xIuieN}+xOu&(+@<1;|H>!w#H$-jx)xM-&tTFiD4Y78U(&SA zu3Fk9@NOcu`@D2Bi;_kH#C%TQ)QL5aQdmf!=FJVEh+kUoTyp&U6w@V|rFwK?8m!8C zpa{r#w9TyEe5~M+($RZt?EU7)>KTfF7I2722Z8wVx~|Ktx0PJ{D<;UPEALq&WFHM3{Z+U+Xc&lm!Rz+?r3@K(E~ z;n!`>h2MJ@SmE+SUj9}2u}vy_KTn1Sx^Mx?J!jAU<#lplNB^j@`tOrFsCRiEYg@kU zA6*x__c#`uF|t7;toQeUn4ZZS>BSF29K(bSLZ zGO1}LJix%GV)0t$2;i8zMYt#S3KS2MgZr&rm+2=?xP^ksYN`2U>_bwf*3QWKg&NNr=+uYf77roWCh+P);3@=i^P<1J$&6r=;(j?FlOTM zC59PRd!Il_9a=#k<>EcWGpIN4<>aEYPvDOOzcD#O*%|z)dF;A{euKuY zAd-m@GBYxdG{*O}K5PAjq~a zg6zPryJ-GuuO316;Xf{*akKx>mykO1MTkyLp-YpI5h^qxh-io)ED+E_^OtOf$c4Z9 zP)_a}vI-ExRQH7zO^DEHXlS4%ny`Yj)&ux0?G%V$8X`o0>9a?V42&Rv833uoKy*O% z>>q&%&4K^%211DLucE2|`Es~}`gk1i1ZgD_JNyi4dn7fXi?32UWO zQBlK0Xin`fhxxxS%>Nn`86kPf2IS;nKnPP2&8tBW6OCd*4iloNYHAt}o<76>E3b7y z%WDnMIt~PZb&X;1blAECp6EcMm|ouSt)NU1ZBz8~4-7=B6QO$q8aAPR1O{40Sa7ir z4Q3V(Ar$w`lA~#_!QFyr641yry@P$M@f=HsfgcN)sJp<=3Am5gP z0Lbjg-7$WG=AfZp#SYCsquFOzFy7fS8e-2FAJC=MkwkcSk|6>MeKR-WO#R>UGL0Ci{9YVs8c7qoxE~BTXZ;%2} z&J=k3Un?ifYz(uRz&6E#Je;W+x^c~Y5fTd+PtdYnyQh&*&H368$#BAmj*gx~SrDSf z*}M3ZQph{kry!V6RNDx1G|eCg(rk!ex1gid7s2`lqYaLq_I5Y{*45S54>{)@Q%ej8 zbT;b}phcr_WTE}E@aU^>V=_cc_2-bs23b^ddh2EHgHs2(x3tVbcCfe!FhILvbq?cjYG0RtML;gv+k z#C;C*HuWPbN4q%D!v{)6>zbNd$7W6Mq2}fdp@SE<{!VE z*^RNee<{N_!@yLB1dS=fM(_jgOn^A(N&WSZH_kjHwdV+&L~jezOd`ZbDzgR0X+^f*{23GDu*vnj&PT z7Rbexx3GpKEuAO?{vqs-<~GqZ@@-jJs1!2)OZi*-LQyk3$QEvPGbku(u17GR!j2BV z?`ZlE1A^9u2!XS+vx~cTH0W$RH$3``YThXGmsdIWiEh@jrRvuwwJ^fkAKjOsF1LSh#c~>RYin!UvNyD=t!)XT137@_b(n&Lb|NQcmAL=p(x|J= zwg^k0B^K<%Z0v#e@wm7J1O$bYRqxLsYNq#>kguo*50)_Mk%#pN^?#hwe-|ad5sD52 zD9bf9_YbsySwlj*k?;%^yqA%0Vq&vDm6Vt&A!VlWuw5(KN|CA=*io^{Q8l&jzQCXV zU%tSF2ykSY6=O9twS1elYzQxKCSnvJI0Jfd1v5x|VrCGU+&j$ANX-Wk>?f7;a? z3p7OeEtRJ8-zvJw9pS#S^aml4bcGihwatJ6*Tw8KRnQfPc*r{v^opH&GJRFh_WJGc zUBvP{)Qi>knfm*RHy?m<<%dWMX_*3sYVWdvr^k;|mHb1@`jQ`eo$f<>zT{U>`8x2T zpskz3xo?k3T#J<9jgH11iIgkM6fN(Dtn<`Yz)W@!L-s+f|4ac`U=3U#gk6H9B-K&)ZAYv8_K8>7*MTNzOVEgvxN`}d|%2L#gA&MBmfkKqo7I z*as61LgtymV~J1HMg-*nF1JDI!=^W3j!L5PSC1Cjsdj;$ z=DSdw#D}VoUwd9`!i;Tbxux%S2T7!H=Mj2Dx$YFs`(f|4mM+i>$PS2~58VMBaSrMXJ@h3KWx`4M5yRKK<|9{(TR4;VK=>sBr#)^j?Yu$CyNtSp z=cqM-$^ORg><6f?Z(pLwzJNR-Y&u6wS4-$Rua0lpa}B*OGC7o!OsQ>hJyE(<4FhCV zmx!=>3(*Be-VQZWStdy`^^D_PkpI&4S?Z0Q>~IxuT3e3EnZmDeRhTw<^KFQ^+BwBI zCS{v!QL*83#G7MBz#g{H;77d@9?9@kfyDY@=|+#@R;R&E}rP(W;xr_X%S zo%{`dz}+bGKElpMgja`UWN_z}$}Ok7C2DXg+fHIB(^%q#|HH;@6|Obw~uEwqSA&VvqR}`7y8m8Z4Cpz$5$n77u1tN+lvFt}!6j?|L1%!uOqEd~`5f5- zK>{#4NbCC@?$IA_G^eW)AnitZlSS#$V%G{uqF!(Kmm_iT`ci90uS5sidVKk_P~6FQ z*%|+^g2xUo@CX~`bS4!&fY8CC71E1wY1ScS#xJ_#$@>%U)O(Iy&{`rL!wq7z^#$3A z3lcacYNqSFH?()gFC3)IO2q~4)vyMUd%SdK$(VtYQQxcX#LAk~YBDBB)E8F?CaH2> z@%MU}rms6A>bv+ANM8<2W*jV24=C_`C2^zsU0Y_-YGou6D8|yOb&06uDp*rpXveBw zF-V`BYZwpwXnkj@p2qeH^^?T#$E|P{y%mg-MRvK!Fu!;5$@oc!wSK?b>a9MlL6qA5 z3ogmn;Vl=SF`2(>WS47z+{EJG`o3?hAh&tZt&GlQP4!*ipDX#LfB>K-&V~b#>*uU8 zher4HXCefKHfjm(a}dV2P0QTf25mXFX`ZW@X>XJ7hCO8BVKLk9d2)4V%(eMl=<{Ma z&es6nTua);(Cn-Xe=z+Tn>-Q2^-4+6$z4*#D<7ELF5h_t5{sfo`!;vQe=%l7?PxWb z#r>W+E9QEk%v?n>y07h!0ECOkf2wNCsJlpK6Fd{Bq-03hXTupLrkowwn}4K>3I;-( zm7O_t$O(!Hlo()hp}08GoDCd;j}%fzYCac@MqZN zWUS4H#VNXZ`4Rw9XkLz2k^4;)OL9eU>ruf~iQ7t_TQidEF!TmD2-x*=tO(mCe=6wkd2vthBy*p^$l z$e&7f!{|5faIFeI*^3FhPfy}-D?r%<_aNO{dFGJUE2LU`sZNf~Jij`uo>1`82%}iPgaIG8)wAi`}ram5D zYrfQ=-K;jMl3Vr}Og=px*l3N77>wx40n)C87C(19pMUv)8I`)n{R#IfDY!oP(zE*H zMaIiiIs=(XWo;AkxciwW7jLesvN3A6#g%}&G1VTkqIHBr6wz~}=9L*#JzHOx9^KGV zWv&wB@Y8kzQRG2G5xYJGi?ka4eNn=H5+8)TK6E$VA$<}0!d7Ls2bfPx{4A#LRL7Z! z>%1|SA*8HtEiPy{Bg#-Ho^Bbeu?C8q%VT=KWU+1HfJJ5(Vc9!A6wk$0qB!ESm$WpC zD;R)r$(4a0?N40{`F8nug8>(2S@}h#yKyc>Tj$RP?^50cK7aB9`h8>NQMA}IlGy3h z!X}~DM0!!*CBZpOliO)RPj4Yn0SwYxR(qC>d*}LD`<9DenoeF%vB9vk4xjAvUno%a?D!4RCen1u% z@zm)n_4I|5!RnhFj62h`0@8J7mjsS_=aBY0IeBmudL)H!U66! zd_SO3Zg{zOR|lVQ+$%!oFo6L+qq`^IF}TLWf4A;&Vv`I|=_d^oi$5$(zfQyx#F|@h z{Zj?!;7arq|NaPehU|L=(5w_B5kEO^Ja%_ndeT|cb&!F6Z+SBGbW*zJo5?8UXOQGd zUX(Tv_A^kUODCu`JL7vO?RzgivOpryt3)B;l)j+ITP~H|VAOG2&gTI}yO||DqjO?vDjRb-jsuo&K zZr{!!EduUX6gX8^hI?^d&E~uP@}5vtHD_d{&eUAzv$i5k*LPmvdh%(xiK5H}_w-M^ z#l5(J(@#bp*vZN_Jv&l9xa#R}ji8?CeaREr@SGB=ztrph{55=zoDV-n~NaqR_k7=-nH*n?C1Mh96eWG0wSUXVy?i?2I6M zTJqnF5HtS2(z~KdSNu=pA^-Ew^Ygs_JNT92{F3_rp5p%vzfN)fgI`m6NT*s`y-S(zg{Uo^S4H4OGy z`cf}DreoZ5FM|;`*G}Ww$61h{mkq)pj!F#lZPr*7Q|0H!MeU!mG+xhlYK)+5q~4dx-FEnS!qKy za7W&jehFNj<&M<1`}I1kLS2Gr;ZN@La)1Tt{QdR`HG}NPFGe0$0IOU zhd{P0W8GqME7loiX!2Nt;EtSB)c}3h5tnn$ibY#qTMjUaq#4oIB-G7QGtE0{aHe!w znfuyBsy*~8g!jxRTYU|1oVuqU3>XdwrJcHWf4_63H-o(RF_j>~U|rX9a!=Y5tPa!I zX=#jXkqUWNa3~AVOD(p9?U%Jsol5c2DAL9r818%uKEzh#Yp zQaj(Ha=igpLIB)4#2{Fs&p^yN=IfK*aL!}VkYCY~l)1zH-S$i>^=1GNemZ9srYpvG zk7A&fWs!>0V034h+4jB7m5k?`hUeT@0oO0KH^jjnRkbfJCJZ@us@0m%zI2J{xK`bM zKh)a#@dnVTa&zw(Z(k`ujxed4c!JFzJ^;Hj0-tTal`iY;NV2N}oLzr9t-*XAfqTB;A2)ZEW<7)&(C5kI(ZVUicVfA7XhbYBD;ef!1Sd+&loLG?{1 z{^TzwSKbzA#FLnZPA7Q_oUD@;wKv;&(cc_@1I=S=qC!$}ygs9uISHSX9)%7r%?~|! z-Jn;{wp2W}K&STQkFO`^F;mp!1xf4CE3AEVkB{aBj!_3chFr_ZFScqWhdkUkvaD!?;+axXuEzoEh%$FAWNp zmhLqUeT&5q-{TUci;)J&e9E(bh}OgpZgS>C#ov7MiJ$ti2>$O%-7-0CKenA7@Y-ON zKem6ljf0H;X1Rh<;LrQRkqD1`IF7x_`%bH_ZV*hE*y6i?K}ZH+Rao+v-=HCm$HFgl zdAsvm+g`lQ=n0rHJ|U;V`hxp((Pubds~t1Mn=0&j|6J^GiidJ1UmGzPeWK|fz>uuI z^YRlG#Xvmyq~H|FcVRS{)&P7-Gtp6JV7 zH(h&P1?%Xcwo9A&PF_XOMPf~?OPkNLT|1gsRO=|qENQjqt=s{9>gUxhsmzs5`R`f9 z^7g#K37kyCwZ;4h{n{vB9ak3u9L%Kc3i%9gcF!A2%Z}Nqr<-yIgyg(+xv^oGdGVxe zV1^)Ur@Xjhhr~Zsl!=OM6szg*j3(BCJFzg`TJ9?S1i1Uulubb7>26BkZ>2I5(e0yJ z$4AS2Dt3lk$Ltg?p@+X=}2}EJVH*>yud)6Gl1E`&Js+eSahpm&mYSXPb$GQc)Oh$l$ zrB0Sm2%_Vn4f-B9I{8wo%T2d3NU9GX&vKowI&=9KFJ)?VG&{+=Jp$i*+nIH~2`l07 zmDtB`3+Z_5Dc#X+OTq2^F_a_sYGn;@+9&*eb92N#9P4o;NSxTm8PmV5x842pyH7{8 zgsdzNkPwtBl)>ZYd@+QGXnF)%oLQsupfR3f98wXPdlS-x?nx^Ixky( zzS`A`HwOtbUmbpb>);w|d4V@O&_x$T#61L39)wBV&C?3Qt`xF=r5B5-IjoH-ydGBA zo|a$hA7gqKprjig_rR-n<`&hz{aDTnM~;Bk*?T2bGZuH|QKi@kkQv@>%dU|KGZ0Rl zFrBI98}kW!_Z(0oDJu-B@AWy>!KY_D^k10+Ykbb=+B<@7m2p}6vF|#%tu{iAJ;Pi5 zHn1=*!i(appI;#G>-E0)OM`yx_o7)om>yoP|GFCV<{~(gYFFk$1>7FL+Ua)3h{{D{ z7{jDGia1|Md;H5e`9K9Ys1=;NI;SppeQ=!Qt+cagfn3!9v#q0FVES^K7vZotXze}g z?Amw4Aj~Icl#{makj z_U@)%E5CZEW|M>Rt@TvF`J0TJ&0JI5+{VP);mwK)VzQMZC9Vwzr8$~FFy)zA8Ha&@ zQhBL&qG+t8RM1@`{tt}H-ye$06#K3B!3FpCiVqu_)24(e@oS|r2n{v*D;KC@RHw;| z!UDXw7eOel`N`GifjJL32p{xr7n(ZZS|oy5tU-g%j*;%HxtJh;XS>|pvgf!WO?<=k zTNQX~7tV#@bt&Zwh2*7fin*q`&ozL{{BEUDI0`Ev&AwR?*@Q zV7N)a=AzKEnA&C!!{j*=)gUiTY~`=CW|*CPv{LV?hk=@V5Vzxx#8Sey;vnrgmtDMl zb!P$jf@O=*a=6TE5_uqEO4F2)Eb)u)@sdQ?LG912>~j`@nxD#}_8e1L?c8}_)U2qg zSJOn|bph_tW3|z2@~fL<7-o$97EF=fzfUrG00XVXlR>qN zdZXeLzm^|)egg-K>q`+6+JWC4j(!vto#buaunQ9jv76k>NDX$ria7+{N1XROEuyK< zMJWVdrW*c@!^E2&a%Hpii++UXqpZsS*jSus=Es^F-le$x?K)ejfgY*jeRtMHEJM+f!}V#EIrp{j_mZJIDK80?K1~ z6!@vGUQ(;4HL00C`eT*9PbIvlG{J95U4}IUx1WDx-ae zM-IVcv<_Z6c{B=kdiuqbDk!y$f^$ZWFLS#FjV$9BgwFC=g?$@Y-#;S;WLMa2eVT@7 zlvVYOoJ%i2`CWWkp>c8Fib07{F}<8KMD&a^?$x&5CF9h3)VTa4#W16&XW&Gom-Mbv zbZwu21kg@MZJyfo#j<_%qG0MY`|NaN?tWa)=Anp+?pqX{cA?wX{Y zL3+{9!7(A7ol|I3Zr>m-mAF2zfAOVhie53|ebHA;Dc8JPT2(SOVXfbH9Nrca$p>z^ zf%t;a&ulK=PaZA1myFD~1dqQxv>2QH6ZAS^b4l!$EDF5LxPVQ_Tf0dk=<%s(lug}x z{@{$7UMnCrF}rz;mdzYExdomQa%n}p{#eo3KetQb6OE0ps%7@nr>axQ@QGI(NXS1? zQ0X|JyQ-icQ8d3rymQ3-UBMN%Zt+9(C`rt|3TSAbz9dIT-{ADR2Ak!{!U1#Co1GIq zYsVj96^|mbGJC-$9k+7t{Kt~E8CpjE`wz@w-;a`tYa5tGzy&g6M3Q>IH!ZhufLBso zGyluJj7iKsm9kwzQtdVggNkd&=<(%hAYQzMqpx^NLS-fW(~;5z?bt+0{m48K{m`q9 z#h2tdq`?pMCBGy**<|?GPUC^4dur=E=DUp1pO$W~at4WkY6T#qW{57TscWC);5U5! zs;<4$FX;7$3^C1!*sc95JZ6cY94}~u`<_n%Tl(+aKVFxp*Xa0n3PUmClcx#Y+jUZP z0F$J?R%p+6H-_$`L9F+jn?O!W#aeiEuT1HwJiQ^e$=R5;fqCE^GYO zF*3V!c;*)IJ|EVYmI8*3Mc7m!pH@h*JYKyG`36uEx$a_vKgIHRuNPngb|g1~hl61r_@0?7_yN_uV$ZR!exQDH;vR$hI4fu3 za#~$+9Wed0>k;>%Ls(Mh`KtN!7WO5&>r$pqiWo)Rv&y_G{zB+#x++<-4Y?W&A88J*&E|nM_&N*g7VuscRBZ4k{oDDJ5+N zp*_a}!;ziiOEfRJC$BxhA!0O+P!D@Ut*=GcbO%_5RQ)7o=asr^o7scU%q5inv9z^)_pXj}Skk(V?o)s8gIUPR^G(W^ zwk`%1K0DW>I&vn~-*>)vMgE?pP41<41GQSY#n#?!D+jEv^Pj|yACVcXyZjFHrZtOn z>0>#yj4B9^!Z7FYG{-1E+4)zV04sMw=oNj$D8(l(ut+*-IGqZghe z3p5^vHTI2KrxmPVUE!68{=sSO@hTx_4U1aR#?Ha5z85UOJ{27KrP<<15tUOqiHfsd z>*U%d<7pF{^bUh>lpRPNJW#S6ky3IG**8e55YDTbbInAto?Cyr9zA#d>%I~2>S3{c zJO*r(K8Qg5v{_$&nx5^%{G_VQ%;*OdH5kFi6dv2a;MQ zFD}q9Fy2rxatm*n;0sI3$SSP+Mo0xDA3ljmE?eKE=DIE-W%4Sicy@`BTI{Y`)G7hH zkjQ;nAZ!bz(^=Vc;ow+zfvFJCc^iR`ok#X@Y5kMyxOAdQKM%0@WOb58##6rb169F?KM8pf_%&MD?dDer#bruc z$T?L4zK~gyxt7wO3j^^#j+f+Kyi3UbjY-WWq54pN?!q0@u#$4@+&)6Pki<`*cLYZ( ztao^n_6pC7!fibI+e!~!#^7jv+1A>~3Lz611Y?`GSKstl`_C<+0@!*Otg(3&kMEV% z|H-uqNkaxY@A|0^y1))O59V`Gj$G)&K(4Qu!%+GpLxD+ z?wR~KFDPSR?2<%nm{#8N=`pOZc7SsWs$LPvJuQ33y!Q;kXlK`G zeF0v_7Z$f1u{bzI7uFA8kFj#)m;O{eYar8@W*&1txgrHR zusJjeXvD__+uwe9=-~cxh>-NUj%iX{!wQvpcxuj9uy#nNsO^xR(?7a&cv)WYo=w~L zQx?u^&*GpNdvb1%1)NbtRkcq4w#sgwWfr-m8`_4+B(uVb6Uibwgu8>>!v+MNQ|X08 z&EsbJwr}SR?0=G5_2f4l`&Iv97gy3V4eA8D3iqCRM>ce`32EutJPZF&{$+NHKrg!W zOwI6Rd}4VwxNwn?|E}iyqNd+_SXV_Jn|cJNXBSk=5t-Zi7glusmI2nwIL}VVQ)Rzj zESNs>#$?~?Y-}T<@QR#qS>O*Bfouwyz|h;FX;W(sb%nc14AU@ZGPj=%|n@w zt>EVE+^L0&SD4IWGx7;3H z4e$q(@M;?w_0zb9U$pdG;z|YnZUN4A0E&N=5CVod* z&&fM9s&Z(YSm^PGoF5a6!0vfL)#WSCLu+VN3A)xg*zE?*l(kn=aPN#7+0S0)DgrBr zeeN&JU=DZk+e!iBe<()g2+gem6SHX4ookP@%^biX2haWVd{SBlCG*nC-l0=OC8KO) zZR;Xu_^YaAJjMs$u^Ecz{`=Nn2O1xj)~OMjt-6Wr^2G!1pv+pI@-|jE&_|)8rqO@& z@Xm6Ue{fB^L+UNRu$eQQYZA{&2KEj`48WJ>q4A%Kq)IMPtrzLp?>x_IoZLD!dlr;f zGj)j1bVCnB6wk6rDCp>?_5L8R@UJ1fEGA_!K|x#Oa6)GA&FqOANL}8;y&ksGVUERga7m}EU{{b-}?c)hIFZex3VbcDsXAPyGf0dv?Az_i|3UL1z%mSDQ zGMI)?hnIp-{&j+ul=MST@h^)BX-pX75W<{52c$8PDY#9;4Z@hX5XMB)n7`op&dotB z=)%(P4LmsY00W|!Fo^%^1<^$2-^%U~$%KMI5m9uA8A2EnZhv7+U&vz4pb$)H=o+j? z5C~&pL2T`|EQB&4bSJL_FBtl1A(e>+7XOi%5Y7DSrKLslnUGq0_RQt^bEu|GNJvOT z$KRspFjvY9f*`3W(5VTDMX0Gw&+vu15?=)Tm4u>M)PjP7P6%uEz<{VG)NDdp6N)@8 zpj95|W*D11IXnB~ixB^vGl1FP`~wUKcOjS%w8TOOHf(}_xF%W_iY8LgZ2P<^D z<7VZHT(Y*dgQ%YKD+mw2ehq2Of7R4sk~nlg$c^+L9vvSaUyWAPLUI#LDK@1*auaSX zu#J&c_%7ezck$6OPDpNcbbN=cfoA!j!vtL>et2seS~++3{+Ff}){8$rrba7A|8c7* z*ehW`Yb)B@%FF^2v%-yS2KR4)b%diH@|@_);F>`YTy7Y!hCHv49S{)y%S^*!>*#9h zIy#VxgG`*hfk!|B zm6iQd)mBy2)S&U`x~~llv;X|Gxc@QKF4aSGC(OK(0?nN>2vm2%=K67eZ|6SC2wS4gVTDk&EyW z$YE1mfZ9&V%QQ41qGE6sPr@_`15V^+xZ~Z1r+nwJjg3v9Im*r+-KI}d5X4~yfv_j~ zm6I<*1xF5a>!QO2VykFw)x$F=F)=x%**?$)1G;og%}D<0bRn@_^p9%phJbEQ&m3ZJ zh(h{(5o7=+9jr%?p&0~0h7A#9#23Lw)7)cY}>EzFYeV3iRV zZ=$0E4d$?oW)Kwe7TyV*|G0I1k<2f+Nh#?Ke-{R*1u9&Eq$}qt>z*n{CQ~H8Fle!cH_4R8@H3?kg(9(*=tvey@4~@&sDv6zM!4GBSK0_suZMI+*HyRh0qxy2tCYUxsPICXgjt%GejX*A@vHq(uzv|YKzVx zqIhr>!vm5kb%r>OKmHtnV+x)W@nd>4LrD1VSaIW9giS% z8590GAP!x)aPcDiEdV<#B^|w(m{`t4=*SXk6eTUag!u!xwS@6w340tRCvS-0qE{f? zQ&BY!e2R-klpSF=wPL|^clUbT)*bpSrwK_7ZNfxz_ps42LK`tNGc&W$OgAL0>t}u= zNCO&hUB(!gITuFdlg^y;qLAj9LF6}zcIKQ06)8S*&WPH;Fr8UOA#F3~r;yxIKd;fRA{p;kNGuw~;EW=cY+-F$$3D6+_-k*oMCMpH^mccmt9m z8NY@AM~Wi@D>e%&SHa}Ff}*%FF7&+KA5PzI^K*fmA%UM)b%F46+b*O${01V zW8Lo2(Q!(-vLv~Ka?^w4#>)4`cDEO+uWs^Pb8B6f4Y1k288Ss#*-1M)2{5AB>YN^O zFoRwV($iDBx0&F6iRWawze3|{x4NcdHGrv2FIme!E8=_Q9!-h>?NqNWcVFWu-*}A3 zhjMnKL4Cmcf;!Y*`ZG>XKt?l`6|;X`k=sg2kk7nPuC27IDEth-vHg+q3Q--k1kHuV zQa4OIN=PY#bgm}1C|vJ+y(pjOMPV$Abma zn}YYV?vm{g95Bz)_Px(mnLXCijdFE(CRnJluAIDXXlLodx62x5$- z_rXZ=9t$DHO~3hE*K!}?z>W{H?_K0FWW%+G9nkcgZ3XVgUQtuVk z1N{+q7C^Eo-u5*}uCwfpG<8izS5;ap4PWd`{ho57uj7df!y0JSB5*8AiY{999aLP~ zVxKn|w6Vs*I?>XY8=VWKbYBB`O$;0>EesLz(iewt0@}L-^2aLQXx=rJm)m$sR`CCj z_7+f8b#J5Zra>AJkZ_VB-QA6(bSMZ)H~?;P*= z&i~$X$34#&d$Px7thLt~YtFs*T=Vz*9yDcezh(KG5v#M?mBCLRZHq`vYawTgMJdJ^ zkmJbxm{C9tSl55Gl3-~(4{jqy-$0PcFzcquv*cnskT*T~<=^_I0c1`K7t&1YH5bq! zNbyRp%qDM#3e5Ih!+sWKpPZM!)B~7jKGoHpDEuo6SdA{*-iy;0{YRWi41t|V51HCh zv_yddHNfwh$y@X4XPb#Xwe`eX{L$?%((TRQ^yPw4QV17lg&2+y&vE1*l$xp&8`^VV z5rsabd?y`_^C3i;#^?QSFsY8r;oT}{p%daAfjc#-n`NLDK;%>ANi6?P2E$x&6jYbG z(Pk-_zid6lo$9@}cMoy+s%-wyYlQHff9+w5PzBgY;~cTZ-XGdLUE28i<)YZB$%Wm9%lA9SJO&36& z-C@st6vSt+#VoY;qy#A>m%UvoU4Lb(9F*p?C}gRu2|)sTn85D>7`-ePFAGqOR8ffO zNww_WKGm(6Ao8>4&8%5iWC3XVo%F6pDg(^Y$HBbVR+g0aM4za2$RIws=}m5>qIClC ztjXlfsjsts8dNSK5){@L&wF(+vu7qB*<6Sb5==n#ydNQpX3rA}m7rf!aLl#t7)XuU z-Lr32b8%+nx1fDz1f-@FT1ZxwG~~`&7{N zG3mN2RGD1BuHYz)A9pE5Al~V{Q6 z?EPRs$<@v4#81BR)6xF10!!Q^50D+*&|a>KK1(QL?4rkizaSWdLQOC<`)zpDd&swq zN*`c!EBR1t6}RF(t&1*pSIf@A@sxQ6qJHqy;L|xOX?p`tnZOSut8;kLuGFmx9dEMh zFV`4Ll=p7ze0N`ft_*ZwIh#s0^rOsMI1IBa;`N8oBRb^dl_noiVeNUMx zPnS=&_+fr=w0HXNo7XsJxP<_Ir1|eM-VX@DF^5m}rwQ`$OOX2!JI!C@gb}|AJXv1$?o241@kGzMx7KP6u9-v}V zA1KxE?eoEMg{0p@JYe0p=OlG3$I4Etze2b_m&2Js$x1euB8^$_E8H+nOLEL8U6^A?8#gdX} z_)`<2bgYNw6XgQ0#=5v0E?V>_Ujvzbh0(yxVA9i&S84XNzH`MvEEm5ek@t9!jHrKH zCLV(~b;`fTuQUi!G7`5`i=IjMGd}p4Fr!@BzKMbatshr|h3*Jx5+tLa>$j2_6SVJ* z#49_3iU|#kLh0{k6MlxV0~QzBMD&5ehlTfF*0xokbkru^VFj} z1v{qDUqvP$^=>tvDvHuEGVw{URQV%k6Xyr%B!9#~oM^rQx~0zRSNePtO^Te=p3cfn zA3E3_xs+QOzyBc$10%$CIt0Hgp2^kg)?sdN1YJ@gmpIdlZ3o@fAH`;#8?Dv zvH_pFc-}Rhe&YqJcw-f^mAdB-TIu#(D5>kO?CM-b}b;XLvH4^)~baf8d3 zl4)mb-vs1lw9P$`NFfoLJkor;R58cPj}c~N*YRtF#A$Nnf)pPvaIb#X#YsoDKf<;cl(5E?m9v?rOfUfz`&fB|PjuEDmU&gaZjLj@yo@dx?|w0de$ z(Ymh#m17ZT1)F&u-p81>LZee`;E2JjRqY1a=}enV#8KA^pj= zb6^G{6>FNg88dOBB!L)Oey^r~{Qy6WOBx>CYgJUfM~*g}L8I(-#z!}|p>;nnadY%N z!3`(4Jwzm;nG;71*RkJTk#6&O!pGZ|r7%z5$}ds(=LK^&kXy1KNUL;vQF1ShkDj5d zGZiD+Nn8V0Ip38{Lp(N}9y}@_M9Fdbf9K4Y zQvCAD;i;;ry)wfPU~)|;;Lq#e_Yr$cy7VB2o->jL#QL*6d(^MKwM)#j435gHxF;}v z;)KsRSY6%RTT{(~(o!_ceJjR}wA8+>NPzFzG7qF9T|bE$#N9ez5L7&Unlf-$sj4qL z%09;Bmg5L!-qiHwwI{rru9!hMIDgk^ChnqkzgcINkogFw%&nmeBq%Tk{Bdikus1e? z)x=sW2uZ^ph^Cc3?2}(1T%T7l1WMb^KNgKhhOh+vjgR^1O?;ZOR`x_*6KOacnM`>Y zlY&(%h9l;8N(Vfx2P<<|4_;=^eNKEdLHkvhfK>RMT3!U0r&8`nk0vFml!)s&h(IOb zzIGmF!g-09{@SA=_c*s4IFTDHDc~HsZhI!R7Hw|O#DphUbp}kUoiV;G(zPd%0u^MO zSZVY{+V!?(90QM<)jp}k(ztNNwEAcgEqi^${s4l;?6zrs#{61c;+T|K{Pc5h9f{}y zNysEg$kueq*~A9eM75?4st+tb;vlP~3mTfm33H7e99>hM!)ZnNRWte>WK$_r2Q*)Z zF)rv}ZeR|V)jKG`o_~C^d++(SdRh{WCm3IPWROq*-1q!g<7!ZJ+oBaWIK9X9rd^UI za1B4pEr3Q6b;h-KX^M}BvCv$ajzrP2y98an;|6ncvBMtD{9FNeB(FBPNY7>J)N-@Dz? z05cSl6#H^1To>P^I>xuhu_mwYN7U41ShrZ+3X?hb@&bau)U6&dsp}&lXd&aLTC)2z zg-mCnUG_AwV?=9kDHgD-GWtRhhwRy4y(shx1vO1Q>k@BO#@ta0KT7;&T7nvM+cFgj z*0ls!BR*Zf@XT!I&`1&MLP@nN*WEE3?=kBHWrD3=TkQ@bn$`;+C8Yjp@^3aoCT;rM zDOT!EYTJ-G55~6Mr1E~z&DLC5FCO*nh={^WBQ7D^_A2|22@9i@hHiWYc+ zUSMQszI_0jdh0gT$W}>V-hQ-^SfcZdK&Fqbt2!UF8d8VgcB(aWAfc#tG=5n`5jELc z6T_@{Cmpx&u(~B0JR?thZ}KgEo|-t;uZPtZzouk|5`i4QFIG%9?&V&EB*^Heto}5+ zedLZwJ|%y~5y@e|Feb+ThedP|Ek5PZBreeP_4*iQUKJxYCcM<+(G=acdlYTns2%v8 zmkoEYLIE;VUSEE1$tQ~2d08U!$b~QT!&m?stnEh#O^0g2Sbx(GkZ^L!=y2=Ti`AX0 z@VVQ!=t3Z7oe(BvE$T_Tc$+7D4dm}WqP(!5^rwE7Ml338_4ufQvxH z*pFc>RxE=V)YPklg}QpM<{f5$R>X!_&ek)@q(bL%w9wTLW4tZCbDet?SfM5iuGD2* zaL-w6JYDCc77qkwusRc?kR?xNWaz_JxSrQ7=Iu(mv0U-09?yOb9ZuSSKU zTJ+}QLt2TPEJ2HpjJLlCXL9g5GyU)g*4+_~?^pE#-8`&t-yDlva&$0c?&=(}Z-=*G zuz24<>Wl{}n9iKIFl}4@<(0P4f3U&YvB2+$Ju6Z-cXHuL`SbNHJ#5YO zR;B47AoZnow*Ij{A`SDW`K>J1l02kSiGah|x#v5wl)uxmvVft*gsEM0ggk8JMqkZo zTWkgsVf}~W?XUx8%trwZEDNw;eE2*=e+lKEXRgIR4`Y*lMH8+P?DkEWqjj!KOprP_kUOF*?cZf0AuMu2L!%!efi|tBGEeLq= zjAhJhw}?n(jS;;i&<8&YFV!gc(lz|b{5QLmvoS!C3(Lrdy$O#YB3aQrf46Xp?Dmnm zWWxqcRkw)G^y4{L_#P-sb9fK=hfwmNLesN;M07naAQz1}_tM1Gm9J+I_>6lN6t8%9 z^lG`}!mhikE_9ev_$|$vsdrs!KJu>918ta)KGAcA$631smd~>=`o{QbFZ(w0O)EKecJ}t}xY$I=a zMaMPP6u0wh=%mC9mhg2J(^i;!jC(a>8%LMd!T!5Ym^UnXVPy>|l3GPKavNC%gJ5Cz z$DfeQ+96_rmms5Y4n`;`>)I4~JUnB4(oZ=)OIbT!XvYuY$?IG!#)|*xg$)r)! z>?R^VU>kSbI^i8oFW!pqn8|7rm-~fr=ixP)S8VV0E|s3oB6y&nu4nA{@l)wlCh;Pc_As4>s~Fk$h*T~7t%3w390CKD5_)T6JFLexp0GoPUT;`je+e0I&I(P*6J7?3M^eUAG)Zqh%?C9ZE~@Akd(gzyoq;7c=yrS+|I znb#mJ<;%pM9V(UXD|AU!JtNn|s01Xv-ae_Y+}p-UjO@Bjn10ZJ_h~K7CgA&e zL(b_@)ZX{|wSCLpDGsf)UP?{h$mSWKXSe>4TM9Zy=63L@IJE5|7xqt(u(eV$YhWyr zZZWkK2GJQElQ+OL#%e3dOT#I3V-1t&E#4FU14;qimkU}2Wvh?5r9w)iH7wG6?D z9ZTE$C*UEMUQCWo;xrk(ut7-J=ZP(L0rA}8njS)J&%UR3FeBiAm)$N`agV^d$+F=G za#Ofcu%MI=t-7L@yN6#f0k1ajN=TNmar_VxzO;c#>(bsk^LqoGR@Ka-W@HLUKbI z)naAmp9PduvRq;F&H>p7goi2YLTj=b#(8yp<7)@#_*~Cz(mE*lpJn|7IKJ$C7_fs&s&%XMX>K{TS<=^ zHxL@pL!dh-=rA}c%#ljNo7NPX+U0#2mek#|Og4up9Ruon7IzMLJmOQENu1h-rvw!Y zEJG4dc`cn?1HP^k3TXqE(46v?wgZ@eQO_SirY<_}RBCM_7SX$`ief ziTedV?Zkf`^UL~;TI98Y%4d!p44-y@82o~DRwKR!@xDRt=xXQI0X8L*VRrrGY7{QN z(W}q`&~%GUK*qvt;uwi5ss4Kh%g{3-F~7QR2eDb#uo#zF9>ku};p)v5WHpQaVkqLx z$Zd1tQk~5nrCOR=SQOujvjaSVP1{&{1x&&(kIOcZnS-J-a$81rFK%dAwF0y6koX~L z)xgdzzjJVGX&D#f78KPCIECh(m+Z4^M8zGDiAaIxP655!VI@_&4kI;-+LwevFHWfr z>CUTqu^)N-m`1__2BY+>hLe~iGxFIluCdNA&_D7DN`#~j%yGT8bD3X907Mc>hR)%U z3%3|V%=U5lUE?!E)ZB_5pWDY6ZDTWw0eF#IvvQwOC9iK0$f|1SWEQt0^5`u`t)dy3 z)fNjn7J@qG*iZNm9#ZHp(aNdXZV@~ULVW0%w}~=)QA$dHB=8F)mC2YKF^hWX4E$PA z@J;w!P&Kf*bIr&lC}C_?-KSs=O8W;MaXTk8_HLe$(LE85`nFEN^&$V7IQl!=w@vG6 zV;~VaCg3~a);NF?ReSW<=MIa>mXOG8)rM>D_*MWcXoDWB?P(Hm3w>L+xBVlNzgdNp zoN9Y_?#oy@dL{0my|4xEbE+424^fE81tlyUo!VESREOW{x+iF#`lnBknLYf#9;sH; zt)5%TJPKY$1C$4+?=>>3c1-bZN3PJM1H2yrYQvx<#v-0I_LIH(<+^*g~B)~g4kC=A${2srs zzK?EArYuVHoXCfU`yFJoqudd_XqEsoDc$lKg~?#CkZf13$;Sgs9xima_{El>2PfZrSs(pFrN& zT+GD6J~h9FiBCXE?g1{HoT82c8RdrsVm_n5$TYwx_&gveO}M;4RK~jVpq%%881J$J8bMAtWX-tI55gb7B`CFt7RSb2 z2pE|Ahs8J22r6p01;&*1Y~ef*wQ_O`1mg>&l#1GQefy-pj~{YoA}cd02hCLT))M=8 z4`bkE34qY(;WKwl_vL#Ufzc_@g+4{Dy*y1!w^%@By7z9}GwWtX1U;!%JB_No&1*e&fYB$QUfXGNqK}-E!|`lJtM0+w@(q(!)qHa?^DDsq3YOy zpVvYu)zgI|o4sGGxo5;1dj*6SP}#+qm3_h+xtiKWK?6dLM=iR!9=47}1c|VMi%-G= zikPbQ3->M*OeQW7S)gX^yVs{TTn*xd1Ar%p^Um+K>X0}Kc@f#n_EFu z`=xu>*(EE#o#O*`8>hOd-DAGsZY**>@u?+%FWMRKT(sMOsQh*hk5c-T+q<&*VcUWk zx71~h>UO3k(8Gbh>N$0S^fKrcQCTgpL{Yn8dWD3O_qChn$g2;Tt0262<1c_8z^&Y`dV4^2qrW)$>H-3^Hsdw_o#5?A$wvlhJY(94h%XE zRZJ|t-$Z=+=$6xuDQ%7c1m(=~%Nyg8Z%o`TSpkQPK9n&ta8FUYg^cEjcU(^1z&MxU zP$1nU+>8ki^i~*vD?s56(0{N~EF4@s$dC!y`2I_e$-_hTFL%iY83Y9dA*@V9Oxy_0 zT*BiY=F$j;Yzl?h67+87tuUAcoPM&*gu$#JJP^`>{zIPH*+oXh#Kb`^TFB|~kA@a< z2||Gh@uumu|B7fk;d|)vg#(t*lb%+HC^CY<`g~z%|Jo9N3}nIpqy-(`gQ%^43ZKJO zwEqB>mzS3iUU>@zq!>g%BZpuu2vmeyXz8E8Q7{GwY=SXDdt`zi9J3J&KvX5XtUD{5 zF=b=p;)TOpkT3IJrV@fnvEh6vWY6^f$3PndZTc@&nF&Mw2t_D-hhdqJeglH_;9wd= zra^3)5sU=F(_&(v9}Y=L->TsT&ANZUG>EW-_)@rjumy^?_Nmo>P&8i{3fw-s`7c`u zKeip{opy~Nwi0^mL-a8uQ#`yQgfo>;eRpySDBv~TA*&{YI73cDDr$Oq7HN6NGph(~ zs02SMgbs;*f;1=k_p3r%A=RU+2*ox=!FXC8bG#Ph#rLqyy|L5s@pa(J3l|a zumBfrLPh(aAcPBM|7Dt2A<6DG3LIztN45!_FT^uLL^J$U3E)@eek-&z(h39rx^{{G z)$BebgU*tY2NJ447EVb>!YM6-38zP&D%e6|S^Ix5%vKok8z`KNU@&LsApZx0>FNf( z;0|$-@Otpjb^fO!yqB+EMn-04CR{WNhnPP@s9S#iKMXfUQE3O9UWU-+j#ucAXch{{ zy_su?Ffj?8?$i==h!BhkA5_q&nuAw`htBW}X7Uz>v;wn(4<{3AY^cimJxn~j2Sm(p zyR0v)!_Wu;#^Vcv@j~0f_@L_uuL%!oF?`GW-tpAHEbIj zh|>!TkA(A?v2oBz#6!a+6K0|fOES^-ML>a%n3PYgFzkOYZMa!96RHpY*~B5>H4+?0 z4p7E}ytzXoySvZ;fiO)dPXBR?{)=crUE&fFFkeCOKL||-oQ1Dx!C=Vf0cJ=Lqsf>n zK?olk@KFJs3a_x3Dnx5S%rSH_dPWea2|uCdtuWXNsB=LsPRPayMSvy3OQTE}?msm4 zYg4FhydxY$4hb;GeMtoQnjv;GG7@606OulaK(=Ct)UB;E5y=%rhPdO#rc4+jWaSJ{ zM23%zR>+A9Npm6ix()uZ(Aj0og1Wu|4Uz3_6EWCcfB@#dAnMuqB`yhxi7*7{Lv~K+ zK>CLJ!~!XEh|t zt#2Cnw{j)ixC}A=h|pkIg274&1CV(<``fId+q;qec|4;__^5e)esr?e3aCT|48`bR6RsH&!^>G0-_$rG51FAT{Q zB9Bc-FyFoNiH(PMbEu<3V+~I1=j3KW$UgM=vIK*{@>*fAd|wzM+@mXk{tr-HQc^Ku zk%Iis7f5hYCIU8GBMo(Rs0ioj*&WP;b{#3ngcb>IorQo}G_-YCG7JOS-#sH33^O1w4F$`-Ci9!RavGTsCaDZx;Ht5P?>>p-jr2cmxwuU)VY<31$|+y^fUxvkXpx z46A9r2uSd(1n7ed(nY^|H6-^nDtRu!D zgoUlcLJ`8lEnSzW5HfFqCpNNu9JbaxK{Hn?>67zP`Hle5E1cbJgR zcWDV0YqNAGi~t*3dJp>w)!l?b6anV>Uu(@Tph{i)9oEda+fJSO&m>34zjpIdN(~Yf zV8wH<%kE;3SgV5+Cv5xV+AQRKtCP$>hn2*!)Mm=GVTuX(49}a#eWKrk$_I{w3dh>l z_DaX-w|91kPgR-)h!N*JJx;YR!(Kx6n_;f6D?;P!%R2}8xt^BELk7ku>(@MS2D>$+ z{?7@qO29X_4RpQXRVUNdfWt>Wd#e&TXpf5dIy?9SiUc08NymXxWPi14u^@Jb>`1R@ z7u-{~+WM}yftqC|;`O_$Gu~VPKf&}Eb^LIU&BmQ;SM^bd$FcOmB(@UayBMw`UeuTb z;Qrd6|H)`xAkG@nMU|=cBL+Lc!oYw${6TZK*pRV~b}(#H$j0{7j&^-@1$Ffqk{D;1 z<5dZE?!#ky@^eX!gF4`!3?&A&xU<`1rB0f(*>M)D=$2m@Mo;=&I-g_r9Vh|Hvl9MP zyhS-H46UYtGG2S5M9m5x~IQw)oW z$XITYow8-ssyO zL2NppnAIs(`yTT9=_js+jz4Pv{|0tPC385==w)3{z4dh!5c!bME(DSyI)$DlRyF4k>sgJ#Ltus2?qH zHW-sLZt5=<8h!tCsoLbgp2zry*{F`@7#+l5T*(+p=^(lOym_I+>fiR@m3?Wh?<@5e z1n6dbmknva>%dt(^bRXe31+-_m7e@dP3h^3Y)I$hbPh5ZJu@SEAgAWsOB9Cr+3eNO z03Z4~D}6u+g~RLBjVAJ~CsSYNIDsq=Fmb8kji>TT+IEbO-C;pv6?fylKU%Gr%jE#< z<3O2Au9Cz<{FAsp2%lU_gy@rhON4I=a;Yw|+xd3s8R`JDr3r;Zd^fqcE2$ZW;!4hl z7s=Zh`0Y+6smoSZ-zd%i`37F7b}&jkZTfdnB$2@z>Oq|!%s4oySWKE&wsWc4z^FIX zCjPE{HUBDGy~%lk?vMjU7-_aY{l4F;Ht|h+3V6OsNZBlEE15L#vdh;+DCs+S@_o0X z&N)-zv9((i8Z$7^y1S@@^ex2tiJ%PTEh(RIK8&bkI4;SFiow*t()kd4#AqjF{(kSt z*I_Hk+KmDR>bo%Vbbeg*lLvgTN5$E^Al!CcjKH8!+$^D&>WZK76UQ>|iJyo7Ugr zb5BZ`IA}a$K{|dk`wX0feN2e=@vWQAk`kUrI2`2QX}U+;WQXtSrN{WNoEZ&tMGN${ z-MvfKda9V!YhJ3c;mVX1kip^QyNL?@$k;am7eS+I1-Vk~n6uvtSjJe5Qr!(r&m>Q% zsDyH!$8G4Ff@d!Arp_aKuarNE=T376l39SCOUFOMt6v-qH}BWi8P*!RePicEEG?*wy8nje zO>p!$=na0jUhv_9LtDc0fto@{g@3kAcU!eIkKpU4(U%#W7hvAtJF)&JKPQZ8ex&he z>)p;~`_*f;8)A`~Un*tAqI95yVJugcUtN4yRHO4p1+4n=?IwT6w1ZD_N5wnJi12PO zGVqq-d4yqMysW zlaB8UlXUMCZ2LO$8{}|FE~%jZ9!C9en<#GbOFmM^1NDoMboS>xVvrwh;#(|RLkl{3 zBN-xG?Rc|dn14}cTGeG3(m1dkzW`F`o*xQ^J><>5=t|U6dofp$TjYP%qA)0WwdbJ5 z&aVUB$T^lQ_Tga--VY>rK$y-=Z~l>(kg2C**TQ{wIGF7U)YiVuV7DVi8dxwWo!nDx z6v>%?hJ`R+t@mb8dQDYp0Ms;PzFr?s??<&RdSby*;A}|1Z(f%<Q8Gvl$}^@ z;gC{4<{XgzM~WOqAUj-DtgOB-T9+(VKcuvK(kw{=0)htxr;w9;TC&OsYY}`o@iFIk^jJZg?}i4` zX-w+Dpg<6By5R}&;+)w{V`W0O|sIPbPfIneG z@_SN0`0xhNM&C5`T^mS$bRO}E#B%wofqjg=6HdZ=!P0t{GUP7^og&KX3(BVu( z5RI_%)Q7FQA2ETm_q`(uwOQ6aB3WD4%((4yKw9Xzc&+%s-nO@bC5W9Qe2`{ZL^^ei z*CJWvI+Q=^F|hI7d#bETUP`B(umTgsD3lS`lTfm%YT-0R;@{fa9S0){1AK&qJyi;5 zR<-R@ou97TrepMuG|{#>ZV)sxX__#)cOD|}%pDb*!g{V#a? zm%9Z}i3})t8oKWQP0jy;w|}`?5cJQS5GtS&1x?%kgR&OD^Tmsx#J`f#vhu&+?O*N| z{Acj?FL(QwO@;W_j3r!nUN(fiX2F|mc#{Kfa-rr9ny`&S^z0J>XkxN>$G`@!RFMz= zZvnh1Tnd8j2>#vO|5yF%-R>PO``^tf5TN%VUHVuf$!&fzCfN%{~y#Xd=f$W%<>gK!&Wl(c;ys9e1L`hCu=cgBO0o=MKE zLeA6QccNB8(heL`0U}7^VH&hT7-JZ858)Rmo9hf`#zrLzIDF}Jg9iZrbCqfr_FgAn1 z3d9@C&qA+#@kZS6uMH!W4^BBmog=9;@z49mAruv&qZ;#ALpO zh?`prlV7{AQe5(_Jo~^!Nuy|s^ir0*4CNR~CtjMbGPqw|;A5qj(i1+G8ha$|N(>tefM15#6=msX3zYWGy%)_qZnaV~TCbP= zv9^iVE9HAcf%PNZ03~oyAmC@G{^VSbaCiQsx8dg_f#2c1j*Clo6CnJgCfnacWzxf+#zaX$fXX5f)e9+)nuymwOGapq_eY^P`2 z_zMVqt>brk=Cys&r>?w{k_)u)d9gMH-1H*cu^Rx+LTG+wuk@$9mjnl=GXBwF+MWQ9 zJ(M&n#p=S79`|v~c<+0{syHFN70Xls^hU9pkkB`vKz6;uJv=7sL~g4*`5`~C*i=dA z4~mUzP5Kw|?RqQxV8pi%qyDohj&4dblB@J^2yLTKn2t|_ubTc_Xu>c?Zxo-|;k{p7s!9+$4e zqTlZFx8_0c`ew)IQ*Ou<+q=?T^Mww*QxmMg6a#IcQA_eki&`9&kt_$^buioCh zc-ur51BuUBX2#A;yNkXw^~n*d+(33!m2l5-Dyiw=yfPI@|za%fQN8&w)(2IuUFu}EuXY}%yVU!7TJ27qK4&X~; zJ^iJ~5VhW81*(YY1yy+NGZ9v>Y&-cSn++jG_H=XauL_4dnu>Yb9e16BIWvgQ_ zt&cpya=d0oUx<<;4N7b&0ZCViJKcsaa6F& z5eDU*rYV>k%5i3%Q4C*~jzexAD{QI=q7@U?s~Vc?%awwX578;l0{ns0grb?ye!p1i zK=d4@2-(d1fNh$u?vXx7WpHd$b~5&OD99Y&^JS5`fc#0n*y(x_a|)?sD)s0HSR4@? zU0RQhRJmwh6|ukgytzYfOK~O?gv+3dr?RFd50azP;$4^xqIRYJjIYSAJydTcjrPy} zBG>teE>v6Tx()1WyArmnx;Li@wszp^+g87@F z+iNu@VseWpYT!i7N(V}Gh04;^8^|z&gbW2LbUXU9;}5d>vGW_IS3gY}rFa{cN(fH+59GI&$-mS%NB8CC!8{zQ3?~P{sPI)%!*Ww-1;|a#UaE=p5Y4rJ$b7XT3OP3L&~9t-k~D#D*S?s#0!GF}ACqxGB-)~wz(?8T?x z1*y`(*#zhef7rU3c&PRT54mbOirxU}?;p)~Rbs$%aWdhfekp)FeHmh$u zg$SE3DPB!HV_+X0dlhBXAX|BNa9j?&cE|YL9`HJ{v_3=+$JUC^N6N#A%l7A7sBhns zs`3~H0aKDDblzm4>bggHsryLtZVZFnk9?*a&bx~_Mp^K}0E{BMgpw7*yv$;*lQCMY zhnh3M=>5R^?v(|+a~$c-2q5=0HYlbI1zTO3+wvjvx8hfUK*~3ekchJ5H`MywX#YwueUQS})Sr0h z>i(Pq@1^%x_;}z;Fwv<*p~Yx3uVH2QL9?U6rUU`)D+Zo`Yo41j79v60C3y55Vd7av zyjkW&E_QnFX7Bxwmm@x;(=A_&y=3Ger6)*z5r-L##eEu2vs5QCakWv5a#V@u6s;{_ z?_#qX_(ugyhJ4s$8$8US|90Q3z-pv%gl`DDGU*%k4kCK%Z`VTyXz)f}f6}?Sze0HK ze{tOslNt1LZyA^AKG+T)`KuY z0zbfmPdq1W+*wY3wMog#bYLIXhJR@A>5TgR%h;p=#qmC12%d|UG2M?~6hTU?k$Y2% zyJE^{+u|rH{ow2Y?Go)h5F%;0+T|iPU8NF!cx5`w#bgJB}|3K}x<0d$(g)~D# z`h_Xoq74<4`66JM8}>_D;$sZDTkrGmJEC}oGK$o71zcCdPe`r6>ytLUj#-WF z0k(+c;Gy0K%b1tD==YOE^ycEG;$c!WP$;c2ig)tf)pwZr;=_oT)(iYh)KlWb&z%pf zC=ld4ltIW5xg`;5i(opzkHmlrSW5+OAYXKa-bW@Wzfg+L9L9j0#Jj5S^P}1oKO3gb zLz0NcSLlMY=18u(?r$Eq1L&v{8nMEn21s}Y2R4<=St?2&9kR{#iw|o0%ACQ-@rmNL5Z!Y!3Etx&oiOvI9-Z? zY^GloY&oriNN=7!harHAEJ`XX&b0GGvlQ8ty((D-l-q1({6TCUz3HU7%>qdRx9i4^7@(R`?!u9u$gW4_3bK&+92nmoLY2lelwBg?zvdN zpF=)jIkrYmKM+=drqA*7mL=gFnOM|%m6G4WH$Eq?WA4uu3ZJ~Tg)^vXnnA(mXdGOA zZfN1zxpHxfg3Eu5qN`stFn7+bYA_C_SFTB#7K!^NVcuyoTy?+9V_tpfaU)Vme*r_G zQZMTPrF4zM{L2hBT6B6e)3K0h42VEdmkk z+xSWhY$6F&b;kib4gJI(0^sHuad3V`Dq}Pc)Jl)gcuv}LyjMh}v~n*+?7NS#I6(`c zzHe~CN#Vd2e+QSnQ!T{{iz1<=TWo*)T@-pkRw2+dabafP{o~Iu8VRSSv13xsmx95W z?LGV#Hl9h1KbQBxF*YesHt?4{+@yJ+2m5pt^!@hU*q6-1JH%SE>KveOW{!4ei z#Po`J`_ARHkob)3K5|+G755%+OC;p+`Rl%bp&20$pEzewR@kotfx2H?rv#5^Ikckx z0GZ@D8n@eLhI=}q7TtsTG)!Wj>L+*3sd%ckpX$Hwp9YlgyhBSz7S^`tc!YdDTw_qs zGx3Vqge5`j;wd>0wgp8a6Nt{AGOB7C@To+soG2a(b#GkTLOJ0{rSerzfoS<=S$^1Npmag^0`x%xoePE+V;RJj?5BG zLpPLQLriP@j8Rjg8<>>evO^n?l=5|CcJcSh=E)_ulBR9_?*shT0U)8^JH67gyu!u~`o0}0 z83ZDyAr1OERJGQ{nFApPw*nBJ{J8$MI;>M0C--`=ce^U2fK|ZQGcvdP0*Q!5>A4qZ zo|VvXbP34Y!l2}iE@}I@NJ`GY%Ja&re0uj0pQ644@cUBOhQ@FDWqNT9kujP^uI%8E zh*P)3QnaZ%Qi*I_9wEB(|UB=Mo- z7}YZ)hstI&!5|P*($=wp$Mn=MX7WlzS=+U)Yx?jQi4Ur!gCuU}8&CrvDI$%Ck@eem za|8~Q1^nsnYtdn{>T9TA_H z)A`8wV@4^2{y&YV9b4PFLj&G1KSqy{qdrwmT-+!PL1@pc|gqRZIIfvd_(3vugTLi3MufX4jDPObRJ^B-F*fQ0NqQhU+f)Ld5_Cy^`yVB=ZAo%e*8Vtj}?Qb z6wI2scJBUQ^%fNihgU>HH+YwgOV~bo9Ywr;gH<~`F(apB@Zj_wpr#kswsP{_JVkvd z;G-Fwj`Jugy`ydbU!dW9;!b%W0(8qNOgerc5uvjvZgTF{2&$y4>*7qxJKVcPCD*of z8340N_Os%O8oHLmeA@Q41az9EbqzlkHZRG9-qUgN_>X}{Pvkv9st-sXXGTuFL+&Of zwqFZyD;Q!`xACie#3ZB)-r(yyhlElyL#DUlAyk*3yrP?GiYV`={}*d-0aeA*#`iY%{N(MaxwK`;eHTp?Se;Q|pHq z)CvyoKhOgWgSc^-AUJ} zZh5bp0jX8uDP>21E1O)h2Lm$#_DVh>!{4N3{x1ke#a|SOy7x~~m^}0VIn)=0uBpZ4 zjh_nM@@iV&-qp6qEUMl^C;;D81vMiJ-~{zlrtiD3T_SUvFbL1sJfj?wgA?sxA+o2zXb)%=SWju<% zew)K3mPyPgs&3vtCIv#Ww_8Vl|aJ&Svl+&;0-f7#yIGxFt!-fv5*l!78aT*jfP zOIktews~RmXw2(HOnxa1L(BZ~W|rtt-^5dDP(qMM=%*Jr!6qNCalhc}!XGiS??G%b zaT8PXG%T9&FG0#Xer4Y%9?>p_Cx!L*`>t(OlD!us9-PAvd=M6u)jbCYnb`$3E3PY{ zY(f*#3kDW(=zvo~nR{|c z`QgtOfNak#S?{U*1A758o6?`AsNL4yfrTxe3R&NCV?4GoIbea7i~x#-9iT> zF&T7VoT(7??ixZG6QY<9#)N?e&rA{Oe{{3KXZUGIXlTTt0t+0G*f+UxWbToGC(&<}=ZB=9v+3g^&p9 zW=To^UpSM190oMbQczH$DNQOr1fhm+2xwsZ!)U@i^ri?o0|OJdEGsK7FOOEvqC>$C zAw`=$p?(k!t!SLN2O*&OFVC#A^OFVyaWO>@S2UvP28(frq}o5`PgtH8On?U&O-MDO z0}3>Aa^a_TmiQfxp%nL?31BV7kKx8iI)XscE(LlV2JSl3?7|vj;Sh53_#t$>Xp<~tp3(kL$i1Pxx?*Ctp-lEJ zJkG!rQk`%y@eU61-LX$3R&;Q_@El|Y?qrDxvb79?Peg?VEW4fH* zL=W)65x(7BI%tkXgU;|=nA2gShUdf50G}Sw!PWrBhTu+)280~aoRH^42j3-rc*}=A zXOu67rWVSZ>7O2k?p`{2`UZx^P;Knxh31{n#IsM9J468e8xT|=d<}%91^FRJu<4mS z7kLWZ!J(mHVPWAA@`N`MIA{k)?NCAZ5b{Jr&lQzH_Cz(GJ~cEopYhH!rf5@VmMt=e za)8zS0gGCI%c38Gv9yE=Cs~GpzO$^aZ^6!WKFc42k}}H`p@wc)*o{yRu^46KhJyhF7919YMOM;a#~0ZuG*_YcWGULtOgH!y;rL z_ya9kQL(>&1d(PqEPQ&3fr*L9$%T$AIfU2mjP*w@!9)2QAoK|r!3G2qGTJBZWIO3Hv=Ftv4cC~c%ENF8ZLspGe`!&Icbb3a!*I?`cn{s9508%_YtG0NWDkYl7$%rd5FMn33mZ6>pwi8bc0|*n z9ky6)c-$>`Jwm_uVIVr`2%?*gVCg|CbOVCuKP7XZvvH&X3bNl+^PPpST}e+muP@w{L!09iFJVv3I;(kUW)EF^(%`q z+3gpwL|f>u={LxOSD4G|xY{y~af=K;s$GDBp z>prOx=|-W`rM|u+4X0Zsp}{q_&sAHhAAnzBG?a+j9?xQfPI%8rGk$awX(fwB61U$c zU&U2?mK-3udTZpJhGfoC_5#spK9xb|fIGoXQ*5jK1Hq}v{>W(HFUd0cfk43Cc+ zJ_X_i@>i#7B=M2vMKMXil&9G1kqvr6pPQcA)nkfmt;d1)J+`0kN!duct4vg!J#oQOg78d#>ICX)Pf+s0XCCiA=ub1#H2{_Ha zm6NAAgE{KlC2JWp<239zU`ovIozN61Eh@q1!~?Vfs$V=VyK4~}Yr8qrQAlO+!i?od zK$~4{J%57AuE{bu!lZpOv4{AT>9&oezf0wa_0VbUH4u@|GpHU_UFgaNm)>;WHfuw+ zSAVB64UXX6P6&E@#K)1g!S1?uS~XbU04#!v>~sSgNLVSx8al5hsJ(2i7`CM-;Z7(> ze${tktPKtm7#H4|XIOa>IB8hz-&#H`Hym{rygkvFRDX(+cq{-yS{B~_#&yq^6<^76 zr(x``$DreWJ{7ja`}*^ea}LzAEUv3@IuPlUBc|V?4}A2%uH*_6(65dscw>L zd8vx6J=!+Jp1xn=v8qT34pT=SPWiCmh15$iAj?zLHSOg*_w9Z^G<~b{P3GWUKlnKd z0n6aB#Br)lBZb@Mn4W`v^Kc9; zX52}RGY5(BwMJ#w9|j+E{;$z=I<^KHPvH0q zr^l3F&ep}+J*h`-Ip`H74gA1qO<7kkWe4^)%3Ds~We6O}A9U)L zsL|vVR5XLnV%MHFzBCreSwEk#5nJ>AJNdZ>hQ^W>hgYE`ag~T>2h0>GCU9im7s6xLWn?F*OA3`BrQ^Egh#P zuTlZkgH4K%OSm*kRK{)tFB+LJjK1;arFP6cU}R&xPehFeN{&cr#s{#PU*qvdxm5jj zW$|eCvdt4I$VVmzVoI>P0q*ZWPiNzR**9EHnrdHLw#XN#F!+hzGzW8gDTV35i4SuP z2_~4eW->xH!?HeurT$hIJS}q=OfZP|hM12`YyyDQml%KD1%>RsDkr0I0W}9jnY66S z+96npaW}}n4k-m@p*0U4oU<_UEZFtNHL)+(I!(v9#5Z~QB<=W=ETnQB=#t(0#pZaG;XPT> zYF&&+&z<&XF_L;EKeJ3Zg0w0Hc>o`W{eYHaGx7qwrfjCX%v5T!v6%$sUky@iR_PxPUyvf_<5z-Ivq<+gcBU zG{-O*?+g95B?D&Q6Q%gQ&@F7!tLv!K%H^r|yijQlicX0+iv4QkRa^WyaOcwnJ?GbQ zqT~Y-1@{*Ptn;>F3zHhFzkMwV+N66rW(dC8`PELut-m{%Re3^-jXTRpsnWyYbfHlF z`NX#fU79#hqbgKONfm5MqlJ2Ol~%!F>QZ_xijTBQZs_Q1)i=qjz-a$Sv!?0Zb#k%p zr(Hsz&baP1J{EEIq_zK~Y z3~tRqJNtM+{DGtQ-1)UaV06z+9$Q^CDoDH!?}_`R4RJGfM6B}U|Ks;SZKfWZAG)dwem zoQ9$-qmfdFWp`*1B1`T{M!8DSuda&s@IhidcgB&N@GC-F-j8oU1moGf%|U_AH|g;&^Oz^)`nf%-XkC#5WZt#6q!jVSbpOi1$)^u!7Duj zZs6+O{yhWCFqbF;{R4IEjK$!lIIGnoYwbSr%8XFS>pj5YMt0?g7e(`UmX7<`%xkO8 z@~(5~Ti*F2u|eKeKQ6ojjQa9SUtBq#_4epvXJgld7@dr~$*pgHZ12Y6fLl%A3@}A? zHWJcniC?gp7Hj^RY!ZoetXxsrqZO4oyzF6xvkp{d<9#^oLwnURltltK$0Aa=2hXd1 z5{Vw{qzk{2Z`==76FG{ssKz$uKObFb;5%t@e;7kbd7-pC4zp_QXEoPPK%Cd``{1If zbJ+NYfkmuEB7@#px)w|}uc$>u-1=OONRV+zSnFsJwBwHV?emQ}%~@v$X6Yr#w{l%^ z;&daDKX$n5R3sa-ONyz^OY{7pgZ2tnb z;J*{Le*s${B>dk9+rNM<`0s@6U%(dpcf$5BVEgwPK@c{7`XPe6Lu0M)(W?UVst~;@ zf~!;PQ~urQ6%5G23M@hv7XC~IcVe7!9Zs)dAZ6%3E1woZ{{O(Ra3Ur87nXk_ANlt? z_?mwzdx&KJ$BzI0_J4=M;_?22!fyOuKwr?yL@Fw6Yy1sCL)zNnoS@t$IRUXzJ z&8OBhk8a1h=3*%8ygmU~!;N)oRxy5uVX$92#EWhq`_k&y8ZpH1^bYC5MSoQtK&bpk zMa)c9D=BTRTHMI5X-nl(M!@5jH+H@x^BK61(}1^OGY90_#T)|b676wCX;W@*L%rWH z%{4lQN%k_XZwv!@WkT-tbjPnfBx@T_n0f_gGC8TT9CR~qhLyH8zCTR^k+Pms8j>G1 zgIn@2B(N9dQ=-FPa5;;xu~sF2x2Vt614(Xk8o|K@S0q$0)AxN8o$jZ8%{HL&BCFoX ziLj@G3M%fqzNI7gm|m1pX%g|sssBt^PrUV>DlV<|(aPjSAG%a-tOfO7Kwks%7Hn?Hg&Tb&n@1>pOs;O_sY-$K-celQj-nUUSQPBd<(8XUkK6Povje2>V_MMk<%|1IFp^ znM#x@nwTbPlh-Vo{3OmiN^lC1J32=Mi7h)h{1QOea6`!;P2

Hb4FBP|)EDXQ z*mYS)x)`Xrk*M2H6;aS#qJ1L0DsacD%p#I)P1Cnhp&M67liC;@()?DTa3`xmsc58h zY6tqR)TG=wu#BxyBS{otEfD7b#vyN0q8P_RuRkaJQu?qRxpk_lvDa0I`sT!&Ldrn>&V7hj`vwQBRBX`HZW>@^0kFo;=$o$4Q_5Jn8=RNJ0oFLd> zmf=#k@5hrr)s!#n#!}}BzBqfDoX%=V@1}Qu_SGMCFk?%~KFC$8Kl5g(*dn=YysA_l{RAWj&s0IEHvnDn>M`xu=e{^TzJbeK6WnH>0P`Aem`d23v=spk|cZKrG(g+v~R|?j`d)jkZ>~3S0hbyoP~tYH~P#dR|7heAF@y zzHSYA6$XT}e2h=7p&H_y`zy_lmbotBlMuvir|UDC+?OE%gE7^PY4wzu<3m0ds(E@S ze~lmJ`x6znkuBm-cNw+QgK@Dwt@ev=aCoM3s%WE{XRHR9E4z8?GyO`h7nlF+qXh|% zT8-^YI?THqZoU42&807HwdV5KxW4Y`)c%t4yH7PBv4lwVQZSvF$~B|JYlh1F72+7G zw4&<1CF*gkVr4-O0Owkzf!Kxppe3jH=#Oq5Qe)k;8CR*q9?ssFFs9^=ss>LY2g3W? z$ToMWpXRoo;|kmPP~RGHu2%S|3=@d3Tj~Rz2`!+~=gS2_emIq7L^zZW4k~f;mT3&R ziwrtHk+BB#QT!GfuFKPboc^1G0lR$(!Cv{_*9H5m4(e`&mIbc@s*z%T<8iu&5f7Qh z@h0vJXd8+NQ%RHDqQ9Jjo0#Dp3btN8@G^b*%t|~heTZM>w`r|cdxkL2W;nZH7=58q zFE-Hl(OT4}k+xmk#}Qm>-$4@PGX0FdxO;g~g7kyMR0bz_RKfpAeYr4NcCCY~I;_64 zr}pjZB~ooY>bO6=uLpk0gOJxv_|j}o2HspCI;VkAvoW0)xo2r)P#ZS}WQasEeL$A? z($^F6=~s$~UZI|##l0$Qc9B~`5eAQRyJLeiCGG;#Bvc^}=_ArF%w!#yDwn49@A>K+ z)h{zm>>5fi3B3z}VAAJj(q>;f4iz+heN#0_u-w^sD)s=c`4! zYtOAoJ4pjfa8ev}o+t&~pgeX;p9v3p$YwkIjH6&BlKIK28aJ*TAZ+QQ8|mf?f&`NB zk8g1+DnxwOcTV}x%pI`4ZLHp93#2!F=dj31u#rU@A3w*T=q_S2Hhi|9nMsVCv#bmG_#xHyb_~l;Z84g;kpK9=V#TstQ-fcz`nqi z_A`OHL5;M#%T@w7JLw1V3&l3Rrx?{N|>`%q=Yofz`YRg|~6`rlw3Jw2ydf$dxbnEkZ z5VaWPY{o-nICnZ&P8w-$hs3;GklrVsy+Sf5bg)A(1?pc_co9dajtLiBqzCo(KSzIy z(K=q+RA;Unsk>w%44qVdQWRyTa_joxq80}BQk|-H@c}BC#P+kOOq<=Al_{V$cB?bZ zI5aJ!H_u&ApOeqpgLZz_u{#8#e>{8t=etPo%3W`#JE=E3lfQ3{|NU0tTJ|xQYWL4m zRF^0Ije?prkk%QEJrw=1Q1}s>`aE@5@Rf&4jg4*M-;Astg)I$Ri-DG6YeG%7Tl!{< z#Kq<~Kd#>#*pI8G=jnKi8KmULQ!oRR5FfT5~kre_hX_essF>Eor?4NN4vx2fZ7`xi^gr!(hL>dNI~+<>vM$dJlv8MNh;zkrpS5V&px>{^TY| zs+eo#dKY;4RI4u$n}4cgmt)EEASj(LkUG~%(kDZ?f4gM7-H>yvRu4=0GD{hAVlIoer4y(+s18XR zqz}ot$MWoyG8R*;*m1Ue^N1i~<%{EDiWDJ8BxSp~G-_29Y9b)o?>E5z;zW^B>3uEM z48PqXew+Ah;PWmp?COOOkBN&Vt7I~dTow*bB+MQ2W_OO1jXI$^3(#;22#a4_;c3ckfzj{ZP<7P)I3T zvA_iQ))foFpCltvi5zpQ=&Yvr1T1N!MuW>z%eJcJGgl^UGwcfM<&5hHcc~@Fb>DD6c^L0JD_on@x6oqxkofATU%s7wnc} z^EaU^&#Cijnx5nEXd0PXKF8mN!g*-DB4izKXAacJ@MOL%?t~fNFUDgKh%2SkU|_Lx z3p5SRbBRmqT6%z;BcFlEm0yyN-qZ|j@8L^0y;?fJqmvKK+Pr$#{6)hYxT2ByHA2NZ zvf$SXm!z*DGwR9HT{DMfjzjR^iV=s*RiV8(U23?tRzP#$K>~C?Kon`#e6iV{l$d*TC9qmXb#% zF|V-m2$zCg$2bza?;1EHqi2;gaE>XdZ2XK6P~Y$f9G{Vtz565}D4kOX=oi&@PaKFV zwa<6W9kELJD*#;kiW%0*Mxn6ON#z)Nz!cRoSIsFdujtWv${d-|?*EkDzJNW3^qPKF z!5$u2GMJ=z;1luMz_OrmX@iu7gX_WbjMY7%dyaV}Ep0Qa!XAKJF|HDuMcr=ePu^f` z@ z()*H)6H!GiBeRD#*5}+43X4L13UMKik`9W zyXU#JfQe_?`;wZb6&C)xIqUd(#@4$RokPp&e`+MGAJZ`?tAG>@ZL{duu0woTl{cSO zpaG4B?dg{bKBfw`#UEx(=Mq8n_`wOWn%jwybl-W!Od?AulX62-vxA6omt zvamzj*FPA9JR+LbUQa(Ze&fjM`HYZxc!x$-f1OzW1305!b&f@C5ete+8@mw=iK}bPG*WfzI;mF{O(PEUtl1qvFf) zQooqE#3W@DgF(AeQhJWj1ynny3e}ivA?;+j-~_Ep(f4u&lvvJ(7SMmJZWUWv{axg` zYvG6P(M38TNlopj%)Chj9q*iqfnh*Or*Dz^T|oMVlKGQaVzW>}70dS!FyaZ!t{)yA z7L*4uZ#j6>+;c>(-;T{FS$WAP@zkT)uG7uxJwB<~qpx_6LGF@_zO#FD{Oj3W26@}M z<{nZ*6m+v@6OpqDNM85*2x^BWrsp?y?t3-uP`{3Z01@u_2t(s_cc0Y=a`q|I&oFB zS_vI80Y8xQw^4D;pmPuNl5=ou6A{0@wRb=;x1fT(Q+DN?{DbNtp_FmzbWpYz-Pp#( zFL5t@j{L53>1n&!>%c;ZJEm6_1IS-jw&+8X15HfF%j!v`7ZZWHf_>|*uij7Wkyzcp$Oi7#nRbgYhZJ_l=9T=`d-=nM{XhUA1Ygw)QnBt z1N(-+&#fa~;oBwwK?%jBpCLPWfMDT~Ul6cW#ZE8Ev+gra2>Z|94ts7f>?Z(Wm!sFx{PAv6Pl z>whR{t(_u9e(sY}%HK&WsvX!m#i199SltwGFRz^j$6PunmuF?|6RU^p66&?RJc`yY z@T5Z<2WSKoUrt|A1Xs4_S+-tey}Q=7r{)^b5?NaD_%NjV`^~o(tm#m1@V)^e&c3M) z9$|Is&zKa>-k)bK$?N&OsbAa2BXtant^9Nd@UF@|Pb{fo=QcF93k>Cxy{T*B+E7)~ z(jh9L{lF?X0<6$=f1kS`dKpEK?mLC*m7z~-N;Z@WXj zbKfC<7YrdeQAv$=hkt19J+yR6Z{0ouckkQ2E*qL(p=0rG8$ThU7M4;AdSBDIMSnr) znugokdNBP9n_KE;MMv-CqueEYtJ?EA`etd_19(JfBiMBgWgWn&u7}CF41+<%z@zQu zBlh5#8!5_1Y4Jusu6xfdGhT25SuNjiC~N`?%eywOsn|p&msNKyG4kDeXy^8vky%S8 z2o#O|KBscW?Pbdl#RCgxuZ;e$OH2yxRB|_;mo8xliid!xn1oLOYf7{%`dJz|it2`s zaIW7j#(ae8wF)6t)NxJ)t>cT^{HCsRckU-de%Tqvlz;4>k$plVrD|kaIjNy%3o<(< zaA~-1cn5?g6#qV_!RQY-<~1{lz`PIw*IP1X zk4q*uch3uJ>zN9?B- zli7n=LqJVXS_M6iOz=$bm)(1jwL%|{T~vDVD=s#E=XUalm|6hq1(jR;(XUd6Rs_VJ z1O&%dQ>z#kH+Ep%b7~yN=F%(#ReOg-B7y1k2N>FxVX+^oI=^dL{8++Na-rw0s44xd z1)}Jt-1G3+EUceYZ|q?o;ofp-z{C@|{>bBX$ybu4Uz9*r-eWFq(Wl3UN|{coq-4;_4BmfQX!eQbK-Z&DbyTtGeciMI#tMUfnO| z?fd@W%|Dpep2bfYS-r?;{&U^B(tKQtf=tr86}-TW6*X5%X1>xZgn7fNEWVP;=;v7; zc1A`P_&dTiYDi~7i{KfU3CT>Y+j=(kj!sU_kl=X&mw$*%1QMAIXGA9A;fG*Cv@9J# zywec?RiXcgLIVRs($D;vIWVnx9t=pDL1!rG8Dv)U0qvoM_wC^kSmY?0BO7Z#u+M-@ zNIU+;F{dCs2LnQx(~w7PK zLJ!9$u5Ph$@&7i`B8jl1Bs2q;42w=dpMPpPf}}Md0NO*LR}rSmeuFlMLO?Y;7g{~u z6?goDYIfuIz&JxSAwmdcqWxdL?V;VYhfqxm<+QNeV@PcwC)3Coq=}ruJxBv`9!+V& z?CvM2mPKk}4r7cS{FyU4};JB8<^Rn83sDr6Y(bdgDHN z_XD_P=7%6C_-UQ_c+JgW-EADsK*oRRX<_bk7_o5x>P6#TKsXiRsR^&p*d`Ry{sp5O z8jwa)1ZiqOkY-r*e~?WynTm$;V10W(_ph#QKxPxh_VzXf1f$Q`%{_=k9~}HXK||3H z{bNA~>okHOY-n1U9Tvxd-n!t2Ae;>dauJ?3BP8|Eso{BG#nEJ{h9-m@&xEy5Sqmv? zx-&)^&9|Wgt>`uKLUYn*j5JOlI)bJV2yns`P6#@lnj%OjM2682C*)Kiq#6^0wn}C~ z8WJjOOaDc3rXxs&DME9mB0Zy|(VE^*bxmh_*V#GrM?VkGj}SJ^ACQxVv1p1AKv){m z;%KPT4?%wVAz&39n>)YGpW)J!|HwSgAZa=}NQW~+ljpy&XbAf$Dx$)s5saW%xWYq& zohquTY7GdbIyy99z}joyc6N1jb#rqM3dV=Ps;^&2SaQ0V0Lm4~L3zOA(E3hjbAfF% zAJ*ZWDIEUtL$C`93k!>2%PB4?uk0Nf8Xg@Ro0vfNGT6UnmwzHSC`WYfA}~dQJWyVK z7zi;e4iMyBTPs)r~iv?h8j;8Xiyb)BX}zr9UY&Xfovq&?+gJ?l<9d$ctWNb z_85E~US3{47%fKFXIwM-6a>*1MhKpruqi?T9oA^38zQS>;*v1!i>v`8JWVm~-$$uX zK$iI-n%Rc;19Tff!@e=_^G2Sdn`$gPwK!P47p4g28Np2i8Bb_*ew~~OCl8?Xv()L( zodR};&aTg22Il5}u0g(YV*~by%}talWIR!t@S^O%^Y{gq-Dw0NhMfa;4;W~6`{6r$ zSf%6RKbVXFWlg~bgPj8!YSF%G*dKU=mDEtYS$tS%l(|I+x_L=P@^;KvPp zrD$v$8w#rp3|mWZ9{2^oKwZnaf)N_pB8D9i30rytf|NBNS;9y;N*JS}avDL9kLXoZ zI)V!uJDSw?LkOUg8s`6Ofy2S5E69Q=LbSLHf#ucJwe4TTWM}OL9jLFH4uS>`X+|qLB5GFL2qqImMqKt;CVGD+{6(}W_kapxsUL#5# zQ%Xw8q7ji^!feEV(sBfmhh6a6wTD3jN@#_ys-~%x)jV<=v6;tJLL5+1c#e+F&h8O$ zFP1R7&|d%@4d}anM=eHLT4;ksGwgd9Z{BqDkip3b@Eb5E7k=#(Hn%}gAA<3QC|yXk z_9MvD^r;|%KoAxWL8hlqEdYGUv`6Ph=6 zJ<`o3MM{}BKdIiD`nEUeUKK`k><*MEl@rKyoa za(AsaepQ}N0*5#Gl3mR@{q}92wO)Ml5suoJH!J46?(8b@gA<3V&C7n-#tR(sRlX#)}nD{cKDpvzF!7*0(jZa0vk1ko1 zh!IUZxsehYR;CpFJK3c(^mpV~-xDJwZ`bVgp(WnZa=1^;1U_IY~omwM<<19@yKBy``V>QDnF z!CiLv$K260Z@CKZmDDx%41L|)ef)eRI91M_EaiDC*hAfWhpD&uSVtg`gg0;lC$-hA zZr1*CfS=1$L2QFq9`FiM!XcTsq1qxC!Hsd}J)%nqEQfS=dPjia=dZXZ zJlk0t+ls-4gF@WuMJFP5rV6R=S!I%@|Cqb{QGv+#<;UH&=G2wOXTak;iJUi4#hgnxwTezMUNnzO zpP^)K(Rqv!zvA>`3>_e`OB;vXIN7DyzECXL7q>`|{LH-W=95=RJz-1ugPD$C)BmHi zv}BL+1c|m=0ozasx4_-acty|D$&?cfk7K;m+>^ z_xG2!0Ka3Xb^1tRipA$-_m>QOVlq7sM%|+C6f56e_~z$(SsVoWle24v2Rcwc9CXp$ zZ+t<%JrOYW@(%&s+=PDapO`GbH^)YhpRX(@uNP)ZL7=IuA+*YfeYGck@PllpRAD~4i*9LM`k|5zfY zOLnVEb9zDir>@0IAu@mvhl}6a>6ZXC(LHh^xq+;tjFlTh%C?ntV02z zn{(r%Id0G7i7!-Yr=k-$Twab=RA%~axA(0Gf#)sq3z#wAhuk8Hit0Tku;s}LEV;Z4 zSPOCV^4{-)zQT^K07Ia!lR+#UPC$9-MH`MD5icF3Jc|G3vB z`etWQk!kZaJ@q{6YXDdAan_OiBGc@ zp2oUiIRw)&6Pk1tRuCpt^xunQ;F(m`YQv->=_i%q|4ABArcTvGj*1)?f2!f^f4&+8iQnv}ejAzLRe@c7B%7^R24;u2gLQk6QA)Xr7(jFj zq~NhkS&}%=F*scFezSY2WOB~<&DsOH+x!P5nL;88xj@rp*vgZ5a3Q8IHzoG-blaK^ z7Z1P|J=bI}OKn_R`x6W*X~#2F<`?&8_0E&A_;oGTm)|8T!nM$Fk+1Sv63zrtn@#V$ zg`DRPyx!cRz4|6=M@GCN7$QV^Y+p+kZqvko@-RZb&)a##b*Ld!{f(u^&fj;lxV*&l z3$FfYIp`h)FlQ6VGfT>Q!`9amEQ{!aa|d4c{prigFycAQ>fqQA%mKPDWk;5tls?il zQ|9U1V%fL4;Fr^6Y{6h0Z@(zN@I?%;Vr9D-cD`%7+ioze%(|Wu%=3QQz=2KrfF_F7 z-=01gxb&7N3H2Nrb~Kp17-4**^GJP}>54K2rSncQ3(xtNT0n4$l~@)_-S7F#jiH?} z#(Gj=Ih^St+IU)dcO6ZieRd$Gt0Yrn`=@T~aIEn*wi^<(K6om*1PnMo2ry#Dzuxj0UcK9ODih@WI<>^xP6wqAzc%fC_Lf=8g(c z8r6=sA>{i?Zg_ep4P-vq*nc@o{&DY;Y0xaz{yQnoHqWw^=F$o^-Vu$_;8}1q?BMgr z{o$M5!`~6VBVKTUsnb726OK8-`G@^jOP^MN^r@_%w0Vf2U!1Aw%($vH&sDrzZGDHv zc>Iry?%2JO1_d*7k6FK!&Zc+Y%3Bk^lx67}&$c)b;?YDWPtU}HHw#{`?dn*3BrMK- z*ZtvvMbgvianG@$%>035-JZ9J*)I9O?3zAnY`whVP|I31}saTRBhyM!`oHUIC3iL@Px>Kk6dAzOKxTCK>Xb zAGptSfhT7Zxn|dJS?Mkq#DP?^Laha&}W;@x4ZZVWe64Nq% z|3jnSGRoUp+6wz2O&O9{yjU_ z+b2rfKq`USFtaE8WR*ipGo{M^wO<)1zaVRS)qm(&JrND-ol+sMU$egPn@(I<(O4b$ zS~pVnz=eeKA6>~R+2W|TS#6llQNAk|nNTL03)B&LfB#RFUnjVc%k^|bL4LELJB~^t z{ly>qggY$DfgeZSvvf)=g?ao0lnd&uzZhywoxO#ti5TxGr%~g-SA=UzuQ4py|?r6;qT zrREG8VE7|LGDlkKYH*H_t&siK%m4@Gx0nClDC||KzxLL@Z0TRL6c`%)wYUCdOaG#! z=#(&Lzh(sn1&91)OaCIaASpQoKCr@6FZ2uy*f1eogPrskCIZT&fAQfoF z6*=YLgup9&y}*bDU#rlpYc+aRgI?95SD(TVSvK)^=xC7dZKK zx2tHtquch0TJiAZtVWwG)_gx|mP@X`?yVV?Xqy2!&cz>>++!|qdwkax9hLAIDv2fd zsO3Gk&{B9!YWAi9aK0OJg=~;M8@WF)@SgZi{&_CrXT;c01slO?Vj)Ph4NUSX>BTZw zDbh`~KC_=nYRdQ0GVlifvDcsvjr%eTo`a}wP10kc8&gb0{Ty{p!`Rn*IrAct0@%Zb zUZ3kJa+L$WzrKygGNZ2PJk~wMU=47ib--St+yAJvP$Xi#U%B`e*eJc9m}@()W+io) zcs;O{bc@I%$Qd=H!-Kcb@ijbI5HKS7s4fBP$s!4!N@dy{8s8>X4e@EF+Y)+CxZhrJ zz&0DNN4>doyDX@JLS9Uww{&ehT4*|v;_u3NjtXHnm$aVbm70xWhgZ#Z) zIkFM53JxEvtPn87o+T5uxI4cZQYOey5nSsUr6WbN*L0Wec^siRkwPR8>8%<$V5oT~ zn{qY9J@~+%v*ppfb2m-zSDUrS-jHP#27AJZ53IpcLPuuD!i4-zdCu4RafzSE?+8CC zDZj8m@f;{ovp@PxGVs`?cS}%Y?A+mJ$Awkm8kT@Y+*Fcos`my!l7P>8Z9I3*$*YYk zZ1Vk!O2bvN27HutJ%`(<5B2yJ;2uAG>Rs;RyK0Z$oX?uJ5O`ka{(_2sjO?~`I_Bf6 z$>8yPoFA*Y-I{qGmhA_Q{d|cm$Mz;#jG$f0=^@shY z6nnSYOxH`ob(ya@Q*ru$g%qUgyt$Ce)rDW}TZDe4tJSYh-4|l6a>R3f3c4#|0NyFE zHa2WfU(<`!W17Z(TOhi5zv(?WLukM=LcQFN$ID>j-S_aFyBVp|U+zlQdUSr@nLFV6 zF_YW&Rz1X%WIL?{Oh0=^A*oPFcwHddURZ>$!4I#k{7>GwnIErJG6Rkb+Q88H%bZ`I z*JWhs-%6N??4OkrzKP!#HF{|eR3(XaK4Y(qnXw<(OCDRV0?FW0DKJ8$un%tsO2-^ z6Xs)`%zw0Aa#=<2&8pGcOS(6#^r=Dr609IucXu zCCtE_JU*A8q*zORT9=B)cT7Q!$?tL6386n54$U8&uKZSNTIf#LXMdr%$>oI^8m~4D zk|Q_oX2?*7hBH(7UL9f(_E&r~%E`*w?r&aYxRBCF4v5NPi|^}>5(SBRKH=)~kjQxH z(0{$AmabLG@`9oH;Tm9Q7hda$IF+$Bee`|DNQa-YyF`$R*V^;Bl0KK~Jd{HDw%J>a zsV&A%dY`!YO65Ui!86_*C#H#h$*C!8L>I+&s~P4Htuakac;tQ`bJ3di(8UQlP-&ed?f4 z;s_4U${8$4`U4TMcL29_N$O7Njff@t#qK+o@Js{_-xYGm!DkQd&YOH?e*1u)NLOuP z!&O=D!D#8ryg9;!&=7{7k$cq~V-KxI0z>QOM`%P zNh_&Higb4?Asq%Oh=d^0Ee(Q%gmgja$I|VUrT0(?X14@}de$@b)?QO#Jy2GAmp*UR_D7l; z_>wrKX9{xuYB)syt7)+`LXpO@nP~UxQH31oyi{1eI~Y5%i0j@t@YPjA?y7^a4kg-i1Qn} z&uFZAGW(awu<%n>EzztbQCT7tZ{^5h7kKHeeWN+C5trUeY+R{{y;r$X$LS#Qc19d$ zos5fCjuf~{GG}8gtX$GolcI{H^iwYRdg^4+fa)Mdc}8Hz@c|#O*uzYyJzi{7x9yOP zV??A9hNDi*+!p`>4Dx5D=D2VP?4@^P^n)J*lg}ybf20wlSu1q?GR%1Sn|@Jn;gfDD zC1{Qt0n=Ry0-6jSkKTBTRTxM29H!s=Iq)Og=8XSF4%*hBVq@g}6h(}6RPY)9`;*_P8v470v}+(h9V1-9@!elakrl0HVzOenAJ~o7 zb~+^Qo;6&$3wb#JhCspCt;^rex4LyEqPks0v>k|pdxZr|lY=^La?QNb0;pK?(-k7S zzeCPg!Yyp=_B_?>zxY0E31Qqsb15W{b_UmDEQTsQG>43cm90GHC2-!%^Im$k($xxwQ21a>sTdmg6^GP8I zmESdmTD5MyureBtc+)pHX8mkK75Jac@fY*yY^Z+<;C6d$hEe@C+o9maYuA_yn;%1G zukb)V{giq}p;p2P|LP#~Y$(itX#0zvq|a!%ir0>1&&dw>G7IkHa*cLLu%Nq}YVbcA zlN5rFvsrDNm4vYBzl6 zN$X17)40c*X-B?5uXM}`^SU=^_J17pQ_58S%f;_@GB46~0oMrn#QW9$z-EPJx5t^o z-D~=D>YrJa6#Bz-*+_wTNjby-HAmlO2td<0j!}00g`T0#d@}avb3TH0{M(jH{5E5o z&r7d)>H(0#+4r1XTyou2BHXq;xYOuE!s)6{??%yZKqdbf8R`hYRVq8W0heTL zyKaXsO7Ji|Gp&Cx<+mo)DyC}Dnqq7}} zS!~uc>=+)n13Xd=?aH>lnL{q#tRtkq(YWu%lzhRWiD{ZXBa(UO;R%v{9ir%a_mTo- zkFONMV)AYYo!`dS_@xu_YmUj7-*;u zjtO~#n!&lXKl%^N(I{?1xBXs<`$nZM=7YXW zhR(T{v|%$+_P)4n_mmxY<}m5n`$NJQ3y)H9 zD*ggf%-%OL_Tyg;+gf6YUvxY``(bE8%{FIscX0J(Sjq*z&*wU>o#meTu70w6_GRA& z01mJJ+scg_LRP`yRU3B|@;>*DEL;+JguTk@ncjU`D+V;QV`mgqjk|j(b3Um*j_p~Y zIe@i;MrigQtgYTuBfkbr%XNh~?>ftdTuuVEE zo8weaRoB+Hc@~+}GHvab^KOhtQ0cKp;IWjJ5it4qRZJ$WX>Ip_SEhYWSo%vRmqm2? zg^2w9(8iyzMk)|zSKMUKpQ=}mKBm8U>h2vjetKOcz6(Ry#v^4j$Tc0@x4JE3yeysm*it z#LVK}bHLu+x3GAETPzTp;pp^(!YFfUK};*v=afiM2dY_`2f-FeGp3g933X8BmaO|G zAz8jl%NIE_76u)$`i`4VzwiOepq4WX4yBw5a9dF1Mf2duH9=|Rh{SC+IW714rk{%d zesiGZvO~kETGYHi&F+}gv&t%}ZQguNEFh_B5t#4++>h}MTs~uxl4I|mCu7xkI6QZV zM$B##e}br=e^FX<3cn3KL6{_NFA4T+k@~#(V@vMRzbB2y>=zMRHB7}~^$aAW=1w0A zX?X_})`90)ojZp&T!RxUr(|j|u(jS+HK_vwpY|J~mX~xiUUz;j6;+HP*O+DE+{bBe_d&a!Z? z42=b?eai@(Ols0sB(h1JBl>rX8JMjioq7ijj?sma-mTCAiDf)uQAIUd$LzYU#kb$* z2sjLbM<)MV;OXZSbwQ(21Gk<}mMV41f@= zvK8W7&;g&ESy)pyw@XNF(f)OY_ce9hpl@atHK~1p zQOmz+ExUfKDj>`p%WrCFn}PMo_|HXtb#Ub4FDq{rhUO5Pt&@;O%RJ@%uF>i3k9D1g zo__g%@rePmgz@LTJzQoZtFV$&G-7&TE1!^fI_8_2PR<^_31y!^?-8kp_QS%?dv>0M zr?jP2a~RBzGUsJ9#f?6Xjp??SfVTZx&x*fH@3l^1@=B}NpW#b1E50~{<-uk)uloic zC4jEio16;z9RdcvV=aTYbcRVEnmT6xUJ_6X`3EQFw#))HhwS376kK;T3?5nqydB%& z?d%_&L!+0;8o<6Skdgll3}8KYSrMk!A^TarmA8xg@RHKR>0?X4f=GQcNm%;@K6p7! z&wjJmJ`cXRckBEyF41i@kH#%5YBgO;8>h_t5>Wqba$87L!|LRQM{?FzYR=NO;nm-A zQT4wuSdE|e_K$%%8}De;h@>LC&coEHJ{j}sb5$+>pbpM}B>f2bcx7#1I6?1Gt*IZL zp2IOrA*804{B9eMg;&AY)SK;T%l>tBU~2Ki=gTz-S$UQFCRUH_rgx4AxkN03vzq#T zuJ7S6SpfI+4|M}$1XOmx@9W!!|DdTlhNiNFZZY$kzyG|%W)%wVQGdEvW_MzK8FR#B z7+7iQaT=VA`IcYxBh|A;2|lz?kUnng7ItcVN}?WIge$M2^SGdATg~%h?f4EF29bpg zgn4hqCN>X`p5fDpNxS+53#&TR1_sAJcD&@oCeeOoUj$A+?}>OH+dAD=9WeCt3CmO1 zw4fBOe$dd?HiH`y3$bYLyt+Mp(e@=0R(2b|u)I=qrK}HA%aX=V3!09vrF=aB5zV;x zG<3Jr1EL;9#1sz>htobXVm<7gup1{%Ju(A!DljbVIuK?ApxgeR!Q#_cWOFOMSbdgKdjh|Kp@b};4`?V2n;g>fI>v5 zM8w9yC%AP5C;qoL6H;YJ4INj0v&VSFe&GWA-|$_A^{vfQ8V_5a`V?T5=$U32$w1 zQ|(V2rlbisGMV(EJO2S)y3G2uR6v6%l>mjA{QR5-@|frhFc|$2 zXizW647b8!hCr|)p}Cvz0oxn`fnfJXP;tQchpyx1=NHt36xx+eQwNrUhg=;5F(HWw zS#aC2Zp_out z3x%~cI9I+}C?Tx>Vum1qOxoARmW731zkVS#wQw8D&>*`40|{WRuFWA3h;{hvh8cne z31Dt*!5V?ENZ|g_DH$0B#e*`5G#Dt;oKwC#w&)}K6yN9PIG}LEzkr1Km}aXMO=6GDg+sz>4Y!ons*T4`Y)MB(AF(waj> zLGU44jvsDJ0B%eW-h|8$L=XTM5rJH)=oR`cA*;WL=F#R%47H;W^tQFNcXka4kBWt) zvy}}xa%?3OI2Jf9qPSqayaJtHCByBcz*{Q3rNQp>`gKNbej}9Awk#t2tb9<~+Jm1V zx~y!ld;10l*CEWg6Ks#z_rKC~A`an;j^OPW0;?W3;E004(bF>+7jXFu4Gqo{G!Wrr zVF`A$vJ5sLMDonHIeGZuvLrIJ;Z}4OQFZ-M5c)X?6a#|-OEe_TZC!R zgBlVV8hpP^86OUh*x00`lJbubR<@FFQ$$o-Dd(V|)j~`;2QKBoy{MlMujTt0v{?kP$09-$jE#Xsc?pvq>{2SQaualZ45fM*c49unzS?~Q25%Y5WWwgS!js|8ImlTs7mhGQUK1>-*@VD`oadE?`mGs)5IMx**hbdzg#Et1-zoxj zc_gW9vxYW4@$<+A@~EpA{qK7aX#R-z-8Rj5uwz5u8uuCj2{-pDqNzXwMM%g>8BNOQ zq3QeD@H&KL4#K7mwpbmC^`lkHFW2Ce!5$_jIJvmR#X-Mpy_Fac;w<$q%1&d=MX=-STh8-F?BHl+k7`vi4`B0^TtLd{TYBAZaG@CV&67* ziI3ti4pCSX#OB-~RH`FcSHw1Q#d&A$4_x1!OGj*>!598_|?ubdF{*8;~eS^D*mP-+k&-(kd$t{C7XRfGaLgcNjuAvj%KMieq9oV}z8pcQ* zK$h^0jt9g9ft2i>_a*Kz7Ra=FCd;D|w&qq-5nK|m+5w9->@rF^X@_U#(-Ei^7zWB0 z1k35;E)7bxE&`j|va=w$Cd7Ad-tm&9X6?~ZVZQnW$?nXuKTozv289*fI)yPn>B5^7 zA$0w@&3e;J?L8sZq*tSvc15SD*m`8o(jD3;uu^`*ckMu6bn<75bk%a(N#Oh)+2EY= zNbEk|`P|Cg=YWaKaZ|M{$GH*x@w;1D%F?zmGcpncBAXVgFU;HPyM=)72DiTGEBQa# zwpG8;Nhil=R2Ej-ms;~XWo7EWwxd1-)fR@ruMm&PEzfUq2{{!1kpPbr^~^0;>oXS| z$?o@QgMNBFviG-azP97n{alFYET-L#i0bveTNo#shVxT5`zP?UHc6Cqeo@=7X6cHG za?B;aUAzs1cUZo9itw*pyQ2cKgbbejy7{(N!6#pj(Im#En{7??jgS>yl-|>KvZHW` zReoH0woHxvARviaaia#)ly$P_`^v4DP~V^Wbz`VLw4m1{##m-}M18l?i|pfAhJlO? z8|H>UEq|KBWtUN_*9nmL8#FM{^lZ4yuw1Tu@Hsv&DRDG&ev3q<^Aar?-Iy8>co>KN z(e0s1p6QHyuFd0GTTPvkH;fxtXt!8XB)yCTfXjBvGzX7pk+aOx6R**uSk;mm@57Z7 zYH8I{<4RQg1OV`^iIh|_5v5W^Cz2c;CvGpwGX~MtU#mH=xBVix_XQ-~?Ua8>xSHaB z*2{fjv(ZQ%qpWvlfC?+_)jQ!}YurV!Zo&^CF+LuPnEq2=Mblzf?7Mf!TDnzlaw#=~ z3;zK4XMXi`FLNRLMNpDYN}rT7Ff9R} z^y%tYXU~m%byoCaLWm3+Kz`-Z0OHy2rnP_Rlu&6=gt!u@3dY`TlRSMx`QT}4b{q&B zWp!YsTXY<+d2Je@X2E!MGH<<#Nf^aYg_ zh3Eu!4QuY_3Du1bAka)JhH;*>Jcc^0MvvMoBt6n+ds&}&;Ty%4N?C_B4Jz0b76ZPJ za(s1aA>w&FJ^$gQPx6ZIe$WLT2Vli{b01uyNBC_f9coXpru?xwpza61zbE_qNNW9x zy6dU2GY(e%B3+zJK~0w=#JH6L%P>tDrsg(ZgTK zK?V3@Il5dojR}HuJCkEmul;x@eUIw(@!*Y?e(|}M_*O#DNq9IjyOzJ`FJCJ;<;@0ovoGH?b&;~*CJA@~(b>GrX zFzQdUP=sH5Ut$XaJ<72&=OHOQi`#a6Z@|~Xs;EoML>i3E9PWbvOST>OedeGWo7XWSvp|%9v!9&yy-YbkN-7-H)a zM}ZF#-KRfbp=d8U4L6}*KV26lE3rJgdu>ttZA%in!S_a^rOCJz@KWcD@{4q*5f=9Q z!&wqj%DJz!ey0#w1B=Cv`u`-c=b8HKbTNVw7BL0n5_mV7tCL&r0=18!WaR!KZlh#JlE0)uKqSS&C)Hk9T4m- zYV$1aQ`k$>Hv##DAk0P&lZa#{L)}*#1Gk2SWno9p_w}=Pi!JPZ(y|@C04~QqAp#U)lTkXlB%3#?6++72bD`J0BO>v zN-mh(F+XUQ$p5I^#b}Aq*nJ!of8I0neW}Ur*%<*u_~*;N6~^lE5nmT=^ba*mnpgU&!pxwwvGIS4)6PHvnN?v0LOtT z=Zeq+A7S;tr=z%bQ!^ha3t@RWo)S?SKw?OLAp{5A- z`j)!#5`)vo)JEXzrr+q4=wea!LV3#YV#=|FjdfL7(@E9@swD1gxgq`lszBk=CnDc{AK3I*#AHE z`ae~z|6-*7Ql#L2$4LLBNWuS(k^W250+=+d2(#i;)$YS2)CXF~uRin*4F9ER0W5N3 z13#lUzydcgb=n1fj`Dc!`Ql%i7X0rx?Y}fF_}_8be`#9qzvHz3(zO46V1NSH#yMgH zq6zt#q8WMDg1l=*-nAj`+Tq>oC3hkGJc8&%KGB7|>qg%7%!R;D?f-5ImYF-NSEOJCV-u_iizg$9~J<(;Yw+b-El6W?6P20`8HYzxLW{q6hk_&Mj_0I~s; zYR4~2Hb$&&q^z^WXYu8cUK#Y+BE+bthi>n1qrtqw08{NFvf)xnae^r~XO)L3>V)D1K-@S}ap|$36OG|mrem@QY4Jc7ed0CUw z?mERc{S#;E9-zz_6I_n!87X4vb2Yx21fSOocOHAT)j!?ZM#(p#Io#tL<9*yKDqxm{ z@%$#gh#+{G7vJhjD14fwW{E(>h*$~DbFg~%zG(F2d5{oaQLO`*X5MkW*JjVbn_qUc zl*>HKr#0Zi<)wtx+FFNUB$zq9H7AIA;F+|?Ho!e%Hzblt<>hwS56aq)piTyTP@ z?~8JJla~*1dlp$g1U?@OpHH0TO4_TXjXGNZttBT#0 zYw1_5H9y!fQasAAlVqb=yPnba*K(!{;I7kVQABjI<#<$aaFNyLdL499aioWSJre7h&YGiW%mOGP!$YQJ(^|hb0yQVZ zKbc)B9YvSQnUUVm$yS%^S2_aME7T0UKgzpvn<$R?Cv0>JEa8=A85J%?+LxitHg_C@ zI8n6_`co?tB98(;5vxOf;vYq`{OJPo6HS4hR816mVDA;*pZ8-H`vtz$N=6p?=77}) zJL=Z!kNX~mbz*JH;oyV6p2v%fE%Yzu3eINoHaa=i^)TA)v`?cv3l8_L-C+b+VXIxO z&f-A^)(k34uD&18T~yy(@9K(6CrQXD8@7hIrEUm$l2EF2Ka`VO)8dY)OizG#^?ubt zstE!4DNE8z5U?E;oC$fNw3@l8RhIM+|OQ3Es9r;fF%ex^X zMP`rMuST~0F81kZp20`=&{b?#qi8|G?Wo#Y4WlU!p5SDQWhV^tkG``iNouEPNUq6S z+kyL#oSsxBbvD+k^5b;MIxZ_Zr-!%BS8MSFn#?eZp{yPeFsZjYJy>=SNWEknfdwNh z8~-R}^755cS@vVgQ#UI`fC+7eCVb*?+8=@PbSC3I4Vzl-l@U}sE;quBcmnU(3;~tx zTY(P`lF24%ct)^u#x@G9g=A&Q%N{pLzon7RrxgIzo)lBn*h8w%q~$|8gv_HK)c@YD zW-+k~7GtbaQB@ZKaf9(G_V~MN&;2#}TPT^DN0MgPo^s1zqmI-r%`z|i1U#=FVq3|k zQFhI~(M+&=n_t6q8G5~cw_>f-yOeQgTNltuWPq|iq<;F&?dK3WO>Vx%p%H_lEV?#d0(Uyp9-=8RZ3YGpR(7V&A-+y zq!x+e{^E}7kxlR9K_-b=`k9p3MEDFYfO979q{} zCuu;7W#NUu*0l)i!r7u!18Kt8YiUxY;;3%+ZyxNEt)zH>>(@QSE=JfKycEP{obJ=d z7zy8IJ3k%z6JuR-ZkU^O12AB8@(JE7evZIFbJoY2F!SsK1S$dZ>O7lEq)Bv#Ouz>9 zAaV-TZF;6MI)0^lq#YCYv*^~Q>Z5D8g z`XXhMPk4UW2q#43 zyh!v8)#G26#8oopY>Ilf_@3`$E70BymqodGcZ%PBa)2;C2315dN+EgL_<>D+isJE0 zg9iYIJt4f}=SQYI`u94OpSldIKlWH5d}G?aTzcw}^{lm&R{W}XYl7_&o=e0m=b_vNoO#{Q`si7lT!5o>t z(hfCQ(}OU{rqWH2$7a{IddE>d;FrkFneXJB!w=8#L#^;AqkZM`+TN`e0h)XL!@f-s zbRE5n5llKaWV*i+&V1H2%IB7$#>w_b7Y2EW@+$%{dK0rpmBR()QM-mOM}KZfNIns? z`#`X4J}(HS1|4eM*Ghh0bWdM+4eyn0UHb1ebS1WvHjKyw+fVI+Jy(*gng=3B;%jy4tsDjbWh#SjT!{E$TCZG07o(11+?A&G7;)^HlvAjCLlg83|U&Y{dQr(&tYLX z3TArB84K12+g%HY?28!a>npDRF>TwTBvTW5&1l5$WDNT6YP239YT6E315r42UkaOb z$%L(0t?-WE)>C9pd}#v|&wMMg+l#kcMHV>lH+O&aKOB~@ZA?&?%zDhM;?$oH(z!8& zFpfS`k#KS(P90zTtfn%e5awp-GO{!$j68ho3mU_v*LXga##ndVF-o0Ld06q=y>#DK zLq(s>IfbFW9~)e1Qd%n!M0?T?Xpz1Q2&YW3@LLq9{G_d6n75=@Ws+uPJDN?Z~Gdq;&q|>*Y3Fx)lDvbeD3qG zzZn>s8esN15g#^-wQlgypJ)8K^&|DxQV5$Q$G%XG!>37G3Hv~4nxVbidgEi2T;Yy7HHttV=uKqu(_mNw6;o2lr|(bOU9F>CRD}+ zSeLV>^2=7b0}=DfdR&1#3qu=g>@q2tpXgE>ipzr8z(_=beN z^3=jEWb(_Mx`!$aJoM}rho4?2i7}fBe|)0=bn{sA*NfR+eUvDg{aAV&;5(*}MK+O) zhf((=WvD~*6mER3L$Ahw-rOkeP4 z#OCw>JtZP;e)N#yNn&2W9-S0fEPMK6x!p&d9~Hl?ntn-i1~P|7#T$=IakV$r%VLxQ z>RpJ1h$wr)(0%X5$-F03B>p8^m{0D9G{5Bc-b~8 zrl5SxUBzZJNF9=So{Q;) z#?8>Wd~O({V+ned_r9e!p=V(g4f~!;Ovx&sVbinmNhdVIUj#->C%S)X@Bazbwxo4uNm^&JOo&`OdRT34+xzEe$EUC zQio-46Or&Ks7J>){l=nyTRRTC>pOdvayolZo+anvW%V~=;5ZJ=Tq7pS|Ec_oM!I?s z%$?%%h)6qmPi^m_0k*qR4lZGDi@z-&om@m0SM>hc0u&P36^$oPN2kjd@zm(QbA~6s zwpJmTZHz>rQ)Jv^kp_|DW~py3(eCv9L9vg|pg3iqvfV3Ox@H|;Jo(V9Xq33Ls8pXi zs)K^no8{?S4iI+u`tQ_VqaAUQ5&{zR_^zb0If;g)8tNM2 z@Q-%;`EW1)fw*n(=0-)qAl4nduKCD*Z2CaG zJYx)>x6j_5tnf=dNXi=(1DxUtHeZj5%QMJwC^{F816z*xj2}%nx?-w#yliJQvIomw z@~;I*E~~V7VcMT4IdUd#S4tRmarjF(1PXlFe#HqGqQ^Pq+#=XRW5w)tc&Kl%{bDV? z?U34xw{Wh%dy4iHY>-JQ1QyjP*nbc)`htFo->+U^+}g(DD?Y}Nu);a6JzzV&Ms(+C zEJk3_1ck%<;v42MtGoD&uH9rM^Sh^b=j@=gS=_HZWQxqFEVL)RpWc7^!5JGxyM!N| z?pekg>2D7J$MpsLHgW4N@Dgk;TRl2I=d7GX3Q9rb)L>%1Bepx$qu>q=}|k0Hn5vsZSIwW(^d zXs-^&%lxV`GgPA~@6`>8t=fz^NzGy3(tiJ#&d9beJjJ^Zv}3tek4-a5X}ft-m%Dm# zmuNWOn#x+H!6S4@K^OR}38L0yZ3v$kZy_k}2-J-nw_OrEaapf*G$=5_?U`IT*wPt!Ie94$E4o|X0t$Gj|G zEM*-zWVxpm<67DY2<0vqc<2)d*caIbrhpp>a;7U(?na1RVDw9fo@rw?T?QH zj|uMXTz(_J(ZFPfFRoO3S9xm3aP75=<2x|6BdF3gGfAJce0r&zX%ipunSk6m;3vOH zx7ki-Xo3&8$sTR=gC}QVzF0;%CSEn-6BP?*R4KlQ`L#W{e)RNu;3k&*`(_AT(Uj}# z=BkI&3~I>Z4PGxYlbD|K>n`ipRKcg(wzIh8>qJhb3 zmCHcW`6Tr;gTpc(gTF%0C@KGMfa!DlM8o)!BNV<|JU$aJ_{{AGVNz*C(WFqrURK}e z8!G3&KJ@A)-Jj_t7PblA1NuvG3tt24iid<&{j+FjKP?y5rQHYG^!4q6O-y){n-Zmh$C1c|0a9Kw5fn4cb`gqMEI5SVixci%^ zXw1H-vVwmJ}|Q$)3lH@ol9Eofq?@ zMfDmzeVe?(H2hunwTI`VA_d>$foHkoK4}#b9)x$K(O(i-a_HO39!`14YgesnBr<;vy<)(vko1u!xchR&)*<(VEh1->N`dC~U(lRP0p5;P zg>2xN=54#41#hxtO}Alv{Z>9zDgVe%geqNmQ5;l$JAN|vsQ1?n7rIzs9tLA2!{?dL zf_EQbN;;fAC8F^JJkt@_U$i{mBn=hN-Pz=|{Y^e|YbEmw7X9&E?$Nk3O{g3nVOuC-1axUBE zVjBYH#DCcb*>+QeW(`d%yK$%YT!82A`CT|`B^+E2vH3W`%OWeEOBxYODoaM6=bgKe zAH}yn8r4g`wtSqrt`S$t25?sRakSD%ajrGIuGSpVpjn74VNMNb_dDWh*DqvF4FU1P zY{}i6Vg|7;Rg=eLM-ob5+=PwtBNtjjW^Pl0a}B^QX<(P&FFm(W`mHA)d$Ha|-X2f< z(p8NvplMIVdWt~+xE{L3o+tS|-pKWTP3?kGb{796vC|~^DD{GnSB^%~0ARWE6muNh zE?y!L4CVb-1vjLhXm0~pT*M3alb)G$gCMrv_Q?;UZu z{4F8(AXz!9$hw{hE)h+u=K(tiKxy_kEcP&RSg)XAV1jEdcnj4k^|!)xwouHqzDj6lEKo?NQ$g;W^Nv7yoAb2G^Fz~ z2Z4S?TtYr-JqH4pNIYs2@~n`!gv=#;b_dpCzcPU$6`&^<6cn(o`St5J%n;;Mu#!8n zlsgnz(9zK|vaqs2`|K5S316mchD0tQ<)@0gQ-hE0!)Mi-5NJsIr$2%K5~sR`M$bKA zAjwO(zt9*6;Y(!v!eJiXnE+_<$(4wT2 zxurE+Lb11Zfal>q)bD@LM1TZ;(_X($uWf;dS9|B^-v0jn;o;E{(jW@~t}}3cj)Ka> z%Fc0{_lnPgI#i?q5-!e|n3x7fM8+YK%)W!qpFcyVBcuY6_-td-3ast-`vw)%kitMx zu(N7!;gUTv}RIj>J*TsQ_g0ej;JiIcVqohrop{ zULqohmP$wdzMV*9kPJzNLnM52cW>|D=#+#4Iml?{5f@ZQ#u>?O zGBQH28@fcfl~v$Cf~$DXJuU4~e0(jg4Pz; zD2q#0cKDmyJJ1A6Mn+CaCn0G;3}j`I#PpRn8nU2T&s^NHks?TGb#z@hgdwun+xx9={Kw((pYu!f zE9)sF&dHz$_#YOLkMH3_$i@aC$#Ls@;2%XR963oZGwNUmhyA+)NeyXndiR{<#i!#WBLI4`F&u;yL z$P@vp@d+f`4`tJL;E6+;TK}FJ7#JBngb|R4hkRSu-mqUW_yjiss4bnoVpZYfu_Ot3 zYpB?UcIYc=6gdriq3!~9MlwoDB%ckj?B~y~>UWO+nB)dmc3#-wlG9$NXJ(!t{n9WXT79W& zY-aYg5x~ZQSTo#BIBa~8<2xuQBxG)G0ZG&^F0HHpDOq?<6%`fXzP^Q6>nSEYZ!qBW zhldZn*|yYhofn=s2S<34kgRuK|G)r5nz^{3lsY;f4#dYNCT<~f4(@AcJb-J(u)VLm z%2icxpJC@gAt!(225z`O)D}q!Bd76^?+|*2)kg(IMd3iXasz`5WI)#vJOIdrUtAzi z9>U^u78YOOScY;X3IL!0i3wuWtVohLI3xtFFo%UL!q#3{Lv~6a<@}d&# zoK_+{=z>M^@kmA(UWjN#%^ZQ0%73KaPn@9dJ3T!+_stvF^N=$))N~IG0azJz{^S%2 zhLH0VE;|Po4-YR=94sUx4)?|}9_xxGhlv^?n`lW;C2cheUgx$VW!U{YzkefVYNYl0 zjDdyYHjm~5m?NR13!t%DU*FKg$~^!|rXnEmUky#uaC(N9*~T__b;Vp+-Q3#QAv5Ph zg*bLt0fPE*CJ`LKa0y;TRTXm9Fy#P#12|3=5D*fLR8SSeUR?319@&JGlaDS?X6GKA z0eC)_vEUVpfS8m5IsG7K=qvhPR#p}+(?7v+aq)PO0Gl{FC#WB-aPY^4^$Z#+lulU= z04!`=JbcLf^74y_h$2&0%*~<47EZ=+{<2F~Gd8R;vAfixDw(aXWX#jB&MYY68T2(rVogb$NHqL3(ieB!I*q6jjq&tJYS zu0VCR%}>J3t>32<)YNqHkS>P?Y&DxF0BXOHX(n3FpTF=%c3DVUmmo(jM8zwore^1` zR$=$Vhg$fsU3??}PD)NGE~B7i>tOyD4PKa?f)0sb1_N@g_Jx*aISX|tvDj4esH#-+-?n3;7`|l!o zh2L{K2*lpp9Ry;3P6;L@%t1ona84SgCCuG~c?oke2*k0A(@x+15coIfjieIuYLH>aa0igmM1Ojai3xPnN!$u%5kVFOM96kbpHAjR% zV9#AcAaEdCkHAGf#6$isKJxFiYsiNLbC?JO;oJ=bf@qEafgnb1g=FqJ0zr!WJu>9q zVB~WoP#lf?J<2&zsE(c!LpT%7F{8lp#_|XR^&BfqKA4k)0%_!N&>?pS%*i1T^mAGW z1jC#!0^$8Xn2aJQ`1flyyIITkY4x6B8{ts1+MDNLlI?G9*}iss!swKCO$m^O8J&~0 zJ-0rXxl`?({D4l(GqczD&3{&Z zN56LWiCfz>BXCw%&d2X@BQ|b4l%>e;_N)0-uM(U_i&c-tx)U_}D0SdP`>@DU(6Q&> zmFI_(NQND?8XXg($azfvCojm)cS`(#_g^*<+*nq%NKgK+ixiI6SpP1n6qH(43QaUj z#&>uUfm2kbuO;h>;uT(L7#w`szLX$fzeb$g>Q`F8Of~0EH=s+<#~Io5RsQu0(OK#z ze;aeiOq6Q+@k}&B#S(8&PU!=Es}h!nrgC_wY&j8rC|VSh?4@?IdviVU?bV`{0ix01 zdz_tDH9zSl9Y&$wbIIn9sf}8^8v0blo_5oMrY|+f0HuFMEf1UhL0k0<9S4Sax?HmB zSkSlTl+Fs0C7%Zt#^A|aRIv|O&&{)>bYJsKmB;#6?e&>qy2=@tZGXodl@12qBqY83 zrUgN#FuJ$=;QBCacTv|qd;Tt68md%bHiJ57tu(+QO}XCp%f~bqk5KDf72WdU=rM^= zsyy|i1HTFmxZ6Ji%;%iWZ~|kEd$K5Q#}bM$BuZN&c8Rh!tN4x#L420ogaxnYrQqA6 zB1Xp8ns&@4<*~X0!3z&nU)sO}DR9AJJm}>p6Z&TP$9&lKSJE4=BK2>4{;qO9X6#BK z`{En8PH3B*a9I2O$7f&S%GauTSlKcU6|m}3wnhmVbU*vl17|wF&|R;)45u4{S5$tm+IV~$W3T2a} zm`l)dqiclpFV$d5H6U@JJs7d07cz^AJ`rFTyR6BuAGs$=OvK+neDjWF?gFUnX|5%( zaIi4J_=Gc*)oV98^EJetKvPB(!_bw~^A9y>ET5m^0sf+Ao`1RSn8Y@luv>=A4$L$Q zGHg%85Ifj{0q?PRoVS!5t!UG{k375v_})~yya=D#C~IJBEw zS3W|yb+bsrvPC-$0mY@ z6YO^2!b)=SuJhw2;+N-b!XHi;c#S&rbY;EY1iWoz-umbl2C~Hkqkn1G$=o`Wl73bi zuQY{&MH9}gL(NT(=R+k}+YkJBi4}BnwH}Sv;Y--(tMNBsVt8(_ytU)Z8I2TK+t>$v z_tBP&F$$kuFuY5$uk>_Q;z+o6LQ*P^=;9s4yBI|P`3Pz3bk$fLVznXu@c}P!3;PHu zqVKO-dbaWnwF zr|3#{@G=s-h_-6WJGxiig)=&u5f-pD?kUZk^`nOkW9)VK;Qt}*EugCS`bYgkOAC?` z8)*>fMj8nT=|+%F=|)02q)WP`8$_hLTN>#G1wo{_gYSFq_x=CYyY5>5bw6v(p2Jzr zoS8YZ&$#z~KF>xG7zOo&2TzvmKjoSw%{SPpQfAUhFH-ZD6Tw!`NIRc#yDb3q8h%mt zL~wW;iB7!^zje|tIYextrpFSyZS>Hf>Y*dZ7S8z6QA{87U_o{}ZD@Hm46ZJx^wgzI zaeyfAs6Pe?FgQI#Q?m&Cy?R)Wy>f9=6zv2z;%!b7#ORB~rP^Bk70fJOGo?TOZX(ga z7&Mr;5yaeLvgRj>aO2@w;zNFue+zyGeMNisg==~IT$pyXZtEMI&DShG#DmUpMOSY6 zG@IB*YnU4_91P0IuYyYl{yim6cyv2$T=7LMn~LzfTRCvmWrCBbvm z=Whj9j7^z8du1#m^>}N`#U=klk8i2~1Ix>o{J7wJZ0~J{m%z{u)MURcWJAwHdUe(&=~9kfSUmVJCxs#B;B=z+H47CYh>84-3ty57chkB2MWB85pt(%4gC zZF9B8SC{|+erIhrg8T*1z>0@}^uVJS0uuF+kRTJSvZH1?xc(ln@2^(+ImMBmPhGuU z(1$2RtHI-4u=TQ>f*2K2mAu(^BV=) z65!+c!`{k?MH~7m9o5%}8u@U0{%G5=lYuX$hHfZqi*bS7LptTGGF5yjdFS7<&xsvh zntn4`-)j?8UBvBZT(Q*zRMS-YOTXNrcR1$iyrcbWsFU8wr^&Ijn}+5QK|SL%Kwcq< zDsWmTDKo5ru|!C1&U z=N$_JRU08oB0Qr4k!(K+E6exF9vWT%RV*~Nd^!EOMHCy=f(9B5+Kz&nC}+iRlJ>VY)5N0m7zCWZA8Ya=~5_sB)+k)N#>+iAGb=!~r;<*&t}AB9@&bf6?ekWJDsy6*u)_JJuIpsP>~|mxahEFrlAk zV2+gNk~EEvX^Qk`bDCPb2oEwM6%a8fYkK6|3qF$NGhni8TjEDQ>BY1x`jzJ07Nxil zBjJb|QFY=w75YY5yv7(sCQ5ASZS%2~sW4_cT|Vm%bBotjN+I2V!FbbBB^L@H$KmC)U4HxJeDZwV07@F&0P1@VULla+}S4v z1%HtD(#RfHujW0#&U`^{$U{I@I!Aprwl`L!VeR0LiFVh>`4I8>Y}ncU{~Zy(?^T3a zr%(?X)GhE|491}1KKC5z@`4(oP@gZHwVPP!|Kpwi4;%i!{}}my79)?`;bZ*Ar~HJ2y4?TMi;N64^6;RR;SZ=e zM+FbXfBfVBzh2}B>Pr?O%izzxnOy5GbDuDJ9it3_YMK|Q(yP8)hPu^)wDq2TuM?_E zelK}HzQot9-T8dn8%y=_pD)v_ZM}0@z|bnwt0mX~9rWLN+ox`Q7gh0($k9st+Q#@~ z2dfI;^#B6lr`kLMk#6XzAGSx1)!bJ&w4{Q|E`p*lqh zP^NiZ0sA5T2Z6?m z6Ss32)U&t8=#tWt2pfRKj$q4-x7xi-(R^^47%4vBQjo;ntyViw$kAdVos(jy|C(3r9FSt*O_a6GSA!_JPedD=J3RzJTzPZ zvGHeczbtujp9{Dbet&?iqbxQ-LDv)~S?CFW-?#Ow>Z0|Oj-0@Kp>xWMlQb?t|=~MY)i8x?X zF``G^QPbzj!<4lW$b;0ftv`gnsVU1p5m@_rL+czUP6#Gk^0)Om<|4+)ipOw%F6}Z! z3}Nx{&<-Q{LV$`5)Q&So&|eENZ6Ax;g4Ubgd7&N{7pX}0O)1E1-Z^(YlAjnjdJBiL5 z>|Rj#FmnvTrbbt*8TLaP(&W+FudzCo21K4tu=w68fEYQ3R4ZE$G<=oD`|ws?^I-}> z6@Te|o{|Gx@Y%zC3(;_BkU#7#h&5rp`EVRco6|F0G2Cj0^yg^1FYc!g@fD#%rBgw* z7tmK3_gkx<)=Q&|SGz^eg%gn~Q{=s3d3@Sr)^n<;Z4C~@NULT#u&5c4{EyAyX6>jS zR_T3N-j`IW$E}#itHuGWhH{F(UQsYqFv-)~2$ZmdcT2GcV<4;>--^^JWNKr9>vmVP zv{yQC=Y#P^SE$vdyX?|0jFP=Jy*Zp%s0He@ftn+roNFM&m8Vk6(zyThGy%Jv$S_X$#=vPRQtkOO_{*? z&-Oll%jyfy<2mZGA2-gIc$zc$l==PXFZ}ffh*sPIt}2@$GP%D{ejvwZM5}7I)9iAN zOYiUE&)Whst*JtpL8We|eP(|u_w%BW!rhkggfH**G9|&hx<|3?RhLn_%yWJ(ids^kkj!v#LlsT) zG>vbC;n$BqeB zG$$ZnFMev*g0tlRq98>ip^yZg90lo7NK&3y&zeTw;JFrnC9h9tvqy!{S8;x_!0UtM zo0`;aUeuq}<4C5p3cjQOj2nu1=Vl>tG1~gL;&V?H>&oAb>V*^PniglB6)le(f}Xsn ziUuczo+3E8k%VWtvmA=P>Do-CBN>H%j3#RD@_-V5XcpnZsAM#<2yK$hTdF8MG4$^` zVpvP%1JcwVDo?;#1utKO{+gcq|uByyD<;x5MD3C08AR{HGv`dd%m| zOXY&qIl^ZwixUoFa=`@q7#yOvMEor&;Qg_=%U7!WG@^*^va#xO5Y=@_@BywE&soPL z(0F?x5>R&RL}6lU=?EaJ2tP(sP3*1vqasC&PDXWFYlAfewjpNGt55{Oh?E$P1!mwa z?p`k#w&Ruwc7@$sL<;f?T>`aA%wa)|2#yA>e$=$NelLNvK2M?)3g)NSQFP@}DfiR68Aqj1`rmDBaDeKo5-mF+susL6nHqF&$N zYTJaEM*40W+oH|R=iXH|VH{hZvA&k6Dz2mfsigP*o~)bVomD+%Zi_QXk>xI0x8uuk z_H$KtE|PqRV1%6i7wY@9D%n32jO&=)O34U(zw_`eXKzu;=u9&xZ2*%>q0*G7&0&o& z+>5tyO4T$#rO-0C5g~f30OvarJ8}>h2hjbiV)5Rn2YBLaQ|cjqOoaaptUR ztAtv%=I;!ta66g~D6994oAtO{5O1J44XbIjPyB|{GxyF#&9|w{tJDpzi@{e6{8-)aYi|2Nz-Cg_IllVy7GGfwY}}e>%xvz! z5K%B0SlC74Qgf?jPFT34RCJBp5-RFvz#ajmVA8-03Y(dme|^`=iDF1rc|S4^Gq3u{ zNm>cR}Y9>Y8>&4qf}~$w7R}m@n7wFBu&w!&|H6tVW1| zYC=`*L*+QDmsX7GRRQ$dNiat}*TJ=4Nc52hNEl+?Ahn>WW%-!kv9`Wz=BLue={sb= zs2^U{FtP`)?&7fUxi0^tzHs~NWIjZq+w99 z4Enlxj0F-Q1@+45V{VY( zgd~trvak4leD|14U}*)PhFRG>IIXDq0u>8bysMbBEuRHSdZvjxSC0ce7MEJO&^*oZ z&7bD+9(ZK(R{d?o;LR8vh&gyml~B{Rg-IV#cY|&pv3B(sFshqcw$5#yVgUvrpl%*q zRQ`yDomX1UA*HZ-^Yk2>oLA1xt8-x0IC}mP3p|&Ln_VE}N^kb_pWEenenG6z_qNlU z+vtk_CnA|@^gKAbd!k@qY!y7daAq2KZ8C{3hQd2c`mSnRwsY(;w{8GPom#Q-s~E<_ z7LbxLcgSzJ!s3&%nG;mhNiA;f9mi4uyFcKNOvps#^84qv1FGll+^T-uDidI6@O$*V zkL1$z1gD(*1|h?9s|dD5c&b*})arFq%nqKHr+aV{Z3?`8roiTgRm7rbj)1$orlWV^ z2j*j%_?ni*rvX7}^|#oXHgRD6@Ro|tzgJ{Ytp_r*ntm8=v>lnF#2ZdX69R z%f~m+!Bb8P;FY{|ii5(1z;OCe>Y`-AV$x$ ziTo_?nUVA2v2L&7;KqSkJ4d-raO) zI&%8l#)w>ygTW>#Z_>BI0Jsurzi+_}U6)}!mdrt#z;)$P7nGi*5oWdvt*X5sV+5Rn zD*CIH&D>F2+sTT6e3yF4YS4$A5rm0N~`E3eC=I=$EV~jYNe5%#^Dip z@dmgZUBliK&99*1Q95)^t}_VBIC{Ph|M>kkoO((De~mL(9tAOG`Edi@%0e1`s)A@QK3 z#+!XHi?DKCOE?Ho9k@X1CVE`l%|gy5*o3{dh0GB_Gp_wQFc0Z5hgAbuJw`;abPW#s zI6i$z2t7z_!zK=RW%b^656<0U;!x-Umx|5fn-`9;ZD$CteHJ*1mSjT^&^|AjX%GKC z${$W!20vfCaW1IsoBX3yy&$PH`itoYhT0MxqjyyDIopTCIu@XAnD(Im*EO@TPjL?l zX=r)BYx>L!$AM{%SEiPMA$fh^m|jH7?0w_Z4NmF>G8w)pE9xu-z` z7~>D^*RJx43@o}PzVM7Q)eLEeO`fy;nNv>MqUMy42fj7eFlp1Y%#tg#r~KNMw$TYk zIP8ic<-PQh3g#Y(?SPh9)+zi1m!31Lww0P!&^)bvdaI~+ZHGiAeG{QlYXJOEwjIz6 z-Xs;2N*OSWoWP`W@4ewV_Zp@OAb0CwZ!H6=f{H2)qbKMh39AnuN!E=n{UCX2>k`w_ zKevs+Xl7*t-X+7K;pti0Wq&0RmQYOEyCbGdZzrJ=5Xmjyd+-#S3RrI+RLP_1IgrPd zuft(8<g zH}H|l<}ZYmj1diLZ9eor1dm8^XV210m-a7^rIZkP{1j3@yO3`fnAfLv)3IzHumR3j zB_pR)&ouOWqf1vW;AvTvB8r<|nN_zBAS)PU7QX`w`Z+U;8}9DrzE~NT)bmD`(^87* zb-if3S{mk4gPNa!v&yE}YbIgT!0L_U#@6XG#DrxoJ{?y`GY=TWExvSsYcg4vh@|QH z2Y6)cn)=CgZBzT$_#Em6?-Fu`*Uk};L4DgFVpiMyj@XtHp7O?1n1GN|Nk`{5_4&H+ zQ6oo>AP_Dnn^AtCkCs>d)s|NE@B}@eoK1b1@G;L5!Tb&_8yDbL`W+F{HCK+(X_!FvTf~}CaBo-@)-wks_6xCpBpDxD*NGS0wZ>Ww=#Vl{qryHsOhkUbw=z# z%LI?f#ttmc{uWM@-qK}JY;5ifk%V1J=gr314FRJL@NPM{rhEO?!7ZVwZ+Pv;B^u@v z26?^E$h?Z>L&j%XM!?3S^7F*BSIU?913I6Ca|B9}O;j=lNy|7T;b`XiF8Jd* z3d2+TcPYj7VD$v~rAEJm_NVoe3rtcGm7QB;bmbkS$3PmDmD?_r25{*HR~9AUCuVzK zAzu?sP!3IG|mx0z>8Bch1A3pb;zT_8RprZ|)IGD8x&RCCm`6E{7_N4jJS0 zi4Rgj>^DSz`$Cff8bEUbLX0?sfJ1~M1P^xieqY;y;OU*+y}iA^jN)I%?)@b9zahL1 z@`w`rwTQOC(C%fs_qJV#M7<}4NbmU|GH8F~Z7?JVNrjj;Ul@e({hi;Qj!p`K4)2|! ze?2n_uauNDt?eD|RibG3m^TD^L*WH&{SI2Zp~c4+hWJ;s3mwco#t8A=ArYAn@C|8b zAwKLL?kxWci9wV%R&Cwq@q2{%-l+>A-Yc;C>DZy(^JVBTA(k1Ung6oh&~De;VCWD= zxUmV%b$@t>fC;g__t@aS7+`1``Fn~HAG#m}_vcB>4WV`Sa$R2-ObFUoI12_7@r5Bl zBs3)1&4NLUEChZ+ZefT>l!UaYva<3JJNYl;C`6{&+mruAOz+_|NUrMv;doF$h*~BT zf91OO$Cv}zN0D;xBX0!;gXR0e5Fmo|6Li{z5a14JM=LveMo0h6EKWc%39;tWvvVuA z==ZEO6!%jk!rj5$QHsD2+F*BxcbJftw+#j)_Lr5Jo7L}dbc+U~ugM<)Tmj%grpik{FfIQZ|FdT>n z?t{qKzZ%njQNe96Fbu`W$mG=iU;6G}?A`fa8vY)KzrJ|@gGau%-!@S23X`#K+ zWx*hj7=jU@_ZXpg3VjL_bOrydH-(UVc1~`Uf3x%d;jhNleXXzm#>mLz9$kdk#SrMo zLRVlgSXdhj77m$JBktobfouRnfFQkSNNAjp3K5-90tMu(ZVaG;hoF1oN9bMMTTs%( zza-VrPWGVf?^7n=4h{n7;0_N1p0>e}LaZ-DEZ+yj&q9ddJwJL04VXbAW+bG>_+5mL z?kmj`DDEo_J>$KIS6T+z+e=?4XTku62>E#B>s~bzfR-sC9j}b#v2RhL`2p2ZygTusvw!*%@ ziys<5AYi~N7;b=yu^J3-1Ez%mNr&+XAr_aEl$>0sO93X5_X7LA!a%wysh9GKmevrR zYb>yW2nVx+elZ{)H3Vd@z+f=PEEp0b>xGz3=rpk)W$!&n457pjOYH5_WdqCJfN3D) zLt*?9392Hc?IXtGaQ`w;-)}yd1&!rF%eOWd5mZs2ybLJ*E#01Mew$f2U6qvJp|0;&>uvc}TJFW{l-&<2C?X2B33Sd@PSs*`cp!XnUd%l^$wP_VHL5|l^*Wf}yibSXTDiA^l4fNR~bVI&>Hb6th z1~6m@&F&jq-GOAwnpn_d0eU7tck(Hu#74k0cYq@%4zVe;EHr|V`NI12VdTd0ZE)sh za8I5XYr{kJ)g<%*tssP+Lk|Jj06FLcL<4vbRaJFd+;<+@!$X-pA&whxVtEn|0`75d zs6rN*yTX;08b3$8*QZw*1Ee}=1iNuA#Bm2^U1$kgf$k1thAcR97XvtR4|7*|D0j&s z2W@M6dwXYh|KP_ls<9;c-&~%1oE~~^nY*D75tC4`bMW$+d%%RcXhQ5@B883yaByN` z#vE|s;^wZ168Fh1eO?dmYu^0>OHM%n%B3)RYisV)MP`hP0(s9MIy*C~&>b!(C-0E~ z+zyJZxqYAGV+cgQ|G9=l(Gal>siG^Y>!GiB9Qw6>vcd&}QLfyfLxZ-^ofm4gaz_UT zqglB_yZ7&3!%7QQ?ug(*-R{fC?Ue~Q7~{$vJ`DDBr4Qy5^B+UJ1(5Jj=|N>Yb#+r; zlM6%0Rfp;qvFe#ndTTa%JGk9PMe^Vk)Y?=^60 z<;z>;{8ej!A$~rrXJr4G#%PBOrd4C?<_Di|v>)!Il%lt~;tO4PfRV(T_gx>AJ8BJU zomk$V2R?oFI%Avnn~=bw$b+QfUSIG>=hA@OhIRBI3;C7BFJk-}Q3H0wxy+e*RHpvSoX_L&K08=i$6-WFW| z>Cytl>qzvy_&MJ9-54}&88Z*Z1@Ik8DqQ@`^Wurx+D`*0v zcuPh}f;~R%xd@bj%6YP*t@!u`Q;WG-n=CPBLRCp1UYq8k`dDNk$4**2|}Y(c!hL%A8x3yp{|(-mQp$>P;n#;+FAvL&o93 zjdT)05auNRqu$|^)QVl*pDZHPAj?fiV`M2Y_}d6o<~6M$pUN5C&#JsW#!EylyN_rf z2>^=>YlkC*Jo1$Ap5V`e{)JQ3TU_03`@E$O*0m#0%|Hzw$^~sgsFxwCY(RpL`0jkO z?aHb^DZZI>U4qg)h8B<`kcIz>TVRUe#fr3rFg>j}edo2`z!iQHP1K8Jg8v(Qr+htu z_IdlPTg89NU*vhWpkF;vry{qcZp4anZVP!L&_JofmoI;Mw9T!|C-oaI><`-fTY(j6 zk2H3ZT0Lf0D&QUF)vKm1twO}(7?qN%x<4!bQirW^CzN`fAVC;e`UAiv$@_fdk$4wr zs$vArYI8H4b;Atuc*G*ay9|nwtu(>+X~8}_>_%Gd1oJ1YZ$mV`UrBqq+IqpKH(w!K zibQ_`ll*6`b;D1OPV=OeI|XeTWP@33i!`aa-;8e0LkMMjZy4akZ;le&UD(X6*rs5+jjcF{L zd6pX&^JJjhf7hmys)EIcc?Sa@Bbmdz~VR}BI-8()z@IkZ-7Yi zoAy%6xGn-tEh@{O10DBIpT7DtG-`zrEPlML&GiJ4dfmmNiaBX}cXF|wZY)#J7MFr? zwdFo04U}?WDpu$M_$LTcHBXx|7Bfa-JIo6>+icNA(|E9>oC=6nm2CzE0bzMH!L+pC zLR7yAuT!&F*|b*0+36du6OPUYC;1WZT;N?%9!jjI@jI6sH(bJ&>{3;LNvKzzBJoNH z`C~tAIu5|z9f{#*voM%7co)1(yH;PMfS2QRPOSJ&(%)UJm^THG5DwM)d^r%4j9~kM zO{yMN;n>C1dB@=Q%)lrhQw!2O%s*8e8zcWtNLFxK~yoA zz-7|IBd9`$_xsz^eS=?Dx{?NK&$nr3v!vRaD|4!8MF28^r?)7r&WU=nOLRp-167Og zA>|e+*5HvcgI}@7ML&2$WjE%Zg36U4`uM}MOdrqdgzK`ZJ4=Bq=)&v!*T{8&kl<`6h}Ap z<<2FhE)ARf*2J_C$^)MMNVFS8sa0kL%vC~9&36F);UEXwV<}@ICEu*D*<~g8xs}$cx{C4IloApjiSN&bV`?`uBlC1t< zXEci0!3!0w%xUfIFn#&Wr6r|Jt$zOsVyh3#vBhl%OpVnK_F#Q>IKY~9^sa+*Vp2=H zb$uj|R9V2{Ej_xR3raqdv2jg$h%lceR*V?bH{~VeU4BBTki6bG?+%H&)d!*Z=w!dp zNf4Oor%5@zoDZg0PQBG%lWu=#v#SY>0#3k~e*9Sd+SgzBGooV{TgX*Yp+sJv{rYIz z&hMtlc`r*)luq?Es6O{99E=$mF?R zSGd-U-1J%YoXI3DG&O$h{8PYqGL}6XMSCus90&^qW8&i1(acedtLZc!h8I$5uZSTj zJ^lK#e!FiVngj^EtR@%aK&Dca+uXjw=}TGk-y0KQc355_%ZxZ+$w30Qu35h;aZT6f ztc-Ksq9T+JuDj4u4kDR7kdmj5T6`J;GIxBA@w72oiL4eXXuEn9IPdbmeTcc_tO4@D z_DhGRpr5k-{h61F6%WqPNN#8Znm0af(xCB13dy9cfCFmb9>7#TP?v@$=sDf(W~Y=o zlWP}TG2C2L+LiUxH&fW|(g2(zCJ~w%wOLF`qELZiNL-A`@g2r*v|l`KD!d`O-Xl+`jvN$6)_ESNacD z3jWh+{0~?94^|5P(`oz4K<{pmdf7# z0W^ix|G{g)f9Gre!E3>P=WGALYyW966yGi1Q9oL#hl5Suv#m4t#q51CcVEok7Yp~r zB2+*Vp|NSN{D6bj4C~Muw!AV2`yZ-g80?>Y|8MH`f2<)q6>84>pD2d?pO<%c#dpFN zu>Ajcz#f>u0(0Y-d$-YO~n@AJS;yr|>)+78*xkAC>G0 z^#dyWIn0)pvF=Q+A0FZ2|p9 zuYG;oRBV^#)i+h9gw=Pwbm%Uco=rYT-P;)vYy!YKYz@CCiS%U>S=CQ3gNkWrHuqxRjF3++Cv32=4#w8U(|gRVs0Q1}-kxYQ0q*w>w|<|f zr1(#A*fY$TX4H&6qv>43D^N_i48}aZaRCQfLg^Ju{pi-^Mz%8iZ@H;2CoXWy8V}tY z+)d&?%*z7}fe5ZE80AYhnMUG1p#eD!JUc&JNtJhVP4dN?`9hJvr$o-HWW%s??!gyU zTl1imVlhL{U zVqhV26h?l!QfP*{{d~f7U!YzKzN%5s?CKKhd9_L~gY2I z{$pBI!;O67?*!mBv%g;EAx<7xy(+d0Jfv~%b zbq)RpFI5;4GUq8E=zd<~EZSCGZm8L$WMb&o5bz`}K#@yQ@cr`K1M{q|%|{O}p8lFx zabWJ&YSCQzx(xJtYm6`2heyQe$qrz?U1rw{`W#?yF?3bEea13u=%)u_gH&4?TTPR= z8Lju^x`tDYo<#P?-KHNkU5QdCTGu`Tnl+3~=Mf0|>t>1~da>`TZFJKPe3_p7e3bew zob~e80(hc){vwFAcz#gEM+EbC&*ZDfJ2#*y)M>Gm|1eKY#Rk0kc2Ry6VGt#GXHslk z_L54Dd*H^Thdqr6ErAw{}iXGs4E?JBDU zaXr7}CEK;{CWD;WlulM&Cio)>$NLN&HE?96>K?uz0nc`jCanFL%C<|)U8%61r5Qx` zytf^TY#w`aZh*H0NWoyH-(#B1G*9I3l<0GGe*5Lg_M}_kJh_a!GTEC2>oQ>C@`E-z zoWO5}X24Zb>*7IVhPM}-fc+saJ@nO|D}zEHV)6{Xb%@(9zC`C658f#$;l5lH#SQMy^2)`Ov^DjgoS#K(6PeBz;T-te$6Qk3z`t^em zDmBy@QiEit=4bIj)9T<=JGyPsO67uoudk!-oB3MmWjj3|kl`o4KlMsApxIQxe@9xr z|8jD?PEt_$BQtIMj!CmfIN&4^*TAObYhb9u)wJSgSJpV5z%cz(cF4bdvi&G6%@5dk zEe$GY*N3PGY(BNQI%1fo*da2Vly-WV(o1izm7N1}lal&i35Th2#NMxPOJv#M!>qd| z3_laES{_=~PFZS$+3w5@g5mnlI2R1W8bpd)#+@vUGc(-EeXfDDtB61Jz~Pd;aiqTZ zD3LT5D{egEG(Sd3on2XNp}m)DEG2wuKPZu~tkO`x)=@VMh)6xl#crbz`1?!I)uU`yzV#uyX91mJ{Lav*eoIA;h9%b8MqA z;JS@=ET@>NOY#`4R7|bM_@yO_@`-eiP}9t+GF*yCF?cX4Vf^kKBN4;JZcxEH`5mwA zC*@}y`JHt`4h5vuOFRJk8~!WTk_m3|H4)Y&f zd40b0Gwp3D*;y?4ay*MJ%&38fQI8NY}U)gwE zbJ~nfLjh4W#jH%mF(yu90@bMj;VVAC_p7}}rAWY8vy42-zMr>OttI~rXM6Q~dTUcU z+h=y1Ao;XtK4frv*;C1nbVQT%ccIQTX|Bkk0)<_iv%u7lAZU75w#1XQZ|0eNM#B)X zS(K4|p+9w+%Mmr$G^ll4M-hVav3vcpS(s=jl; zWKp?*Xf!}VYMK2vP?voT`-&)x|tBf0xh`PfvC(1-b{>h;d8cs1r@nd;|jUww*vVI3lRv@};L89EEjl6q--IIan|bzAlVfQo?X&e>Ve9<4 z8+zR{Qf(X%1N-_hf#wN8=Q9ol5B1`OIVMZuyW*$@_$aKK6{+2iAf}yc{gpP8DSI6o z`WY^!$jP~Erq33^L|Z^A;?}Sc4BVMrg_iDPvy+_|c$4rfewzzfA|z$ouWA>D8jdXq zUSLRN$Ezp#VIee+kCtSBKEsA*p4H66N}POty|z%~ye`Q2WE)}CAn>z;GV^l6{vDdl zDmuLzS5^F*Q?-w-Y4n5OCgT7`pq{)Iu(6G%C?#);&@kjXqK>~#J7)4q%44nwv>c(M zbNtTRZXZD6E{;wlja6)TlM#y=fe@Z7_ys4p2*`dBpE+3Uh+AxJlQ7YF)|g*Sr#JEJ z#pHEr&L(np-#kbN{?-uB)}SYhK)ZGICn*6dv2?l zWI}VKB`=~lJ3NJ$xawC`sZII1%hOqa4(Fss3{8FS=VO;AwpsM&N_AgP+i%qy=F{bq z4et^PKpL7Db#L3?la3|k=aQ}aUX1DWjQy7$vdyDY?T8^fMc{*xBvK|5U&kvcWVs)) zdk7!04gXO0*KuVG&N6>F&*%gCMO;A$wlb^jrcNLlqxdGt?Hz9RVQ~;?!x``F}n=Y4`n#G%C#yF=QlZP4T8Z4ljn*qaJ zdPHg3Op(&0lBTRRAxN)`;oTEN=fMz>Z!WDs_mpC3VeTb=o3OO^vO(qvgSy^#NGljfV{d;|Yt?`Gd~W%TdbhPdX9CssC~D0# z&xQxkIE+unBCz@#w4WU-Qo9tcsH{O@)lwTlfEhj+yJw*Fui5SmycQ_^t=**gX}q9!F{Yq+m0{bTBYu5)za*P#hC zTpDH_*U8zXOL7wsTrswBa>gPg>nER6HF`cb%%F@0l_Wm(r`GkNqNZCds0bFvH zVP$hi51EX-a~lb*xDrs{X)QA}shG-j0AbJfHFyFUe-_afzUl45$85%y9+~Ak=Sb*; zvi=b*9bjY=6^}wt!hUf6h}$l?Q*T{^3=7ry?Lew3Ny{Ku@(##@2Ttj7xS;jXowPNymyeakbnzVUymm>HVzp`CK^#P0z zM6Dk=#V2NTj+|4{i>c{&gv70*VX+Bly{X@a$B3$?1dIwF@|q?N4Wg1VYr3A$$cA?h z;*wD-=v#P?86?s>$bip`UEj9u>}s}>&z^{yJACcB22AhmJOXtE`hGvQQv!9ejltgpR)ck^%URk&Y#IK;lode56_-`IQkKgAh zRkcx`&EaMhj;~>{**LULteudt>X-xn;Jo(v^?i%_IZ9@3D~E!WEevX54YQ>D0Ss&c z?pHeC^+yW%f)a@Zz{(#{a;*?9JyPqH`O}!%P|?;aLdeqxWOdA6F&f*()(}0BGWwuK zpjv@LDp|Bg9v>gy`CEdW43J?JH7+a*zRxVI8C`iS@ye@Xh=yC!*)1yeW7g6dDkTt+ z(zSCgs6gUTO|9QNxHJuIN2QXMH??!{xaLeM=zx;xG4b-2_fF6_HFa7msg*uczsY_7 z8Hd@{sT%vWVcw(ffMK4A{2C7gT_}3w*544*88}Vj27V}S?C2f3BnyBf6I-VNNokq+ zEgdVHCvXb(-hq_^XXq5P{5E!BM^7Z@aG{K*bxytfTlWuNq)*U%CQ&tR5NY=Ky#s1_ z-Lvw#tn; zg9H4)ZoAY)66vsAQ>%2dPZ_(Nb1qG9a=7n@3E_ymb8F0gs`1WW|^H z==j3Qx|T&2KKqZkWy@gu;1msy&nLHT@bqivEgFaTyZS?YQ;)RbOMc;)UDh|Fh?vD3{yWR8!{vXsle-OvVshT&?atVTI47!T#$-)B{A&LDh zGGrSVB~AT6uAW&${b}h6G6tu|jAdj5o12?Cwq)${PpkjH zOy@&|lQ6!2;qC{ZQ8*P(zmT)qAZZ^?^zL3^=9G`Uo?PaY_5Z@GG6=u@ z`C%9(7vT5uho(^yO+(k|o@JFZ-^UDGeN5B(!Xcm4I=hkT{3DodXx%=b7f{*WFT0{< z(F$r~d}iktQ}}gVRzc^joofvESiQvS?1q9X6`1!&Li=4ncF)){HQiJF@RI3M5_)k( z5KunOW1Wy0xe9;&$a+LPv=&_}%Y=YYC2&hkPqpdN6#%B>Y0dJQ;qRLiW??mxJpz6r zjMoJd(9l-m0lTz{Bj_6c&8(scho@itwG#!0TTR={IwHH5o>w$(6_G+NV+`Eby$k-* z77;h4)+rm@yEKJlz&66jy5zH8<6kdH=PiO6x zUetWaE8tNH+71cmg?fX(pT(4<3pTHm0BY44cOz?usZ559W zZgW7BSJph9sdqq7OyBH2pyaeHtgIP9PRwlX+C)SqfSkBygCpp8{2u<{^^H5fDY z-fh3$3rQ%X_4>=TY4A)7y?vk8C!#H*XVSJxAPmIhRrF1w%Bql*G_?)v6RWy@o?pGl z9s0I>$sLkX`io8#14aj=?bs1BarKmA#uMDX(`5C`PS6bNkIx zGybxkvUo<%*mm;L{2K3pUyO|2illF$7%=RA()#!b%k)y|XH(0EieLYrar0F*?p{0* zb@K_vrB|**3eT zWpHeQ(`T9>IbYE_w?zexG5O`kb};r2?=$x~r*w|4<)3v?WPm^)~n>nCi2)zz*8 zyU~Gr*%}6g84;6^f{9I9Wdj_FnXP+#Qfg*FAv`Isdu9!&?^;^|E%@Q3C?V0VDFiAW`6tk$iX8@ zM)&9DU$mSrEb2w=+wa^p>A$N(Iz(IQ%m287DS*i zajBWOcx8OzGr+(Z&dr#_>{S)*=jnM>-CxfLIRs?1tUMPe7_Z6MI==+Rm~tsU&aiO_ zoqTe>k?NVc7lcPQ5I(f%D%wrOc(#%X5IQky-!L|v)E%-rJ*b3&Mk5Q`kIUK_Zz>yy zfrPO+@bQm)kyhRLJvFcH2nUbix~|K!_}Yd68a8Ezz@*&D8n7oWqwyrIdwvy1yRdHT zR7E?cedRhat4z3K;fcJt^jjb{!^+C_y0E0|dkve~!=%a~q*MHsS?U(Art{knjAsa- z)XwTKvB+;8zdLn!M#jo5DW##~5m(Xrb?LEFF*d6x5SNa8{^1)jtA=M6vq$GI5^_#% zU6(^4so<;vJe+u+GU;lisy~jZ?}i91_x6k5baR*EdfwXj!-fMBv%0 zxV-WFb#wXa$*@RdR(DYb5FffJv4{Gj>66)?@nC#bBWk~c|_ zl!al|!|ifAA$Lg5Ka_$$a3x*r=4UXlN^5A9!zif#x{ZMLGLv&;|JD&}#EbG)*6X)> zrj`H@()Y}MTin|B6XSKqz#ffJbZ+zZnffgMtE!1zse@ni)c7~WA`3_S@_x$c9-!VV zlSLIqC;w6RW*|@$`1L<2F?e}?eBwKlEAAftI{gb)LTe&aEu8a15KM?tVZp@CKx^_4 zsL9JfF#p&yjSw;j#6d|RT0n_b$x`v6eS`G~odAR@|KOESdjUgCLh>fsbBWg9nwVxF zh#5@tX#_zm>Jco+SNhW!dMm!)N})pGa(15$3VaY*4o$n;aqI9k&S@#Q}TR|sFu&O**~ zesKwBX=4WptN#L+a8(jNw1W|W4o0}Ee;HqU%q4;Aj5&i5Rv>g4TsO@C|YGYo`Del zrM2PS{hWnv(ri-<92}f%brLB1gh4{ea)sj$m&wPMt&9l3mIMV&@6@9(g<*)GdmtLl zq!vTRUj?e9v^*SIXqTy(xw%EQ1}-dGYGeD@-YN8XvotF41-d`HG=hXCTn|Y`_q2M2a@lB>d2a@JnkPJ8O@x%x)T!>Ly$f zxdj(k5CDY(0^O>727>p80#*Doalxz>Q$1En7{E+nci=p*lJOZIRAEhiMcluNQK)gGi5QXa))sPC)4E_e0JPLRI$H;cvSK zrZLEIb`WwJ=!^|JIqc$eAp?Qd2)n7B9}0t$le4`E;hKj1pPReA-LjJqo(}&A@rA|j z-Mjz5vK`S6bb{U6Pj;FDg>X)vDZn!c7kv@DF)?`iuubc&1kngm?N( z96|V|=a3ezl+hu^4JwNmLlYl zBv8W-;Ei~T71Eb+@V?Kz?kdJxoU^Og0kU_V8r`g5l5&CH&)FpT?8Ry@k#(WbJ>oA# z{Z;cfdIg~0{K_Ukq|(&2Fys6)y?#;)BUMoc(ib#D4dR-s*ORE*7^UhL0S8Z) zYS{GabKS&Bb?44>R}HFE_@ABRaR{u>J~8Ncq63~8h0rN$kyG&y77e40x3W~F8!;FU zPLgnyc*S08Xi5T_RKMN{IvD}3+L&speendZ=wt642j;XslojsswqYyyxo9EqnMfq> zrPs#xS!4xGTYes2*1U*OwXgT59QAYT;NeB;N2nr0#?L{hMzv%-qMaA@JfbmvsyNn6 z*pa)ujsU~yI>y>>=85?3d=`4=%oLOPYuMK59g?!wMxUn2wCR9s8kWSRYPND_IeOZh zK8<=S(E?IICDMp_-K?7^8p}7pd@stWPI-g>PNG!xK5=4-*=6Q)g!U{?w+FDD&D?0* zK)$T8pUe-k3*Ru$&TL8CfgxkB=aGo#}u?W zanE9a`CgBx+~&Qvn%_$6XYT1?(Cz8((EK3wNQ+w}u(-v(-tg2;Uo(2=!|Sb0 zcxNGU7i`|f#A&VR6dM~UCK@@J=;x-~kQ?+{rMF0ZO?Yv<`~a*CgbhEZzoJ6?noA#f z_tZ&Pcb?6|o5j5HE`zOV!P8@4`lc!$%ay-ffJ4+Q;~d_vlb7CO6x)Y4q89}o3!dEN z0dXRhV^48bT&H=J%MPRq3ub4pvR92!uzHGVlw2y9 zx6tD~NTjRz=8A>TlXiF2-oyJXG*tL9+ko>n<5q22@omur|9ymyeagq^d#%Al2d8rS zn{LAZcR}z&gF#SWY-)$RXj`#Ao&=YLotD)w&9MD*?M^`s_s&&-?HyAVUXR(jBW)S+ zzJMr_ST9oUhT}%i$_1)}_=5gAaM65Ie^05<1o^#{(Eqd3bxk!dC!kBLQcLIDa(lVt zB=}T|yP`NIV{sQ8!7JvQ}v%8{XujOr|6Bib>7EOYC znm=2;m|;vl^ga>rt6YfqV6eDcB<3tFnlf(sLb6pR|E0(WqXbpCY~R@}u=#7<^W~A# zu9%NPpoa)8*DY^GuyQ?7DqaHQgCuVciLgg9IbaJz<>X)s%H5~78 zYWO(jp@(5paDc;$jWWT7ocG`7%6c*{9c&1!+N#(fwN6k z1YUq=>G^*3w$rUcuJ2|*R$@h+Y27@GfW5(ovuE?UJWs$goGj~0aragehWR|tz!81t zzHYooNMN;gXTU?};Utb53`$k`qg?mplQ|x`4Ju5~Uv8T;gHd8zfpq&FU1c9$Q<%qS!y*EXP9E_$6RD=s zKRPFseBR}Y*f+`I=IG~)vwECnah`%BptX?qR9CK^&XubxUgX_<_r>|3a_z%gdk3xl z<|C0$w1D!>?}iy2Ux%<0^44P18+TM?ABYu@Pw=`1zr@Rq#n%HFtxf9Ulaeh|7ogGu z)B1wVnatRb_p#EF_S3U!wV&+3>V<#74198Err+(%#T{u(@FxoGiWR&IsTDp573nD@@5C(rS4h^VeUD$_nv9d7&$yrj~D^Yk|8 zN}H4KClk_$1+5a~D;?VUrHFrx-kpttILL}eszB+=xEC#*@;B-CC)TRL`&X$)%4k1w zz5Z&t5gi^^jha@3I6<>F7d@pk8q6i&!5&y4g|#kpJB;Bov zDcfeRABvVKT1%u_{L*=R@Y0?z9(+6uEi_*JXl!xK-A**|oLWways}-(dG_1k!R=XM z<#oU@{+#0G*R=JFvVKO(DF^GfwrN(rJAr4qUi<^?fk}nn?!7T*j#V1`-j9#88?emOdRq3FYRH3gO~-~(vI?ZiaSYKZvLA24~|S=iI${N;_-+3Jd(xSpTXg3 z)JQX4i_oHkKdJTnCoOB~8H~;31|1no=|g+x8vwkE)K6!;LvdJX&bLRSzTUEx>0rpG z6UbjwwJ7_%`XLMW=&R@$oyb>{C3p^h=ANTW&*h>K{v0jC2nPvga+03{08ijN^Y=Gl z8nvXj&7KPZlUQY1-dI&JwY6yBpBK(s3FIHRd8eMz{T@?jA#qM9MwtuQewY7J2 z{;l)!zglhoAxoho_WLv$WLhEp`u&V)V|oLH%%DkFj5C(+)2k?C7QR5{(C?tm*xIMp zP{;!MokjFw3B6dJ4uIcq{%P?nANfB*WWS&3i2S#;UZegS2>bsClAUM&tBUP~kN;mG zS+>Hnuna%#ErOjZ;lPnz7wsViMU-FcEEvst-#NhLTHWm+Z#$t8p@5$4fQb^jKJI3x=+X-k0&CZWpL zlriLraTC8Zr=~#$xu<4m*5~_=+_DFSp^D? zs~rZ0xS&w!XQ$J?FzDC!6zy8u*3;$U5TW_~q51T4a>*KbToGu%yOh9(w|${}Avv>g zg)okis*%{!$KI}M*RO=sRpuU8aiSMa<`fAN=kvnHbQzrj5PaO^ko-IGLK(#UH1L_1n7FK#hXRsPd=MnU;0ty?N8RU zdUIcgYUHZS^qff$77Io7j{AuQ(e))JP7}$QO3x3Yu9|Hu;J7L6Z&GQYgO4p66^6@r5-!xvbIRArHo3e*mG4$geWr z+aLCpfC;Won7~PeM74v^*(q}0`iz)&D)mGv_(^p!Zf*3~`@7khCiP>1RMJT99IrpM=QDLv#z7`9dfbVJcN~b{vS=NHFi4)#Bbwa~G zVj}5o`^VQ?Vq8IdF9OdAa7fqxVk1q#xghL*=#OAhrw;?SA~ncqaAi!WKMaHW=Sc=y zd95u6A6V*X5o(>$+cC}z8NbDB)EVsKW9%3LP3o2g!P=ci@7{Nh7VAuA*y%Js&Y-i} zXLLWBnQ87e2R}A1XyePt7Y*~OM;N5){x-4Rn|mJb(SA;sGDYk&yd6}E{_0p&-70R0)%6{=)|H;)b z*~Kk$6(l=6#gHgrq_P%F#r)~GrF^Zy)gXwxsbHBK+;m~R$OM{3+&t(mTgr+YzOUVG zn{3e2{ggufuub)}sb3jK!0!#{swpsK>drYFCUX}n|IL$PTl4I@q5?k4NU{Z~MMMT6 z;C?pam_{ug=-c3bj8#ODaq-DJx9s|a3^p;&1|d80L*Q=6#z@iHIGkk&Y-FDk$y!&d zCMrBX{qpodsdDBKeHU1lLD}vl@NV$b-gu{UmS-89t(w5ge62DtJ1E|??hyK>O4&Jd zHY7WDtgpXRnjEEz?6$+=F@1jCuqO91{ntz6;1P|mq4{TJlP`jS_|;zVbb^^nX2B|h z6T7Vi=C>(g2Ep{a&`#v|z@^kz;#do0GwI8fZEVR1(SEg}=gmc%kL}=vQbej2dt3m; z!kC^TgXez2EkCX%e5pl!nH7r%P82=hTo&z&_LTS8V&hyF^&J|I9lOGXH}h^{{#sSt za;s}Kpnb&%mwQ0@Xw!PF$BOl>se*1Nk4@U0FVe((1o$bIH^H$jS5A8k$vJ_vR^7-8 zO9gBzB7_PTj~3G2erA4RH+c%M9i`?H`D2#1<^q4bQ>01 zxuG&Dikj2;hOPtWfi2O7@Jl`OPpAZXyhTPomfn>Y1M)$lQyy9GO-^VM^X(t7-1TQg ztYW+B-q8x_vQY52y##V2!-x1J0|b7*3g4aJvP;g)^!rFCpUoGgOy6i;>~aRxAAIPH z?*6FyhNW((;bqc)p^Adtc5hwjC}gDY0==anu+=N1tQtAmsrgpMsvFX?I;2Ghd|0MF zUi~VqYQHS~8hrOgBI>2h*zYka)y~N~`)rpm9YlEyV9SfmoxjJRPXm&Ua*lOO7F#2w zQ=?IRH`d=0b)=tDM10DY(u&WiP_F^eNW3-TOOzL0doElw8_BTEZ5iS&p-*kl`IM&7 z+u_0hY9nZ(I52vWp!!L*WEfaywZ;J~zuNNGKrfOU?+o=ommYHy))CUHZ3NJW% zxXrcfrxrc>X&uk;x>L*OkyqwZ$KTU00=$3)%T^w{x4!3ykM_&TfZu#qW%0+Lw|0lu zTwXHS(qoo`?e^}E6|q(;5<`+X3F+k9>omF5PL-$Qxny`(lP;mi!O)h-HjX;M@}siZ zPmFOt9LD_=eX^6 z!+;myxyIV4*fi#7{~(gVpIFJ$7(R+|`ABu6zg>OC&0xp^%(P>t-j@&gmbcBh5QA*j zwelB^K0b8$LiQ%H$!nDZI#f5TItUcL#kosqXVx1YsFZ9zt5}dMzMr*6M;m>l{tS%H zEEzszyE0C3m4j)u?cQ)`M@wuytKpcNvPxfsG2bds*-&-I*%)=(6&1-V>ASUmU||)o z%#alsVd5VUevC5+K27(T#=225T{Oy`uu)E=+A4VvG1&6>IL9`dW`1fQXc zxc5Anq)%3}ETVgFF&1;a#G*eGSP_04fz^_tqs_|}a+sb0;tEXXf1aPb@llANg*GN! z%a)p5N2rr2`OUX0=C25POhAdexN#mkD<|gFsJR?;St_f{~7 zmFrVC+K7NmS;dhv7eCKo!Mi5C(B^1-rCaM|ta-Liy;OCW$da7EdGKB9h?vhdTkd}J zcfaRhcal|#jh`f+|7tySDZeLe9<Rk)XdT<7Mv|&;*Wdju@}9>U7wE)2Q*CA?!=}ubMYxC+j8&sey9(>28^uI z1}TzKSIKDXLvRFb17D9SsA)bce(dz@1qM!H7A`PZx$#MYrmK%yTfe?z`$X_=dUfmI z{tfN>xgUndJVRcA_w_yfgU2|OVk)|Bl^ff){7V}8Mvw3UQX2T=*kWE0z0`%x zi-yrZuDO6g#yiQk6PM_9A$U%o*R;|}`uL)$GxN~13_E|pYvX@}%~ zCVC6LOh3wO)i!(bRH(Rn?F{cl$JS--fYd5NT9<}4Nfp;{FoZ|Tdsojjx3U+LgiB88 zu5(ix2C=YpNbEWe%`m=*3h)h^LXpv2<`vOTsJs(cR@z8^9=O{T0E#hh>@ifeQ@@f_ine@G$ zmNpM>|E9i`lmGoEQ1>fq8QwTK<9ZgI*mNu?@A%|a&Om7KzG2m)vm_N~e88{iLw4_% zU3hE@PgMO$Y~LZlvaEbpebicGOR%u4fg1>1+X?wl((Z|>7EU40T(Skrt2?4BgP%B5#ExBY%XYx!!4B=A`dxNUlH!?$t{R(BB#iCfuy7@R&rK}sj7e|Zyyby3%}E#!&_kB}trsQUShWrrlEndqTF>v3@M zhG63(=a*fBcvYAiRel<_Kp~=L8&CD2HI49#vL-4Go0#y+EO8guvbUVgm=+F9;)E|WyuGH2%Rx$-es6K70{cy)m@HscEcm&ennW-z;U{Y`z# z1T~+~T|GnZBrJm4#=(inXP6Xpre05@(oO&_F;F#r9Gq6$G&)bkEUT{R|6+(oTJND% z!Kb;);!3U{BdfY~;f$6!!qTcxttEV<+G&~4tB)^OvUYJ*&|}vH5HQRM+PZ(f{(a3Q zd=Ss0dqwh=bKtYMPffU2jGfaw^6UoKWY_? zC^7wtA-@vwsg_#Me~H|;s2Lo*tlW59){PKQ+WI`r`hehF4vLIP=%u3dO3lnEUBLo) z5U{t+d-oMnTvf~S?Ry*&h2k!Pu1I4Lj?i&>Hk`&wz&2Rs8QQpTtEWe?9a8oz#DtPeNBej4; zTqB}vh({=)OQ#W0IPqdPqTp|z9iUUG>;(gtQ&!f`3l8E^-=Vlk!Yvb6*u{H4ViX|} zzH!Ic*$)DVCFL~rebO@8x)u*{uW;}Q`V`gBGm02J^ltwSuoP9zEo*g*^x0;#N|(`b%0-&A=zPf18+* zOGrdMIBS-kOWrXdt#Hl?q<_*ji>%tjdE`6n!{kt~td#Ig&Su`p?QwXach=C99r!Y0 zGTHmPbxPs((4A+=;gw^VMRh~p@mYBVQ{NV%ZuEe$g{?yr!PN&5(Q&UTS~<>8RIfkv z%wSyeem^5NenxK!3dRT+&Qw#dD->B*lLwAzxofcio4Bm*Be(e0E2`8AKzo7gBHbaL z(nZ6Dt(W4rm_?-Q`~pJ4vl_d(BV$r>-U6)a;%=`RhrV87lT)$x&MmFz-Y28tzNuFu*lmNpKpNK>R2VYvW5T*4b#kFa?}CfPH6EZnE@ zv?lu}SA-Ie^Q&iY$*-sb6Ptu$$zkn_>MI)x56nDQI2RIc+Y^Y~*H72Y`8>9#2m(&7 zh|1r$ix`<*JGrT>ZtN2GDzm7DUCTHkr|lRRMSv}l8&2>jL>Ql@+Op`-LV zD<+2*vPsi%Z3Wy-E7-J6QHXCHy{P2&bjOCUgk9}u`nGQ9^UC#o()*bp=Uv~`ymw!g z52640b@Pv(i|eOngm;`>whr9fit`yb1z=lIj+HWBZ(U9LbW z>z0`Tj?o|37i>l64ZJ~9&&6xcUsh}0^L#moOLg7%Rr7?r;fI>9BZu6^@maa=!26Fa zJu~($ZKF#V*!0pi0iorKhtQQ9UQqvS8xx25DtO)aYypol?&C7w&@Wn%w~b48--ute zRS!I{ue>E^H?|J84u9jz$c4YkZ0{Od$0wyy)i8-n&B!jTZ<=1)+&;bx*zN|ws_oyt zFJlleJ@GF2Sl=~5d_`E-!6_vxdti3|H#pDC74b5qweRcH59{W^uaiuy9Jf6yn}?3Y zB%Z$gy>`lJ^{jd%>g}we-yoy5f-Y~_7`?4Hxctyu5TA3zv!Rnt zaEL?C;mOOI#-6$JBv+)vR`)qoAA@H}DVYT=17E(gXePg1Jz~;)8uy~=E0%&z@T=mc zMW$Hr`P<|)`5u#DXfvA%-V-SXn}oE6{7AxR0&-c0rC$-0o7VDPwWu1osWdyWRA@AC! z$Z0)7+Si{?qey8Uf&B)};IRW*1v96VG@HPL`85=azIp0fi96Z`?or8wji9BEMnp|B zw{nV*nNvvG#K!Gu@9;JbF*UQYrIphx*$r^>E3TR&kBF6BVE4?a&x^7s@|l%@#-n3= z-4+dlxidvDu(W;2d~s8)_6r8TnVil3IX%-XG_VP)Wt*D8%kt;KVC{M%1c`v`9To)9 zAc_V#DO@zJi6+vbWBd>#7XCaA^04vo2`^q{R6}MHl3Ra)%{u5Jtw+aya%s>B+S1X7 z7R|yHS7ENfT(5_`Cd^Ivd@JJ*u!)IwW$qfmve$kHDP&a-Pk&SWVK)B&o0lP)hRq0r zi3wgbCHn)Wv9exeN7qwVQGv*osv2zW4s1%@4?#3w-i7?yUs2{?bE1wP0`9>Z75Wg( z_44-h_5DL`qASn;G0z4D!rKxw5KYT{_pYcI2J{g^Kn)#eap;LE!@-Mv!+08$Q8q{Y(GHnMRn-G#|kWDi}&Xd3(g+T^eAD9sCf?KlmnW!WpIu zY{nI)TRnof!`B|L$^UZh`uhiFLH8^=a&mH@dN%hBjJykZdHv_|M1R2 zDEcw+A5hiF+07$5=C5@&1HnRb(JzzWHYLM+RgWMk=(bbgi!_+&(+K1lGcwS?9^B>J zH*J6Xvz;)yy1RS-N_Gj+-0JY^0i-*jb@tc@K~CynF?B!4cEZQsFwfv~#18>z>n#V02IVW4XQwN3AtY9n>ox`7Y; zP^N|#;W+w(K)1BEwzYNj^!NXj%0Sty>5Xi0ti3%5Inx_KpfgZl8UqD+PWY#1fWMXz zCX*jBi>L=`Vne|D>Q#fSl^hQp9MJtd5S3zJZ%J^mF?7`kL})ppd6rDbRFCc>XrdWCC}3ZK zjm*NFn??`}I5Oa1_$$$cLnJ5(b9HrVi_r8o6v|>qAryfUgw!zLV1T26fmuu%GO{u< zfr8m0P}~VQX7ql^O=Bata5(&jz!QE#>9!GqC__S1MMYiHVfNfZQ~h&jt8Dfil0O_X zR8M<(dHV$fB*9*ht%}P218~A^dIQ(XgKgrYk>-Me0JBA&4c+M{pxBpmaG<2eCGNV1zk-5b z{@}3@Li9&8?cm_#3_ZDVi7!meP|3-r`bbK%YM>lmMpjM^2IgP-gnD9wA zJb>fGx+A0K`2ZJd2(Mz~S;PV#c6kHz$>d>3Xn1=y z5(z)Gh*6z^LY|{P9}O2FT^T6L0Y6mUa0Utk&UMIX#Yjp{GmWvF3k*gzG?+T$!48hL zCZWaTmP75m$a-FHNVgvrzc#lthpV40e&Ce`*v6z@)umn1v zW9L#)4QV%ZG(v^cWP2l;^$4Pc{`J}rhiyBjbMM~0pwC!vcp4abSazF+oeO{gKNp5an z^qBz4IvyghC`5Al0QsL`*D1@lF0(?r@{j|EP4agxs$9!a;cWyVqBKl`;Xf)-H0c8w#(tS)Y^c#wWDer5fLQ zJAttqX!zn`!sS^rQ24cq+rOFQNYwld%LD^;jJ=QW=y=l85eDTt-iS<w)II*W+MHn_O>^=2eLQ9FGIBZ`hV^E4h^|6$^G| zZvBrantn`h+8W$mF?f#?dw2fk4kp=Jn~$L|kx%f+s}QzT*NO8aVCp?>I$d}F`#1X0 zkA%~IOKf8?op;dkHW>1_{u}2-{U-2YzDgq*Hr+B5M9O+Kn8k1>MQV>A)Jom`1?6dg zj#L~ty^!c6h)4BStC4PxNjiWdC6Bb1A$IoV%?Cda;^)jWfS=cM9M|HQ(jiIqkPlyK zXFuBMfe!<%u3XOna7{4W1NwZTsgZ)5tDoO!KkORt85_Sn?`&l?xpl_CmeJMv;yU=f zV|mxojLfH0@%i4->SH2#!Q0ZI<@tGQ6r=94H{pHV!zXoH5}VpTHCMqYt0@gOpHtj@ z;*Y9NbXXqK|Mv3U2Q<&JvLf0XK~q4?MQVG3xUgq>GmnxjKIk$Qd7rvJOa!S0!VD?b z$npBJnROOfn({vi?~7KQ?rNj@gI{{j;L(7SR(A*Ir|-MUwJO3m*_~!wZ_H&E+CO3^$@2bIS&4qKJJAJ|2ZK^q+T1J_8GRYbi3B_I&pWMwrKkZ6Z)UmAJ-MFYa$$x>n`YXej_% z6Z)w<1G8v&lj$Pvx>S8?jYOS$WGGK{cczn~aJ~QwP#TnUs{U+jd)2EhKz;>#`6Zd8 z>yD}~h3fgeD?&YvnSgK>do==App%h%#KOlvu+shw{sozxBMrPV>Pi9TUlM>Fb0{Jq zmEc5o*bp|6;yO zB33zpaAV7wa`&Xq=GShw%lS`mOfdw^6iD-4d2!EJv_6{q0Iq4t=*b$`4aLb!T-Q(9 z*Rs1^)Vwmx|E!Fl`h26_&mhqFo{l)`hrxsVB?3~bmyf@$^E}Kq8TbCtFQ>X(7H#ky z%(iw@K8nSQq^kI+^Ryw<_S%)i@WD0*i%lV-2M1e^#6cqUsg1W4alw&ey&iGN&zUHb z7mt~h?W@i8ofPhW?#u<#_>4T|Wr-KLuMK>s@jJe2rIg{b`{4adlUYWub5Oh?_)Ytz z_Qi8OUX_<7x9HUFD?L9&`M+?cd!Ugi9&*ESnFaKR)rwo+zh6iUJN=S2lQi%7IsH<+Rw%)h0{NwiN`QOGo3ld!h|yo5I|#-!T3 z>t(Q$U6?1@6iK)F_$jwRp2-%a7_aB~5KKr8VN{{aH)c7#o>IjlhLgypO~cncW;p%q z3jA6_9N>K5bN{J3>wL~hDQnjCi)`No4t)m>cFIzbC`gOGGe28}G>!pq(0Zs44WVk*Mb~XNP4PvVEJnPp z=K&fm5gB^@4JO0Fdf-ZM>ccN0Sj#_xkY*M`*_ndhHZ<-oA*4$)jh@Y39ksw(+a-4` zA4gnel0Rx~*2h#VShG3AE3@O+5+|yQ{1+D}4j5JM*$k%q&dTiX_g*C)_e8KEd}$-S zRp?Pi$Egn^I6bl?`*5=>Ea=pFaHpmypedl)!Rwm4ru65V+`f$)__WtmZ0R z^)H8#F`HLTA_Yf*wrdS+VV7ScwtK)@gCIeOHNx01x4Y-jNX1u6LK*7o61xqr^Mq?I zwU1)~`QH^Elw>yc`Y&_74OJtFKL5FtPkui;{sS@Q{%BR$5x9m+tb3^i>+SC!0S475 zZrLBlOjXYv)7^r2=GRVd-FXatGS~;IU7)}t8C+M^rCxL~lcW5JS-9f**z^|xUZJN8 zc>TeFa^c6g)Cqz6D`^ZtatVFb31j)}Ol`c*=`Aq=LhwWB{+n+0!LPBOO)?5g#QU!H zp@M0!?1ZWe9#d+(d+7k4f0_6wAs^ChGVOJt6o&00*C~vD`qsyT*vD7!J zTzM0@NS1zuENTG$wKc~4WhLBwzI%eqH}~p{KkBE3XJb+2Us*c7^2<#1Z> z2fU2ORM|gFA*>;EbqnO$6OCnI_);534+t`^nA>Pva1Z&F25zWc>mUikJg}P0YwG%m zqAUrOZUF?-IsOby-^M3sEk0sTGgqc)yBrkH1uF?up1-TREDSKneD$ug+z_H}U;#26O$KyLuO*i!m38i^Mi%d{ zTva*mSIFWLq)487$SVh!wIyOYtG2paPZylBpR*06%>Hg;oXA+7t{j!mpa0|vUh%M{ zJ?VFGHY(;g`-6@4j-y z1WHQPFhNi1w({dfls~37#jh4D6tS0XF}SYFbz}MBa|5+2`I`N1o&)y$^M$5P{(1!o z^b3PMO;g~ynC=_ycJG#{BGV^=zc?R18>BC8rV_c>(p~&Y#{AJW@ViCpWSBHb(X7>O zl;@SALu`x%-fV(NJN`}=<&(-9O+cS@-}J*}=VAiaLhWk|&E@6$;V_aevO9&VS$Vc2d74jkDiLeu)uioPK z*6*Cw+ANWoGaKp z2*=|Y8sC<<{J}n4LZdT#Mkp0phGl8`9*$VmzYhcFIbt!*-zc0;O6%Xy!@Be1>P3Y( z(Zt!uXKJZUJd!95F!!O-`?y!m*(92LtY*HD>f1&4ir+E}Q(n?snUr1$kszw+ZhRR3 zN1dD|rV?zg6nmTU3POR+FSn{`?Cvh)`kdggYS1hjfBu3|W&6K}UH_dP{RbWe|4xs> zFG{U{;Ze|op6&^+dVC!o`Sx$lR`B1Yt^ZK8|3I|h-znOEAX@P66zx9{E%^Q0nlzAGT}3a}-~!5CnJdt;*A4VLo9M+Bda;dO?4TFB=*8aj zwf`&5_5XJjEEdOK%60Ak0p+SaBQkLiE+C_*{`t-OGw)gNNuzYj&Ku6C+-QgJ2ODG{ zu-We*^F0A zw?7IG0-nXeUs3os&b=~!);%~Nbtz)Dk7MZARqtoVZ^=?4bv3}u`}3FWoMtdy5=Fjt zsp2&4@Ivgwhlx0CevEExdrEGwXMeGfvi`TpHm~M${?x2X`SQJ2ga>kHM9Q0FvaeL$ z1qFxKTd@uqgA?klIcJZ!x3Ty`8efmTs?WVL{yCWwpYxF`F6UTj$74_Rp2d3{keg`v-s^dpO*o+oE>uRbNI}h zM9Tgz(#EAcVwVA>2kS=`cbn;pB$T&@uS*3NJHOf$vk04MjC8(!bNxF#P&v4=IeVY) z-FgoBJ1h-mm&N&Uz6TQ;^A$0;c&7~XE912yG0h>f2i4qf-z3dd1 znPYz|#hnKaAI0ch4)S-u8Iha3BT#pu<@@fP4%G#|H3uWN*&RD|aEbQ-Q!=l@6<4S= z)zy`IiNhj!N#3y&N zs2i3OEN{pZQ0Y(2QH>9ER9s1~t`(HS`V>0;kv@H>c%cP6Y_ko%U7kP7YsT5WG`XN- zn?meyZT6P#;lh_Qy(sZ;5LecML;pZ2%nfw0ses-T z%P)mUY^;S!$rQ&=6?+!=i0UPhouZIV@}kzWT6Vz9)o1%zh@&Tp9o9t351)+VVKqmRpy%)Sw zNnXK5xlCqMeFn7kzee2fCVpHnOwuN7O$XwKgU40#0f8#{$r++#gc%2djK|9rv>%hD z5}jD9JsH7s+}u3LgwPO6_Eou2a5O$B7%TuQvyN+&s}*txv8z*u>@iAR^uU^C951{ekh8#MOA|=hvp+j*f9t z46?N(UpU@9&l_dvVGRBTbc!bDhQnrZsC(CiUzdczuZ%QumNLAwoj1ng$K`KQfm>%! zrpHKpZK@=7?6lp~F$1Z>cG~8*BAX1&aTu{~rGUGe8J-lqZSlL^zs__#jKd!U>)tqOVe*Xu-SkxuU(f~j7OuDqYxgpSryj&1suMMqXL$~0r>uX*{De1I3@Qwfo2N4 z&D(L}S6eMA0v=nJz5T-|@CCJ=R5v@bR%SU=mM6b^ zEUOPZpy+<@tU^9-)nnr;Sd0PkGw4<-I6?;L#krO*X!%Hn<4`;= zD0fL~aS-1oe#+9mV8fU4Iy%} zdEnq)TO_0|5(ETYoS3+Q9Lz7_D3PH?i*AR+wn=GLVMi_Xc92BEbeuKCG{sCR9z zb1WUbAaN4zbZHU(`qAvPiVyJUdV%T$lYWO3#MXcS|I;zoHt| zz@&~5+jc1tJ1VyTcYL2e>$?no37bE!_qxVPcud`+Oc1vCs^bF~4`6(AWe=PFST)31 zGd|R_=f0hAZKG?-Yy$AQ(mr_8qll(QIi}^aH<7|9ejlUogQR0&InH5R-Rd|{Z+tIH zyMl98zFmzpWK=CWku-{rxLVy{E?ixA!@OVt+^~OE+yVwVdIQJF)MAZfgsE5+jrYB) zP6p&7@OfRgfxUy8kDT$>j*?HSm(5eaXkLh7` z_bOKcgp?w(;~o2$oY$ULK+DX328(^N(AXtx&)-8L_|P3 z<;;!WcYog*=ZyQ$z3&)nvDss+x!2sxm$lcP&wSq9u1D`Gfzs2hjc=Tx9C`d|qY^t>W%smXEJ4KBT_((H*-AhD%H&Nshgzj)#I`3s>ZR3i5INZqPq_>T zf|kF_%u?ct)U8$0tlYox#sA0L43dj+d9TKAA;M1<(7)bKqVJVd_;XW+rCIi9?)kCk z#$hb65NVv})|xf!W>1#sIHz-znl{hr)my?;uM2PIVZxiA6#p=L!QRJQDgZa3E1R#> zm0HX@oFw6e`;Ag32gT`ci%&Nn?3*;o>ed16Z?3C&l>*J24VNsZp4+lfU-Gto5FZ)r zzd$ASO~LjOz$s}z-swEr=}&$eVw$8PQCnRl7TIq+ZbrA|_Uh?R3 zq!BYgqGfsbj>e@$CX5MLCaPBD4VWc+mQR2A)0IL8z_oIts^g9C^JJ9m&m<2ACS{k6hIWjts7qB9IO>~_7X_>rQGB?F?Z0(>9jHR8L2$hHwCUaDK+aa z_zG7yrN7>k!woTaL0VAmt&BFa! zk-!>W9%I?0up;L1&A`gQ`pH95JCM5*WuSYtO!@st6!A=3rs#93-6w9`jwRiV(VkOD zI>X=^aZHbi1CJ;sdu-M=QTt}8;Fy!@%baJ|M&`)i+<+Dc#AkF%Ygk?1`im&(KXi|7 z;ovd0_X~=Q%{!D-Fm(yIj$&c8<{wfaLMRA9os!ft?nE`J!0m>!; zn?GVCfs*@l9F`HUkK{Z&r?7Ax$J!U-6pigfjseXzIhEHPGmCqouE8&Ljji2Mayq{( z^N1LsoD-7A;U_U_fqM+hHtvzTn1ok#ZN6V1U}hJwk+LYP`t)T?z$W+&82ZvQ#@w%y zraJaonU2xo^1BwVn3;W#-i?c!Hy+yNEdtlfk7ryKRv(%=@MUz}J%11ykAC~L<>2(x zKdrd!mv%Btf3|BXHaRVQ-)3%^IRwV{;$D#{pIux&pk*;`nDK}Ob=}uGzxnc>B^*6SEVWT+(L1_|tU9eM3k#>}~tdA9fdNf#i+LS-5ZQU zB2yd@=6p@7x(*UXAqj2pbzaOpHiP3@J0^cjbUX6adQ-W=oIW%@yQI3dkzN`Fg0m)n z{60=EYanM6RWo)kDXUrieL{X!th#<_{}dzOHOQj$d)YI77!a9UT-)RBpFqaWB^o>Z zTl;}!IG123tqhn>-gc^)`E{pQLI3seIGZ-rorJ13xuhVL(3KUkRSd74e3*CRRZI2)|E;!T?-J+<`LIv|FW>>{i^gQ8J6*h;6f<{DM$;XDa;mtFksaw?2?J{yj%gEa|vS8r{(RJ~guD|-3 z}~i;hVKub1fyERUZJ?3OHYDQbE}`1pThV@teLFAH>rPp;pwO$^T& zpkjHHTRD5cq@-S0-q`s!w7jzBFEJ@#=aSRVH*)kVtZC?(UlY={`7-fibBC5$HKL?_ zQ%-LHXjfK=A5XuxQgfRI_W`@Ce|Gsg z(PdsO15>{Nvb&YvHutU=KlYo(FC18)hu#mYEg~C7UO6kL1}(kzymo>+)4n~_XOvgO zWy2?3di#dJ&w;Az>{tYJ23C)bNLVD_Hg^s(E5@g!=6(Kkif0V#A3lyv{W3MXw9Rl? zIv^s6Q@CSY=vmhc>UpzZ(Hgj{VFax80}?re1MyM{Is7=O?+uv=Yk3B3hQ~B45n2Ro zgBf7{oU(^RAo%$MDVxv{o5x2?y!s}Pz~qfhO{;2~BBTQ1CT5QU+9qe%)b5&xl(cjW zpODADoSxnA4#=9o;V^=gf3qt3XRe9MJg)A(W%ii+c*R!Hi*Nx^g|o-u*EGr3ss&) zCz5^m`X{4cc3JyKMBCm4q~Qsh&IuHM7$*D6SR?xrp*D?^F?7!Txs8xpJqdmP0nB1D zT9@rJ$Y|?_#Z3Jn(;KQE_IQSCJU+0f4uain?nM5ASTPA&4BV%a3 z>{x<-O$->$;o8M~J$V>*5Cq^luus-N3;d*7i==A zXt};E?<1nSET9}(P&Iad!N`5%9*F$dI<-a1YxE#&=9-+6ik_8=PuTOi#UF=66j~Ox zezD-|9^R#^550WDUcD=;KVZCF(lUMGnzjFv>YA*{=wdAgm|-VGJ@#t2U2}Fr`1#IX zN@leqTG7dvMzLo6rQTId!vG>>^UOPB~=_9g`cfegSEXJ*0d<&^kD$wwXFGHK(+WKsRhXXP2`^ zMN7JA_ws{)8)CV?0LdKa5PNZy+CSK_4vj< zmqz!-J%c>b975%=ayC+TtDJh)yVrazX^^p zWo(;*&f&Tq7+Kik} z!*iPl?Ds8$!pAP~-8Oy_l*_8?>f20qNf@{$U6R)Itp6ML*_lm@kJ&hB8lh(4|IfpH8X=0LPI@EkoW!7C}P z=!MAH$S6Eu3~uKPCdAq%U`#f_T5j;UDR^?z=s>e*kh5F-v2ui_F!Av4(4ty=G=N46 z6(8sP83fSKazt_p`fI#5AeIT)FA)*6TGRiW$3!GxW9N3kTQDGwc@AWvrHRtA@(PMZ z53H=MZJ?Uc7J6#!?EMkSM=%^59MGmgs2X(fh=@&onF(3UrgP2af1{XK=`b?jxn@Fc z6pdo~BNxxzi8(oMOB&(y3k)=m38T07oK71wN3e&#?m?t06Tvw;f~w8q6WH!4-2ToX z5XfvoD>`UX5Da+2|A5R3|M*i}s52oXItSHwuA<4zYrOPmG!reUy#cv2v0H{lFwlNl z6BAQ&1i6Q{ikhLJQFGWb_I-3bfG=->4wOGajTS6Iwdli#_V$5jIx`x|IAdbX5vsVj zb0H^$p3~AleEdf}H^6ta5glk7C(`VXAT3P@(mIEQ;Qr$^+dG;N(Am|~H?Z~_$~d8+ z7P>gMw$5?qb3ZLwH_C7>=iNsWsV9{5jLa8dK=2vrT+!q+8sfxeM+XNaACXI$u&TH} za^at^mlDnDUE$`ve*KQRh6dWtdH1ds^teK4EkrwEps8oreRB&>hg}!}fldL-G&){ArGm!K{P$H=5^LTU%fMv$Kba#Dw}<)YA*Jv~+aA z=J+tbn3>t7AqDJ@Ao6g#(*(_&=)aI6WIL6Va-Pyd>{%5}djDg+VL$KQ)qM;Noo?U!GzN5kWW}pzdN(Pi1=Zgo(6m5*g}#Sb)OKD%;(TH0Rbrw?EckE z1moH@l!ZC;al$j;&pd}Z5dm`q{{}iB&n+kfDNiVSM!T(V%4i1%Bj&+2Ii^HbkIv!c ze@g_K5G2MQK|N@~K;q_*^A!R#3Fv@GGlV>o(1BK3r+#WcJw}>ytT9?!gAIuw%nT=E zVR1|t8~*6&NqFoZ^lr->Jv)g7XD6G`lap{L?3p7(`!GYGyBboTFgt=h5Vl23C#bK6 z{%VvVN*nWrk}^ueA0t=?Q%g%59;bs&NnP~8daybDOaw82!x1X0(FpjD$8S&&NBwqyY3Kg%jSy z$if-n^UKO2;t}jc0!KQOE9vOyKZX|;SJxF}8^gmR*sW_F!|Mq=2XAxa0@UvMgzaEF z^@XSC=Z_GcYp8?K!#+0;6&>ss?1LUS_~<1J)%_zQV_#RWj>8UZ_Kwr(SL5aUm*#MYS>8)N^#zS>2yR{gb< zkrf`$({!ps?3x&_AM8Ey3t-Y(l)WyTTFE9E60pGU%0hQzq-LK4C zvwaFxKKfaoURf(q%>9~OC>Qa;0`Ete6jW{)PYz0T#XAy?O%@9hwLmAaz-k1o-o46F z3&2%+N$+lXRi@47+{b-qf#q}K0~1kE&7%G*;!m4~RiA)rt}~?%gxwQrx6Wi{o>5>z zcnyEmSrIS3fXA4@#C8b~I^CF2GbYjSOR@rJCtr4YKifk7;3jjG;gJ*bvxoD_H-9|2tbpK zj>Pd~n|z+lvqj+q+_s1 zs#kHeb+I?1ab}^jWrrVq83Fz&h9dvPr$&ohMz#H&*BWn$EYVip|yY`R>T75JW^EY0AIv7fAt=Jg1xV)bwGWCWX4Tv!%PTXCmA+t)lRr zE$HHBkV^jmBrC|>DrY1NEN*llFGy=sm zrw6^yvVuzrW9~EZB>Q#gjqf@@gA z!I%3p?UxL6i#h^;u((Q0n-giKI(?EPD6OWc<%}+d;LyVWCMl?Vm;X#UphoaYo&WICpT@>Y@I{DZA=pJt=FB{ z?O}q@lGZ96RWX6VE74kWQjblmOQNN&e3bc)1$=*EDoPW8i#C`nzcF8JBDX`OquI%B zKROzx02g(wiy`sn|Y`b#8Mz0=TS`dWK4zY7^F;_}!$h^N@3oM#$ zO>i7FtNzNY%1bRdzH8aad9xz}c_vmIT}$eqtPKQadpZ3RJM}Fr3_cZLtx9vr1YSG_ z@BBGS(tKYx>9zqO^?c#NvlRw1ie~C0_n*E|l{Gha_d~kBC`hq&SpW6|B(LMeTqtr{ zR;PqSQI#s$FUtR{F9eWsNyoJJZ0)e zeS+Uud@dT(I*zJom)-Rm(`r}&$EZ2qONk!*P_s;`55aE|g$tcqC_4Q|uA~x7%&7v9?bbGjtK5P!Sue@K+Ns`g{(^h8K>fBy7D=<`Y^GfRTn))_pE)TeLoDSTAX%W) zjd(~W>^v#)d282;w}|QC{rCq>bFCUDYeUX9-$1prdQ{3LW2`3Sg@LrgxXl`l{E<{% zRmrt6pXAaDhfct;_0%t}(k0-@O)W`T^~`|F34ZcZU5ANUO*A2kk%x{zQ2&M`C&be= zh;^L8W>$~CU4G5;{MceCD6(Kf;oT}F@E(l4f?@E5(8ROy?az?E+meXV6+?``wX1bD z-wb?O9s>WF9A$UEqpPP0ny!ICrcAB&{+%;)r!PdKmFfn(6K{iG4Z7>9_Ecw%Kh{6q zrzUW%|MY0dkLX19*E{wDO`V5Wmk9z-`3mZ`%$J% z>T2ljQhU(8UVK6mb5A=2C|Ayq-P%fHb63U=O!i3{Ug0jI`5|*axg4YW;_nHuF!+je z(?q6g-v2mVN7swh{xt!_iFY$>zOfa+_|+07^cHjuwR{SB`}a?RvD}qT`Yw{`g6N&! zFYPvkE>swB(Z5c>J_Pj_d=$5UHs?4|; zqrdebCcb{bKGZ#^qUw2-z9#-~24E1%_)XI&Ue>~=X&O6)aa($_n>a=qE!gB%j#B24_v3;Sp4Bdywi&dJ$L&Sh2 zuR6=JI@8LhXs=+DI2D19o$yB*QZubdZk0dR8#zM2o7W-y>O~yp6}2)?-IL^`kKzL` zA2f@8t{A3y?0AjM1CZ~BaUW)w^2W1z?Xy0l7^)5(oXKkb9-I@8d(T+8lMAaZp#Os`99iPuM^MOw+ zo)X;a+}ZNF0bVVYebI3m?oxishW#h#s8mvA_hXd|O8@E2^fZ~u$spi=FYWswpZMcn zYBE1+i?qFMbQUx4Nt=VmB8N#V?iYH4{Ki7uERrX?_R$RNmlyT=lT{)gBw#izC)EGR z_Fvmc27`0g?IWl&Bnr$(qUUz)SrW}zc&Q;e_{F%=O0(0& zrPdyU8TGMfGt=VXfcwgdVy(eITV=T^Tkq2*_1H$ckycT_ui0-IO+D*B+qZuZyMMWJ z1U6YStM69bz@>;nEj?e`PE3>yH~h-`Oi8txgJjvr(F2qXD0W0MYvvryQ)rrG`jB4? z^pSnw&!fs*@1FRTv@j9ua~DxvZyAf{+BvBv{}9P5BL2FY(s^i_2(oxK z;cc}Dh!lPOlFVo4zEl5s57a)3_o{GtCjkq^u57(?RT#(~8fx7~?+(zrL-g(ly*ozlPSCqkxPx@;b#X|?&YlVI z&kbN8|GViGe&ckj;y=y91(N@_AjJ6p48anfQ7itB*QvmOH=vjp7@SYfML_Gf> z*q#3$AlPfc-CYJ_^xu!FCo$@Z5;~K9I4l=iunW*aCAxfEIy*Q^&fQ@AkfXEq?|r-FQu-kM(Ma9A{mJwX zpcDApQ&Vc6Gg?(7Lml6iC_TU=CP8S)`yqD2?33#+lR?8Jt80|wl`{lZU+IPW4aJ}0 zUnc1$sd+B6BadNga2eKyjIcjcv((h-5FZ{0DRlK){K0Uo{6Cel=xk zFgr8F3O+jGDjDCG2G6CD_Nfzjx$*2?s2Cd!9aoyD|jm~J6nTFleAQ&Oyr_WlyU z9{YHOeUJN_JZe}-WW+_UQn_12S5i1|^DVzt&cNU<&~G~)tKkxr$i7#vo7i7{$u1PHCk<2PMYs&}&7Ee*Hi)Z$+O(UjwhaYI7ocO|UJOxNR zYCp2bD)_t50g7MOC+Ci)6Q~V^;k>EXlqAIfHoC@}PdbxsV?|D6t z4!kO6{JO4-@K<2#148cQKghIm$&Ww~bsw3dzzX+$WcZB9<*qzyL!IaeUdg8967q69 z^SCq{d>HaGbRxSE!Tjk*XUC#+Ed$&3X~2!ZhXSRaSDL zgSX0`_qLUgJDWo*y)nMI~?9m_ZE>*vAAAV%9MfS`aQAqPRLaQs>izy z;39ce!@Y;hdhY!VOj>TCvJ6+EU#Qk>e9-)(8<)-%&jn~q)yA{$JisD*K2x_DCG+ei zDyJ{vJ#$f%$HCnE_e%hLyGef~8ZW3r@`83>j;j{7-gmj#dK;$?r7gGp98Zo&fj38S zf9!44n+cc0#|&4FZz~zg**ZdeyN|bO~1LuInH#m;vJ9)&5BSm@U108pW=V`);x&< zvSkQRS^D-O(c>U}EA@tWEatOY#$=MgqGN-*jZT-yL5XE-8u~~wJp!_h{e8aE^A@4YmcabM-w|_BOmR-_0J>>6OyVrfoY5NMfhhe zX|pZSybs;pf=8Ce`#(IJZf*v1y(TZ7!WDY;)}_@=0?$V98-0<=r(`f}uWWMkeWT-- zX7NrOoW5p?D4jTMx?+2xe8f|ueX9a!&$UIU9mmcWEcYgm1J{FbJiKNzTniiPti1iN zyN7Q;Aq79DkGK%6iwpRs{_ zB=Fy`4?Iovx5`%=udMG?ddNlhRvp*7n6q>(n?1D%XpOy&cyO~wqRit_FGebR7^dM1 z5^=2;IK3JXR}NEdGJvQ-yXV-RdLsj^E0-C|d;a2iPh446eT}0yuwpJNBCZ3-+Z|>i zFArhsWc%`m2FQPvASYA*!-sp=O2D2A_<~m9*{9f~VeQoKzuGpnNspYb(0w|a zC1QG6g>msQ+kwKXw_ezS{w0kPncn?YAYaqQrNbjEfcmD+V!5)TNU331VA;JQvpYDamT{_7_Cg;=H-kahwnW9WTQ}#8+#ky* z)9nw7>#a8klPG3wbIb_5-*qYg49RW@Z=w#nHts1=`ZZBnyZtWXd2Z_B zfah`B3P=7=z~u4!gDSrM*N*ARfs5iEh^(g+62Cvg1J;e5kE9N`ZY|7>HDl^uiYIE@ zvGD;L987{QfSqcpU?jiT+& z1hLu0q@K%*zQ~B`D+~IN_p##lFIpmC-SemV^>w=o1Nyfek9(6YDz8Yyf6V>dFPQ6l zIc1`sA7~s1-gM;~pbPiYZDnEp*?%Rznx-8mV1V6hn6?15-v;iyR=oX}@8e^M$M%Ms z%+eaYuQ|evgyJhZHxIp#hVW^+T zGr&=B(iP5YV;)ZS0;@2_kdVp|mv1Pq^qw77G5@~IstCCE0c%j{Go$rQ$58X!p;+2Z zh0KXWpGzVwxp~64jb;lV%JClK_gDf3Px+17%|@h`OWUeAC$#fYhT*6z&68;O&n;Q7 zS--*JUpih=+)T*@wOMnW&ko0eBiA2jcj7%ngFPgtG=$*i&macBC{+|rrE zUA&l*AGmTao(W954l<%Jk2~i zXJYL)!oW&u(d)0j1^x~BX}2%A<(u@y0(9Y|-`h)Pv2JfYD0NmlRku~a*EgUtckIsz z{8M`)i3p76_%A%)ZPI(!{#er4S}07!S`Wkbc40}7v5@nx9+gxO?bqhKRzL@43v(6Z z{HKeI$S-J5IYd|?Fa64|W6ULi#=0oPa-scx{n^4odyprHWd2pcQmubOUl4soL>C1=ZYkV| ztNI(MNBBTut^+Wxy&_*wc$MW%J*MZe#p%D!X#eE9D~oIMYSjP+Q_^ctSam~E!8fbw zL*Jhrgo|HH*8G7>MC_~gV?VBF-OH=~07k!G;TO22?^s;7ynR*J;5{K%==k(^QbDUH zK?$sPBEJ9z^D&y9P<7K1@|!_9T&_N(4c{@Y2YqT8UA`uzx65dt~O<+-9wsLCi+r4~Uw+fOi6gTe} zhkzde>AB@qxavXA+p%B#%HnK&A(Pawdupesph?vq}GTP2D>CBu~@u{D;2mqH( zdDZyB(yCloL_+qwn36%lL2274hE^G8+JH(WmJckbWR#$#W0o7;M8$naSL}dR{h3o? zSZ=wj#|^1LY)CyZ$)$Du#Ip|W++tTye)KG@YG}sL)H5lybA;E$GxpUSSi%(xV--<% z>svl?Ny=~8RUDb#hgY;tDB|-++bO(|Rti z@R*AF&ed&k2Qb8S`_5gv#+H!xIm+pdQ4;#9-SwO8_nz;o}JtbI_2c-pT6V}vwxhlN&1zD=~89Sp^0HnY(SKq zQ`UE0bD%T2OUn5quJpUHqnmdE&9&Rb zI=(2XVBi@RQ_;9V$s-`4>-4S~XeSKpzZzR1u`qWIF4*O{A)DWdeak0Q=z%L`J)Ta$ z8rWQ7Iv(|@(43v&HE3$VkqUZVSVc(5BbAkNh)KpIpbIRA=g)AttwM%JFPT_+`GwcC zkInD$J$zN(=HxNX$SV#UI82JGN&BSLKP+6$%rizcajF>=cB(#oS&RjKVNyQ^bL_c= z+oo0hkH|$x2j_VnXJ1EA@d?R|&t1I4Wn%8#2Kw+=ZJm3+PA^`R(zCJ+9=(`TQB~jE zHor;3BY)4cFaXpy^lixK7+LS*P)f_2$L1bOpkgjRNV<8GM4(@-Pw^0Nirr7C93{WV zt6*UM4b$cAar7|(v$|PdcGQg@QQUTIK>vN^Dg}d_UEJz6!xbJKzoP2C(OW(n`NF^XuDA_&J-2n!7HjxY{wr|Y$`DcyR1dH9Uf=nc82<)VRR3K}zluUe_f78T44(#YdlL74lv~=!43&_)m^RHLU})l%l#!kHv13fJ zwP#2jSU86dQe4w=h@0oWgBL_fDx#4ecp+&O`|aBuJ;T_KM}VoaWmsbI*9GdvtEM_3 zMR;;LPcoW5@0`gfYw54=vEKr+8jn39@(SBmsIMrS*=J8L{$#K(Z62Uz6p+^KB4D`> zd?Mrj5OUV8-;2olzI3Cu&zeY1weD6%{lN>;6Ni!CIv}%lL9ch1#v{4? z6uZ_mval9EOKW}4-879*Unw+qt+e&7aoi0VpyOV90Bn>{b_p*feJ_=bmbz-?H#6~w zsAxO5R7@R{0$%5cwA`-Isb6Hw0?Im$r9)f%cjI5>RgRou-?2?A*asXu{Gzwi?X&WW zC;s4RrDc@1FCCs`_ROnQ)qiGnBEJm`CDW!Nc=cMkgr2_TO?m$(Db1A4tsq2=>Kp6J z$(<2waQ977@%IBZSr?xqf=m29HJ?7uToVqf80Hd|ykp@4%cYU9iQBvSr(_m?-sHG? zTP`r{-S;(OTHE){J164S!J)(8fCebw1uzDG`LT5^W0pzYEr>k#MGZ6OqnL~rRsFVR zAfsK?{Hu2h-Vi@ZOeeAs+DEDG-tQ!qv5$zVnqTF;3+{*Yd|lq5H}Z+k`8+UtM8m+$ zbyeQ*fk%Gz;MAq~u6ZCVsh^HZ$kI%t7#1NqB>Bkmb@%Xi*7g7nrKmD7XFwlFBXRZ2 z{#e*-U$G7hDnBvh8odqUw60mgt)KbSgmYIPm}Ctfh-(^o_(jLPoZ5i2#7*gxckf#V za2XYiYRDOsLDY-a83j#W27jJ%a%*-nvmKIA+<5dP_EpEmi|movO<8b?V&4-RTW5O` zUU5Tdee-WX>b_bj_=5SMXCNFiieCzF^pSI38(7)l;`ZObH}?wt)U*fW?OoG4HV)_& z4UA1ed1XWICbi|mg7P+ay}~?iI{`~y7Z6TLbrYVKq0TmW1qq)hM(82TP75>4+JW&ZT(Fv$Kc(Hws6;e)(WOi zd<$DHz3g1z*N<-*-sTg$^EBvrQp@0`SJns#xnvyZ8(G~v#xjaa93l(JUpr;cHn=}J zw{XORV)lM6D#`c^MA!C?{A9ItZKcyPw=eHnJ-m8_LU1%KKXQv_^auf0fQ)I+!5JN= zmv4AVal`7t38#){+ z1?Zz}aJ3BF!rr!0-;hsWhy@s}Oq2+22W6S`Z)4bbyzao! zeW3d!Yno5&wUErGQA`maVK*?dyeBg(@>Hq!+w9{M_MjM9R2FqVKM*?nyW=VL-+VB1CDB z=Rsbhd8_oye-ceBDACN!GDn!-z{oy#heEa}KOaq?eSzpEnms$O7fm+^p)(i|TAesJ z=QhuEf~Ti{n;^Dnj;^&vy@FuCW-V{qscQNh|-Bj>%mgv<_L!AJ$SrX6T~)~{+rr_PguYwP_R)nvT8Yti5uIzD}B z?)o-&?yQBUxCpJaO$f4_3As(Ut-$V|S62T=ZKAQwwYA^qSl`&(+1cBJCDyTN&`=v4 zq{N`96WT7(_R)(hu&bPKDoRdH-W(_C#;&Ni*A{2K*67n0g*Ft~oKWZ8ZY%#F@@zMma9l}gF z!vZ&Ae*__ELJ(4OgaB5PhxO#qL6M12ql?N@QBl)CF)0J1hzKMh#o^2wglr)+4XJ6g z!WIJ4ib`mKEp&L=+QF9W;n^XN&^rg%wj-KCbb@~Z2u;KI2llzSd&B%oO$!dr@xn;Y z2zExIxcFkSA=GA{oZHp%?Zm z_0_BN^o#;jG$#GBPR(qN(rRqm&_odlz=3x(PuUAvKGL zDTF-XIelzG$YG|`!}x?YeKt3@wl6KA?os}Lv9j_L63xHYH+K*4;Mkys?+6VHlp;dg zri#$R^U=t}Kp0^knfwui*&MO#AcibA3kw_PZTWL?ZH_KF7gW_y(m0x$`uY%LhA9KH zz{A5c6WW{M_5>d74bvUN$0x^v`P|L>|5&R-!k$N>R}Y}q4Zu2??@%g>h!S>1RrS!< zufa;lBz)0t=uS*EAsExs!TNI;7`Xpf&$;hS2npKC3q{q-Yv>gN1cbp4vHz_TK&jzT zQo;FFMm{ldnA%X)ym&z#xrI_{!a#1r(~*LwB@O>pGU$*sM+jl6!(_jsq@rSL7i^D0 z1>bAaMx1iAv0PoBB&UYWEn;b}AYYIS^ezi!egSeQ31Y2PA^(|uD z!#Y>(1`DqspKZGQF>Lx!ijc3y#0*x4FOB7oU|{1kA^1==jf+P>On#Lw$H-<75eU}6 zgqMk6bu1Y@Bh!0fUkcih`*YAS+lBGKA``Lc#6rQaY(fw#e+02^LM}k|+Q#w&uAPGu z%)#iG#S4#-gy2U=Vz4ce3^8X2D5s`nSJr)MTtQaC7ID#|wzajbt!pXA0i&}E!o%p5 z3+E7aPf!2A@W{rN%}-)9z>fx#(aCqhR4`^Ugm9QcOh43TE(`-vnmZ#wej+Sr*DInj zw}AXYT^>FqH#{jYR;X(3-H~!Gfu=|WDe*5fMJ6TSB8fo_BQDf z$p?cq0xIsgw|4Qil%-oK$*~EVywHfHpis8EP9>Q zA_q&SLe9?X*5Aq8l8-Ci3OWiu3V6OkD-PV}M4F{xcmPvw6xzAD1aA z3kpJ;%Mss$dz*zy!Bz6oB)6dH3-kM#^y1)(F1a`l9Z61eEzizQ%;AOiHQ@8XGIg?f z&drx35_v6g#Q}?@wE}58irviG$#$LYe9VBuBaX!+zUZlLUC?fy_piS-SxiuB^Z6szx7u$rn21uGfZg9zMCQGDdRnSAv9%8MAVNJop*O zmr-D*iq)Fqz-M?Z>#ZOM~B^iKD}N+wO()qLHX>*yvc0*LB_Z3Tdc_P zH8?k=1LJbQxcDgD-L6A7&|q>qukgngevvTEO#X>1C(idXAD50pQB=wpJKF@eyukgH zFWtv?6fW0?lI}1BBQu)<`cif{xH2Yvf+QArgq{Fc-5IZ+_O`YCwo(i42q4ER(u*?_*r*bUI|SD(a33328Me^(uZ6Prl+0q zOn=jjb=&HD`Y;`~(w3NpL4s%KkmxHSodLmAo(Ws#Fe^*_=d^T=lzz2KW0Ys^W#E?C z&fgc4($UXv@ub}~Cko8j*Ql}TUKpw7c;EKP3a=dSXz5nZw^=cru&mu+#=7CG7E!GC ztS3K6`ES3*5y6ZEc$v&;B_L<)S0J30CjEM|uS1QL`_JHWI~N`z`{}(wVc_VI=Mu40 zZ_@hVm#nc-z8cBqkx8rTbOw0V|-HoVLY$cJ5$G@nf!tWjtw*$B#F38y6X< zgM0X@<%=AF&9)>(`4fDqGo$J5o-)$*g1Qowlt}iV8r-~RZZn>aCsL2(9pW*7LuO9F zCRfL2%LC-UnX$S`O;HZUJQm%mH5u%gnBS?YzCQBdD7T^g5kd)mQ;m065qq{%FqdM` z98lxfz9_`reA3!Hq-18OUS&fJGz2lr0{r)Fda)xP|A=#qO1BIRu`*Mk^)zpmyO`b? z2&jI$36k&g{(kw070`>gjp@tUZtZWs%ImyIr@6JwEC(K5StsNk^THpBDi54gRdG4{ zJ=`xD*Lg*~yk3RtD(PiFl#l~)&`z$izL&@C zEx$JW&oCk{8ZrUmoXKkw>`cjeM6NO2s|KM{Y#>Ad-&aTP(L$?uUvvd1xV`_Rab574 zC61?y6YU#+9$$^Y+073VIzpY}F^o%1U^LPJ^UsV|OQ99&r_F^_e%ARmftxG-`qL4G z!UHk|F`#3pmnEj;>na4LmGZ(_%e`&A%4L1K%J*8Ta<^lHhZMH0Z+ft{V@yG=f zKM;x`ZBI_J^E@)K()0oWUv)v3IIoC*0QS2pp`Q|Ug2X3Gvjhcs6R6(-<3e@;m-Y^@ z7PBcQpc^M;%C7Ml3$^rKu8~KKX7*FVm(|?pIIkQcASi1#q1uj7WLE4jsdk~G`1K>x zzE}7Sy^`ZgOY|i#ctIE+CQ0|u_*22&mltT;Tp7%*CuGWsHIAGFc=yxQ({X^T2!4f> zBojwsB_1EW+!|Z{*kqeB1&9K)#*Ll&5UV1Im1{KP9O=TU;u>)i_ zhqQVpqE9Sk#_p_JE5ddw8ufNLza?is;!C(ocItpO{j2OyR59uC&jn=?Zqca(V_Xyz zPDE9Tc6o6_C>6_~aMno6xMi?=qr#1A+V!CD^kH1?%c#v&kv7(XUkdeb03~a!�ne z7m(CgUvk^%3}Mlx1(i!U+rsN8rOC+RfQ$UYk*xhyxXaL0lcbw5Tdg_uoPED93YRV& zT0>P$DlqI(`Ms6eJMgDl6TV({%%axZ(n23!`&L9nb-McQ{SuHmXK{E<#8mgJwW0Sl z?Q}&)aqoGkqC){**r)ii+F}{t*`6(iJVAm#>rjU=`2Dx{Jl8v&XKm$Pg*QGtAE-W+ z0-08=^`>wX1CbuTGm2-ZS9O)#)>QXGSGD1%Vmiesc-H&E%)x zt2ko*B9BXH(Fewk{Z}rc^eI6bY~^n;Bi@Q}gSDiO={fIK8$x6!8_}Ko-s4D|c8#j{ zH#W*sZyvBDn1G&V6Jk6z1K9z=VhINgwmn7F@n~@s2U#pq&PP(ltXLqU^%X~vKc!U&xXZWH$FaExs?vqq;3f<=mMFGl*P&1v$nLV)^}9W z2lOWcBQHo3oRCSVhSuBdT`#~7q(1|Mb?HUAqnIZ8yAsC(Nu<9zM+aP`Cf6v5V=s<@ z{{_-w-tNZ+LSC5!^*1DkqdGdzvO7A`EyAnII(E)KP7NWpjcn#Yi zjaWY^__w|&q~jBwe>eXV3441>@_)_3lH3w&{?Ff1_`kETcUb;mVNd>lU}0lMiq(Fz z@7b5SFm!qwJdI&Vd?12Sgr?kN7OX=?@zn-Ua5}y8a=wf{@``FH37k`6f!|5_;fH)5 zYff1v-s6D}^xZ-bh!tj>PMvyTTOqdiLYRu9+y-vblJVQFVtq@>2@Kq{5?Tm8wQ}(Z zzPMX2C&cudy6%}lfH7uHRIjp^Mgw4%nXTvWmovYLu5EbTtX5Tes#rPmF8Zu((kE#+ z;UgxL6Y!%JPf0Uj5T&F7_ClW$|C>Y!6^^-23cc4z_src(0F*WzOE^sA-s*ubU(rd8 zpqi70)+-@7*^eV>B^*@@-@);NaJ~HTxQ>b|b@iJQRQ0LW(2sp59cotORFSm%O%njE zdoLrQLE0f|LY21fbgGZeXP-jpm#aJf?;Qs3i&AoMY((j+z(Rm&QadfJGmalJKjep= zw(XkcVyF%OIfOX`kV_SPWpXIC#JpcK2q8;Tg>~`8?eO_l+S@wO~+a{r_W%& zeGOVE7ave9`u^=U>|6^SM|%r4_lPQ3`Z@@|)A3zRwP>)~aL^9Oy_V!m$Y z2l+f}#R@w2!cx%Y8}asjP+fkQ7rReK?5DJD0Tc1}t^@1C7hX9|dRO-yJUeG_5?9i; z&UeTLI73*sjAo%k5vmdeTal zpCnF4MP*fJrmI{?&1RznCl>wF&b2wJa4tyKC}#c!#R!MiOa?D8p2%pSRczN~bd`K< zLT;+hmG;d=3#fTNZbr@$zI3i8G)N*N$G(Xceb;B~Q^U`DqDeN1EExB|p@~B}CGg|j*Jh+~( zCw2>i2X82OFw(N-I6{&X`pMNyGsT~&`#c(CuO+-Jsre1N1#)Xbq5-OsNnh~{%zbs?NmX0j7WERil-B|DnXi~0(l zC0Q02nG1;YJ|?%nU1Ks<8iLXwcW^wY&n}c>RQ@~)JWe|U;!H6x%x<`VjmisUs*ilD z0s<_kwmO=;%`(T)fI}j1<~#qLJSnQ@iblm3e-?8NxPIf~WQk%-v#Qn+)Pwzlyhjh< zs>nY)Z@(b9LbkaN z)jP=Vu;16JGm^)y*pt(SQmc<{SBq4mhM+BwQ`FAl(7?R{d(5=I5Jf3`Cu=armjop{ znIvByin#3LzQQof+(ts#rCj)uQ^sgzB#_Ddu*)8lJbVAnNP1JD$#&^Zz98pj;uj7H ztJ$RL!j^IjvmYO9f%ophS(XTmP6tkz-Q!;q=hl-R>c&1^%0&kpS^yjvldq#bnc(EmhI~_dj-CG}LJ;J3XLu0L9 zT?2K3KK&O&tT?_V5~hz;I^+^L#rZdNVRT!Wm@`%#`EekAA?YQIZ{%x2%x+{=;ygx+ zZNQX+1QF6F8}z#lr?3j}F0gb!<0wzk2z-IEWD;dRt&fXXMAr9xBC54Rbz6uI9>(m9 zea%%-TNxAL$b>2N+fV*9;s9vZm{CmjSZoqu;3XbX4xMF^U(3SO?k}@Tv?NGT z{?9{y^;Z1&l@js}-p{O=A`D>g`dlxLfHx{GliYEdn$*3m5>-~yU|9c($hiqwP6E+=Auv>ie-nX2-DR@lUY${57^_-mbnZ?ftur~hCbw$L=JWy|Dv33Y4B<*q+ z+pBQCESY=Rx@Fs>6O`+^SQ59hT^P3=b0AHk_`T_KZ|fzH;No$Vvg`g(mI>lY?AzT_ z@fU5!DaI<}8HKw=FKc6P_qW7l1ZF6-C8hzUCuWEG%QKVv+mm z{Z6&%qV+rnVzhZ-i{-L6mQTMBm$RW1;c^`IydXX7r)w2|<52Nv6ch&EXfZ;X(t;k;LCxlNy@a~>}7o*SaRp7Pnc3;;+f~JK}D-w|F-$I4Rl+bT$n^X z6RLil?ED_>8SsrQfLX5Kh>b4$dgO5w>VW~f7yFt55PP{rR{YsU_UMGhCI34POJ3d|M;5*!{|T-aAv;K*MR=&ML8FkyN~(0- z>w#ulDJ#YFc6{<|-uMtc*3Y#pz{mZ7GWVoMnnL^I+v^P9JQ+=A>(&c<-7As$OA;`u z55|ppKG%fb9!#~z%#z-8U&OwlKUyb&i)I;RoSPejhynbHb~OI-(DHRJJ*L}s7sueo zO^C7s^xWzvR*&i=}mFQRGMD6lxU)`VdLKc%HCZ? zOdGG3F@-Xn^EA^XzRQPb1u^Lk44XLNuw&l@Q~ac5@vk(gr)P4>r#sAM*3tyyM6C)!$J)4lCa zZ9hIg0te0azF>H56H|)x_)yTN4Lz0vkQzeZ`sBDr?+xTzU<(ECY4GpwbNM+0}}n`$+zSW72!S+ zG0B4?D;W6fCbn5n!r!B_2Rv?V;E}kpmRr>H(&jlT)pJL$uT+__xWrCZoIu^RZQgI{ z==rbqo3d@WVoX7C35Ko(MU1;I{9R5U!O{ycK?0395gL7M)NixaNi=EJm-H-P-J=8+;>Rwm-Oj>`-=u%?HdK z{AzLVo``?w+@RMmiFixL_*6^hMZ)`($_Y$rc?dl6Dr;P3VCIxlvrZbByTc_P|F)$4 zCkcgJYgbSR18ZbC*s+xRq><7lrDwNxjsMWduGa+%pNMY(UBGJHzFX-O()-p7ubz-; zy1!lF6O}c$cM8sF9-N%JVB-~))-|w7$^ngSGuVn+&%-D72z8R%esANjsTS3>{@y;N zu&}Q`e;^2gKUKGoDaU@OYWJ+ztr>S)NM~}=7 zZ}H@@hIU+jQT>5}Nzj}8v&SmWBHvX_`WG?h?1LcwDl=uRu_!eEcjy+)Kh_B4og?q% zuQ72t{kj~WVU-8->4RubRHTAJduGv8YKLZ*|6JaYP!0{R!Pav9F?NFjI9~nu$t7OeGS<~HBDU=931scl@{KQVttr5(bJRrLYfJ2)z~0caOn)|dS@_#qDr}}>XoYB z0#QYa{C+BC?XJlK5};*vL`E&AmylUde0zt3UrE(C1jKwu`&8ey#NrrP-8}O1ii#V$ z;%H)N?d6yMdHV>PMiG4Y&CCWbEa7ucEnyb)*;7#sEqcq9g^z~qQjr!@woe2iNaZx% z5EfS*Nf@;ZwBz2fU8=f~&i;^7y?IesIz6uj?A-bmuWe&~EnOmW@qgTfJ(19UX5x`w z-Mv9-?pxl}4Q96R?+fUfyy?VM_}EFOln;T`Vg&}T+kfxgq%&Gry#NGa2~&qM&pq0S zcy(uxGe&jdD;Go!-0P=iUGWU&wd(+;BAnxSYPFcGt5@gbUCYkCE86FQkx6-l$gCoo zLCKk*Zg3ozA-$<@^?-n1&CIfJWc=uyo{8<5a~B?+SU_PfVAgn9y?mEOecmpV#gpRh zH6~+7>(~v0yhBK)OX!hU2B6O`G}F)>3qL{Gb(~T=X&J=2&t+8BP0eEDSw_krYYu`! z-%Vpv(ld%nDyiFe6}4?1KIFIlP%t=sreh!7)Jq6t+{04l*KhC1on50o3N5MZ)UC%P z$yDBqmwzbI&N;XP2;-AK_j8*$x%}Qq`g4T4crE80)=VfYsPuSjiTY_650F&Ri;CMj zrq|H5wsWc*o?&}p>E;!Z`FUyWf?3|iyA(|QB%ozV+$WPVvvu2I;uUam^~tFjJ2UDW zx<}y^`nCbw4)~7OjF+S7R`!O)$iRC@WNs*F?R*lLeBuvpaKxg)*No+5HP`!89#PHY z8QFFHLw|Npv1sVDOiFc~B9lv20FRiieIJ&Pv?;u#;`=bZTY6>d4VR>``>(COiD$uY zK7p})6uRJ!aRJfdMnNXgch4b((~~_`euI~524SZfZj8Y94W8?&D#Kt(PSz=_uAD-{ zz&55(aP-@X+U5;h0Z}DjThO_&cLT$@L!b$FEBZ0IP0ws<A_;u#oLH3E_P1YD}4D=>5{7GTjoyMyxT7=x29 zs(#{%_T@XuM_j`4&qwEvFzNX#b+^IIPH!wKUQp?W+;thf6#t)W(bA#eCrFC>wdh`9 zF)5m0YmWu`6!?9L!k}YlZk^rK(R*&<5t*D-Sk^G{6N{D+!0p36=8bJovhm4A$E8=) z4+$5y_bgr@iK_RE;!~|`0uChS{xwX4R)0rsoq7hrcjq?W-qasQw!V7bukx|gxfZmj zn5`AH`b`YFy^j6fDPrh0c}YOWmss)@TJ=HvNUj&0P|91_Uioj0BczP%y3at#HWup>v)ZO#J2afup%IBC9F`Haz5S!7 zcNv)DJMahqrGSY`U{vSe-d$mz>HRx)j#I)WAMnj%E9;uN2grRh0AK854`b(WY6EyE zIw6cchlI<%2pxy?z_Xv=we-*e2Eb=xz4kpNrq{IgYuS`Et7@59c`WW6mYnQLka=6qWXUdh485&bF$3XxG;9b@ceupIdGfzd%Kx5sigK{sk zoB7aHDT=U|o*i?16`86LsN{kdRQVl|%kj?b7v5ZmUQ_4ay-!t53N4DW^v&PF1cXph z&QG{RWbE8V>0cZ7MU-4$hAGUWlCp5|I;XV_je$Ss$ZFc9ohzF++D4~#Rn!k9%vY`w zy-|;?j%59)*Eqld5j~T<#ia48!e8r`_-O#1^0n_BwxvhT3I}nSpy@0KxNT6kE}wHQ zySc}roYpwHdYAa=^Q9APdTwzgl~33dKvB~xG^%*%jEGN2K{FsGDYJcP1DQ|Wz`JVc z;26y;5G0SE^Xc2W`$pz`Y8cssDJ`F4dFK`MVycD3BR&59;tSAe2L&n?5ZU{S);4V5 zk$!{xCZk$d*A^;kGMb!-J~|-Nd|9{+cg-F`p`zm~`?-NCR?s;7YxVRF;FH#McoPfK zvKx_QOl_U!eqZC@QHV>)X7~PFTBYH%@&~M{!BF;~jHb5EUgGzcb^h-Q<`(C5(5dyR z+EgXYrk^%U-`9wm0Be6V10o1#LLPHWmhjImted<@UfYz5{{eNEG3VRB6%dq(PtLBN zJ-lNR7+x_jvb2xE&0p4ciTl*;O=`^*8rOYM*FMT`^Ng<6E5nS`o}FPa*N*QXG7|z8 z1OBp^Xpa!VWD0{ZFF-O_DC;!@&HaPTad2_*@GC=@rluCeENVmX7tcgcW`8Y$CMKSK z(7b+d2qF+@CM*o90u_>JhDRW_B28fcvS}hjiT}{3adGhwiwZd>s}X4CU!f)`0?b5! zW{r?Tqi1#*0x}Wt-$W)45!S>Vgi-YH_zZ&25SKC_V=W~V zfBm5kZ~(p3KM*FADIMBbApizbgleg;Wvy}S?@=6`dT zrZ5V~8VUvEN_-uNNHq>&XN8D>q_v;_QkVfSw0|H>L}$N1^_D@ma_CT1AOeA*Rzbb| zF9!1;0CNuEtzE!|0Mrd6B!spW+Z2X|g9uy*c!S{~0L42{DTjIbcAkB3(#NZ zzciaLS45-TOktSrkWADA3Qx1A0MewUAq2KiARy?!_;VftLM?(Y(~i!5ECl`xnKvPG zE!3u=78nA`MEeKI%!I+lpa;hTVBjAHb#`$X0x}_q2MjWBB9Jy@$iayaoj`%W@9+pM zuu$2d;DC1Jgm#67J5O^x1po_5A znp+?kO;U)`L@?0LAq>?v76CmYe6p^1Xfa4w+1VDF%IA`s2w_t1g-OMgR@W+o)) zMd(`)s9Og@$=L}(nh^7h)jy25j)sucLQVRI&uoGCOavx=3N`i&x&t6U6GAlqN;x6; z`x=THsH4cJsQ;v6XFy_L2$n`-VhWbPKny84Xcz^vqasF;jwuXDS9b-*1Jj3k2YUw9 zXaKRT5VHwEo5rT5rnyQeA)#Sm!H?lju%Nu`2rF$=R1{ngGddyh%U`@1&Vz(to6(># z1ZimlAZQa&Q$2L20dc3%6b5U8;N<@Xy%9WLN7q8IX1g-cUmY#NJi5Doh65p-B+v+g zs)7(-GP3)bFc>+an-rNaG{k_Sq{@WB;gG=}3TkQ^8X8)}#lnXVArUnEIo#|Hq^5<8 zoe1@=x`w8wSFjAs2iid%6`|?$L%hXa1;CK}{ez8A5aS4H8ww;I4T3o1kg)K)fl|UD1OcSTuzpFD)%YWa&rL zwY9b1*tzgrb!15O441)xU}aKHeqrHU9%N{2Ks$&nz#w=N(GG~-gh{r*F#j=*Le5dk zOniBHc@qp$iEc8JU`y!G&Pv z@W%m=%od(2jSRirTOhI%st0Br0J{s}*9ZU`8t;gHxOhayKDQ4xfxUw(B7OMKu7Ht} zmXVPKz12l*P53&AG({TSA@kH>~nK0CW;qk*GxGf5TNrtP! zl~Mn$Z!midnSse5g)3YIg`S@NAyg#;gq-HU7=z7Bkzh;#uK$P)-E8fhhIYAKJ*4Br^vOv^LNbxH7yiOh|fz4Hkf?)KzI*KF}w#!NvXRR zro4_c01>K4FzXQ{HMQVqTGS7; ziSSXR;*w0*I&30*tYFxBFgF~f`fEc=crQ$2;RXiNT=)emeztH+jReVg5sFxyg*`~m zyKqYe33?Z{VcRh8g2F)QI4L3XEbe?8ETrpH)7PijIUHc+!5A_6_qga8 z6Ka|VW$6BN-t{PG8rv&%B|MB1+FYH$JCl@vWhE$Xmsg+ajHwmnsIX`A!Q+wiAvr}x zqiI4o$Z}Bq)-rl4K`;*zQBz9^f>B1sC(Lr{&crImVxr zU`phdJc{f}4ZkIHKJCKP34k(|IUW#qJ}&pA(++0JO9#7%V!och?K2z@~|R{ z@C8v?Wt4>HQQ|@FIbSDjt83|>vz=eA)bf3be7-H(CA-?*3EnlZGp6Yqm>R)D!9X#(sQ~JlbDvd0%-98-zg3ppDq^;$b&*OOA z6^3GmUs>t73YJC2ro;z)&|2M+N&yxxr`RqkUG+$C=07T}2s91ghlZ0K?kIm z6f4JD$w_Bkx}=Of_A5`%i(mJA*S1WiCAX~Rf%kxg0OMk7Om-Uz8OzLHbaggKjDG85 zSL!zD@lkF)mmj4;6QvNh3m@H)v%lD$M92tDVdcJX;GNXG`o1UFl%m8_Ablz5ijeE) z{jHVj0-untDOfMv4w=j%Z&z{U-Dg{)wt>+D43k*fI~LlQP4FbNO=+uEx_wx|lbMPj zeKJZm_;b*=5GdnXX7cMH|C>K-Kdm^2XN-!R+UOTDVz0iBO7@e1WL||wO@5mxHU6&a zT8uw_OpV)0|DJo)vJ4S0f+-(p$q9eh+-BLJ7YqMozcY_r~_KYc7}2ppO?ZY4r;U07dJx zEU?V<5N?M;Mpt!+7GT9YD=UyZ&n&qxYdjoy0$^0$%P|%ugmG2X&9`u#D>D18;~`sm z_B6iLyR1!L=U)D2c4|mYb@}O}zIN%Ld za+SYY;1eC{%gB@*`>U6Hq|P+t`OH5+LDc)uEx#Ce9qnWSEONXv)1X& z-ft!Qz*MRgT!2eC_!S-25!U@~oj|fe+4ay!-kE+$lDn|`W?-F8@RI~{7xH&0zRuVN zPMu55p(?J6AY;vHE~+x-_sF zm^^ay5b`q!u$iM1D%W9yJ`3;cpN5t38)G2#s*x0vgRr-wHj}SK4r2@xT8)1>Axl=7 zPuEKiHRRirj$#FsDuDpU09zkz=^CPMkHI~zAk3jgS`oXqrgXof=Z=3E4uma zZIa_-8N~IAk9GT&*fWFb#=-H}tLg$=lSn}ffvag-*fD8G6e53dNEn9EF%a~}bA-y*XCMqaJgFiToO)D_n z+rQiROulpKUr~?G zGQz~(r!9cjMQ*#{*ptcFeilLndcM&D17|X6$)73tl1kcW7n{BS(!)cJdNlrhPTZ3( zTtrYKd?{-6Eho#kXLWp!WOw+%1*!U#OHkJEKthn_s#4=U;j#<7rVRsE3Tq=8)o!j& zpfKccZhj3eKlk%t-qlEo1v|fbBp1sC`ctu`IC9F}D-e~O{QL{!3_7M9`rPQ#bF~o+N$CL$G8V z&NkW)Bn2yF)PAb-eLwyR2)+}P+8Egq*Pn5LdY9p)<@QyB{zJ4T@-6LdbCtClfrkP_)e4MHW{G2Hcf26 zS@ywm@B8QOD@mc7`-~a*;^QM6=u7PTNxUba*=azHx=bA9ERpFq$?+%_A6mblZD6ax zEbPy;gQV+|p~N8oAE%Gk(?d;DBoIgSC5rd?fpY!+BObd>A+FOCHuB^#5dFG!b&2R= zWGx%h>w(N&?Z+At8Tdk<+r)Ibh8%l3?gRJav*0~c4#8B>AE8#FGsRzmKkg;-pUi$E zvY%cNW|0L_sXROj$}Z{&SC$@=6|MPiDCcOW?14|5@sLYoBt%O;3d&MOMVEFnQ##?+r8B0ax(q0iX(0YC3sN0jg%8!2Q! z;^K*K0eAL1OR}S7RPXi2L`zxJ=MehP>o2k->3hWw-hI2c^QS-j&xFMomh&yrPnVw; zQX1pK8t>utb2m9sMm~KiG9Lbz zsV@<%BaxcqTK`dJ=;XWS71&=0uK|JD*lhOGND(#`gfxm3nm?BLZTrziBJZoUZSnKK z%ke>-OtDp?)^Idmmo7W5b!lqBx+$%sbxTCw=%>&Qx#CzLRr!^Kq2pShH%ylplV+ZK zd&1|}$g1k68=V)Tu~Zq4fPJQit7VdZ#-vx@`}Spl>M!d01H3IYoUJRbEuTF>mI05& zOv3&&vD62>D>M6wYj?MswXk8Fh$pP8s2(%kZ$1$ST?m#0nW7C^w0nKM=nlL4NkrFP`&GIz;}a`r{>;%Nd54OP_xKUEvE2N{b%+G-n8$ZOTmS6`UT93 z8>vSrRj(?^`5SxDyQ?ednc*XXy*(RRJ{nL>hb@sQb7k}??Lh3>)?bQUSNAgK)i3fP ze@UYfMb0&F(2t_CQ6gERFdo zi3Z$M9c@dfAishqz8icQeGn(=wxrc-+`zI~gDY_pL$d>t=Nxa^>St)RGue3O^2^O5 zKltNYEr|Qr8Y;J#Bw+P|LIv(ftOETrmJ&R9-bkK_7S2p>J1aKe@F|22`@48{&R zB)dqHoM$YWjZYp&_hq`23;6W0{Yip|tQVov`T$E}Hgu>(NRQ~KB(2#@=1qWs=5K3f znsQ{ToqOr4r->knpkPzgn^iW4^vj<6YZJ~K4us9D3ND#w z&K|4~ie^-vyS3>Zkgv-|j?ToiXzT3^u)n_!VpzqOwY#R{xt&-gQ##&02@Ij&{$8w+ z#$*eAfjV^Viu#~SpO3-4Pk9}us}+|E;Y-~aw>@$UF6yk`Z^4h#cC33Jcb2@L=~pPH zHuIaT4qLt&-eP{6##Cg=NYXFA8q|q0xb7eTZl&EGFs;Kle66GcRxaq z@B>nsJ~0t%qakU|2|%3_>!sKaM#tc?S1?99a*9AJ%B-Pho->=G0R)3o-C&NT_i}lp za5C`t`7*tL#6|EJGuL$&8a!{zM!`xWULf~xwi%F` z+Xik2H3;3F<1JFE(uS&Oj(5t9g3``zd!~^I8&)Nof|uzjjcwcs-mMcAx4oCmnp#%j zq@Yk=CFwemFaSsQ$t%7gVWVT8-eze@#|TlJIv6SF>jlA@=Q;aHGMi?(Ty5tQG0C*sJ9JrPJs#6;_UlPYo~NOgWJv|DvWB;%Qgyx z9^8;-Jyan_YZI*8&EeG+U|FX6{$}6YhDv!!14;42GmK`+mjcY-z@&bk@}`%= zDP{J=^?smKx#vf(YC#WWC!eIROjT?*0ITmh07cLvrr#7teVoFG)24)A{m1$*3)42z z-y?nGl%UhGOs|fHr^MDUomUXqX5ZW-{_CUa{(NRzzR;~lx8r~{1aB}%v?MQuNAFu6 zP0f#y`0vITNso6eSadoF=0Dv4+6xA(!6(QevnIBQ6)L-nT4_l{B=HmQ&+3(=`Mrhv zATr{`qeV6mn(65PniSJQwazPc`6H zn2}gRM)N~##vJxZ<|7a zuIpRqE^~iF-@!F)6WW``MBz1zeBBbnTzn-0qxFWieJuK znkx-Keo9SE3yO6f?bF+Sb}`k{a19Fk`J}@yqF-hpMA5*r={2lgcmPCX1YQfAJUBMN z7eUjr#D%3W4p~Pu+Or3?BUNCTyyORLC4{nk-FQ}cZq?5&U2$!KwwO;+9xad)sA3iV z+55r)-idl7@-;EEd2`tba$T=BcYJjxSC0ac_BdY>YARN4LGI6&&c>Ik_lSHn=p31A zqln9kSMs*%1Jc*jYd*ia!2_ZlJ1I=Zc%@2>V~MU2JFHseV-g}Z)`7-mQzjmw*)AX} zC|j-lqs7nDat4`j+;?P!f#gS<_fl73R#>*qjkr3%N&;Waf=1zOtq!lGU;{F$6Ay;7 z-lyBPPeY+{_Y0V#z|&KhnGQ_ve5QWkAr;0loVMY=3@MGsUu9c`?P02gFMA<<%6ZU1j(Kg!Fy*tK z025|0B2Y~O_@lpN%!BOQ-lU)4Q13k$f#c-Jxvyu^1V8c_(a;^+6(leC?R7sK#BunmFN zhO7b&>sB=<c1nxGH2OJAK9A~`Q#x*b{6D2L(kyOOy87TX#^$J7@`z%I3-d1eh9-JmeSUHxhXrk1t|=+&~uFHlLz9=`opGQ%8_ zP~U|Nn7vm3`FUlMma+X~6()^e`3UTRIgPTh znRi-0p^ULP1|9)9;1zvW+0{RJ38QBghev(-T(ym_Wa3_2u^WDa(=sHg>4tFtVh2Qzlg7e@|(r)b$DiKxZ#*nf@Oh1o6&d7aSpf4vD z`QaQH(<`}t8eiEnuVrc#mq1EY-+S{67tk}k21yxps}v0K5%1EQhbL#}|6C&J`@H9I z{8GM&M#mpl`3tm-c!%D#2?{UBf$5tR)gOv^r!cdYIi!8Eu?TmivjkL>*l>lOu+z28@U zze1HWZAB9w{-zIECoRX>AIt*aw8+!TrxBod~NXeL8**YO);E+@W zdbV!i@ox*ijQ{+#fWhb<8Jm=oU$uG6XPr;a`_$0E9}GxpIQNe4ku!UQS9XQ$@_XCA zVGw<8m*2eM;uqG?w*d@3_nczBzI9yLx=AgC$&lH~_Hxagj_qI3^C}JDFsFc;(OZ2B zYZsr7P4id8G}4hDK7DB;Bm<9?i%SP4c2H@6ijh}I?I00@nr&g15|L#*d79CKN9JEbKh3lAKlUjaFdLf2YXg}-1dHnMV=f?& z+%B!MZTc49*lbN!$u_tjGLb4pXMwSw^LGTE$Xoj+mQ50HNjrJ{xO(dJA+6^enb>;% z+bAaxl!a_p{1~4KaDGXg(|$ znc~+Et(ZF_APtDVfnnei0Y&4`FC$}#j1Hc@ z_|i8z5lPQ3E(N!J)4qSH(zdq6t>e5-qp1CzR15_)gp2*+=)uBJ2#V;0DH|sg6c5}I zaV*nuI;R~|`xhPn?B^lT3lF)}``Cp;qI%Fk}iUG&JT9}f#D zM71JgnPrsrU0lOR9l^`Y{Ml6`3?^;+cO^CRzcG0f>$-o8&FnD2ZJl4f3T^;>^Dy$q zrb($8rNakekQ}=F+xJsW%dWg9vO0k_1LE_*npl8UCh!jta~0pqO`Dj;l`D)=St zkdohf4sAC!0L^W|yS4Y0QB+I+_c={`!!_!i`!p{TdWL^4GcvJ1je>H!o)a_Z#=L8v zT_m}m(a>e+7+XFyOU~)z-?+TCgHH`ajarc@weeZ`-xY1~=xjB)M8)YO9+~Cc-VLk$ z+BB*QEZavY(<`J7U5$N7bw2xr_Y80D;*iits%rgFGO)0A057A<>xLP{>q5*@`o6oWrUdu)`V`aa?kv}1_ANFpGkw~1_oc@|CWAhGaW z`-Q%z=6<4MV(sRY28M-|9icBw?0b@Odd8ty1$!uX{E=^mE}6xjdw6s3$^)-khn@>c z-ztR(Ivk-2n5z9ir!XWG|udCk3e2|ILU^EX=u`1GoVZW&F3 z)3@kk{OYD4d|k~p{KLuJdx|QRodo_#>Ag!gI8r5*tqbQ^1T?;3ATed=l-1(Z1?=$b z8R{}Dcr$+)Ng}O~l*@DIiZ#2r6H0eh&Az&RaQj%?C?wN1Y{E>~><7Qi1*@6LheKRa zy>769^*}2ip;+88MQ%dI4EQ-Iw#&N}Ae0|3!Vr{w>)?cN)3ay`4i!9 zJ&;oQv7srCXlALBVfLz;4@Xec9?|TtfA}&4nMts)2suGl)-bUDGMTuz{}7oFo`etK zNzlA8RNOU%Cdwgj3UXsY21HXB83}^QBqJxMdVt7E_upDf3CRE$;a?&XLX03GsJyui z#Ai7;2EfoD7p;?%a|;aH1&Y5?OgHxc82AgG{WT%J^oF#DVIL6b=O9M$FNc|%n@jQ! zah6|DQU+0&gNPU!8iEi^)W2Fx1U!oHVj>t!*hD6R!-N9Tf&TS@PXC;lU0A+_p8kJP zOcW#(R8trMLPv{$&oCh&A~OpI2Pf1wNJh)W#SMu8|7S9E7-Y&sg-n@(LXaU6L1ID_ zs>ojkRa_h*F#})^{#pku5h_|lvUdcH2{CC74o+|WK{7L82x}$=#AG6pqrYo`!IGht zzK0G7Ldg5!BXlPP5vh=1F)a;BzL(PsNom_VOkv~*IP)*4*3$#QY3mT43GtbS2qWz= zRO<;;)u|~A^$d#hOc)A8WnMzZ6XMk%DJVh<`WL~2L4hDNvFQ*9BLf2i3gpv#WD03% znIS+E>NuD1Q^-cE^_S5^=x9w5SQfOCJ_Lfno&~@N44^PX#9vYqVc3KLh}VSZJS*$J zTH0{PN&8>C=6_+&F(k1FWoUe25@g>^tN1IWt*x#73e{bQKz_fqK-?yD#1Xp9hDPYo zrc4;D8G;HCdft}rP(TV%v&W$C*YE#_wYLtd;(H&pXHyc=or@5VkQPCZkWK;Vjt$Z! zA<|tE(jZ8Rw1i5k2uOo8(jZ8qNJzsw+t2Tu&+okFd%fq6^RDY!vxkkd_6+-3GtR7g z-49B>373E6w6N|4g}D9RKOvw*`zxhI88{&txCPo)*uV+5k3$a^74b^ZhYyYZ@zVPG!43Pv`w7P-%thxx zXegW$0a3s>Ck%9k!R0Ro9-j;&ot2eU<}eW*1kqK)rKScp)51J7Y~_VPzUI-1f0VSd zbMP+C!>ukXUW12g;I`10mX^N5Z!E*mAgULx{D7S{xNslDNBV|&A&7~QZeb3XsJ z!oy<)-IMUi6JOt;^nY;Yf0WM{f0fVhc13U-uUg?jtRM(_4R;Y3@PyfK6!#4uT-BSe zUy+$Bh&065SWyh_1YF=A1~aSkp(1E7=#2Ca3kX6WLt7CLCVW&7RuF`^hP?={{DXJm zzM8_pCnSN-9en(Pf<>8-P*@H!{Tke{?uftznML%sZ^3-GgtQzSS}^9k0;NM%VOhwW zMF;`em_vw1kBTagZ-Nk%s1Ei&IB%5t+1))Z3DvoZDiG-zVJ`_`87-X0GCL=?;BTVJ z_7y}*5CX-0T3JBd<`C2a*Isxp@nPl@?pSbv{ni76Ht3imW7Dum2wC;FQyn7Dc>NzkB4zt{; zX&LY9k#C@8WDyZOTL5LT{)>UOx6iMxbws11ItJ?Vw}K!P1`6%K^=A--22-HO$~*+Y zZ&ZQRNCzl2(is)92Kfr2N2VfUa8X@>m6e^HOG+s$;+nFu3aY4v#l!q31RF}tAxHzY zq6s&qrKN=|f*#sjBZ1Ej@GJ<_bOB#~)HDH@ZVt=59{P7SL_|b%Sw%&qIfRTeM}$2` zdsAHMT4W0o2?+*q1g+Y`sGLAyFX@yXUP;|$%RNN!cl5Xwg5WC*CW>uF33nmyAP9QW3gMvUI|Kv;g}lt&fNtRzqKM|= z*Qii0FR!v=gFllK8iC_?bTlkE2ocSK7@x?4qM4v?JvRv8h)3zAQN4L?VG)YHNd?=@ zVUQWVj=&5vJ_#E;8~~`xh@jvKFx-6`IhHN1Ae>Scub2@(p%06ai020X zZ7BB@0&F7P6_SQs-CYHHH)iAu)}tLy+x#y-`?A<8F$iJZV*$>arIkGDtDn1_Bq>YQ z>E0|m+`Kre-ABW1k)vQTwnzYZv~2O>CWC_6ybmwB+sgw4Y=@$_R^8@{(=#)_|)`n27$9s7Xp?ndCf zp@&bt=qKKt&Qs4U)g2@G>ALaxnzo}0?`tm;04#7vy$lpT-AlQ5=jq~}^R3E1Locvw zY7K_J<0Aj)#Dg173{JWyzkg$KP+j6M-+dvFdPCKYhG=`3%c@ZL5n31c6{4E$t#lsb z^{zR_eC~zr_~05gMc?d(f_TC!$5!nMz~DtUWbnWfNF(xO8LPxUwgqiycCK z;Nv+NM`$eMK4@*pIpN=eRn7mXe3=1Xh9Dy!>Hmj30}xFl5)Q83*!y+QYRTBGpDA0s zNXta)=5OP#J=2>YVjI}YU*8^f*K>|?BB-`w=@2P;^M#WyC7gAji8|7O?dvl@u5vpL zGJjg`DjUtO*zxq;mk((&`>#s{y=wxv?H&tZf&%*;Ld=^Xnau|7M^ypu4CF4?S=`WY}8@FBUOr; zd_lu$D9&08FQGnNmYVpDe3Sj>ua94W@}%f|E)FpYkFlT!IUQS6WT(~TQ3HK4Ocq+* zU)at8I6LfOGEOB@`?(*M`yFc0w^ z$@ZQfG|I}@-E-6UChD2Ha{@N6DHbeF9efiqaQ7)a3m2Lf;i??$JJ`m~PAJRstd$4G z{SyptnABhKMK>(kV6;x~e<(PQ(@>XOBf9(I##bH+fT6H-Kf@e|GUl7@7PLY0 z$B#&o0}LgArYD3u#y^wI;g%>b^h{eVuI%#Sb^VcUn-zn9rsefQ!0*)KC>KFoBz98u zdAv2#KB+e8A^B=S?(5L6o7`!FYi|+lF z1L??<|iwl_#U0qZ8r1|I4(^bhV9$e zk?NGcZ5t!BymT%O^2tVJ!T8YT7S*nxlO`KWKq`b!CAXG~ynlWkuho~RYm!vh4xCRe zMwaZTDmhj)B9`@NyVS3D4b6Uw!)~*W&+$dF&;n0>^V*s?Ef@T+Cc3Y3f?VB@UV*WniB zSB1}xF9W_2hJ^DGG%HibLW$hy+XagF+F;Sxf!KJL)MickXvIq7RTTPlzNriBC<(Ny zTF>7PIv;~~==q)EynN|hSPhbDkE$fwl-XA5wR8AHdWOFr>$Gcus!!ERA8PET-=s)A zoqMk(!Cmn3u86$Ke7AS$#l8CFVUSDyfci8&txd3~DQv<$u4~Pk4-3M5NmDg(^!h+h zg&Y{{Nf|r5Q;={z;M`rq1AdNj1f{Q2E|ux0(u2y^;HAoWN4u!mE`^g+?v3 zSB~4`I~ZqHrQp)Pr5%|M;4G2fI7g!r&A#(s`3QuKAcEG$^Lm2rukogq6UeZegVe0S ziTyJfXC|v$i!nMh1Vzb4@3%nJ20HTK44vUOZotsZ`Q5E-H(P$WnH!O3sR>LM$5~BJ z%-`{d=J?IEX6FDZicHK(7jj4aw^V{O=^e)poPxx>kE3)552aq$5$t0E{8N`7mk|Po zd*{7>F0r@MeIY>!`i{7}A;`#M8< zrsWFX-XP|8e(i^Zm;)SyR;GNQvjS=ln4fqyrfzu?!}C)3$(JS*bO{fNmpMVSK0PDX zfm&SO?gI+!iyu7<^tb9s?fl#})!l<#aHJYvt`GAQ+JW`=^sXO@Ui($Px%+t1@8NgJ zlF8{^Pvuwmsu0on`}1tz6HNL%Waw#LYqzaU!P1>Sbc4*oJ~NF@ zpj@H)3GMA$(xM4jJYRpjCer1+Q)W6af$_j0dNfD*tQ2TWTO_{`u~NxMrk>@eaA0hO z^t}b%vj*%9_$N5#4gCPg6nkdeo*4|cN^9tSPPeKy#pfeE6y^m#FgXP4g!aP|2>{Q9 zuEC-r1)UkYp%O+1SD zR&KZ6>tO~A>;nEj2=$#&|KY{4Nj{%PCTZY|5&MYX)hPN zjB;Fx1=68>CBHZES6hNZh3svs>We19Qs&CoYFtbbXll`*&pu0M+nP0w`+98Ag?AOAC(L?3oa$zTdEK}RJ zVDwYYOTiN7Lh|hm}o$)e-jG9rz>j$%bCQzAYHubp{FqG(abI9yWE5#THNd;6* zwQfl@Q&EM`PQ%th7K6R5VZ5jBBn@>0iV~9bHl7JZP3o?9$X!ji;dX(Njsk<--(22z z!$nHp=6#^%o?Rx`;-Mh1!^0FYQd5rsEz|MUFU!8>D2ST)i`ur_J}_=B|HJ*}F7B5A zWw}4XD>$s!VpI4Uj~01r7p^eX|J#3v3lZ>a&i~0!UX?)rPAnuMih4{1-!uG6oc;@( zg8xjM{tKLf|4f|z3*LhNOy2$r-h%&3-u?^T0(kNyd_z40KbTv9XG;I?dRy?{{kH#- zxBr5-;6IbM|AM#w{!w5+mVEIuYBnbGwZ$C-M2P}lsZa}QcyW3}57EM}SLj!_CKk64 z5IyQQ45$TI9Eaf9od5l=`@c}9|Ni2?ul;|Kv4vNXd##B7{;&Vz`u~ZHz2f}G6T1e# z{@;?Z;|e{z$`eJSoVj`84eOPX(IExc8Cv#*!VG*vDQjqLKyTUf?MJgJG9>b5!P!9a z=!BBRZz)ac@jYN}{8foF4+P5-_9l84#$Z0EXe9%f{s9l!g3V-&^xJx{Y$NYAM1$`J zgx;SW4+2I-sI=~?*GGJ2pZ+lP&LjJto!5OeC&nmn>(;lfd9gvSXR(hLi5Z88Q(oJJ ztTqw;bdATBNd@eyz*cYNT8e{534;`vz&dPn-;fZqd>>71_j%Dp^Gx~`J_sES4waO6 zYt_M6S*Y5-&UEl;DfdK&=dHn$^yQKvN^_uKx0v$)%l>i|`$m`6$WSQRQp3U8BzufCS z#0ernJGVjK=Bg-uyb!%ZO^i(l}Yf?MSMsRT1O(YSZr-lkoA;FxqeXK!?qTo0N!tEwrXaaeI{ zDoeF6ZF2tVV@Fm*4r-P!F3`Qp6{j^gWG;Wt`|WLfdbTkUR>;<8xhEkuG6mkUCy$J| zQ}|rS^vqw|!8MiD|GD?J{>bsC??T11U8c8SijCm{V{Vkvag20$t<@xb=hy-6GEAhg3jozgpy;q{e)Akmr0HOl zZEo11ZlMDB%3oAP31&S)vU-P?BG(7|4X8)!rxAk^>s4wLMqVS}i8&cV3!jqd^oH0F z;_`0R=av8_XNq=F?-;lD%f0QVfS#05+#z)$ELmN}Ep^EH;6}L3Ss!qwTd#cCPoSPG z3Q7np6tO?)$)8-BYg9|Qc>zrAq?PJ)Ct07Kjaxqkqycqlc|v9%BJo!7Yx+#nsIrSANL9wKyhbnD9h>-@p1(Js@mT{82WL8}@56 zN*6EAs3hO9l|nRD_@qKfs`Z95hb+K+QkcP;?fCOqrODTw%r|F0haJ+7QOylNJixEK3`ZeEiEeWuv>d~I4H|Ip;vuh0#es(BwO}x$1?AUtw&Ym}?NP`Qo zeoy4`TqSYpaOeF}gpCXh=e=ol@2$wt_5}G1HeH`DFk_tNSHLhskNttqUhvB5agjVX z4{lkK8uc#+EtsxAR*^(*hdEw$U#0&?%jaNwICm2gjjdCsG4 zQ)zPHdSi*5^&#(oLmfILaqQc8uMt4lz`~1OK=V+3t&AjvU)es1d0Z-Oe+;6DT43 z+(yL+d@~gpz8roidn9*YOgVq}@XJWJb<1g9+%Vv+vU7&JuSmg3Idbvv zvA9rTinbMl?ZP6k)NuO!*_iP*y6q-{l-kb`2Eh|h2vhsTD>~dV6 z9lfV>R-PoghWWMLaz(ROC?`jo`r;lwJluZnudR*dJKS-K1E?iwrvL`yIdUM zem?U6>g=B!NgI^QGfz!US$0BzZ|u7T-Qfql!t&!(bZ-`GshcNhObY6J+6sqh2ZGGu znf~uHZ+@YG@aEjcS|1LhZ8D@h&?wlZmCk(k9P+mcr30d}iT0rvj#9i{qQa*VS+9Sx z#~DJu?j%}XZxG;iK$?L|kG{OpUdM;g+ZbbAo*gI1_gl^{DJZhs<12B9gv9Rvs<@>u z1q063xcEitgD;sDP4V|fp#IL3ZP9w^qDs~}VDbT%kDymS(|wdcJoM0`)MZZc*P|)M zU(8+-MNSpBbiqoAHBV|^P%vj7<;(BoL!Nmv;&N2m=v;^{`i5UkV3R6UM+C&x99}r;0rz-WiB<;Z**0tNAiJpMAOUlG^rdxd#nySfkeF&uR}k++%+r z@|?qvMx#eL5e&>kjA-XXf2MQ^i%HQYtk~4&u}fS6`}S%DPwQ*82qgtImb$Ox6zh4s z1#-LIqurLh;tp=N2HYAZy+t9$EhUodK?KJdbl75w%>;`JW)nZg2aax)e*r%@6sVs^ zr%Xx33?>Ns~e33@~Bx$1S z_tP?5Z)IH3+jDDG9aGT_*i;MnLeYjk*;c8Mn z58DQvYMndX&u#6Afa4LIKJX`OfAc+~8pfzoo&fPkRy_s8&kwidr3r0C6|$sqPKW0{vbLv7t~A< zc>BJ7xA1dc=@eI1gNspyK~Vbrwon1#&5&nBy}N*jKY>uZq3@LCk$XMGB4%X#x;4I` z!+Wc8eCGQ~9wR@1UTDgXol`}Fz?T(o-k(rOnZy>pnK{J5C8cB2e`G&Q16YtDHNDup zG4VVKdV$di?W_UWU#GVOmX&(i%s=cR?ZEk`-0}I|D&*s@=N33-`{Znw2B9rOvE$n2 ztXiJ~R%C!nNPJ#d{Z9;B{JDcuMt*h8>TU%4Ej<(0XU#t-w9WlMWzEO-Wx`t`dagB{ zySQ|`THc{i^Bm$5@3wy8G9KB7gOZ2kAGUtmroB2?vfRU9ASn1s>(?jQUUF>k`0<+$ z-5Wre|K-7u;PVQ$_ifYMmSM5#x}I?*qf@`xZpkV+yL)GYS7l=Nl^*J}_Wweozh{!) z^!?Wbr<`|Y)7%joM|u@mENGrs#L|DZb%Bn@kIc?pQ~X}p6B2k-iBYkAlRKK^vJAX$ z<`Me5A>meheuKk_flK~XL){Hi%&^fv47c#fzOA@{r!Q6^TtcPSpZ^eO8CWExmiLd2 z&(<__j!w-TURc1iRY6Hv)%YYCtFS@iIlf?7@3*brgcMx*@!9QITBdH1uL^q!# z=6u*i$I%jI(0kbsQZds~rljWRT?~fks*g2SFZ3jhF{IoXWGCd!Y!l1gpV5j1B!9ZP zep3)gsOechOD`<%=w2isXS9T2=jz_Clrf2g#ZCPo742WZ_9+dUZQLdq4cl#LL!+OiWV74nm)ExLP|~m%29$wWil~(0x-(IA$D|BACM8S9;64bK zR*H&E8(HI7>8}JdsBuH?&NdwwlpPYX)eRGL-zfNlhsn^?%*WemxSH?|JQ?>ZdfhQP zNo#L{jz_~N=HmVQ)iOlFZf5IOR9)Y-0|+f6rxt10rHs8U0TVS);8WkoyT<(S8kYX8*`C z8Xm&~W51l1OA3A=8M{|MFEzBhz_Z$=Epnsa(5Mdx^7P`)-a&e^qK5XaIXsWjxxJIL zHgLaYPf%msi+>xf4tG(t+wMusR{{TynR#IybKin>)=JQ`FJ$PKF8T1cc*MR)`Nds1 z#iwLP?Yc>#KGDs(P8l}9xvgjD+sdg-$;ufv8=tU-b|4db(eMNTg_N>JZNnE#z$GA~ zY&{^N=^Bzz)yT~g9+yb;W%d-O?W-B>$Qp;(WAMi4aqEl0*V^-P0v^T8(!Y+MFLd*7;>_bXS| z2x;x3;$GE!vJC#CsAfL4q3Z%LiHsc5+tKg^wG*CWmymBC1NyAOug7|acnM#)WS`}O z_MT(futOd(i@@S3|CD+MJkjFq7$!7fwb0ZTqbt+~pt%3^ij*!WyY~H;>F=!fA0V9r zDqAkFnUyS_KF>a7Py>2FDXUln3YsQ1$z8*w7M0V4ItJZi8;VB$(IbaIv=7|Td)9YG zUtRuo-}P0u)<#zKa=j<|%g0wPd@&3vjE~Cz14nR7#pJ?ITxNbT$FPL*8id;04?Pp- z;@XcwBi~N00A=+zuoLzsr?^Mzi?ZQ8MdRcNZddQ%cM~VlAIB!CSb-%gcLoWS*GdkN zxgkv-L}=o>}4$mQ>cZd{)s3c6Kr8Z%G*DRBkfe((qj1 z`wHhxTvJ_)+q)~I^*!b_8Zgf8()S3Nq}I0??j|u#?JISRulPn;XGU4mKYM_2|1(&} zU{QZ$pOD`~euH1q(X)R3P!t)RoY6iqXPuH+(Af=WQnP9&b_huTS4P7gr<(RJ0`Vt< z*u(~lB3ZBAGuABvHu>PUQ%gswL%(pzoL?5U^c1YHUsJR&)etva1!5XrIQTSz z$amLyw2{6gXAd3*_E6I58^5bxK03i+Q3puBn8DE#awY4i<}DnZkc_DXOsd=JG0nXb zd)jVcUm@^$2~9)_S|6kbC&E<=rzCBjl5UsrB6>aEgU} z`(bS_!~IAic8xn3qmY8i>q%Y}v*>Etv&XOd6JP~vK;*~%#eGFbdGypdy*qv_tQ$|o zFL2mzYuNa7om~MQ;k;H1Y-)W|mz3Os;x|8jK8|?PfuK{-)Uyu`%gB2NMkFUWgMF_A-n9FT)>NS1i47`AZ_X(_)d36Le4x4deP=^|488zl~>w0^4D+cKi7MFWpt@^VbeHKUFUc4t1Cq@ zz%1-kP}O-)UrzZCb7pNU0ky8Dsz#8~OJ*0>w+%RaTENaVsHSmZ8l8gQHg%0v+dVq* z0!Jh?rR*bwA^0>fGaHn?>rqj6@d`|BCV1fdv15#s=I#TRs0?0tJ)00Ozx82o8NiwP z#lOZm&S~h}sQ!?VE9Iq(grv0eeOU`DRB|_LSg-jH)Cn_n|4?Ut-J;HqVK@s0ic;X3 z3fD9kEJ{a_s2Pjc|AXs<$;|)4I>}(H^B==#UI7fW78RAWfBDiG1W}>b&i~3V{XaRh z!LP&nhj5dB>CQY@y9uwKv_jBn5Cp+^Cv=X&pf2FgLPr6fFp3GmXzMjv7B(2`gu%|6 z92^{+a6u_;Z}IXAh&;qcIS65g?cYr4`UY@QhHy19hcN$vI^q2^g^L;7ws{^z_}4EA zt3_=dIYdUnPTL@e<{ud+JlFx3c$8=qHjQND)S^f(bC~6XE6j32EpYwN`d7h;fl_d` z20_qga|pDx!7wWyE<5cChn2`#~me}@}iHiw{L7@cm;^4cFy*hJkycdnFI5biZ5JWv8cJ<@ALcsYbx1Z3!j(hO z)F{dg<@P1~3$LoE!iTNt>Iw@{;I~kSo0k^~&GQWnOU=!PKfS;l7I!XUz+@+?l~?dP z#qhWO8dXa2AT$_wGl%l;ftuR4Z-+)kMn_?R=lJ+PWG4!rhC#R4B{;Ys^dRU8^NOGi z!i1Z^g6lQ78EjO+34-uo?(Hu(4$IhyEvTva_+gc;px|GPt?*xNT2%BeAZ}(wifM%^ zNTg>T0(u0;F~Zh%1&TmNX*`k9$QWvrw$};fIZ>Jy*z@ujW;)a0lAe(fW)Ec{ZLnaZ z^A*fShdqS4S|O-A4@NoR-RU)lpg#DuAFc!D5W!zc9L0!_!Ur_@EzBBeiOM~TGV_;|) zW{A{AKEOrMsYvTbjy_?LVbV~{BHUsuYVi!Uh%<+v_#g-+@c!?iib!VM^%R+uJ*NFvW>FoBV2pF#ozde}`FP zz})x#0ql@HM2!PpT++bmXQc58LMQA2?%zz7QZW9gq6%-S2Je7+D-3bMRRjK66aHDN z6+*gV!kD+VwvIW31D_G}^^J_-SPAn%IuZnjpt?b1R0%5TE34pb-oV>d2mKX0L$&bs zb@2A)&^vR8;=fpKl!3Rgv859=TDb_1S0YuBib#2A6?y*}3fSJph52O(q%cGr1Y!IQ z^F?^v5C%E({s+hjpK4GbC*0|&;Ul4^XS*qd)IrF|gsIcOu`Y*-bcMf&wu++*O5Y4e z1RN9a=8xfB(SbaW`e8=saKyt*C(ZxxSi@K+d`P~&C^QwGLr_>)SOlACl{%zx$}4K$ zcMro821en78H3r*@$oPPa|ATm3PIoCx2E7a-3np+B|EK)ufvlg78aM+uVBRv21b|x z2})FpVmx6)RS+du)E>Q+*dfzw~_Xk@TvdTt~CmEwOQPT))BCt zh#pqYF8+WZyTz-U5M;l2#Q;GLi$5VJn308ZjXJ>uEG+e2+=C#$|78do_`E-^q)Rat zq;2}DJ2Bx`y3a<_JxE9;_bh5{%&3Hl4!pfptddFjG$#{V|Wj zp7bRaQH>im?4b3?V>g}H(RH~GJPn=ykj0sjXeOty`fIco&9eRg#4&x2pD38%cBwCq z2UA}ZtCVGI=CW7XUcL_9pgVtYhdJ)x*Z$GqXDs2vNDpvl<50XIPD4s{(((2qtpP~0 z`m7$!KU!<^d!x|Ga_bZ*K`ceqC9pClBG$W>wg!zWNk_8w`2Ftvl#Z2Yl6>R=`0cbx zrz=xFRZ&Q#>098YaW>BT$q&g7cNkFB_X_!|0!4H-vm9_9e^I*4^6dL6V~=AqG+g+? zj&25ha-WJa91LYYuF1I9H?xIC(SBi>a1(EZ*KyA$jj_22kH^hRS{aC|tlwtL&SMO6 z6u5FTaGWJ@$owgYxk!jdv;M?^Nb@~#t1{D6{}|Z1{^?FLUt($rCbIJKad7$9rttNP z^L}a$U`NhN!0(Rz3ZD1;I`9Onzy%#XR5ZY1stfb^7Bn-?`7uB#lCJc+~L z>ux=4W!!)N=FgFx{kNL<)=@Ah#u!P;Nf}%_mcf^_KT5)3bdG)9^;ZVVi}KTB3lo3v z`&P&kfzmsZM6>Hs&!IRQdPJ%D&v+f~JOz5}JW+yepjvjD*@Qk1%Zmpsx$+4^vi@QQ zlYVuhZsDCqCBp>_J+K><`&5VV#slviF|KExD%_tuvrlUzD;|wtO)9blBx3`Pz^@WX zN4TW2Pi&-<43SyX%TZ8qo}sLsjQkV*L8?pg~f36 zJ1SMbe$m!$m?M(!{~|f3>|>wjZ{M5zzf&6WgFvNvMP5>mW22Ww zv%(LuKQf06^xv0*A|YEc_<`+(Gj<|dO=g){a}+8F2X94FvmhihGZpW%4#{)f##w1cdjOY@(P zs(o%#Rb&k)-!yXuNjHgP<6XKwht#>9iHyfi2Hh3r#Qt>EqjgVgBoKNu0)mQlciKBH~2jslZaV;WLw7^gPBtTs>QHxYXCov!T3a9GIQ7 z=jnWe5|qGOY*tgwBUzFA1^>B6Z=j}4U>t`;TY=(=W06`xyut|>%t7z_T3!3{s9`%);6ywO;<(lnsA}h^Fh0D-qQPaMc3u0yqHG|;e!X4AvG~Fux8~O9D!m`bW zXLEty(_{(pB|GvvCJ#RV*Nn}NGQ5FOlr9|Z>U_jEN^$4EdzLb#JS3RAk(|!+55ZDC?&3}g(WW-GYI5RQ z-IaPRKIjZ;k;N>K@qV#ebz`>*gHw0)TX@!8oBpgPc%}YEOJcwgdtS&TnIu?;JV^wd3^eQ8a~LtLTXNl{l1fk zNX9wD?rycy)c473Q`=FXG{q7eLX@L=PeDCE_u?X}DI_^!CRCZ?t88H3qp$bJ0g>a? z&^J1foAuX$f3B2gqm@uYjJTAvnde)`sH4K$7|dOR<(6sDEVX8tkaNyQ)x_YQfw~y? z5RHB9$sm!cJy48w6zxEH2ItmcWw5v;W+kGEoQ>gCRE2IfGvJntO@N8GM$Zs)&lM@F zYODaZt`^ttc(Zg=xv{o=IQbkdoc^F@n5Na8>s7i(i?RWp;OuL1v0sTfW#%rpx}%VA zloKJy+ZB_~Ti@4<$e^6JO}(S05mr_uFVPHM$wrx0CdAT#69c&uZm9&}H*a11}z4q(|Tu=aZ@jVnKvi(PR&SWHk?byP)ND1WYH72s#p ze9eIfu?LX7jwYC5hi6-8C586~zb(&PRg~yQy#=&==U8aogL-WlHo5p+YOP+)Wi(p9 zaIGEfR9XGSA~Eo*S50}A^2!slx*|?-;TtSqpl$oUFyZ^4Jl)gORs%bvD9j! zTP}Dn^nv6GiCmj~&mZ+avko{%em;8kKh2pNav*kHm*4 zuzt5lGJmw*c%>ZREe|5XXl7$rdoOTB@{A?jbP->CKfiDO_3a~5%1aAp6XzGetS=(b zho>JR6lssb799zgm)8jyG8MQ+CjaJe$SF2 zzU@4(S1Hb25D;<7?;fX%8#Hu3TI4HoBbLuQ1<|e_3e;%sXx!(0-3TWSeW5&k;?pW$ zCBL^dbW=Jtm^?s?2wZ6UJ(y=Mesep zuI{{lUSF!gsA^uRMLd-Iv*!v35PC8&bfDkwY?toe=&KB$f0zD_>$RJsx009NHKh4PguL~Yvju)z9Y)XaizDOIoO&r~J`r|36Bzo`SY1`s^t<9J zt>ZjFUnf?O^;mb;(K@U9_9aiwoi6=EOC0BSk3rFT-EULUGbTZK0&cA|DNgNd z3XD6y8Y14C4#t3M-#jIMV_i!oHot@MwvZ}#k9Ya|npQXQ=rMIt>oEZt=-8mjxm~Lw zS)H;>&y8`DHr6M8ba$Ooe#P<0=%5mZ6!2wbXzW_vEOT|2BY#C)o)(X$W5h{f@?2T@ zMeZ8Q=6=`KO;c$k?&*ecZl$BNimx#g2|FS({Tb3XlXS6oiq2lz<@f@flby14(V zA@=`Gzy4>O^k143T){W_s1!B-+~E8Nk1JqgLM1?f?;SWe|HVoFrE0-{#%lkiYJr*g zzarOvvD$yBS`Y~58ww4B6Tn18!_Q#;cb_eQCxsUj7QtD0Q2A5-gU=THo$3kaQ-Yr~ z!FNahyU+IDI|i731&fpr#E2qVnNSO6)Pe=IxPe-*q84nZ1v_eS6Sd$#EjSkg;oI1M zTY}-@|4aO}>`L+kdi5WX*Z=;fi7XA$ znV!tJcfrkPpSP0ydYT`3arcAy4Zf~JrJHkwpq?J95xq)Iby|L`No;j?@5F#x=ne~G z=6nQ2)6cPs79jQNbCZyx02~fJCuBH>xZ(P2c3%Q7#-h3Se4FMk+d#?tp_3u)#Pyvy zqLb6Juo>hT*9<57u}in~K!o#GuDbx3y=2rGYz~Pul<}eqmhg-1tZJ6B-^j0tz7eN4s%x?jN5|+QDhA$TN8Ad0lazY%0@uh`4#>M=@H0b`{O9GvZ$FPU4pI?quJi zDBgLz$E_xmYcW!%Y}4tw2~uuU3mrG0bKhB|nit*6ivH|n(4-rbe{I<`b#=*9A{W@# zYgSb7gd0x%vShpVskeDYE4G44+CSzHV&AZc=v4>kL#pQApYeqy@!VM!6BVKg3EYuu zzP2CaMNuq}uIvrFMqU2M#Uay{7gbkc#=Sg*MJkV159T9eFdW*-@McQMfL`80^-WUi zro!5nR>jCmb%N|Gdk*ogMlr{4ZjD#`8X(qUl8arCi0oSp@<0C2EAzc0kpghiNs= zbqewv!5`K|LMiyG8!_z|QbOCnapWUg94v9_?71~u`<%6tKbSsmThU}OZ{PJZ&-vZx z2FfLsTRBQ;OGi6MPh8&*yr+C*MbFkGMb&TjR{NPir7}1>SASW|;qa!y;;eG=j8@YO z_veTw1-j9nL6N5u6=53Sfhp!ItKfEB=|IEpA}vjwDw?-VhKL8l6ic)f^4Lg!fUa^! zEzw*PptxF=nAJu^9a3#;Y5n98yHXE(ErS{9s7X^7Xejb0&kpVy zVqZK(g1T#g#HrUYa#UVYM%<&k9-$&iP95N%&8Ep5XBy2N=L6KYLP&BnF$cmYao(^O zhT8HIDFhO+BsI@8k1mk4pBn;<%q66C(nEhMP6eyWqg(5bXV@{9>x6iwpjGxlGS2H@ z$R{-5&jjy|60>`qfbsb5+J}9Gn~TFM4mv3h#EqFIz`{1(#Jzw+%U8LwKiYN(WPg`J6X-Nskn$}Zn34(3_5*TgEtBNe*`G1mcq4rQPX zmD)wBki-qv?_78Z{&pr7TjA~I;S#>_i&;}(d8=@857*y2#lOU;he08$`t4D8$dbwsj16dvfYRq<7r8XU9^!gb z9I_b8?zBM!YX-y(1BPTf;~g#Q&cH3liEo{KxkHlnCsLC4&FPo=dlpS5TAxa17naRp z(ux5ZoJ-Z$V-H{C1C6)H+;+NElBaYB;U`w2a^Q6O$fZLIE@}8}gh?nTo~atlfT9S0=Oz0ydwt z3O|V(RkWPDeyl**y6t)YfTMMVLXh&0IW?`%Ub^gStD}rhKhWI~xoh7IT6Rx2c=t17 z^R_ATw*8&5TDf>04OTtGlU~=BP3TY70KxWW`(BwZh|F^-m6Q$B6TG!Mcl7?`ghQY1 z&12rtdjNBxW*wm|g)g7S>2-;uw2&CYS4s}Cs%@Rdu5PXFs2>Asc}^!RDbW|18yi=_ zlDs$^(a1V`bc5Ovy6O@_NylJN1T!Qeiod*$d2uX*mzf!vgWJSB$VPpz+V9!D{Dfx) zkO9fz@?EmumG2uS+|~Nn&U8Kb=`#5+IUgcb41QdT1M=0U@2~De@Fqli`P;22D&dG* zMP{;fdOXBX-)S$@8Uu<=UX;XZq@`BUL!%D%^{(u9^$ebrrSn?;7Dq@I7q0mn~twyomUE5S-h#ikqMVW)!n*vjF|}D5ZcD0XpMt@#N)gnX z@>Ts+Gnt?38G!H-J3^EWhQ zzN0a+^q8f-0}HIOhPQ1h?y8khK8`Z*Fxs+q-3}Dc-|xUFd@Rw0tqJa5B!LJ9~o;r`~J)+5^2vY8d;Dwvq8&|ox;BzNpCrsc00Tz%pvmA~30qHywk7#Dn z;Hebv_{ys#yhD<4|0e6)x3*yLWluuCT1wXttZ!yhmh=x>H7qc=65bxM7{O9b%lYjM znwZPfs*@2TNdBr2Jq2YaiQ`7@g?irA#x5@7y7K%>wmImJ4kealn+H~Q40Nu3GCyTSKO4FMQLrev#tf< zG2ay#D?1c5fw}wk90BQ~PW4=KByHaV?Rbpl za>d!VhY8z9z;Hms*@%YU9%MV(Whfl-PMleiIEIgV4b96 zAQsr!JGD(Btf->yyK+&o@|Q<}kZQ$G?92y(IY4vn`q33X_fAC2vw^+zoYSuA!YI*s zNR)W{o5NE_ucx5uHJ4dL>o-PbEt@-jullDp&j6bU>d~{Lrk`hi5uJ;GlDBW*m%Ld- zQs@3Dj{1WOQd+1l5}_6EiEcl~R}6#MO%+o}WajmvbwX_RSe9P?&il*U0UgZ!pI^Ef zCax3k{seIe#=n?dBRZFul+!R-Z24#Vwjw#DqE_roLBMaNw zrfyLOirNnbf)ZrR??*-?TsqbT9|kE8xL)*X-12V_Q}v_QaJQZItYauRZX2HC)N;7} z5)9BP>iIp%99Y@FZ~id;ZH<6m&mko7_u&;)>x{Cx?tU=#6;n`6KV=h}jNZj9EPv2H zB!6mw$>u3eLCcL#%ck_eKUvyD)MiaKp?s}{id*C90QYs{21Ze9l(|#Sj-v52z@<|A zN@zq-@otsai;*z=#Y25oJx2ZT)O@WnXRzX?EX9S-{&)C%`JfZ)3z0u<* zX<3E8&q(FlHvq_3`2(-t%u?uE^o|9#Ih>k4nCzudLnRu={jy}2_74LO0`l7hL><|4 zm|a7ZwBM01%BfeaFl(9hI6O|QYZ=-HRL@dgzHJ%ZwSPE5$H674<6hf0zCc04tmhw< z-tc+D2&BCmouE_>7?=@}Rdjm#rVA&pFFv)>L!jTX!!Ct}K@MP>Z3r4Z%X{1XlPmHY zLta4qf`005ZmWAKre0dXml-@jM0!O)yJnt6+tezHfsI>K*TCGye_cNVlzwrg zjbB%Mf|By)_Am+MjUVQ9&mU3+HEk;;O)dN&1HxbMEUlvpPI-(UM>G>o4(8Faha@cT zb^b_BA0c7VAOa7fo0km24`q73%POd()V|X(y!Bw@tGTUDSY&R))bb%Xx}o6{`8=UV zQ1Zsju0F~8k-0@5hh`TL8F)Y1+9f6xRDBvAn~^dw|3H29OfgY0vPwE0&G+N;-E6H{OIkg$OVMG(4e9iFC9Qt=DP z%B>pzy>Dof*Rh2sAYva|TG0xAlG{U#^uWvlg>hT|0yCGmT2OfV&jT!R``bBd*VWCz zhc3sr%K=|;R>kzqLh*NmPz3a=XZWl<3jTC139PaGz%G$2w)C_uAM@rnpN~^Jns#Xg zV??4MsqI`UmfoqI^Wa=g)1~0`)G-FBZcO*oA~w`C)2y@0DY2{UsqY2B30|>X|N;`9F|kiFglCR z&g1YT4}+ny(IKg*_kih&%=8|9vjY&8_=C5&l0JNX+2;;rzH zbT6A)N4M?UNodtH$F=~s;(h3P96X>53Ms8I_wPFYV=|mI+IvXU8j#i1e=Y|)eq#j$ zDGjTiWB$1+Piu8I`%j&6%c2c|mb*_-Zf$)ZSW}>C>J~J(897TV?EEO^%eP}hQ0;y} zNd=Z@O73U)-z3+7X0iKE28fxwhgbv4SUf`VFw0xky`u??mq<&>IRwBnLY8WgbZia` zN%=uKW1o2$d++$pj~K!du{E6^cVM+X2d9Wv3oAbE|J4_8 zz{#)gnJ{;TO(iXBY~>vJ`h%dHb9icHQ|tZ(qU&k~Amz;uVkN()SvjSxc-suJ@g-kK z7MmOK9_4nN_&)9z5&^ZrgTr5xWCFz73nubESl%5e=w8S`C}~h0_hXZDOV$Aai)TXf z$DyBUp8nzGn>r>|ZD(wJf})B(0oU|Af>JU;RRa;D_N^xsz3Z&zcfFsne(Rd^)2|@W z)-9;)Tl~}02QF$R_KjYo;F8tgiA%+nQ&(QsGqSoQ|NHj`GF43=ZvsktR}Ax8dnq_Y zb&c$vcaKe-kum6no)VB=X5n@WDw~33E}8ve-%W2r1M<`CjZMs(R7$$2 zN7YSWe)X5U^38-UU7s7T1_uX~uS^)W&&FfwzA=7K(6#(46!cMEmvb!_ka)$d;)|V; z`2A02e0z7*_)jibWtTESsc+!Af&b&atv_UxD&|%X{F2IQdRB4q2{nBKLL(Cpp{wek zvXhiSIViS%c0t?9e;4xt87gylOEF|iJ8W1!>69CQRh5IGpp^2yr(;{>XV-7tt%Qq6 z0*lUKS%08*b7`esfcf?7_Ny8XYpFOK9=vFw6;str%_(pGuBz+yBqFZ4dmYe-DCpRQ zG<+an5fG7)_gndmL&u?|7no4}op|~O;fFymw|+oyBO~X!UR^K8cl8CIV&OZrPsSGbgF~TkJ7Rj9h$`;CJe{D#j*SL?(i;+scEDJdyMw@s5l?Y5Tb62_yE zrEZSvH{5G}$muxN3%a#ANW_Q2`!^Wbg&RS znnpFXfXFEZy}JJk1xDs)GZCF3OiL^K2lC*#=Qe__HtvS~lkq1Sz&b zkdhn(K+)!3)#hLH6kV(DUmo+X&X)17(Dr})gVWQ~Xo2syGXxV03n~b)aUd&*iw->W z-r>WZF)v&ofc^f*=?jIT7t!=7F);}VDJ&Teu~Sh|QF!t|DJB8qKVn-5u0aY_$=2Qm z!FGV*7zFE+=OAcA(;0RNX)FXGKqJs-P!pn>K?ns}FY0mE=K(}DVevsIw1w`?AcO?g zkk87>hIei9@(SDEf9SS>tY!{^^BEmI=w1ARo4nhzfxbecrd~FC3KMXXz`4``W ze_dg8T)iqLX=Z*C#$T7MwY4>*yl>q?*+)cTLVqoMX*Aqo&^z}G_7w}CJa0p=A@Cd* zKaU{b1q?K^mjsEo>;~wtY-({>$9@-^_$+4UFcY(tPeh;{D6IDpz-I5?Cw1|wsbCQ30(j`lC;%zlMW z8BQ)3kaR|~&M(f{6sXsD>}EnMWYuiEdu!AZW;w=0A`pB)rkl)YQ`Per9eC=GPLY2^rc^ z3vt!eOyjsHYL^)%qsG^LCp?=iB5Y2bO@bvTyGYK;fv&2H@4&)=DZ`1}s{BjUX2y_=D z;ROYUXF#$uzsrpZlFTK4wVi*#)r#6aG~qci4+&*7$BY(1hgl*MVK&HQ7{rb#ptSRU z!EHF4xo_XGa8bI*1(Z5ENiJT5nL&C9dmwEZv*hM|!5;NTFY zl&@$5!A|&sQyT=LonboAnS(Nb|Avu+5JF596Mhfi;$I*l?y|*3v;TZy+8F!-`C2Fy z0(hfV!qC{%97etl90iUgI;`LhSi}Bof)F&&Y-?+Wj$8AvVkX*Xja~xafTmSZPcWim zx_tBRVZuBJv%*e=^+Ox;ZI%!_EI9Go&{^CLyXvq(kWScLS6C<|Oo6}mVLzcM)!x3p z#Y4AIUR`%kPFROWC*&vtY_y&kgc(Bwnwc485rpCN5{nh8nPErlZ3q_BR9}HQY)%;5 z+!~OnlgFLOkSpHF52|v$O_z!DP z#*AL3u)eXi1O2fHkJq3LDRO@s!ejYUV#e5LEg3Qj#rtGE_X%|Q^gHUuB-lZFJg zrsm^v9GIzk`kvj*C<~M&$v;>!Jk$lI<>bJLo3F8g(PV>xQB>63*oJ{u0t5Zs|73L@ z9|LipKc|KQ+xck(xjTO@h9LLm&uQRg^!artvqcMI??}&|(_*+lc`PMH1QcCSW4I;G z7h(M0kyx89r{8!4k72tq_ z)h-`utB-4~Up^LEPq?Rhx*~NMT&y@A2qo?8e)!N}iF8y)_ze@DqcgL6kL_&D-9Nql zDxmIBK8{e@6YVQWT1-OOI#m_pYU;#!@Dky6J;%FI;v58t7|KN^Da}#*Srb$iJf^Q?hyorpvo3d-qp=$>**)BYzr?nej+1?6 zB>)yfn`t}WmdSu5-%5w9ZpQ6npM%nJld$K>cZI0+f{jWy$vE*-Q|1_eg;Ag5^s=x{ zb^2r>78CjLN&4ocoS}`6SDy!GY!&2`0IG`L4#r6VWG5x$3Gb^Iuis`BwCX=|qr3g( z9VTW3^>d&&pf^sd)}u|C*(@f6*g`TV(22K;`|H!J6!L1e5(%JPnH_Y`E>Hfeg9GuC zXxp?2moIs!j0{N&d1l&cq8J9CW}#|mjPDNqRs2+`)LZE(Y36NYCx&m=cyzv=?=>J3 z;QCh0Ra%y>McclJ#wB|0l*Z-;YgVE4eSYUYj`*0hMW9)>I!me)G4JPOx5E|}*kLP7 zKR6{!lWXig{Mr78!e~mH zr1qYLg#l>@2N2SVNleBP?=ag^32)^R*{YySp>cj8#g!BNl|052H3yn=!+a%HB%=4* zFW}GXF+b(oc>H8>Jx6=^n?cudf?xn>Q&uP2e;-isErRq10ny|(Telv`g}ug=azhi1 zxTVQm@a28j!pS0$OvTzA0};Kz-5UvNcSm2Iw)RZwjEyT>WddgGrblw2M`j^ZMD{DA z^0u$K{py{f?i*JA*|_PUsJ;U#?lXl^c*(6&Vibw9PvkF<3wjaX}L)Ej*0||JgU;7>f{E~Xi|HY+{ zKWUQiH{jyrucW#Buw4J%fT+Pc?2ov|Q`a0?xn!z{v3ONO9$SNWxi-{hh`*Jc0+ZQi(zHQKmc~leg&~K*B^=U|PSqGTQXwZl9sN60-m&uSKH1lk3hE>TtLjMUWh<&;d%IFB4} zknnq)-08yz6k7PAd=Acw7)YP_=2yPLjk>>CKE8$NAXKp)k&Ti@<-7385SW4qw%ppS z_oCR!*gdlR>}B(f7v5}sy6~!!=}>R?C^lOYoQl0I4S&TKkb5mvQiAlBt5TM|vnUUld zjvxCYngfQZ0*%xwo;1>>B$4@r<=$6;$ibX*G(`%&4)#X)tO;d2Xrrf}tOM-H+8div?<{buGl%Uj z_f(l*r+Haa^?MCtj~_Gqv34)Gcke@vevZB$y`q%vy@=78>hbV0Rk3073jylsMckx1 zpohj>mHgR8EUu0L*{GcZ|1Clvk_ghMWlEQ`DK7A+0v=O6ugF8}2Zh4W|%Za%NC&SguM0sHso;Fq$)B)x|5(&Sau=27kev-y-!n+}J^1XbZJoilI-lXF$IS2BjKq#+AnLn~yJ6 zpGbXe`WUj9Lh#J$cex6|F+SLp7cHlkxlG_lwkXfO!sO z{0p;f)r&t<*l|Cn`H{QARy;i1q~ zx4C=9UGXiJf|=z&&(*4B`h)&ZagC?{E1h~ai)_{*`yWJ3M6~k*!FtoUV4TIM_2Hdh zSly}Z;kv!1n0$HmzKQoiS1Fr`01xI-J&@5cF_ojdsiKQbam6gOxJ%$cyovT(*0Bal z%L|S!S#4m-;(d@Oi(})Ya@?=5!l8iGK-mnrOIKu?u^uY;Io3%4&M7aS=9sPPI&=gb ziJvn}dcAZu4-0Mvml>?#b7A@+ce{63 z0kiPHXJ^#QnCG7fxJZV7YRw#eP;vTyMq>XvWBM;*3jRA|`Y&P%{ySs(FKP?mI_C{o zss?@f09WY2@*79TC#PrsqP76NnDD=_w*QOTg8$Ci{)^fI^m@bee@bWbZL&8 z7VzHq|Dv|wHhQfgdND0rBK$9F`!8zyFUSg!SnhdOcnt+jx$>eLK6Jy6ZUoScAi5Dk zH^S)VD!LItH=^@g|Nlf{>3RM^VzJ=Q|F=e110GkeD8!`Ij{99U zS_3A4ONiO=?Q#2+uJ0>ShO`~}UfcGV#Vngexx=S%X+P=9K|A@vEH=AGgh9iFebAZ{ zdui{S`;+05(@8-pL2Dx{0kG1c)cc%~@DWZ2A|;XQnYd!XhM^}Z$+W3Xz9%&BX?7ods3>~E~*d_j63bKK9K|i?x^xd zhkW}H7P;F3fAGaVUKbDOb|k4;07Vz8+xaV)hbGN+SWJns`0Pjo`X~jy-NAd4qL zjTh_wC)HxY zSGq>rejQXTC+#c>n`;dy|d$(6tdt~EMS?$ zYKYQ2CPJ$_>vzG)C%z)(j?qhNH--(Lya^Mp`a=hPk2;rk?qfUNcK+lU_qkoGR)fx$ zW2NfT*;K|M*WIN@pgu{@=H)TnHQvt^&3f@pwMxIe7x`Tag@?2btF5J{f)=5>-uVkET`l+I#H)Cl~Y2@#n%!iQQfI)nBCC zsrQ%Nx4tobF6|`+`swn$QbZ;(*qsx zbI1C_bL=OI;u5re0YNw1r@GRlZ+}bjqq@W?pCSk_726CjUL$+^Zn5xC zlV&v{+%}`?D|>%*Hg0xX68P3B$TAc^eP1Lc)O0B0S(hWbNmm$mawvBr;yF$p`U@oY zJP|sG**vhjZkZyVvD_3ORN{X1z?H8w=9=)6t;%80x#%NnV>^bk_fAUb_89NHae*nf z!$T#5=eN9e3oU1kfM9JKrQ-N`SLL4c+AGslY#ZeZiGJ96qgWMu9FGand^))dQ}(|6uK^<238X^rKgUU)6IxY5a3T;`W}Q7QW}evG$^?r$MUUZH?0 zxx||%sY}VjfIcZ_P@njzF)aR*n{daL@>=dzE^ z+t`T?)a1qIBLQ*Fd?f)1oS-_)zb_#kQsN zcN3Gk{!+)fcpbP5JLZ!+0VvSsqC;O)&4TN-XtJqHln}=rDN`UtBe!1s%yFvfO5r&u z#x~6AcbgN<`&GYTD7oy`{vFP%+OZC%@2vct1y}h&e#U{-1y1in%ZbTWT4lD^BGwC0 zeCm!07SXvga%)=JpfQq(@R24yftOO#XPKKa)q^hr2(3+;yck9-STOu&xPTospFe5{ zmTUT0D}%Kw-XC`2%jN&pw28x^%6Fbt{T&F8@)nl+85GdJHKKTJWkBG{b>YKPGaOAi zt_z-7FQk`2V*IC>$5M|UavNXV#C^hxI(Qz+`7PF32dC_|@XVzoXffY#5G(lB&{)%{ z6o37lW%Jb|=Yfnj7D-oYZDeWbbcH~S*RbZ}NArcd^SEbF3{Lh!!VgvrR5?|*y<$-M zQ^ptw;aOVNV9=_O&l9R#pF*uCp1CRPhQA+-eQCkJkOpt`Vijloe1&yz-Dp33G*Oge zhV5M%TU*cN3_hVN1`+$>&cH4mQ}QR9h|D|xsvoJs*E zx$538t4khbpH5y%P`!vdLZkW3k0QJGqVU69`u#7HAVG4X$6~CPd*Sly5@COv8@HAx z&Pa3Dg(P0 zs$Yul+~h&wsQ3$Q{i7LMKyEt{CCusKX$;)!}I+puPK#n&+i|ZnE04cY_bxi{H}7xplxhCTX$!r-+5DR+)l18)q&jPG@aZ^5wwUDeH5!e<94alP(& zl{$=f^@pSU1GiNiy?+xlIno2p#pt5_Z^FMbZu6&5wkDT*AjGOMGH=9}4h)dOxnOhf zk$ycJ+iQg{S7xlYP2f7WJiL-lAo09J(P~z0lI@-u7`&nLndf~1-`4Y8t9pvXZePW% zHm?YyiRVZ}Jo$8mI4~YfWs9LGxnq#k|K3U<4@Xb)O+u)r=`N#Y4SlN1W^X3kS z|G3OZO`E+hY&XluJIJDP=ki4K_;$G`9mSBHD3jZD5R{^j;;E3U?7!*~kutu1b)7yq z;%@C1zlj}_lB#e*D^T5nW1jR?&o=OBRy*ZobxWu0E44lQg?r|9&HbFcW0XMZHP+YK zlXKP%Mk#Ciky8d0i~emKD_f6HSW*a|hEoO0H z#?ve89GWEs^epTKxr6ER7hpxS_oMP&DP9y$1}@bdC~J97Eu|EW01emZAq5ppW@V$C z_k?Xf#z@UPVVU?a-fIjd(Q#WBtUy4;Ag|`wA+5Y`Y)X$^L`B;Xj=Duw&Fn4(owyvT zU=`5t$ZI?+!J`#?5S(1vFiX$QrFYD(ZSEJjj)Ct`Ttfn`g~k?f)jYAN64B`X<1jpS zL@1TSB^VRGMPM0IfN_Qk9%{DEV6eGGNq4Vp{-!WCcY755X7Vc*A%(DPL_DmU1E$D% zL?z<$>Q1<0o-h315Hfk5nB7jGpwhdILGGPjdkbXdy!vD}dYG-DMU%qbG`{+iKq$Cc z&SRpgq5tRQ6O(3um&>JJ_NBRN^rFPG#nnS>(o6JL4bnPrXs^hHCwG1t0!NqFCG}sn zGxNwQ8+-6vm9_}ZEbr-`+xX2WB5oBDUkrvP$-^T_d9|X2wOif=my<*ip5BWXTt1|g zQTs5wb%F^fuH?N*f2sGfvg^ENmy_SU^1W(gp;u5nuca48wHALJpcHIu8=Tq){PUN& ztz9P8jxI@P+xaH-j2=^J=!a$@0AEN#)5bNqv5;e3$Sw}CVfw-DE!6vj)GV4(&^P`eEqy# zO8a0A-FtR5RF>e7*uehD5`~st`|Lh8gPg{r%>1^_kDsSjcTY7QK8`s8Cpa9sf$#gr zC>+zK_i!i}uj)mn=1-Ax>Dd%*(lXYzf?cLJPBctxZ{E#Oxs}E6lFZTub7xfWiu{^V zyYy+%lEkwK$iOxH&YCgP>nB6(LNy8{=n!d{=rDAUB1XnM;?HZU_JU+u@k}CIpq+-9!}w{|B-RCbCc$gk5jQjnP8b!vzHtuJ7|ncr z+YQ#K>wl3%%@K=irOyc)JGshcc!#EGIgB$)D?3+DeA@-sgpv`x#G*QeCO1=OcBxpe z+`blw2R?mi3QJe*29RlhH6HsGE}2 zII*poR{{Dawx!$`Ncp79??&{GY&eEIe%gx2q`z5`)>jbUwa*2T7UzbaQgf>I{X(}S zOt0Bi$r`EqFAWk4g;kMVOTwiEqBHXwP%$Wd(_!L>T@{t|aqEOzr1~uey`Xw()wkt6 zz$vU=5B;eZBz27o7O4bP^sMh^2O_SW`Qm+>_A_L5ruU#g)~B24UzbD095Kmo;DP){7_-rU;iEU%B_@osd#J zv%<{2rQOs57^i#?VOl1kG`PQfM)WHq@@D@{DUa%&Yq4om5;}g*s(`TeqmabZk877i zZ`>)asO~r;xG1S(i*k)mDzE>^scsLFTR$z)bBZgNJP3*@8pWcz#I35O@3$hQW#cvW zi?^;DFfg}nW286l(Q|581kFEA;4zq+dlH#i*g#_AC0^7q0DcK5CoGWM?!En>_)lz4 z=6=Umv8zu&L@UWIoKgg$sAN@?q}l@QoEh{hfe$U{R47FyNMYr`_P!a*+uXh%fQU_ zRqGi(gMV^%@x~uUO#@jq_o(#dX%6+Ou^CL@KS1;3?VF|#H3zqx>y7I%LOm{{3t1)8#z4T8z7)^hs3s?Ps09=`(qFhN5L$wZ4M4*}&QkUu?rTE+vrAwW;M2l$P^}Y1@%(eZSn&y9;hT$}DXhTp=V$38De{ zM}abn*7nJ@jX@cCJ2z$0O!;%&dl{!Ln*hh~o<1XBS->c)ms!xd^ZQD5Clx#Ic^#8rc3tSImUUi5^C2lYo4mG(xp(jyf=k948lBM9^OHOb)OYd=$LPK_);NPI%)=Xri%c`f_YR%|&% z!`Q~Ql{yWJSL>xKTqG-ofLKkprls=>6RM#26N}C5vbs;I+O`i~WYZ;N72I@-EeHLN zcDPng79H>RawIP8pZllM$~xSQPkHtGl=!-3Xj&6!U;1-SEq-0y!+(Qh+Xc&nKul5HGqzz!F7+kNd6=8UX^v&x23g<^^~{S^yL~2$VsMf2+T4XB zU=?%gWzU-OquC=?Za!4rdj=(IduNx}`cW`>(XMf2?U>_`g)k%2M?OsSq zVO__{0Y3FD$B*;t-u^*L`pzEV!vHGqX*ioVv9HL)GfLhirW3rjbc{tHtEj49_z8<$ zTpk3!NPFGf3Z2v^ z+VPN8I|1fn%l|M?@-^x5JAH^ zur%~vFq5>R5)IGQzw7NC9zhdk|FH*N`UlMX4`2q#%(?mRI1tFhv-uxYCZvQ2+7MXc z9s*YL7zlFF20@5}5KIW2K|qm=j0{~I9WBg6$Nx!WqHTgQw6Jy^GzrSdD_hy2!A$6- zh2aF_Hhc%jX+cse2ti!n<_bG@YeR4#mkE+1(B4vrkYi2&1PBO=!a1?9D-N`q0rIga9bj{9iy5joJ;5PR{)~Iyy!N zn$V<#0OMao69WRN(3QxAcG2>{{o&0)-%&u|9R>JA0B(Y4ZcL~Rq5jKdLSHCUDnjN? zTuMsjIvUV~Z}tzRW(6@#YipaoCE?My=09Ybv$IPSMpSeVf`xX{20>U84j4KKp_LF# z568oIcmdt3kk*7{;ggb5;CTPCnyoenCajn3bNke_hyXb$?hjAMltGskGJsMd9)>Xj zOFT}`?e4>jL8+r9oyaNd=?wOCj{dxnAOyjfhd(jf5CqExp+!^OFd);7iw99#LQ+N! z=yir@Cm-rAlzIvYEn(QN>DHvG^1{SedM+S{3w=l5P~1*_-7da$`Tvqz}k8cVqZ?by|cGR{1=Ufl{BH08TzQv z^B&H5z#2-0tEdFyhO!NUp`y}t0~3<}=y9pTt~KTn1kr>I;a^5u&%nUQ*wUlRG0Zm1 zj11z-5B<<99RlF6LPDdmUWW2u6&4m21wr;7ZY4PgQrd4j)$pAxLEog7K=# zz6$Gg{ph&U1SYgGFJXA2JTazc^1X53#9#P{9p>OP)jf<5bPul}qfP_JMN|kbr28S> zPtrX`45@5*L?NfRL?}UAhKw=to10Oww+2PdXeOl{O4$ZbTHW(!VR|U7B@B67do+ja=veq@o(BVYFn=z9I4;be^J7F%qbrj_4=grP zMh3Z93?yJ4T?906{v02s()))H*Sr|Zy$4|ZBgL!oe`G?cH zLUbQbT%_KS-wSoC!Y6JM=)ckr630yl%3Ld*i|hpCV^5TG_;SsDl43C#%)Bmrs5DA5 z1RTA(V+pZ88I`J-?2v`5w;yHyu*?k?cW&2ho;Uhgf(J|*46YWWw!6nWGm^|IzrFsI z;s@OW!D5{~**Z9>`v!PXVz znbrN-UFplmvE{{s4NW1XS8!}v-I1l>xu#IwccAZr{iCmiyxz_f^Aa0>l)NVmZFf%L znkB>ORvi*TSg3S`mgrG3j&}G@-Ppk)%1wtKVA8e0DV&Jlmg$k_P?8crZoJOCa;IhO zx$z-SCPOZcqeM4B9>F)^T~RX6-KbP}#zo_{S~C4A!ub9)qhmstX=GeAsZ-0y3Aq)c z#$<)cXMlQ>l}Ea~{|hcN-4vNW+Tl9}S1fQbL>AY-x=nO+YlEG|-a677RBv`m{BBEg zi04iFt&c&|qTufstIVd_X?YMHGP;N2`E^-q=7n%--M9h2O~iW2NZBZ@n5*r*z<2`i zVc`X5Gu5lfcN*4r#{wdc)o|XnuJwKFh?`EDZfT=F1P!6M=h5DK%owCK7f007Zj;ql z#yY&^ldj2$R1GQB4h6^U=fCnkd5_nIto4|e{t$Unj~mR9^B&XHJ2zw{Fe(yISr`uH z{E^eUqADG|-#4vTG84ciNAWOS`xg@(omkOp0B(6TIpOD78A~|oor!8%DfiI7JV`V- z$&m^Dx_?wg0AfpH$!ytzSMDu7ReofG?bh}b|L$w1hbrc;GcA8CA20*yKw{Gy`RW~O zM8}dV)Te&e#i-ssn;JQazGSwrc4Y1Yy0W+WnMAJ?8B%+DA2G~J8FGiSX1JzO5Z|3W zXfnm$2SfCSUtBbTB`X-G3wHFs+}`WQrT>I8B8NW`diL;F`94U*w&Nm|v(lg8=o>1n zycD1+t^W1`P3+~AqzdV#bs2FGuqFJ>uJCwBmC&_mhY8@xyB6lQzKq!i zVw4_loMr zen$EBmS>CY@v|V45xnyxY^@>TyB$^^<6eJH1N;iZlx$SCUrpy!ddTgf^xiyZw%4R> z=cP~@7U&?Bq6F>yZ}#8b3+$jT3hp_#$u^E%!L1T&3HBuR%B3hTEK&lpR7rJ1Kc9L9 zU@M_8b1iz}!@huY2IK29D%!>w55ma6C&g(?&i5@2`46?oUw=O`oGLsE5Hni$6$(|^ zpVbXN0+t?+`V?L!IWaK>J-_wwNx3 zJXRkR#kyjTn>YIXj-1-AZjCq)F8G0YDeH40zR^4HO)ABg-!aPvJT=8_KlM`!{L)eH z0`1gcUve*0)v&xCe4_PwdnwDuP`Ij*nw#BvL5DOc^%w}Lc?p|yk`BFf$0n6GdC~NR zd(|NOmW60%ZtLE#zQQEHCY=HIsROq$QLSM@8eN?cX|iDl;>Rig%`(ltt;McQ79D@fC4Q_(`NGgj`PpHaf-Gt3#pv zUV|qePop&S?K`kU{TOSm=$c{Q{zCD%5GW8WNc)=gFqxX^T@K@N!`Ktalx}(JXyc=0 zNwR8jX9bYHyk}&~*piX_QRI=7+DN&EW9N?P%%FNf%v9s(KK?p1$KGT6u{)hnlBq9M z)brzARSMxJpL1VxwvAKaNY0y)z@Ku*KTyS+i8=SaM^c-XWl1;xv}AEhrMJxC6_1ZE zbHRdEQc1{FW;r%a$IDOg+=CPtsRj-6Z$-#(E|;DWkKh0wO=ZK72j3zLM>1Zhob_#R zA|iLyHCugYcbbIQ5%&ae@HXf^I)k2+p;J`31r1~s~ zVb|LBgjoBFhh$`b-d9AL`c_%fnZwZY8i0~jcVn?>jFe6CB$8ous;UbCqNA1@{bTFj z2h%1-vq8Xl8Y|f*)4-=|oHm8?7M!~GxD9&*L)t8#-4QCyToUSiryl+bLxjhnm$9+TfoDjVHrhG5laNr)cxJ;MZ#eM=& z)9PKL&}pnr*VI1OapgX6qs&q1K9)+G6~{Dtu)*%-Klw|NO{u?mpKKVYItTWRfE$E# z^ODa53Mw_n_9j2Kg&&?2F9yaBAWfA%3Y0nxVqk$rtu0TK?wZj`^qfuYJEQr@tZ#0C zu_LBc@{<{_&!|9!iu7wW!!O>rK`(Dl*AtF11a@mZL_rluMH3 zDfQvPi)&y>aCJU2>qn04K!ulENmn8L;M>ddorhcNE$*C)@6s}W^5?coJ%`6LmXb8O zu4OAp6psSR>=LF^sYxeUKjJ6A%j>`JiEb-xW%SF|q{lF>O0!I{Jf~$7vQMhG#aE)& z3{}i;l*PE-oUyrcDan$@4sZFmP8W`0o4+;retFN`gM=AGH_M+(eUH)c6)kzGa0%C~ zJw6>4>Xm7}2|MHsamHPfRj0Kli|noy{9Z7Ar^b2N(xOQU)&qD2V8p z#Dm*Is|!jLeE7!zeNA+J()x!eDw_OQvmNp`!j`iJrEd&4Nd-wcycUyF2)_Qfw8%+$ zly8XqKhv?WcniD|BOwXPwp{-gH~p731^*p4{g*Zc{~b5|m%0Tn;3`^p&Y6Zjz4#Zq z{g=80aM9iycpe8=**3TQi{1W9-GaYo)38X**J*SKk_C8Wfp$`MUe#FS|Pmr394z$LvM7vhUWv;HjBMJbo+61Pg1Su?$=Tx6O;fm(Wh$^{Ye z1)bbVo0?$jvVH&mi@CRss;X-nch{z+mClJY(jd~Mgn%F=AV`CBNOuTGcPb$uAf+NH zf=G)XDUBcs(y1UIb>{YYzu)tnGv0CjIscq{jJb9&)?~$;d+ojEHLn|9ePz5H;x^|2 zN!kJqNXz^EssNv!5;?pvgUVOFdEx2hx0|BbD})<4VXv$>&B0XL_26gNx-m>*ltdW0 z(P4pJMv0HCWcgeo+V90>@`r%^-}q^w&IikG5pQ1m&MB_F3UtK&#t@O2>-WZtOusk_ z92vZ5%Gs!3EoSNngyXRiv*cJ4SRQB!s$Mr@$XZf5)EmTlv~-YujXtch1DB z@LCMsEf}{Qu%vN6^3(**iVPtIq!%)5*BeK!w`X?|aCe}%)5Y0d*$B3f7#{h8k9|8^ z*O+opX65-`kNXA}1xIuTL>)n+MyWr4we0u;&`Jp>XDBr5?A-}xwP#X?!Ku{*IW6VD^cVfLdq&oAcTB)w- z*?9wh1Ihf{4UV-1Eg&y?bYr@}`TUebC|aMXgr8E;CPv_ziS-P3#*dIGOg)fmImRPu zN?Z}}(@4b5hl%5JM17l*g)OaFlK#t|#3aceTJD!|$eV=Obf2e{v`_JS#Gg~P-}`R& z%{;*Z+pXa207O*W_+njY+(%d3As}DlD&c_d7mhh&m{GqO?77So>;h=*8Ckp|byWrr z6m$@~!GjGc{~LXZ2F7#_-tQi(i!Xrtfh#Nq;k>h^QC-rnJF*sj_cuJz`)HYiYNxsV zX4$0|q<%mR1Pbo&-yEwy3f3gDUWgHX&U4e1i79=<$eZNW0$7)RknzB6v`V}>!@O}a z2Wy$Wu|2h2v0(D9af4ag6L+AvmlE8`xj^#6@zZRFRV$J6N2Yiwl}myQlTS^=lV*27 z^qtd3fgGX=9UFB|U%%Hlx@O^M6_3aHFm~+TOe&UVB_LdSvTVss`r#<7PJIl+eMFA` z)8&wE$#XHBOGdAp$-jd;E#H%5YxntP!@syb1(ws9Pm#M=&jq+n?Wo5WUzF5fdb^RH25^9>gcgeHGroVYw07S<>M{81{B|BFIJiJRM1S6t#voI^vM=m1sA`>u)2HTV;JP2^BhnKT7;z9hou{knU?nH zZhH3#{&2=S-hbhLI((hj{bM$;ZI)ZW4RpMJaOL=A|4wht1cyQU?{xv>AbP=_&ib_( zuygr^CG*kd+NWD~T!OyNS6})M%N%P+Nj+(Aj(aX8c^UA@Upk_HDdS}{W?C>DQ5G3u ze(hH|#$xt2jM+!6a)Tw{78g5}Tkzl&p3rs1vK&JZIfG(`&wli^4cDH1RMSzG15x3$ zTrv+x`Jb$E?;2BfdM^o*vT@X(4+lpjlf4hpNdctkkIwqm79LAd==T3yB=yg=>Pzt+_`*Ys=K^Me?IVuzlu zm?!QGZ&QGt#>84;S;U?1dwdEXr76;fjO(YFm9OTS%k}?y#w(Z%u9z;e@fW!8i(*Hc zA?4&}j}~y~r6ov>*VF5aI1Xg>fQ3tn*3@EszL?78^s_!V_884_Y z$AOvM?N&aYa@IKY=~&q$l(qn+Dqr)g7Bv=&1qW*MR1~N_o?j}dN(*J5DsE#^;PxWX zPCOsXc-tBOsIZOOI*Jf1)SjG7FM^Zi&(mYTlGz358E$))@6TEH)Tu_5lDO$fU2^rH)dmg+HuopzcmTu{EB6&%%NsZC z=E&BRUo#~Y;QZ6ElPcPc_p{6*NB|eaIo+^r<<-Og$uoReVDDk#1AF{pB^>6Vbg?(@ zlorqDQ?QduU-TqOwLX|#$zKMcRWxEy3mtdD-Y>JD<&Rtl5 ztcRVsMR6aKLKuzya24+>jOKzh>Z;kH_3K65KYUwsfb-(52dh^Wci9%puFEgro``M< z*vgkak}$Xs9Zc|5|miWjN+CAt=aB{ZRmmbr(fB% zZ-Lz0?jX=SC1IGi! z{_NkH+P&^tz?qcw&x&EMbiB$p6@*&FE5t81Ly{0S>l;DJ?RQbc0M~CK<<@gU^-#_m zqA8}AuhDP0etLATK`W9Vw${jXOBd*52ZgoA+FTN$u`A#)HB5M87_FNdlKMI4x5Se< zjQb3L-gz&ASWWdT^^fh5)~rSuhFpbY_vL8XtcTdW8f4pJfKKUj46o+v!akH<#Xk(L zBEWnsc{wsSBgH$;c8y#l8LaVS25w%@3q*OoDc!O^$Jk~P$|hsWk*c3rqT*ZPsx7wT!V)GJZvG z@YqrMR=W049fY8ez(>iZ`d zMW>a%?Vde2+Gd13ZoFdiWHvPs z1tz{JG4O$zIFfqCon{7RE|;0PjbH3H9#0cdNUGemasbKMRXvzO*Cb>VEM3BX{l+G| zu9nm@h%Y63i9MtEmU$LfyhQ6?T|266=tvtj=(F&GdirCrQ~V=pujF(DLt<(L2rAh5 zrWSp~RJfOmMa}cO;O*_4y!S)PYms_zTf^UO096~$rs<7Cd@deUZA<4zv5y+~FX(jnt}NW*7IUr0okuSZ+EK=1VbiPOJVuRayA(5k zJUd}J>4o*ezQ)p@Q(keiu!xG9x1)H(yy8*@j_!e3puXkP5WZk)*MXRH5f%=)G9;8^ z^Pg3`9Xd73sib5U0{Z4Qx9T>rgzpE$Vchxhxgxk@UdH9gB=xn2VsoLq$pxUCI#}`^ z@mJEXOSz4m9<6a!)-gd5@qw7Bn}9>mLIA)_~kLQaksa;W?@+j?J`;>;jSw(Q#?{Ej@k1WApH9 z^C55)sSB5KnxZ^KlG|fQG@u1qAH=KE%XRR`q|p!|^Vh`HBjL z(-SRWcU)u9CkO0uHc!CLk*4j-kd~eNhRYF2ZMR3pt#0q?-Yr-`E*bbG6jw6=Y1Id* zP_VjDupC93}M%Suwf%hbR}U)K(E73*dA!-gfz-J`+IK0c?3=kv_7Ukt z_3ws9!KGUP;a!$OVwbY?mTDaY)LHbczi+$B=-E0%f8^r#4*^RUG+J&!b8ON)JRijD zdVYk(FAy_79a?0RRJ-q;cE$y68raxJ$F&_^X3qtRD(1oBMMe=NRb$6ZeCw2s+4+Ty9Sk~Q-GR|P90pNcSFdTXPA{sY z8lPOVa(2TZHouvGBjm%-{4s-ddjG=q}Yz4fkjxoP4 zv&PmBfb}yn*6AB2$xoJ~T&((ruY~Z)=1tD)WWF1ve3V;CE(p|hjIDyQ-^^hUlBk;A z_Xtk-2*1i}dxxbq_D}sFGq42CX)l|4CjPM8Pxnn>|ZR7nk%3i;_!R!^kf(_vO9_^zve;DY`f#WL#v7-D|(S<7sT_pWO;QnHl|f5)Ka>*Bio zFb6tGdDTLjLEqfDW_-%y-UWW0AN(d3H+vA7SJr(f z8(pVs*D2u@R95vMa8z^gL@R?%DF8&5%x*B0HvGX@Kajp->r5$dEBtvlMew1|ttz6( zb1Fi>XO;@VJ&zY3FH-V~-+cD@mxy=z;}IT%-IWX67|?4=(6EuZD2_9!_4_=<*3RlS*JJ(G?*P zP*_R8MnP|C`~3Zxw$IbTrokzjC$FaYWK`Wt?wPh6MFUb9wV9n@$N_HKo-vBy%YGQ3 z6}T+L&TS*nC~fWcnhNxs1_c)!HlB;*CB$ zimKh)C{lXE<~>X%3B`oIFAJZRR(EZZunPG>dy8JOds`RB*afGYZ9tuA=Ha->eeB%n3w_YQFoXrfb$tVmFn<-Dbd= zQ`EV%!)N!vN4Cvzcq{XgkF()rfet1g|J0p}PT5^xg@Z@uQP#`0nYo47hS@WGS{diQ zi=3)=o!q?qk_w9Iz`NeDeOeJQU3;(aw8~clD|=XS_dP4C0M9Wc8^2LW6=+;0mvGIZ z?&@9OdC+k_DSzB&{&tc{RKmY0@!s2u6oJ5mO_6QpG8;kS<7WHhC!aoT6Y@o%8B{w* zh+x7%67vzP|0jZpq(MeA9Wt2t2pRMt{)JB;KhDm{c?!Lmki^VKXxq_&MlsRaz^-lx zm_ZPA5Xv*Qwjm(21IsQN)cXma{K`i#_n?!u6#)>!{0GB?9Oi#Sp(iJ&=LGP6J^&EK zq=qmi3xqMzS?eH!2{BY|NMLG0Sq9un9T>W3dg~5)p%;P>{N*koc?rW1qIZ8Cp^&OG zF@<^SY(mix8XCfc{8iA< z|C=WG7XYQ9|FMRmwV|zT?d_c(zAZp3^FL4~!~acCyM|T}!UM7MkFu4VA|IVT9YF({ z5EG=Prh)b1Lq78#LX(a@1VI==5XQfOX7E@f70|v~2x-DVt7@S|R71mDhfqu3(8I&a z3$3U1_GyJ^Cgkhz(4Inc{`3I&0uv3aLHH9b=7lWY!!UGy_`>4iQW$@Ig=icaW{W3# z_3HI&GzJY3H5h14b9x3=2tiF`HUzndmekJu53LDdJZQz>B7(y-o49y*7a-IKu}1h` z2x0uy(?WO+`gqag+9fgy^zaO=FdB)z0D0*DIBN6J11<0nOV1TG}PuJq=HaR3vIm6$17$4Tb;29T){LS z!7_tko)1}0_}UWPhOFQM3nFpW_vay8XJccPiDPH)SOs59g?$b7HrUh9 z_}D&EhAd)ugnJ>8MSdYDENJSCj)CXXDxN3~2AbwhN=eDAsqKun zdSn%46-b2UIN!CR!DVzOfz0aC@;rhdE9k{4`k1W2XZU|*oqtukTM@&=J3se+AK(*Q zBBy}*SsEHEV}w53z)BaJg^it4L80glqEvJjV#^^=+-U|8&Q|1t8VtxT!~OxQL}_S* z2P3-XLOAZ8=uYAj?qlVE;U5rSWr~aL5TT(3g_d-f&_4UT$QYxnti1I<_$GXOkq`0B z5CnPEijbl)?SEX=)eUdPVHYWi4Ub2@gh!D6?H2RjVaNZmvWCLIyI=h?+rzC@k(F2rjfz`@jyKZ53?gU}Zy6h|Z_^{6!DUmibpV3mvL=kU=X0 z)X;D~f{egwFd*3(?u>A&+FtUC!MrKDtJ;4t$Y6WB_^ zy&%D;nC&oogK7pbr|*Qmo4N|Q7f2psDp#jS=%`Rh+)I{ zJ8|JO+}_FzZJlMe(!qtTSm>sTwszF?3Tnnm8w+hL*NYk|{aiX~hC+B?^q`a)Iww*?5Y~kvYMYmRv@p%M=G{VCfwTv`HO(V@w zb5UP$TU&e3pZUv0j)V}yUtizc+S&PacJX4*fNeWuR^K4jgY(Cz`y=z`*eE1o{v064 zqxo|NNI(C59yPy@Akp*ZRLCZV=KMJe3W=Rxg#v3h6W}M-&i@)ehOld^g2+0C+yj>k zAqx*)4d==d%0gqCN{ZP%q+PbTm7ER;DT;q6y6a;hHA!+l&8Ojck~yyFZyf1mHDNX4 z8*=3VP}%6Ntuoshvv~5bBUKH*_08llUoj5e8{KPoFBbM4*sX4<0f?k;Ws zY@EyOQjRv4`X|$TIUcSndKAlaCu&qBy>}y2)p}7k1AKFd?!HT{e#PWCirUEWC4OsX z4OOO0-S&QCj)MsfHE?N%yoFSN&G(*mO zz)!!IHSkikq;YV@_u0kVNk1_c>~aZ1p;*6hQ0(P0yETrTz97EC#z=T0gjZVTSiybO zzV_8_R4d2PDZr5$iAo(e#-5~Jtl}4MGhC;<`}qCc3Y?;GnL3vGIB`&IacOO9Qf!I! zJ3gMhs7pB7WLEa~imo`_*wj~Q>2NE%)@#W#GkNO59w-X@ zH8{oiNqj0Fu)ghWoB#RsfiUYY{*d9Mbot#hn+JD(0yToBnA4u!Px1jA0cXPO?CzM` zV|H?KJ2HR7lZnsuRlv%@)A!1SY!)+Y-FU`*5t{B~w@H7lk33tV%E{SifBhCnA1n<- zRt#sW_+#~x^JEc~IB|2pSpWR(FoX)zTqbsu?gd)Zk;uAlTeDTS5*4|!D9!!EDV z+2#sBPMs(Gw&DItbBzuE*xH+JGj$1JMf+hFC9YC?l-m(6$a*x%KV=f;-Z2#FzklN+ zS5jCLP3FFk$xn*Yt}pd4`zl`}k{odo9x!5;wOciB=Osz5^g&~Ga;hiN>`Gm;J|B>E zL8TwFsSj>kO#it)taqnTZ-+*n++lZc*RFPck5Cc#>(jrwIW5`bdEd&GPj4w8_V{~< z-YEf={dB|QlW&nD;8&Q#6U zdf)Ac2lxk4^DvLLYX2O~mH9AIK*C>Ns6qi8a;auss@tb}m|84XzUL=xeDxJe_x0SK z|LStSid&2TC`q6>Ay|ADjMJccImq(YpdM$EY>B)<*`a~rH0g#9J{Y_l^ElR3+ zpl>X%lcVWf6C^xh>#RcR5a%u4J8}*|Js8*vK90hXshVyTj6f7>YIHuD*1<_u4I!$`VyKPM@qUSp#0~ z(fr^A{6XIZE5>AWg3GQPyFDG3j11%r-oP(b-jD=~YLnvCo|d_#ByzD2zfkM9z57O4 zBr>;9fpMKn!f(F^?7q2rI|kLAt8MlB@aNAct7y5sWx^2 zx0e0j9h+9h?)rTXiB>P%Zx4FQZrZA17r4>|TkId}5eR?02HrTjM#S>o+vbtr(VKYw zu=)L7b3Ge(1n<4;WP)-JYj|pXjwy^LUD_L+QpIi9`k~me#hX0NN^|pIBy3UftH8zeYAws>4sT zAF>@TMjBr7%|qxaktyKa>im@7M`NO4%b-~H19f2`nKD_;37Nm8NdETZR%9b!LQOr6 z?!UE@w;zEFs|jbnz-xQm9S^LW<7hWHeV4Vt4ek48Z%ydE4=mEU)-{w3UM*T(QbG?hLopPMbb$bk>{UeSt++05&% zDlvR6%I_7&+GIszVZB3s@uPaPmu`X9=UmRm^|gKCZh|&^p`TYnlM?6@lslLU^j>|r zM~*!OUitlbW6a^U-Qw*T?^#^9tUapvsHs)Hj4Q~*D#y_7HOR_j7ja^?`#i&vS5JPS znkG!dZr!NoYx*dwLAG$z{Zf$fCge&$<5kl)BigGD^~r}B%4I|B&pogP5Pjk-8xMV; z-7t#vSx+aQTi)8QcM_$uN##shm)MR^xJSvB{cGJED82TsiJPb0q${am89jJdX4IOB z|9tfFA*1EYlkcgDF`$UX#*3Bj~eIJTA4OPD>jeSE?L(yhUVaonY8 z-W;_|TBmbrJyB!1JC)-fH>Q@CoQd&C!zo!oVjBCOk<07}_T)NU%;(|0`BDyj!}IP{ zlp8Yz*joSaSS8Epgkx{qpyg%XR~k0qGJKM!+Q-kJss*X(w8hV#RI=@9>6`J1xbZwNMCnEVdc?1|);} z32)2jWs|PL%@lF4HpVOo!)d7rsAR?9!Vetc>IheJ5M1+uKS&7ZjLpOdX>mNKQc z4JuyYqVndw&`{GZ3MzDW5RL%BVHJhVW}HRaI(k)X(y^t`eja>-M8{u6LZCng&ilJ) zj1zwMgb7=qTesp#|I8kRr~zw3Aa~BgZ*xG{T=SQ9wy8QcOHp*zcvIQ^t4Yx|+e#V< z>s|q-d@4^sjqTe6(0EjCvs}wKkq}Huc{OKlMeX(k)PM3h%u|(F(l!z0_N|bL#op9p`1dTt=MJ&-4JN_!C7^#Wva?%-^e;ujvby zY0s^EK8wnz#Za(C6Fv(DDmnN4ORh2{C@_5A$Mj3bWE)kIC^*|7nW1*4E>UiN2;}nZ zeP%hk#XZeF%?A*7^hxrq^B-0CB(il_PIBD$LjioFDlbQZbnneaqhm?LrDQcTEWDx- zH_b9^MZU5p>^=bB{rkXpWWegzYC|?!feqFYAZhSHaQTWxl1#*bBmFac`GDX1sh^xM z@96!%!><3G9{m>{1^-*x`Y%2DFFXqVJ3aa@L<{~qMf)#A3*c?0|08Yvm!ka_q6L9) z?jXDe6AotqNBv9D{tMB9|4z~V3(ov#4FF6`Vfa4 zi$=w8a#H&gsV*)KM%{Gpo%!_j;_r(oc({PMT%uO{f**9h@v{=MS2muk38)l?b4MMD zX%m*_&o+Rv7=JenTcR?b4|@cy!O2HObXl|Y3TrnWgWkm#LCK{6R|rIDsXV;16TWpz zz{UbK@RabPrbP@H@00W)eLZ$YaPJ0A4bB9;FXgp9)WcQRzQNU}WiE~SWQ3>r4FiRG z7C_E$y@OBocJ)BohtE=D**1TE4|66`e0&u7orm~&>;(dVM^uu-h?&!5&GXemChHdG zyYq)iKA4y_vK&7%u)aeHxlaOKNuZ_F(hk+`E9YYuL(}?4E(U{MuAdHQ!#Ha&#(+G} zhT7-yevFx)3?qRe5`EzV!AE(GNqxd9?#VS%H(J4!k5?@1wQ=KR*f@Q;iGx}a-B%5t zW+%w6IHp!G?9myh)T!`yCXh_4Xa8wQVq%Lg9=*$V?|&jx7TPlu3O(3&nu#Q}^PQ9i&3m!( zLyamQK9OHNiFC~iCEXO9~0)_a)Rp%SGJ{n0d?K4u=NZ@b1+TWCz zWY>JGBSI2E&j+|1kd|1x%v-IxKcZW_Nk-?4e|TeY;1%s6#CK-iFaNn+$Lg!*Ot2YQNPMDd zjBUdj5jgko(Czu1UsH8FD{$G!Q4AbLZ@1mCeZLRWJ&V+MtAYK_KB6d}s>(~YqGzQ6 zMg?^bjmo}{JZ)$bk{RdUGm!!1c{VppIDdvUz1PGG99QA>PWu%wJoYq(x#?|Eidd8f zU~S4JRpAVst|%H@*Dp5R4iKoR3$WKPGwc#l#}#@x56Ygz;CT!MeB%7$WpcYh?Y+2$ zS4i`5sI6a$6mQSBhueVr9gDnZkmNTi*E77e=~Z1WsolKGf!ztFxX~RC7h7Y2P5)tc zUAFmTzP_I7>+P?1UNfpDtGB!|Dywoto!Vco201ng_im`%Z!p&K=@@e=FQu|k#P(+v zdBQYC%tG0L;R}jGZq5IydSK`ISR=iz!F*f8Mu|tNx3g7}n=Z{gYpxe)2uR%Q5EI~> zRU)A;i@9|1lI7K-x8FD;$U#c~ru>W#xObq~diLGyOBSjelNpe$IkeLii{=Y6w)uDG z#E@cK1HYflU>8YRJ83@f9Dl&DhDqDGo65f4KGDkIzia2$(E%QeCEYrC*>LG`fpSa% z$JCvJ@NwMgxDe8Q%PT$`ojt$7Ep}1W_aedtt1-{6f9Q9SPj4HD_+fY8@ab7qy5Gk* zcW_tOTw&nW;J3!N#u)GO_9N8_vl;m(n}T@4E-g-ZTz(ApwY71MU-OS3TM?pqR=Wfa zORO)nV+=6k!dg)0ALVU}Za515y8M5x_DEW{4I{1=CDHj83kJ+dPCRRHwfu-jrWbvG~#+cb1Ovox?D7K+B4 zOegIK_TR=2i)bnfXR$%&C{(Aqk_^Of3$uS?5lB$Z7lu>jT)+} zPkkxd`vvf8tavDy{y);+n4*$sSZZOu4J?m8uJpVyi#V-T$mec_O^^ zw$#_B?)EBSI+2zLMq*gPnGfMPaJxc`y`%NQZrZ?Yr#g7H%lpirLX%Rry;oG`;^_;S zKY&HnaQErry}9_Uw|(@x7|b_&pWdp)CaTcx&XyVj8U9Jn>$x0_o>5zHCwFhXwQ9H-EmP%ep6Q`CD8Tk zzHQuQ=0&43(@(i3{%TKor9?2t_X!?17J&Z+`-6Z5S%`Tj_?am}`J418D%7?E|) zqEq}`$Unm`d(en^(K3r@WSZD3>qgd(lz?}KX+1?*Fg5E}X5Cb41=TW~+%LSrxJgvAS&1A8S+vi!eq@4o5;a%c*;^$j4v2-A^?p1mAt;hx zdp)GnK3`oDH$d$GuH3&OSR776^^IsEJBIT*SqL>wW#FER@|Mx9+q19yJ^>uY(j(oV zHO~B|`M|lX=9N~A+}mgT5*^1!l-2F{*W7xI*^3Rm%8+E52)V?sbt}c$qiKP{I zxAWh4mGsUT_-|Rs$U7N-c;C;-_PzT$Q#x+)Y(Y51^-;gGsCJW-5OCpeh_|YwDsiOt zgJ3SP<~N)IQg*^11=~Z`70ZUzm$D#qhk(kQPWnZ6c>Gh9-1MdIE5-yyE<~3SrPNa$ zNorX@{#(<&1Ae(LGBSD%OhVL#e!!^V@y;qvM8AmqGlqgqz^}{qbm~GU17{F0X>of> zxmA|?LDw{vJmbksXL${VICw>S<*Bxdq0i5P^>q1-7i|UV1KjVKW98Bq3cs6*wmAX{ z$3?4OIG8_`{GBCmUCL?3RdjeYWB4P#(3R)&(JJbIRTX0mulII$x}V{lc6=*T+dNIk zvp5DHWeschKZVQ308RZSm&1NDQURAnD%X{i$VNhc7vOf9zec>6AT)gX9emo0$Z)VQ z+dOWZq7*8*z9O}KGGoUeltF(n{8=1jOe?shAS2zI+Dp!BDxb_1_;dP<dK_c1qqLajJn`liD?lcVdMcOY!CjJCH+3NMZS&I$yfk$Id0l^=l4s-1$Rr?DIx- zzVEZ~`s4UquT>A)Qh)xq;0`KzlKZ~Fht;Cy+|A}4p1E={y$X;SvTH=w zWjy<`LEbz`ThoLc{Rot#f{mQC?O1d7DYv#vvf;)%e^uLTY9)#n2CH zbPBf4%lj^wU}|}fNWG@>lJDdElDe5aI@6l=xifWL)AAE`eY>A|f#7IIZxpAFqUwuV z;CI_sc={IB1#~6NC;PD&1@)+eKbYV}>&P+^nz*)U9#M1crnPOtlc!~`5B`t~N!@VH z`trvd__p-AdHRQzd}Yxnn!_RG<(EqOut7r4L#S$*_ICNYH?S)CmJ-8PF^tP~{aQwT zW4}^r8?&@QWRpqN!XJaH^K2lxnWU`a`MWhNouGt?SzLN~HIKZ36@jZ#GAh|6Rb9P+ zLDOBDw$;??-XV&J&LAM6^aq8MhGSZ3)y6T^Z8OKf*mO|X*wQm_ z#3v@L@T_m?K<*@D8i2@@NUsq@) zN*d=k$yu&RyC)UA{m$Z9-_bX^PYF$}_mc|=h?&_`EZjU31x=ibhDZIf$InTxdcEqH zyCMu6FaeKR!clJzlI&_)eOJ?LiA5M_+xg8>nHaf@(?Z{@jE2uN&V|rkOJ7y`*Ju1! z1M*(-+{-Jh_=0oY%|D-T5U)=i=jY>{+C=O&^ewb4W@*@J-F_?Cl@>p=KTj29AiS^uk_L zH_t5NDQh(Ul6w&MQq{TOV_4F&*YNhBF-SpC$%#w)zCFAs>B{XnMI)%1x%Of~jB^_& zEYLXrDol96FC=YfT~ha9nn7wENyw}07@8H3Rd~EcDd^^zR5uKEFN+!&r_@gV5xsfW z-ZeS5W&lN`=TI?=p`qtr{$}<7P-<1}>{-4#M6j-OJ#8U$5>>>ezoytf*71c%DQk&F z4czeitm0R*7}iO-_9BcKI5+lb-0=@Bs(kn1=nVT36El!93Vm`)E-4qcfX^C|ocm&c zM8UVT=G_uG=gpAFT_zLoz_(zHEK{B@QUZ{9bzk%SCg@3V`<*y;Fj(`0Sk<3$;HXd>qe`{t-|WJ2#=ypbTv>h zw)D!(sh#>+0s1GFFEMbcduC;S+V1*>%_e8znutdyZcvRaCHr|1CkI>&{zO@hYpCTr zgeM~5ozk}P5o8qC?mciJ%8H9_`D_N_`dy(y7`bJbshgnj3;TSd_5Vm~#Lq1^s^?6>oUE)-- zt%qd!^AEpo8$F|EkIk7|!~nl8JlGN1e>)1E9TG&9&U2_`<}`dh$9a@DOROH8L1qqY z!r}`?wsj4{64J72mk4E*oYU&|?pTH`lCZFG3)zH$$hMxougqN6Zt1(#_6>7b+tec!;&Q40I^118iz%q$9~iCwQyy179FyufI<`j0A|$0~Q(D>8zsktW zaX)%^lw775{A6}d?(WyHS)=v#CsQ++I-yM`j##Hs)VB8tDBocc0k>ju8hNzRRD;E; z9`bylU}6=xdhO0jgiYwSzGF%C9x33I4NOR@I1&&s^Q`UL*bh(2%P;vju>{{ow37NN9BS!F}p?-P@>m!~XhZJ3@#;3)URy9PW1^f7tYj9nH~ zqhC{|x4&idz+zF{h;IJL2juTWl0I48W!>WO!Xu;PQ__!!g+S>~4qHc;=ohb-b^rkd zHOmzNqleAwI|q#73K~Y1)}GPv$t53lIn>OYBA$Tf<2!qz1r0VUe*@fF^XDRxvlIWW35yo6hbM| z2@YLnlkg+EKd;`5-eYhY&lkGpX5t>6aL8`&l9FB6JP0UhS(G)bZ5kIb=vd^{LO$Sg z$>Xx8<9 z=!NSSRP~)2l`ky+B)P;Rp4WhN(?;udA-rTu0=f6?f*sc%iqm5auiEktX&|o{laO6dRQ{rNNieC5&d@d@J-5EKXJnFqr*0;N+qgw`)xP*pCg| zJNZJ^VB;|ck$l+aeL9;wBw^!T%9C#dN*U)AJWA^BqenzkY(PZuzGvw3*Wd8@;We=G z)ex3j=%e?8pU1z@^53#_NE-m81Aj0G=y`7FHEq9nPb{5ayYxNc>+0t7X;wZN>-DOi z0GlvuxLinLaMXq7?5-d8#2K%=Yt`z`pHoay%A`~{5L*5dp=6NOG;j)yDt=kju}vfB z{rJN-JQiW4KkzU&A-P&1sgVz0mcjE&$Uh-lAvCW^- z+JW6RafLq*nn(Rxw$<*vYj5fIc>n5VoNATIWe|YP2$kS&6@#XpCA$~|!lJ6Td>k&xlg#5f`N@3&V9uWn{4Tp>=`|wA_H3RrGrt#0J>6n4n`zIw|o2740 z#ZE}v4T%*{FtM-d-WS#^sc7!c$L6vJb?@-KV>itnaWwQC-;WE*MmaYO9NDGxxc%5s zzGrRg2!3Jl-}4QdTDzueo>YC$(j&e2{pa01S{Zd~$Fffo$5;TDh>S-n^G)-@@{y{2 zU~KC1N3l-}>NY8F)3R~A=Uwpyr53N(O&(no{+cxlI>>AoOS+ks%+!fZ{_)ATps{5)eIQ zW8>seL}QnbyF^2XXuu5Z2c$u#aMy$+s+N}a19!-mp(#usboj!`{Lr=f=Oajf8FB$a zm=ME+5e(l5fpw#$h-fQfSX9EZ5;TcfUJlK)ki~rQ0*Yy0RZ&6Qtfv0$JIG_Aam@el zm@_k9Ak~JsHtC&^RUmd%MkQH0ra9m91Q|#==cwNsi=7Krs^#_2bdJy$;ri))D

+Zi8)Vo{ z&!C+3GHiJ8WMHDP=MeZ679AXL<2YgAYDJJMW-!BFK5~f%9lUUl`S|#+-GCBQgX*qF{+OLy#CV1n(~cjb`8A z>jVh1Cc^!$tFMP1&W1PWXoN&EOuG(+oK640&+p)16pYsH?+}1P$1+?K&2wU{!dPoX zFxJ;M%n$}N&I#dX7~2rz+u7ZPqjLLXf7P6@2U>X(qureU;~JH{uGFc8Mmhgu;|xI% zbyy{$0T0Cgn0E=$T~1p^=gu9NF454+2NSwE{n7eSoZw(|w+eqoiJvof2Sp5#-nmA%Ws)w0HU(8=Ic#GW_7} z3`E$?Ae;Ob`!BL4LAP~2p=%22TF}f2!DKT86Ws#laS$uW$)b?Etq5WW>oS6s-$RG7 z8G?_NkeWmFsBKudRTvU!6&UUk?uLctlM~Glob>d^bx<`6-*a6=+)#~;;aG_C*H06H^d1z9Uy#)hg{ z21dpej7_4;Fwj>Kh|?qSQM)L4`JOe~^$--I01qCVbby|9aPzjKb5UTYFEO-1qd)oKWiB8nIW5Cd5XtM= z#{SFN$IYK#L~L5;Pf?{^^XGIZYbbDqG;7lQ52R;r{#*!!r2NHRQ|Hf7h$BQ@xlm3a z^N&ytv;S+Dxda7tC)1{{8d075shai>vKu;`yerm<=>9eoBICwvOgCQzn5jt(!6AFa zZ=D9Syyv(7+#>$8N1`j=_jQ28_~Y=yQh;0#;fVeiiF|IqEAXui7o~Oet6#1rt@2R| zqp5Sz@ib_mYhA>_CJq_bf37js#D@8-)cAY|?=oKm^Mi@EF-cLtK>D$C;Ty}X)MAB$ z3;Poy6{xb!&ciN6y`Yh|CCn)#;1jFV|3%tcKvng%jlP>s0qLF~Eg>l&jZz}1bcduO zDIncQcXxM-0#ec--Q7r+(sdWU?|1&+J@>t5j5EGxj5RlV!(MBz#h$R|oWJMyNTt*l zlZPsoKUK6BE2YT3UTbvP4XMFVkE-B3<^(@|qvhg+4Ve7(>J1WR)dn0}@WKaQ2t8?T zN6XYC(vSjkNxi{dlCN`my5I_9UNq3PXXjN86~_+i){#J!SPAUG-AlD+%;e4tf~4=M z-dkXE$i}u_WWh~w2wuL};YMce1C($N`SG!;E%N+lPDH}OGuwpkXqsMxmD^ftD0@$2 zGlSEQC6u&`mF_(1(HH*XOEm6i{_(B>+C6C*Pm$Ap?YlM zxMpA{nYJ>6{%*J*%xK`(^O~2wn=;*M(XvFRA+w+3tb~Nb6NPnYCJO?oh~~3^b5S;* zQ4Gps$Vl%?9KUQFnLQl<)LaQEouNd}=f7sm6`~S)XlE8G8L!kH6W(aX*8%spF zhOyPRvqFF2iN6VE_B_4^rAIY--V8u|K)Cj1LDqDQFe|r#kE;PLJAO=4VsC+tLdkLQ zhzi1mZADQUKR<&tE=Nz(;GMtRmqAWEu@A~DuYd_soAwEVZ}x-10W24DBNbV=mu27G zZxV@q+ed#BKcAFEw0?k24-89;m3dDxO3k*$_&jWgy1y5i`w3txuI2y_(GE#Z1QtLNp%?N z$*_g;4=Qv2W7b)q(d@n&LpiTMeMcjX(aM9$L@4O_?H6DACQp1f!T9!$!;%ccKbISwPPlA@T-Zvq<_*|=M7Rbj}?4)BW z5aJy;MwJ3F7+wE>@}PqPFPM?-#UoErXmv$6F=Vn$Imu-t#z!1k5XG)+ISXiMlr zWXIS!LX_HPpLHd{3;DeCe941M+jm2MOmkfmJV1RwcbD`^QSgH_&F>TkY0r-0kuMH& zb>9Oguu4yC+QCP9?gtX-zo;A3cqmswbYYmy_j$^FvP8|0t9hj%bQ7)075 z3L-jrFx&m|X>KVd4Yr`YL(>cS%sv!-?BQ{lojT%Xf$DC*WFQcu7rX0d-c{n+?@p0G zGG$YAX!%F=9i|~e{L+IgswHsnbIN-(x&H@|M%A`o-ZDb^Nq@RZmf}*6;-o+;EUO0W z&oF=4VRmy&RkHv7$J{1{e&HjR$dkISjNAlGhTo zlTBmZ@C01FwYxa;%n~odc|4`zgTYW;dSwdiw3W2jvzhVZg>ujv3#m@SE*PyA$U45N zF;kDEJv8G52Z!F_Ax#I4i4s$0Y-jkAAFP8yA4-0|Y_6}fhnT@Py;p!JI)wA9@b-;?;yHXpRKmU1{Ei3vRx2|C zQ3&I%pc(Kol4w`a&&LyIw9RW_YN=-PV6^>Xsv6T>ul|XE#RU#LO{Rf6WV`v{K-8^Z z%Zm9JmBrRHF-K{iY183uXy?~H(5#cC6>HSLVicR!)2GOBeVgz$$i;eZJKD778+x~+9TF5bud6yiNla^x z^4k2y?ojZrw;sln};LPDSrGQTI>^rZ{SD{zMXwI3v)k+^ZKO&0#7^ z++0BS{_$n=7F)59pej3=V=<-YpZp%Sw{LPGsk7E?aqolq1B`j^jp1yE&|fVj71`Kd;yywuk( z97)WL4r?Df_MeTfF{1A0Y7Og}wShBHlLD`%W}AK{mFYiHd)L30!ZoG1X$0o;Yr^a# ze_;aq+k)pS@atn@+P=J}^*(k|{bql+%vQmMs$2>Z-Yn24uFqW>ScOY5`=iE*i;K1rooHgKm?Cu`YY&X;zpD{lT>g z`7<5ekc%E?LGvnp9ma1i}++-SAI zE=*D|l|_i)rqX&`#h5C+4CAv6J3Qf@8nArjl4t(hYh~rZC0~q2%A;}KK--QA5`J2% zo=-tvcZ7k$YNc&b+>@8+9ek-)obO}_a>uokJP{tE4lGY86CX?fgp>zQueB+PE*@&9 z-WsAGU~h_^TL@FxnB|DleJR+_0xI$w(I>ARzv;jyIOSS)UbwEDkP-OmwfReky(*2b z^ah?k)yL5UJPDE~q-uOC)&spQdQ*i9?4l6M&xR)#S=B(~EGPML>BaN+SZ0@%7p-;9 zoYi=7-LvoON-@K3p3-jv!o*3BwJl1#jrxb%Ut8-4zD8AJP{<3u!0*azJ%7Po1Vkd? zPMd6o{oa*dr?6Tq-*MjrLtN`pSol7%4n*&;hNq+s_q~kEtd7;Pp znH0`DL~$nq1_j`J({}b#FVp6Sh-X)J-w1Fe2*@@ox(kBCuu$4vZsbRR^_q~%cRj5T zR8(dsx8f$xvXuehz|&Pb3IDO zp7WdIIfJJs4D!#*{L!;t*0HFfUgMf1Gw7FoX4U=i!FsJbTeKEvcVoFGRj(JVJv*G+ zV71Dwfz~jHOvP&2FkhA8Uq|kNfSi+C8p~8#L`zu`Pt4#=)j}GKneTJf;ka@ z9%Eh-MzbAYJNL(8^?*&|GOFr9L6QP#!zVsuGg$@b@M}f~G=^bk{5?^U5fvacxb9#} zDI>QhOK?lWzIT4G(UM?iH`K(7*@o#&M(7Mci;KOLKwnjlO=g@SFuqeSC)BwRn?faA zGob=++-Xd})vklK&rxsP^TE9JGL(wRiyF$*6K zAI`9Kvxn@tInkqmd2L056wVf{YNx=OKY!S_XY&MvEas_n8b@LQyk2ZS66cc8>u<~KKM_~%BJc2D4ALM znO>L2`pCS-oZZGz@wq4!c9tr*fT91=AyMU;OCYBqiDCAD%2WnVc8K#s3kjL#)MtVt zOQ2B4uxLP!YF`@T=X*?PLr~$x64J8Cglm9?!@PRObrJfljYx&5p4oJNsO(Mg;vNn#`hG1u*Zf&k{&8~vc9edFSs2A zJ;qj>Vr{+hRikP5f4V;EXqco2?JMz#NzGCZK}gYUatP<;a%9tpo1sLSd?lI8r^p$p z2(M~%wxaUa>L3*Pv)`(Q&5J^1<`-Pv&n}2WtukH=EzQx2r$&!U-An=X+hu|WueP`& z;8qM4Eef7@<9+??fiul+!!wQggd?9Eyo0ks87^ryH6PW!IFo*^VTsn76A?HnZ(FOF z)Yq!P047!J7|xsN8sTw1D!wCRAwJG=WY(@^?jDHW?sA=HR0Tw&N{MMY(goUP{<+d%w@5n(vEt!Y=<*nUqR6+b59LZl%O zfUGN~{0aMASMD^U9_o4+8Z#S{YB~A{Gl9uLxQ%%M@b~~{W)S+8zRvGdGs4%`t=&$EbA7F7@>ZP`rxO=X;kv4T^ZrYK>Sbott#M)`Etb| zqhWcpZg_O3={e(2(x?dv*Y|4Wy)}UdO5bG=E|yozQIVpY`-1(m2vuznA0;vsVT}xu zh?0WN_Mp>GAlFE|?7R?R5`JzL*&y81#wT(BRd=mJrhg)LP(eiax-tuQ?)K zFP##VskYSH&HfEyPOWruenssX#(_X5J)PBQAxnO1$&z;(6~9Fb*%#Zsv%TYeZQGbJ zzJLdMd@o(^@@+LlOnpl#nvQPGvx=&lm&kbErPV=vD~uV4$s4(PdIy9g=Z{XKVKORK z_AN8YM>kKv>6nDJJH`D0n7E$;Lc@1zc$tF?34)b^(w)QqB-!M*vUo*|-`RXT0(`!0 zTbhW>T=FWg!uNFCgLBJFENp5z#y;g6aLlai5+JLnbZCZA!QU(*I;Xt0@dOia#G~R7 zkUo}GHnAAMX9lmm(=$<7IZVhj_1w}2Hts}tT;oo=-}Jg4VxbUP<{toMR^{+Pz@J(^ zq$FR-pYrgDk#kCVe%TaMJMcqEbNeJ%M8KplFfs4mMnENI;Cc2^MN`+n-aDx3Oi)7A zE_sj!&{(2f!NkWei&zPPON8|a@wTnVGjf6tF+sbS7D zZc~c#&fmWB51TZNtg1`spCy2xUIyb*@T=OsWpZdjLL=%txMeEX%PT6YKf6JpvJD3r z#a%n3Pb@t0zapSxODdUrB~;y!Gl@yQGBOWKENboq8`~tx8b&T5F$@}aq>M%m(O#NA zzZ?JVd1jgO{20Gd8Mp^*2*;tmbdSWLmCmlH;f+I4lnsB;Yhdq{RGMG;;~Ky}UfjAP zR_{K+khhFX-1-fBz{vdionuUH_2~F5#@htYwY)*8ZEouyl{<@XY2%-k+djVzN5se@ zpkf#ppFDa9&cws2HTrA?A7q|3OiReb+axVXy>%ziYFM2hs1)CZ(P3roIxcP`j|U+h6k1B zQHlXWt32|vOh!I_tyn}_u1p$EK|IAA`#rnJIBeDh&nLKnXw}XB4NufSsCJRoj7oLK zDV(DETdS#MVF?@Gygz3!Kuo7+lbkv{&nBgy?cmbcdqgLloL1O}LG)DJA~N>NGN9y= z(M|4Hg-534Ff@A~P|71@klsjXa%omILY!UBCJH?FCDhV?z;O}V?k}R?8+&Ft-Ee=B zQ~5ybzuXkI$OHo~8km#{-n;7M6F}!ctB@KghV3Sy#R((C>qh z@oDO3)`E>Ke*gNBiLE_G!_eOOJwy@x`t{v|(`#fp-LT@azAGSN<(N=Y*TBFtzu`S` z29H6@pcHfbf%+$PhG?S`N!Kty)=uo4xni&ULa*qa-DuoCh)yZ`b<8BRc<9469{;Oa zu)}G4a&<$)z$dJ0lrcfbtm?Bu$RhpPU+ksV_n}KZL7<#^1&{WS&)mT)zWx`1ULO&| zQ-|0;xw%B22_4vfmbHOFQU zx2XFT?un|VZ9r{n|0oiloQmPQkNziDFbsY{AoD7>rFURr3+dq_Z@>?&Hr=w5k$dhQ$ymf+3#mOI3KaPb%Xyo7$9##5feD4pxn1qr= zP;9~i*hHuFE*jmEQ7WG&rm}YO@JX)YF%Z3|5J=I>z({lY0$`6^s+KA6nJg2ofV8Ua z4w<%@V)DVA_HnvDi-(k~D_B1~xe+t}fXA=ZJ+Of8;O6BUmp!p`N=hN+5T4Mpegw`Q z;xnomd#}S|KMt5%xy8}dxAdy%Uc(}L;^17~*ntGjkS-d|3~2^j*%Q`s_j&Us=hmhu zsp&QB+v!4*>qjVo#qB8(O&0RgqWFe1gY42HF@>xNyxfwOj@5_CQ*(0mASh#SlZZ{> zjk@jU;Orh0AfaWFQ$O`vTD5q2kIq-N9T1YybPn!5vt1OTJIv=xo3Qca3ku&K-xYpI zR`gNE$`dS%MTcm-%MSc7w0=WO!RZ*5ST(-S;t+sC$MsBH-pURvto{;}c6Lq6{@%6r zR6ttGsj%e74LmYw)W|Pl`FM6iV4eNe#$kLDQQ6XJYmdexb%Q-}+o{*}B@w$HEiw_g z3Am(tuJ)FpO32V8ak}O}WTXOv0GD$pD0FT2=hi8eiV3J4n&jjcQM7#jDXw8`>yCxT z@O>Y$wrgc!t%{8&EX;i9H|+zeP0R<6TYQ)56<7!%md}k?5~@H88fj!gY7sH_%fQV?#!X`^BI1fE17T{DWYr|#g9IL1GeA1@ByD4!4vy9)qpZOCT`E3OF?NZ)8^5&BU~D3$Hc;0 zG#(*I84#ILwRDS4E@o)v8yMZbb4A6Z2jx|eQv8(j*h zwQFHw!-<%LrL<14@TzL}9^EQMu29K3erh^HdL(ZK9K51h$L4n!lS(=Uuc??N)lH(( za=UkE-xe)x;ZcP|gMx+uB1&O-HI29|ChwXHKtkmWXSBBpL*#&%N>sAn0B(%xLr(eI zsJNugbT#CgVXa9#5-DAai1@NqDmgc=V8}TN_e9+ILqb8#(j&&tSyintd@?SpvgWy8 z*BBxSrfwjo^Yu8izfq|W4Xl)ycxQR`kYf=|e|!3T3;p zo`9O37o+DUxh0g!Nex+G_N*@tBBw^VhM%f+4D!5?l~1}OV@!n+ach`+Mj=k3w z)-Ey0O*7l>9)Q3Z>-re5XWhDT|iDwveFfCXfFDd(0G)q?twrRP$z!S(6I2rO$7 z_Ar;1%9NU!mB2pZ*fXnRM_H@#BilL=ySNM0T-x%s_A+X)l~?U=7Iq-;%0K`6+A$vC z8`J3c!jc)X=WlI-+ls%=Yv15^q=)puEa<4BSw5!esuRNChs zrp&m;1AkTiidLpGzTbB3pV8a`QYvITb2_JJnN_n#BIw@w2LcXjVO!tpk+;_0Zs*+2J}r${Vk=M!z4X;gVR9YXI7fb?3t}E{=@$k65oqp$WGU3_>0?LX zEi=2C18yJU3#ynn_`s)}mU)y16k^Jzwh4t@x0r;_Uz#{a)GY2^fz+vmJ5&I?Lpr9{ zPYI!qf6Z+dP-gEB1T-cARil$@mLC0g-TmN}oQci7uS8sNPKGP`Q#rrJ@2j6^ycP2+ zL^aqf+fgG&2o@!L`8d;O?l*;SmrJ7Vn@sjelv(CFnaS5SsZH$IO5M zbc}l&D9$~Oc`pxymII)GP|T;i{19@crw_0HFD<4ggkfgDAS)&^?7ql3%%lYdGtGdZ zK=MF{L^HQog2+@$%Xg6Y^K)b*#A3!kYRtHQLzobQnwpvQwF&N?!$i2pFd;7N9>Rq7 z)0y#CjS1^sg27-t_b1*9o#)?*?)PjRbfTlb_Ktu5WicTQE#%6)fCBv03Es1qcL3be zTmLf9LLe{{_beui!4rZp?_pOaeTc@)fN0DX7>osa0Q{vfpK$T;LU1Ca8~h7m{>w(I z35B*c$zK_*z7Zs2g)Fpw_ZVhCz^Bzvyg7&ZtZ9C9jcA;%g9|qfpZVx=6 zHH8)!I669l(9@GMtcUjiG|9h>vk-IoF9H(~-eb?yj~>x5FpA!rW?#O1`B$l#@h=SX z0mM8*@Y+4Tc27P-7gJgJjf$$Kmd-~f2*QM{vk=etU#v4^ob~d4a8Eh=`uh6)g`A6_ zmr??~lu~`jt_gizo&nLAkc#);Fy=jr3B{MM4b9E>B>|zDfY3F#N1X5Do^k${J#^#f zFW~%d#2JQ_0Ykqh+x|^D>%)*B?<_7Z-VzK3AV!k_3c~vmfh45RdGb9Igo>v_wB~(? ziQz-~&3{W~B_trm`Ccz;^}!Q@Hlb(3Z0;M|d-~F@1x9g?ZQ46P@$nvkb9R9W+O(x|{r(bKU~q|vImWOQ=$fac-IJ(!`QQI-bng7CbB2)4-oML-1IQClr-2aW z78t^Pzkxa^?7RgAyMVS!h@8IqtK+=KIU%4^ANKHm4YSmQ15{LAV5VZ|6L#&?$BI^g-QbbIbRYu{7T9y`dRGlp$jEzK5Kh;}Q}XGa!-N2WiHCr3gT0L2-Y! zl+YspItEn>3`PxYk1}9>=@6^R^jPL)jscvUoUxj*BEIrHrVMe}Z&cOo?V(l7`*4At zt{IjqcA2OdH`jiJ086sVRa zByOFZhlo=R_=SZ<=)g( zK)ryRLP!|;Ns$Z~OcdJ0^dT`2Bzbj!y)bt6go8fY}+F zJh&I=Iyt$xc|rhuYT6o{0bE9=uYLyHCj1Xr4z!P4PpIA{wB_r=%sL>KZsFTH# zZX%9#55s!Ry7l2m?%``FAXD_f$oSOs&cX4?>FHl)ImgY{)z|@!iWYj=^qa7*H8|H6 zIM`$8-wz~Cj$P7(y-irUv(Ax4wkrd2f3J%mhMe4ZkIMlUZ6>;l8xsmxt;Kwn zSkztTflP=?XkUXkyWqRCRq7qslWSPSdYBCeoR_+wwc!;`c;Bga9mrpA=ETb3D@IEu zUs6iSD)U>Y;@DyyL6vk(ByvnCZ+BC`HBHG%-OKw*w9P*iefYVRL77ctW6P`}b zs)RU)oK~Ni{&}zv=~?-S^#hd^hB$l$Tm7@Q=B4SUF^dQ#n-uc9xNj}cJn z!o)#65nhGy!e!Um`1gf?01UEUNj% z*4I+J3oD&5ab0=TKuZE1kYY+!r1uA>Tb`q7pQvh|CRA~xTrPe&x!zlH;qHupET5`; z)V-9XZ)v$kL{DicWVQUiC#vuCIBFASec(8hwgL?`lL5~Sq*%XVS)g>cZ&KRhv|=(o z8E|~GY)T_8Effa!wktS;C{!&nEpoqp&X~wzl<54mX`G+w@7yKD__&!5u$v+L8NXAD?10jUUptj*) zw zuOwZ1f=^wW-$b&-w#fkF;Au8;Y*$r;w}z#W#Nte}{L+eC8DGyYZ2;aR>GC^JJsiCh zwo0_ma*$H@_PfOAoNdHAA7hWl0$7Pm9j`FB04L6)jaJRkrY6o$!Z*((hdm^S$ztiR zQqlHoS@2Py(tPqxMpyxP2EWfwOg~QKt`}|F)D*-b`BdInArJQ2zk3TlJhV&h{;fct zJ6SlRr7hvlc&_4!5Go~LCG(=Ani?kq_|dC~Hs?((4hmT&uWhULDm@}>)C^rX?cD7X z9;1?C0PQcZKl1Q!FsJ0|e$e;%5U~C8qmSl7X*ToO?{S8Bvqm7M%9^>!^M?q}g6yaC zZxy0Xl^fVDYp_4EA!oESU!KQ|xDX87K35&lvbJeYV_2wNZO4nB9P~UCq((w6;yXY>N{tEcDn7;bpdODS} zAJfT#jLLSS@}mPu_pR%WFmP&DLC`OV%di(?@n*>N-<7% z3a-;{%yuOf`IK0wbDYETB7sc5t|acDXlh}ieUt1(Q{q70nt7XRzZm-35xEVP;b>sc z7p#EJ=fxmTxGEdzmn@;h(~nnKy=5wEeuh(7y0Xw18idMn9- zuV%8*gmz)%Qu+!7WJcs-+?YKx$4)D(?nKPgrRlA;Yp~pjO@Ma_Atb^lF@YIGH9@Oa8qV} zIoQO`U!DT40+-FdFXt?`s9s(l8B@Hgc_(TsVd@c&!hLaSx?)KN4kJHgyJE9UnTszH zdn$eZ*%<$6|88tBPCjF}PCt(=4)E)JG!#H0yAZ06^viD>w`A#o>oxrSZLlyn09Bhb z=?!R($$h+Bgs~`;hJP8ycebg&d>{eKc$b0v!=SY;0wRv{HRnD?2AIp#-KMFWckqqB zNBengzC6^;FjB(s%y6Iq!L|gV` ztLea=?c%7uSm*&+4-Vx!*v1$pze)RT&DSb=C%?CqN~nglu5IJQ_Rq+E2v`r@1J~pkS<>GHF=3ukhN=Y59I=+^lbXc^V3PCf z;sUJXmjGXndDhN4sG4hbd|~rw@fLL_VJ(g{536Rq4H?cUg$~4KRH>lNp_@;*SR%|; zE!qh?<(zrm2u8Mt59c4G9rb_%!Vp9a-qBsQOi`W{Rc=QDqdzBfl`E=b@}eq!fxdp= zk+`}V;b?OJF6uEI`^WvXKWU9;EN}X!_BAHf_(C#3}5+M2Fia+ zan0hEOr3B)=)JJq;Rc15WhF=<16oe@?7l`Pescj8gG{_- zOTG2E*b}7m{-JYRC}sb1Y^p5SA%wr?OB^Iys%b1yOO*%ESObcZNe z4A8=6L>i*t3Hct`w_hjh6p4iOd~?7eWj}!V=u&1IOZ3$ftVbw6W)fy(m)2kDp!*7X z)qflWBbhe=9-0RVP>sdk&2-DpCnXv#DhE)OPW+J;Yj?`zfWb+A?X^8gdQfB``lYYK#})Nw+LC6z^dN(BGnf3{lgWs7iV8iewH(k& zeD^!cX=zb!Dc_>IA)!T10z;9n3}u-l1S71mdxsYYJ%>ZLJ;VsZ_0@59Ak{XW56=16qdCSlaD+nYpS>0$x6_gAj(vFu+V?XuFP3D8owe4G zzWfTrTS*L@&wYj9U4~Hafm^X^2>d_&x`74%N^V~q-g_Ju%skuJ&>*ObkQ(#Vrb{53 zb_I;m#!WjuBvnTE>F+P^cT)O)OyC3fV zjc<1%7qHyByUjb1%l~@p|MwREjzH<3)3_`8-+9=5h=aw4L9-^%?f+E%8t0UaxZ1Yk zsY&&?^~r!n2A`Dxq3tJo31Zyz#~VxbPC#kJ5K1CxHl|) zCl(jh6N`7Uj$Rem7iv}I7ENXbKQZv}*cVqmRoqH>(Ol$VWvDlLb_hD-Y*oJ!gA2`V z0An~6yBQqK8h_-d0=UND8hJ?|Ah{g~55!kAgX_+~TN^UH2PgKQ?Z| zCX(7bnczU!Tc*qxnMdICW&iPxkExbCW;l)_CvoPBLSIoI2HXATyq!;|d}WrwV&m@{ zl3r&=`Y1IUHAPnipDj=MJ|v~Ctu4h-QygbI@KCC=MfVqfzJt{%>dc+uc74Jthh3c$ zLpU~1-GVJWCW4{wUlJ~SaDSw@tDL$;|fEMAI959CC_J<#sT3N%G;Rxe< zuhO=FOTXpWm)uQ;_qL-;Y8;fKB!OW?=Em1$sa|aRA5@u>esrje7mz*vv*jCnp7 zSNyf2&oU2{JSwWP^s#i@fvmNf@5jT&kRMp8kI?6U`R!6Y1v2lq#X$5*pKk09s#b>= zrGa1Aoi@q8k1>8`1+JP;h-2wQ?4MaUnG@_*M$wZvS+P}*DKMGp6Q1;GRsw|lCF?p| zf#@Ci2>DU`uJVmD4E*oU=FTceWUbc2Qdz+(PQsQ&4c5=UM4q4aysbIR8HRT=ag@hO zLQTl(bnBP~tup3LoYb14ggqnaSF=%?I@vZl?F1XvFS2sP6#CR8z_r7|kc~`lP2HP; z4{M?cim$>EANR=SH+{VZIdrlbkHFlUV`7)!{J82?olb5mdo!iYIxO!*l^hYSe#7WHuBm~_`V?zvzCPX>~yZnfv#vVv{>gjF=SuQ zkUspzQnsgf{M@GDR{6At3B1$ncr!rzDTs?#EsqtsZC6x1;DB*6yVwA=m$M)~9t+rI zOFhSrnaw8ALQeZ*e6u#{6V@j2TFT~j$MD5?S-3X%g0*|a!1G8Vu#Iyv!(Pj=g`_D}y8nR`7kLd8Rw#lP2XT4u1Q|~qVuA_KKfZJjbHI+=o zb(nCxpjPv9BXankwi?HDaB$oCiK}LrRp1!K551KjjfPKu{yS6AVL8E!*FNqc=ODLZ z>(qtrv@S3b64oe}YqwzXbYo%ugqOm{`e$&_dqbMe@S7N~Vf6vvVE4?_OH`{tXWJ4icTdIzzPL&uln|Rg)UJ6}28{8koej!B56n1^d9Vxu1Y0Oc!8aeh#VDB7f z1BkDg{<7jWek`OjiQA@?)GZj8{=1e|ZmUc7_oL^?$y-3lG%^E^U%vf->PCit-KeM{ zKyNy#Ni7AFIl~iwClwAXQ^v5eQ-6)UqgPUXnfQ5ZS4~i@ylEMq-r?0{|CjM5fG^Y5 z6N(r(-htfbd=rbUH4+a$v~V}wQKepRp>Iiz2+ny{M#`q3H=YPW%%;_n{kuG$;Jr- zlRoBS1y^l^Imsu_iXP7#%QJ>@WLg&xdP!Qza%b=pb!Ahtt*jZ!cVZ^#JMz2aLLcG5M2ydt#k{IZbAG0jWtQJnLVLH7({=_Kz3*VF+n|DuNhPVrazbABwhcq~6I{8j;YRz3QOnAofK>(@Cz!OBq9E%20L=X$K^BU=25g2TXwOgfGxC;rJ8sfm|8 zuqz39y3f1~c4oxVFtIr4hb zu2GuiMuv1K$iKV@@Bk!PDs!n0-`i;4%HP-+NTm#OYhyY8>=E9|l0=x^ME(Or5SBL- zuLMJ88$v7tZyy(^X_R2T(L5u^`0h%_62gNG5RGKC;X+HQ=!xxe>~~gqq(00xai{PV zPnR8?q}EuFfGbIn7vot(Q}PKd@6F&Vt?l~D1;wjYFFz$v7fv<2oB}b{YLg0<7Ycnt zO_Fx6HB|#JP_@aGo8-$pG%dAUg8RYjxA_JpPbDtB6yXkm#JdkeEz))<rg{XG{`WjAr9VB_ijm{%<1mbMcyCSRMf}H$9c;PZ zGqJs;)RprdqNxwTSJdv?89@>E$*OSGQTN-xW;T4pKi<1kImlJjy7&#BfG`Q7_{1?e zQPgbwJED_=>mH6Vs7k1|G_AN{dJL_#ACSTzp7DP~CZFHP4DbH&^X+aN$(I6sT5m8hPwp0#P~GUaIHPms-XnRa)>7(2cHJJZOCp$E zvUa(Nl#Id^@!Qn-$EmiSk+WZJVb{bt20e=ycpXyGgHOU|8klyXWU<04l2}&Lw2MZo zmN`O1F05~C0-Wa7y5_cz9-3SKe1NO#@fi+-DCvF8i;+>6loCF@&p$x#HZf=2FsJz^ zuHqsZtA*!w7e7DvvBam9?~qve2G>ghL+AK~^>ZqwH)fxcvhxP7kO?30iED+&X7^*t zs(Dqkg4w5W4Q>6K@K_3}rgree)&Ze)sQ5ezZ`}4#ahUm2DFKsNa@T%_;vfNy*{XF@!><_$mF%*wr15xNcJC(Cyukb+>NI+ft57 zi9$0ED?EaukTmrw0m~cec12%s`FZwlg2S#9nKqbH*5V-~9_dOGl(|R9MQx9qG zc2u?XU9*V5BYM`lT^v#tiw0@9JZT5*R56w(K0QZ|#pONH`rlr* z6L+lh6kcop7Dz)9tY6t-=M$M+{S5@4%UF2C6jgMI=F_F zubl#HqNl!PBfHAlV+Qff^LIQ3(d9KQFiQQbZAux(!Y|oCNFM#W>ZfnVmg(&gNka#$ zR#_Dj9GcXwRfA+msfIUSz|Ilg2lR-nV^$$C3$M_~#Bc4WL;|lYza0{&h9npFK$a2_ zDHUV$?4q8zBP3h~nY@WR{+9|xbF|DFZu!k4)*+w?-M}NVwV!~}+$r_Eqd#@W>aZnc z_;q+p>B8P~eHSLcBIGPL_CCxvqU-2^JUOXVQ0e!#F;gUr?*3zI=g&UE1Ei;da#~+H zW_PZz9}CHbCHIc5ZS5I&C1=cW2t2oni3jOZXQTqpwZ7Y$Gdfm2& zp_Q8;U6eEEd|?{6xbKtmKCO1d(cY#1fJ-Ncl!nbG5hkiM>@gt}+Gd8(+jZR2P0a&Ee?E^w`dUqd-DXLm_UlJ0tKUc|HmXKA}2`e=* zBLj9Jn@4i1w9bP#iTDz=&)B%Ed{$-N^1d{5ii~4)_bvuahp!FXm`vP!%I4^0ya%v3 z55FVl70YRC!$>+8_az?#+4gN5x%AAht(zEX+O`wFE=h!?%nM5UhsQR~aqy|=0qau{ zH4OvL&xzlf&tS+U&1c9@jI0VeXnZrsjY=sZih%b|Fy%maQ}x(wh0dgyiA&pTXykx0 z)bFRGdNuZ?o*Af}nG0Z1cw^DrXAqjyG&XfkNzI#(o?kOON7Z%+C;S4$CS+F)TqELY zoBThty$4hjO&h4$M9Dd)B7=Y^2$FLUiGpN7at=xq5F|>FAV~qqNy#|}MS=uDKm-8^ zgMfk{NkNjZHGcoS-#xqk*|TTw?t4!63?8SZs=KRuy6btL@+xim$tkbWw0(-@^VKbC z^v4x(wVVpDLV36RU7nMp&yOHMcKfmeMo#xx0R_E{-7}kzVZ^-%)SPSIrd%LX`6Do} z#VtHeenm{x?fKx8i1c-<(!uGkd*Ba?cF^-TsC`UUB^^Wid*QD>Q_%C@a&+;k+`?cG zk_iK`IknpuO!C?WEuC*E8Rbkz^tTZLdWk-+stZrMkNNZgnYLeeUqub8h+oDx>tn_i z?8(<1k+%MUX7&O7s<$5}fy|uu6NmT)rU5Zo_1)w2oNE3Ljxm{K1nN-RS;_nPm(rhKk`|&duNkBpufuL+;Jf4=9{BTSX5~a$ z%PckHHcinqg^@jIKE#u}PNJcQ(*0C&>2BuhHw@QZV+uh9%q8AMxW-(NZYJaP08>W{*l*+^YQ_}=(??pCz-elkf{DxC=sNG`%hHHNB zK79GQ&m&UOcYq_;e2nnKDX94;fSg){T*ob*g6pc>9f!Cl4dat+5znse?NV~ewG3nX z41zvl7yr;5hLW~{Sp`)oRgK%0>G|dN=Sgk`CT3Sp4crFzRg0zHyZTgLV09`On!P}T zC#h=W9vl``Sl7NyL`usB^vv$36@C`JWojFgJF;=g>fjm{-8xLpf7v6ux*uOS06dh? zujGiRon1C^lFI)}((nekn@t0&oMY@lZ=vwUrhd{*Tb}&+D5@AJA_<7@%qgtIny|o6Z77V z3p&R%Pht^C-6$D2BW2kshMDuG*D}?6pJAa=vQBQ`Zh2EwLe<%m7#P0$ zbWX%@+bXfS*XoaeX3#dXRp8|1XLEihczQvCR8fF{ujHbDQCM#A_!f`6S1m3ck(iv# z-N^L93zX1cGd&Mh&*&tzbS&Ndl3q>!*gv6R(eZxT@cI-Jms-Qv!ZqSCNSLJKyLRgf zDGUEo0nxV6eazf?%0JA`=i1L6DC(bW9{>y?)kh@*AFMl2n|YHJaFdmWh4ZE3BtP%d zf7(3)uUV(|sf!!icobJv!pn|`C>U4-4eok|?V0CQYu*}%Nj*}F&?Fwp*#`iCoF>3Et7H^zn(`v z2j7qR1bZ3oe?ek;-&k4gzN~Y)ae`&-S~Dc4XX>7u)(pN7O8T`=EHZ{?ecr|4yP8us zE)r4S*3Hhz!|#(YwzvwoZ@=4MWENFxB;~s4?C$m9lA-gcre1Y`vK^*@XuIJpQ1V0N z-SHJ0^_2HhwpuUWL}f?k4?yH`Lsi!X?QQC ztg>zP2O*u+{fY+Av$KD!Z|yWjM192|xM+NXP*B#=ui)L$WjV#vx1R`QKpv0it@$3~ zHwj$L+R>>kCo1GYPrh8ZxNhM2+SJ_6Cj$tSOBrVsFNts#quNT$s24>Z_56&N4ly2T*x+spY0NKEV@o7n2laTP~ zfafnU%bIqqHJm-K^DE==P0(JBxd~Js9-Pb{edc$VaCzZ)?StyGR(l^p8AD4K|FX|m z3_N$kvlBj147mRBQ7x81#}NAP+1Wjxa>cTRCc0n9=A`h!I|x#m2sVcyp) zgSUHY>v^e$W7h$VV{o!v1M|-){hk}PQ+LZc1PuyVUaR|lutH-xaDsERJQLzSf)ICu ztda25YhrMi0FD!&1DZ3TI}_~?g!Gw(1qO76B7|1f)(-y=n^Dmy_#iqa?=P~61A)0y1)D;6AZL*5Gn@&D*?neIk^O` zLsPAK2!e-(H_;QKYjq*mf7#701m_=ivkR&)@}LO=ma7lti)dUGwjHh6KnE1nGDAic zPLci(k_LG+*z%azmuOEdw6|7Nq7aC1S|T{r=%|6E)S~&?I{2m@@{%}cj``2@dU8rBj`yrBcidm+xcunIXy3^>_3 z7B&nVTwGjQTqv-`M+X6nzep<$AGFsB!)^PIuoHzq*b_|~UxP)8!A0B>p-0o6Fd*Zw==#M~9uAy(MI)EfFja0IXOAG`2}#C>s9Yx_H$qm9nk4HOpMmYj7@yp`%8cx!0-DF3xfD3 z7KEpdyP(W74?#{Xkqc<}69yFcQX()gv2bumIk|aYKmhb2pCv*K`yrIqLRHKa(Q6XA z|AUx@A2&h45159aN2=f(vo1JL1(!Q{2!@4)Z8d?lwRHrRv2xNk&MDgDFS$S0r- znQ7SLY)i1vsI=`ype`u+gw;!pNX7^avqUh$!)?=HEwTR+ z;g42v;^N~=D=KE9#5T~@8=73j#3309OFE-r4V_1T;o=gJMu}#j-O#MJ*Td9QbT$FxLsyXS z2!CSq0B>PY3CtgW@iqj(?Cef_wWP<&jZk=H2!gF z=JVW7m_h)_;T7yOj=0&7p!hvchUThoNM^Vuc!Il^pj~^FBBC+cjY)D*DGWw9=~icl~x#_*A%9n9db^_&A9%$L-i*w(Q?2*x1iItlk6Cjt5j zVPs@tW)>6_5rYda4v&NdsHH`7wGqbE|vbLfs7&XxM=OKlGa+ZR%@LFdU(k_!!r zWJdKt{78L2mULN*X5>!d6Pm`cToTJPNN($ z^@2bA`1S7b2f51mUjhKPC2=mg7HM~UTDU;dvr5K3s(shc&kb)q`ieXEgdqvIZ#dSx zGpD$LC9*~IfcQ%<#)h^d?W&@xyFU4V{u}Fu;FDcpxY>yQS}LoJXYgAV{GOF(b}n{E zwK=~Ef0<4z8yJ(V86_d-(clb_Wfzd2iDMB3kKYY@b@L}l;=B_oTLX$HLk}iPru?K* zLGQQ>o*yM~s-*4Cngr^ii*QqW271jX6PV-N5Q4_~ z#8oqT&$~sBlos{d*W?1xv-Rtcq_>gB0=3fLP7K>Iu>l_2SE@H*H$-TQ?9 zlXM^nle!ztI2Nb^;TD48=Csz1mQGa(|lHcV_|5)q2o5$FUgYB zCZmpX5HiGwk52;UuLH39Nm0^LcapH63Y zYCd~sfBC9O7SR~(-m>Fwr)%+iF2w;%hMVtvG{832Nt<3&<85fYS1OoCXyi*Mgdv&8 z5WlwzEC~X2pII`K2zFH_MrMlZEWLYO_jy!S@cTPD#)XQv#XwTFM%6)AQu##WN%BqG z2zBY}(c)o(oreim2yaF{t*`}=30lI{jsz~VyqWo8%<<0UcRQ5qWc}&P8b)>*G^9E} z&8b7yWlgU~CrQ!~Ywym;Y@*3a_NzO+-n)@rG^6U?2fkgL2Oo}Cjf?V{Z>zNO$?H)Y z^Q=?2ovv}#Ac`MO7y)PVLqd#*B#D{X`&Q>{b3HzcSa}R{WDPeuPTrp;|9lRl!;23( z12t)WdiGk@M?F1n((tXe~g zpTvB_ZjQZVjs~2O*hFvhBs3 zxX;@z)0BD(gSiPaT|fPly_p{DX6K!ZtjoqbHOZ}|u^vihf7)k=b3mHTo^9VitV5=b zlaRH>-mT}8rMuyc6OZkz`b(rkapD1gGVVc+bJFh`QvcRavYf)~Q35-Q0*(D-g;#g5 z&TK7!@TqX7p3Kzu$KPsBqx@-LPC6<-^P#*iZ)Afg6`JYE2AlmzH{$xEWP3 zFFzuQeRJ^TYwN|g^2+v<2Fi>USnF3Ley7F`A$Q znlH$0e=_hMpA(RYb8Nm_F-@=>4aUQBkHNn$?k-L@3S@Q3uf!Sc9!DKm4OUUrOuamg zm746}f3U>?oKNccmqD)xvuK3P+4l_++zAC<<1u4__erlQU_U(rCZ-y>?u^}n6rO4Fbsh0*O*p3XmVY#V z_@{}CP}vQygJacJaXC8=Q~bzO9y>`@3zKkCfr^}@m zWi3`E4drs_Y4P=v`8+T$mK78A6c-d?n0lCE1vRh&)I-Xximq)d#TAUt^ql4)jUUvs zYdt~R$xFF7C++&EbMqp<8&d>^Pvm}oDIX89*@;(g?RkL-`j{&uzJ-TS;5I7h%x3@Y zZ?~Vs z^d#QIMd3L0nWrw7ra=I(w$ve++BAo^&bvtH^+#}4?%gHLD&0XBtx>?ZBs+HnpXq%& zHUr^pi({ttrtTF`9ydsy@Oh|f;vy64wIwml5S%k+?K)}v2r|23e z!KEQ+o|CWjFoj)$ezlyUw)vIEx=odV^ z)I3bfd%zL7^9|Sg+E>i|lAL#t3Zrv1%cpCaYtOL=;#5ut^DID@6i)K$HFG;bc^&2V z&zWYLj&52QP_}6C-%txMv2*GJcNEvO&;7MX@&_f%Zd{b|?Cg}txT7|IeJyu4%-sm@ z5J)DKs0T4sk0!hy^`o{U3;{hCdhS5~MUM z4%r)!B@`BQ&?{V)kh%-xXCEnVf7li2w14tIVZWzoX?*2sL$k8B!LJ+B?XNh_z#2oc zgs^oScZ7m{a2zYAO4OE;FWv)*41I_0!OCvC70^!f7~f@#BV1r*3{%e$x zi0)x)q^R#S|6M>aC`+{(;5CHpQq-#Sae9B?RqgP})U4BP+zscS98rBh&Ma*>`B25@ z*n+r!yHIxZ?W|x~ugJ^c){|aa=@Y|qfa5Bb=~T5n?!FaZ?Rm35cSpY5PZU3l=Kl7c zSJwycJK`@P@%jw4zTF3n@?UAQsd-~60z~j*6MsK`m|dfgeEK{t!9{H`(>W>$ zTo&K!7t0i#rE-1yzS0wio24w%uE-PrxWZDtbDrT@8hGmH@cVt3&+p9l>WT<)9DDzK zXOWibwX+4*eYdoT zT7s#ttLW6l?;9{}aeL=qeiZz7fb?H}6u{|L;DaKV*o?ko{V%dsAO&xv9p;Eq8YW+J-`XG(R*mB&>9JhApk>>py!Ot5-L>3V< z#-siVV_=uHR$t&ctSnqH^F_1+@j%Jngd)963yve|7w{G;kAp z?{hoh%Qr7gF@tNthEIW)uvzMZIp;7fZ;R<%#!11oSfyHPCVErHHB6nk$O}_IbL6GF zd{C+H_x0O9PUC%L=%nswKiK=Acf-9wmA{?#1E3?|H~r%-kNoB~98;KFbEu2yy(ewW z%=bU!}6Ey7b6kf$C_h-IJTdE4}GPAV3 zF)y%X?mh1~===!dmaFIRLF91fG!rMKR07RrW_+j)=NmT=sIFR-fz6?HS=CRr{3JUE zS0rZas^EqYPkxd(?Je@(AVqHPt#adP+V`^Tj31=_$~DGEUSCu+Df&2c_8NrB*?}b? zcDa&VSk+>wW86o&X9?PzKM6J!qIRCD=)LcPI%W8y?d1C(1K|NBQsuD}YvHgQt3%!6 zbw`h`S5h~vu5!Ns9DOt~`5$QZKaxEVfIs4?_o9@8iU&y^o9@nvhBa`m10HHJ5?}j+ z-?B{Za7FNu+oo|6Yhac4fw|K5Um7o(Od)MLTo|cV)V{aYl#l^jkzb^ z_+tUTtN4;KS3d4t|9V2DK72(Jz~^motZeglt{J_n%kQ`n0@%BUvmS@*R9L(J1AY#`|MlVKX<|;AoH- z>JvFuXKpu!a6Y86vH6*TAaHz})nwD@*RfQLi)E9l9UfxvskW66z2LRo$zSTc$;6&&+U)5M^7Y)+xo|*`-_~7RZKd>W$Tu;*tPukp)`CDMAyA<>sr({v-!R z)j^b!g=C>#65mVwmuuh^@&qf3f{iqW@{jc--<+G$fbYdGiBcP*0YORCyt{i6{e|Az z$&SwkuiW|Ie|R2FYlaanTZXfzU#Z&xDqYB0QS ze^O%e8hZg1i9y#Vux#TXaXBvD@nx7$>>C|nn-z|nu&BfStNEPvmTo@Qu^>6@2mVH@ zZI)s0t=si1(-qDf3R_ir+!PB_X~*2bkF3DCg46Yio5xtV>FmQVzwR+EveBB+tUG`i zEnzyD>T`eah;jY$Sgx%o6DH|YV)vI)jgN*y^sDg$8yEbo4C0J!dQ+rjyL9vkHx3!Az;qSGE#*hx?`o3l*Jcx}UR zMFD8!CmB5a^Hre`^F^mUse!q~o1KeRWp6Z^uU)YeOOF=4T$vzLSfJjCY;(G+-|B2{-8 z{RtS4)_}wnrg-PjYY?POzKr+5voBpZ;k(YH7}y z$#J-&QcCl|f_>g~QiO=belBk$vP&NKo_GBJ# zccOc6`I|`SU1{wy3$k4bKbYsu!(1uo#-U~LxacN(I{snVZQ?{$(Qbm-{{bJgLbW^P3e>4&vDnBY(cUS+oUs+a#t^ zCf6Ifrl#vdy1H1V1go)rf8D7}4S5lf*hi)ZI{F+q=D+QJ=)KEIy=;&(TTvyJ#`q-o z`~3sr(pcW{Uchj|aDhq_vo2cg-5TYnv`$r#r~}r*qxIYOjYe%&{mp=2isgG^_6(MU z;G@vpFP!3X(vx;ndMU&iI|=WR==>aDwV=UKHqpkq{kCGMwEt&FUb$Krd;PMmk&nEw zd^5KpP_9?}-p;mBb(y24t}cCXnG_cwJ#nuvRHdhc8Mr3XjeJf_`Rd^?4tM_Kfge=`Qs^>o3C+>Tw3GzMfPg0l5gExhTy4S3g7%Y>|dMCdllJTlsmL9 z=zdrVl!)lz@eMslEMWU+Wj>52g#EMXQqy=?O%R+ zR`w736fDqd>w}hWKPhR{yyI%Vng&G`mUf}`PLy=by-mI8=`hD#T8W^ zjN0k z%Iez&zya(dMiCiBrDqIWeBzcdap{>Qb-(_Q@(2fn<<`Dk0%uPv8#=xoo)ZQ?$}MP= zQSi>ldr`A{M8X8mM@1b9|tZBUU9Vw-arO$2Fu^g*1HXKQf!WA`k%f zF83SA!n*yt9Kw%5*06VU_6$n}O?`v&OUDcsFIn0}pR$-+`i70) zC@ib2Z6BK4!{Ow+4m>m4-YzTXyF^!i7QE@zPsq;Ii)&Zbw2*vF!clenJ%a?A`GhnepFiyO;vhypzWS94QmQ&d<^6OmWj@@0q=-zF5c0-@2 z-f>b1+aP#@y~fF}sB4f>|GIaBl*z*S>@SGRBJdX?w_v==0>24(y zS1$n>)3B6hxpj>jr$ihgl1_m$i$|VMi~CUDe&JAE(lN3G@%iPizpNp=LO1OOKXPBm zLGBe{TyGK6Gj&}(qrIf=38LvO0gt;OL+{%kj`x22+-F719NX?*oLV)n{B?r0#|^H0 zA~v0Se=p^^k%iBvEmhr>jU5T;>*l@>s@nRfG;cZsckjH;uUu+62G-6It#97Vo-yl( z#HD^G6u55qp{Qe35o8y@I@m2K-LRY%iQK+d$S~JIZmjLlF;{Q{!}kQ64m|lZ9#lfU z9sS8o=ff@zq2m3Jgr{8tb8Fb)acP;wUycBithv{dY}Doj_RFGjMwUrc9izXos7<_c zUkz@P`A27gMG7rnC;!-jl3H?3*}MLE-}nU;oP%DRGuYS{?!A`01FGys5Af)oRV;tS z37wd+c~Lj=F@0=O)3D~?G>Faj$78UQw;Yl}T?=#?Tgey%s|SWB)^~DSI!C@=yne?i zEM*CNr@o)pFu|^Q+rs);(_&`!yWdi3NyS4#z5O%>wgHp0ph=1VC zRylNFKgDOnO8!`LBy=_Uh5zf7ix3(BlF>=I3{Q(*&$7#y z*+ot=T=ekmT{xss&nawPJ9u2s0@k)}KSb>xs&-0M*K*v;j=1_ljkMW6V>h%GTg>^@ zdk3&`D%07$3_SARA^xLU-=jwd3{o#!5qRZQlnBdRnAxqD3;29R^EHSs z-?(jr`Xw@L;Tgbn*MPMlZE4en@OfxO=NAc)M4cW$r@@Q+t*Ke#yZOUtE(7fBJ@Hv3 z^Szy?EA_rVUib$t0!#zr&%f?d*O4xel)1Eb2fqE2e|cdiHD}h?t?M1{WiJpaWH`|y z9pPbQQ=xM{&zV!r#$jUa^l+2(lIUF_8BH?4b7n`;SdH0MyrETGzkJ6lQ9SGNLaq|=$JMBmd`GsIm4svX98wN_OrRf&3j}l`Bs~m zFCLa;W(+;2ln;LsuS9%#O=1z8B$D{1`a4e02mcED)7}`?R@^i`ck$&#Z&NpHN!_S< zaHeDCl(YPGNiW6l&PPWT(YL%r={ZV#OzK?xc|$UK0POM^RI#~ki>f#VgtjVcxR0JB z-VSX$mTUotOh$*KF$mwK5PvvDf2&m`b(VxlZS%YdMc%QtPKv=TXL_#j!x&hpc|IBc z(*dmSCbkCZejUDnB z1?aSfKN)bq20XF>RixNtOqyI*FOa%l&6@2n15sp@YQZtJ(!R6xE|`}@zT{yB@)`x!%* zUaY;Lsk;(#*ZMQRlMuN^#tr#BcXuay7jHSZ!@1 zRFdPbl~8YH!9#Z)VNCyi!If{(LY zYw~!EM8yi^f-_>yrVNaq?Y1iMNbDce$?M1R@t-%uukQ$bm~yOay9|6@Q!^A`pPWe; z`~6al;w{m76q_&S_^4~nQ0VvFAD8$+?4{=MKEPy&CK$OriY{*HZ!JVYTBks zHjiWD16`Vk1p(#F;1^xfh~(@(-*Ay;C@uu}@8_JE$G(|70H@4ikBh7ESuQPD4lI>z zT{^pFl~l)dxh9T7LAUs~X9g(MPu#+$w!@#%(>L=Z*ES}+u9B+JeoPR0Z*mqZ!%YOF z_}`B`YMLhxQp@iW)v#ua!}jO5DKbAX@r(L`saQM%zL^?IkZGyBSiFDdTR{y%!sHg^ zn_1@-co?u!((w%A2_VB|oknMtXXdLZUQZ^ATm0D4dr&hc`#u(h(Sui408nqTSM;*_ zclNQ|i)XtGAJ{)K=>5QcBl&fFrStd}OFh80^6-r=>z*vAFuFg(CD;=^$Ub3e-s<31 zMSo>wE6oH%xLo>h>)YHz<)TBHG)me=zti_vN@X)bDYp&BPBf$)z;|+A?t5WFUx+51 zxF6*73OJ`I+?2uVd%0q-zeZ%0(gIpU-~UoCA#-jZQtz2CJda2!?EN!H!yo#F>V~kF z#2PmadKUnTVwmhtTx`VO4vx7^OHfs;qvU%pb(|??@xJX!#@_^vz}$ z-hq@RQf-M`_-Eqi+P{bf;s4$_#1AWiUnGsONNHP`vNdjSqE{MBtjGIJTN5k$je zKkx_%NpML>{yH`-;WX%I8j%8io)Z0r3WXpDbqIpcSRx2*7lP1*AOOu~qV=K-42(=- ze`T9!K=Xf^HdW~1ywcbIq0P|1+^xTKrYAbQP&g3HgvI&5;(TFo_u=9PE9egc`V%2U z2O|(Nsv)7_kMi@OahBi~^QTR>bIhLsg0 zreWCF*gA(pXD7rO3?*-F|e%(iMdr()e*r(zEp6E`DQ35ZEb7+ z1p5~Zh(Duj9dZ9UZNDssAlPWH?MkmN#eYz6*t*T1q@>W>Nl6)@1)#PQ_9ISdX$3{) zzhiP*Iyx?B8uPwiKww~DAy!fG%a=C&puFCC^a<=O%D%v%J@4eJr-KZYezr=ScR0m)}uq6mCj zTxvAp45goAA3o0RA09%W`Q+r}^fbJm2!VJiFQ33AAyJqaU~>;x+Q4vdj7vyJOnREu z44dD97RWmm7}#Z&fjy6tF=7wJs*E4GJ>FMK|gG) z9fFM7tB_7i&&;A5dwP0?VBqrdMU(+_zZVvflDiF4AgpZQ5&aKhosyPc2M1@ndg%Iw z;K=h1>~~O@i{_=FKAQ%3>uYBLn|A`~FQ2Ku;2o5FCB#gwpdPYgUZY(?>rD5KK%g{!1_|pyk+*m^HU}5E4=# z7STw8PNIm3tF3EnZf$K}S`HH-hu2s*j86&Gyl`JLa$HhUwzZ`}8+c&?2fQ%C+zl^u ztKk{wVQ{o4cnRZg3IclP!)-VP_0cn*=NDJdNdz!|fCBb-I614hgrtE8XMXE; zxS8N~dh@2chlPy|!Uh~1Tp^(p|G2;-S0JFSqzr!nsH?xUGsHt9;$;;_xOk4uI0VF2 zcFxY0?-4gg12S}99C^?6H$y;JI66MwvIj|Y5V?T9a=`kQpl%|T*{E|R45SQoehER! zQ9q$z9JLP(<0vuYZXXI-c&ky@p=2B-kIqn0ff*_&EhOnGiU(ud8g&_?HUq_vku;1_ z#6X%+A_&rgl0lGGlmb#+hEl*l+EJ1S(t#335kU>T zt-G#S#hjM>R$JE$xPbcTGf*ULihxj}SogE27@tQu2R8l3vaAH#A_l6@fa8_!aK_ro z4?OHL5hBvy+-IL!%utq8gH^<;|@ zQ+vLzVfPwieN>9@8~OBKdq+1HdjknJdrc8FR@M-`=7|Q8sEiM?%BQq22XgTvXOmHG z-4mee-4#6^j*&xfa`lnAM}pfI*_?O*A~vE6Ik)3@l`H7LMD*&39r2qgS?}l!pANkBEK_HgRrBjU22k6tqA!&( z;5w0O`D7T;%3-K?_-~^V2zKL%w7ZHVuJ#U-y~^M?^#uqG=Q)WN4pfGkDi5Y z2EKI}!^&ll>ZE^f0*fc${F)h^QBthE`_e6S@oTZq^_3$3yw%emx zkrS~+nT2mMrLUR=DPghoQyLXTK1m-EE8f=AXmQp6aID>O&22L5#&_0{V`}?nZBn|} zDrz>GPlZ^+by++rffA0^gF@uvP@=BSiHW1JaJyO>?zLs^P^vZg&E6_Cmh!`@#YtMtE`&sU0w>Y zc2cVneF!xL8y+{Yjq7wx{Xh3tcRBX<)$qh~e36y8W28e5d})SK(O{94XVoZsHl~R$+qv z<)1-4`Rcr|?3_`^qJT(B|Bd-3PN|3U8HT+#5TbWsWXNMsJaX>|ovV7{<3VZTEaTUc z?4y*5S|zl~+QeLTx7?l#gWmkJ2i}a&{6)?i@5M$gow2H==z1g>WId?q8}joX&jQ?) zR1TjAw{bO1Sf$zX&UYSHiLIL4YUdMAk|Gc{)G-BwLqa&-DFZi=!pjYuD;(?lC5aua z>!=NkAqmG^mR&Z+R`$I&3*;UDfHcphqb$fS1jwp@vg9yTtMiv?gBBs(hGxG&L z^^7aObrX*rLtQM<{iCTF5jhlUPv$%&3>qk36DqpNU~Rcfus+tvtEbMB+%A>wlD&id z%eCv*5CU#ig)8N!B}>p9NzC2WHy%dff0q+VbhBMFzgkqs{;UqfB9v2#S6X<2_UgXw z(_N^$ZdyRJn0GXmM}IOWSnJ&i(tno_X&v+>)t~y@9a8JSa;Rm##p<=tV_d_zeVp>C z1RTg0O>ADOzj$!nbl>!70`v94RgX60WAns(GU?&ujsv)=nE#4Z6L0^4UfbodKe{7> zG?7(EAhX2{j+IOm@7uJX+S&U?YP7!k4yMQ2YAaQ|_ip95zFn~ZxoPX;d;B-v0Tz07 z%JkELyWW{KQhs_YncAO(q$|pdnm#;G&i2wpo`5Okf<-n3Dt%Ghh+jK&^RIfORb6S> zpWt!y-%wONy7d;~_BPf;cRw!6N+msY5lo@}eGy^f;1re%wA0EVbmX+chbcPE8+? zo`f&+CF4qjI!67lOQK;hPYIpq0%C%lYt1GR%MBdImo_WeC5>g1NxMecg?7#J7a~J| zDgbzX+meSmYQ=`il1f>F_MB2UaWC2OnV!b?&_=iW9D!E{82wtK^jBiW7)LcU>!{xXFIkOV z&F6l<*YeY3HUWEU;gpqvIwOTr>prKGtX|o4B?zp3>buV7&)ASe&qS!`G@4wsG4gUy z=hoPl3oXLe#t(ta`S!is2l=PUOvV10Wuc+S{=)eW<_)>NlRg!ua7aU;{8XRm1OF<&XE1DVRJ6NK`X?hl`&>$eKZ=W*@!SS5=KrBl4K z6KeV3UIPR-4hA^u{9OE2E|j~wmJT{Te?PReYST8VMUh9ZA#{Df#LtCCwMEFd7?= zET+p_GYMF2zBr#Id)@=`2Va7)qJ8OYYP|Rb7BMUGkGEr8IIghBUsb2Q_kPb31bFt2 zj9@W4uZ!Ok(Sgq(Xe9}+X$k&${0E!fGs5#71E30C={yD`jRPSeH?dOiNk&-^`|4ul zK=F;X1C}=7H9#`r9~|w8O+QZ@sY+W?p5@J|@%tea#|`nrd3w(MVLk9+-NdFj=C(@+ z1?Eg;Hul2vR}9ZIi~VneyekXfzP9REgQqaFBaz8V|vqPcM~ z_{NTraWw?fYP#T^;D>jp7X>jT*Lu=Fdz!pUvYUJ`2!KvNtK|LGbD+^St7rzWqOkQl@CN$DdMsyylLz< z>LJGEr+vd>T$P z!r*_E?*7XP|BDKPKKL-~?~^ciFZVAi{4X*M{yQ`MFES1OSLyD*%=Ev=H2Ck#^uNe7 z`0vd0zsNMOvq#^H!ufdI@80{Dnf@1<{ue8TXtOiQ13_HS@Ukm<<%V9lqgQv)t9$5` z2YTfRSLZnAaDJe544Bvs|HTKzg&+{c{GU;V{6F*g{{PE0S3{l|-W(xm@b!O}Yo_H? zGwG*2`=;$3B@+HCAlo}@<&qG=IHAFLJE==t=n>E#oC*`a!h2RMr8goy zG5OCRk5`cA&#_w|Zb0LK&si_ZuSMr zr||+492y{#8Y|&fo}^CETh|PC=F&&i@5D)zbZ;!Hyu9js2TSZ0*iRRa|8=`~pObs+ z!CU`&@fIn5I*U`f(bGFg#ve#r{DJGsgw6(aMH%)p-j4?pmn%;_I(+i=E80$&LzylZ zd;bKt7WP=5$cb6}JPkjhCKjmclXs@RNE(9#P+*r!8SZTY{HT^-Fd%-oT2NY>UUu=}u<#&^>cz$4J(F0! zWI|>*Tbn0%!8Z{VJjFAk;oojgIpQVXdEwG#c2|$bjlmy71?K<|Dz!{W)%*sb*F z$Aph+p9lVKz1x|~k~+%)e`8){766K2re!Vd-fIqLEGWJ@O$-2ro?^+XoZS)Jb)ek!+r_IU=I!HT1Qy z*)hkDqDhYbh$xr4U8MUES{Y~CBTEh|n0bEakMK#Ilr3UkllOUPPf>gG{20~K0)}Ox zCb<%*ne0q)h6aLpR3~IZzRoWtNci|^Aktk5A|TxgQi`0}KF{_3-*e9U?R+`E>so7jvDvfNnl&>^*4)2) zq{&P9#WEF@z%ss!NK-A+yQfSWC+%|;*P)Bmx!$3bg-E|3quTp50$e6b=x4|zeG9fqR-$IHJ3`SS|W(G$=OLe;l`A09oD@h&2myLst zqkd16DU?T!uN(8vjkb0_1Lp5syloN>gPUcaPf(qF7#eTPDu(NB5hk4(jl_*Gxr5s> z-Xk^lB~wfB>vxPjD{QbgPc5xXrk**zRLr9fSUms}#ea$44ejQ>>6l_LVmM9ul5y*O z1$#|4X{GH(gQf;8Ad%b_s2Wa@tE9zSiSB0Wd_C0hf*k6QxEx!*iA3%y0I5wmw&dI2 z`mW#ez5giQiS*Z`$_4moX?wBXzVW6nH2g#Xuccl6D+1#vdr3DI zALl21nKdbjl5^X_Z$D_hXPW(z3hp%332!$=yW3<=*0|g>i<-24%ee5CafnE*FOO9o zixEgX`Rw*<{i{(z3}IMw$-J5h|H7-MwNmrmHLCru>+HS&q~^pRH@*VpAVt=C*MPP` z;D(_)Aid+M8II9MIkbZUKULb}QIYQ%L9?LRP(u-RC{6OE-&mBF`i0GtM?yYq0x6w_ zZ$GNj6SNIYue@*pE+Bx9eT?SIs~5fM1vaZw84Sq18bw{aq5zVlKb(i!zmci zBo1NA{?)A9K7pV@qhu8SR|IB>@axq3qsMG^2V)7ocNkRXQTseSO-T#D>?l&3%YgfK z^xIPn>zkTZ+L6PUu6OyBZ@;-~lh*4Z4t4_B{W{jdqYABUCsa6y6rWxR;WKg}VF;lf zvovbJr3BLKsXYP>69mrOR6h%^;8pQUPAT^l7}_c@dfiVgGh_mh2hm$^ZL@48Qs|2r zY6KG)R(q8P`p8UrNtpE#Rogvb?^N+Wq`z& z4`5IRg&SwGufVhXQS(ZO26OD-?savVi!~b>D{L{nIB7tTs48i0?m6^D>EW_``=+_~ z=tJ&kQC+?3(Yn_!(-EhDXFoy{x;G_L)qZ|2Q~pXfmE2#rOnt8+R>~afK`m$3BFH7^ ze)dtxzVv`>@3qy9>B^a7GwZsLKUDnXZoa$f`Ag*1<{j`>Kzg;yo|7 zyp6NA_VEVP_$joJA5EC&=wR{P2Ug8RxJ1r@_pn^O+9#>iPD3%uY5W?4WgbV+O+-Dt zsSM;At?J4?K4ZHsVWS#pD`EWwCU?6Cy9Ly<<(oDt@g866Kk@x?6FV3Ru zDWR^+CoCQqF={8fVeKXcBImO2W9u}=<2Oa6vyhj`7yw!U<64@pCudCw&2*M3U?==J z4yR+9La(qix8L@d9@CBWo4q%(+%wk0q-v)%P00G(3*_0sGJ2|-%(o#FiF2O(LzP|gh<7M(8 zWnE9FzYC1-ZY;)|mJPm6P}$zUy-aWx>LYvNv7q|a@>LBZLEaiDb3V_#dp~i%%Q@Pg z3nMz`BTH6TTCO{8&J*Gh3-$LLU_8TxLapaxlWTCDy|4(^?Ptery;`b=EfUuG&N|1> ze}SX82yyyjDI@(-_SYun+_{vQ_nyE0E_rcY`XS|rq>&A%uIbIWi1BTZU`f;BeYwUU zzRP+dwei#M-bBsUYgbmnfRE__Q;+(W%ZAfm-7}M{{2rGPO@#R!>26F3bp2e|djhW6 zJ8)OcZCYK%x4p`7eK8r6xP9(^-loOj?ZQ8lCX#hP{#o`^aQ5>hrOw0Vt8|`uuUr*U z0vcsB>yE<`k#}O{pzkMsF?NlC4SC&^>dcvc{ca^Fs}4mu5=s74HHnO(0?t%V)Wb@j z>_zsux)?uD3SZ#!`mWO|>GU9r&N>%I^Ese#-#@J3L-6Gtm{QU7gh}k&yT=A)-9Uh?Q z$=56#DE0f^>VCh5m&NwUPGvVk6*#*p61=bE$Mk0-JuT3Kuk7;W$3AoT*!3|A$fX%C zIwGLa!(jg&$5D6M#B-7~M)Sk#Joj=Nx{J72XO_Vz#wh_Hb63_Fd$^445X(ztA@54L zaK*=|OL!QZ{d0FV8_%$y2e_oJH0@LPx1i5j1-gpAZMz4$oVQ*mM}OkQSvKyR6#&$~ zUpZH%T%NA6`a0`&VIw+tOY4R#=c#HZZf&O>Hw7^eTH&wzNu@7S__Ke|WM+liyfOOp zYOKul>zBqc=(=b#L7&Llqk#BN!nb7Y7;>dHcfCS(EHM?kdX0?o-uw~_ZUufcuC1uX z5t`VvTOWMMKJFi_+fv`Y|8SdKQsc5rSavO-&B(9qwEQ8eVc}Esb(!Bv-aBK3pp)SK zhojoI$fh%1kZhU~sYu~{1ct#Q`@7N3YJ`Ldjh0#NN8}a6t+}XGGpH-WO z$0=nM-9~7O%L6EV=eO?Ffemfced3|X`tKTtz0<)s14DP6r2IdwMm75u@@^f>AA>iS zr&xr`oQK7p^fRe&j2V666bSB^`znGd)t*>oA(jj>eo@v*?7nQT*~G1TQyCdATD`nS z>$1l|GKl4+kunkhxKd4Lk{W+jvFdZ9V1Qu-FjbK%7m3Vnm4f=}7S zzxb+9U-}CMW~7Q8>~N3E^d|JR-U^=ARAHZ=xsU~t)=k`gn)Ut*(0w|KTiF0tH$6Th z?c3Hj3J@iUU(@kDsd+1I*R%-p1wY#APIceXI{dVjW_tfMCWC`tP_dQgmev!7O#`BDw@Vg%Dqs-QSXfXTajjfBlqvxn=oO}aKME}rSG<`qLHZHS zV2$rbylURZkB8*750@^;=2EkZsd%?3);w~2?n4T)>-H%YYy!imk}k053P^-N{*x?X z)9-5iw<0k0yQJ$37_ZdrCw@MslzPD{c7W&T4eA{xSz7&wVz#dK_WzlrbuHaUJ#9Qq z%8_Rl4`K>r^8_#Tx`y$!5)P!?a=v3+zNhf~OvRPSGFtN9GpctfT?ec{=(~+cd&tvP zoivI+Im{;1W(sB8p<|nA6iqUlf)Opwpz-VqO-$~03Nd4kF`KASr<-{XiOGB04207<#9|VO5PhKQ;^SVvd(>&m|Rt{>VFMT7rXCJ7A!~}Erq6UF*ydg^PvSi?BN6Cm__@wJe7hz z_>LD5XL8f6;Mx7rgKvHjZ|p~S>;vzbB*`4zX5y)n4*(@f=~ZvWSssLz{$^K>U33b+ zV(f6=_{IEA`?_syF}5{$+Z+6%^jZa5is8AYc?;o9ZM(pTY-Ix%5C4#7>6H5L31I#3 zslH?Wu$JMY>b{vTi@PT@@gg!#&$dp9UEiEk7y^>Topl4lnLn6f3EzH`GkAwM#2U3r ztxIU|1^*P*cu)j}e@bZvMhy(D{U+rSxo1CSm(w})ef@&#W@>RG)eZd!kXg-rM=SLU zB6(UmYZ~gcq_ZzcQ?MauWI9bi!TMWm9o#G5r#I@d+aVAeeWPhio)f%u6c8 zN27Ez$7YzaS?9MRYMML0QSn&-H~-IbTXc4gT{e9}@q0AC`Q)=6hqd2)`I?MZ-YBrX z3EU2ddGT?DfcuL0Evvv+vATZb!boWSkH5O{0G$4%&O)E*ZpT5)ORgHV94y7QWf{E`@(4lYmD^&aV%c_d42-VJ*_Z)&Q6`y#7RpYY(wyjTSM9EF95rKp|qaz0>y zJLG_OeDw=1v&gqy$0;5~!wGGT;KzwS;thl_5bM(9ZpBSn>;Vo_56U=JnF|WJo30$X% z=s^cYVCdbZrCZNFV|*N~E;0H+Kd`T6<{B8b^jDsOMY0nNU6n8}tXH{H$cJJxYS}ij zA|U4!3tivC=euz`mWY-CT#b8oh+!I@_-61TtF?EP!z2E==-7pA&lkok>i(HuE`xwq zYd@q$T3g~8#{XVvBBAE8OnbGXUxuw|FqqR)N)`a53%0aEr#Fv@nJg23Xd1Qb^Ipw9 zVBxoL^GGWweDif1{E{;sCZ-VfSRheWRWo-8>0Z?^^^0lxzPWpRMdg;(bMUt5qwt*v zu)2GXFv2>u4|?2}sUpQ)ga2|=EtB~q9fRMeKMWEIt70Zth8By$Vk;Nc$f?!xKaq18 zScFAp4}1luWb}f@c4-A=ZSyM|zb~)}Dfn!I6Pmwnp7C0F1*DdO>edzdJ30@Xo|iX| z9dNtn)NbzVpJI?)x|4{@JN$*z1H2-PzwBvlnT^T&l-`yTOUu1M$baf`cvWXqiIb}@ zpB+eR+7lwu-pwv*HFAkR`6FnOp8pGzMm?}_Ck~4@nrd(FOMM35qit4FB4R!}IJ4GWXF$vx zlwV6EnB2-?`kXo~{lzKvb>E`t1xiMKeRC_@rG3CCt*C4EsD9*#fD&95*7d9Dpi=kD zSlOXv6}x43j`IjGNSOOYKmWjQXp_qq8o7UIf{o5(@N>0(`ie^)>sN7Ja30)rp&g%9 z0NAH-D|GOUS&eLGvbczZJf4)%F{{LE0fOtcDX)5$D5wM#_47*V_^jQ-qhc{6U0>Gh z{Dl%)XONIOKq#pi5L$Tfhg-$7q-yu)xy;k`z2_1Q{3NMPE>}TiUh9h9%E|jNJWgki z3S0(W{;m}auGGBMt)Mhak8pC}R<6a6?EX^d=O4Fc)0-C#3AH4Ym)-o6OKN6zPr2?k zg0XKL@}4QTA4ktUM@Yr4b@VQ-90Gg)*UW+14!5OUYo~IVRD9o1 z^T>@JNk~CC{#kM5bx&YtN85Mjb@2qg%Zpwi*XY-y7FARR<@-cBXnOZL__F!YoVVdB%v8}oHs84R52mws1zoXsSuW&QB+`<@k+$jX|}zs^w1tWwIhS&d+M^Ov-xXHZ$q z`qnQLE|<2cbwG4{1C8~AH{{feS5)tTn1Z^o*;CoTCz*xQIJeYv?R}Hx)`FY1tQ+x6 zaVOsk0=rzL$4>%l8MMutGxw-X11UxcC;ZE@dp{_8X`9)Hu7k}3GD?;==g{JOi90x# zkWtPjp`1wk_I-P=)XKKipML=}&@Cw^VB-pA)|MR~W@Od;j#9%Q@Owr7$6`JE~Z~qX2fX>d3jA+B>e^^l{!~F+(LW(Oo zx_%k1u@2C9^g7hAY(kg`GF!LR{;dmG3hAu!3M@!u0RjkQ5kaXf2?@k5(bT0=-vAml z8y&j>?Ti>+%rsf`A*y&d$lngXf0%55~2y_=m6A+WzA|eG{K1 zv!teGWI;X)S|Yo;pyL%n#C=2PIz5Ez5T5suKv+o3ls*ddy8P|;}cj3F#fQK=)(Gk2qTnV8p0+4 z?a-#C=Jt{QNZ;7FxcDbe{{gE|@C*^q_DulK9Rj;(RFx5pAv6CIGeevY@_g#*8u~r} zUN%UvqfN`fXh}{4q+V;A(5>@79QqviXGw;VpG7G7*@rYADFtlP*P!QDPC>~Do<6f1 zT)!UTi~0Elg=NE2Q`28&FVJlmEf$7aVG9iKPby3xd{tEbKhUl8SqSo9gEZvEp#v9MaH*(h=>fRJ31zY9t^(tq z3m4j>Nnrz1f^fC6$}L+*v@zLg3fl>7h;|IpH*VOYcne18-cGnR`We=#eNF!No*7qG1+-6(>H-LBJ!UpyA;cv`$0`35OuaRdWOpftx7Y#M)sJ z0xVAgjb%di6_*SsRLvq#D7Si9=ju;PPpF}L-Bt1h%axQ|Tpi=}= z;SMtmW*)qHh6j;O$U{RSIs~0`0DZW5ui85zs&UXt-0tpA@QZrT83$-we0X&8$H9S( zoH+{l1rOpV1iGMMktcBbmvjIX&yXmFLo5_QV`Aar{u@crGpJSsG|;vR<1)-JfGrIj zVk)<^_4I5q5rYr}b@%Qv79$1hYexSv4E|$dH#LQDDMAP_bW1BcJLd>E4o6(0jDzv` zG0ZK1V^Jbx(NpW+ym`Hik4Em%GU$dznD&4N`C@d6L30Z{%=d6>g@@Q?+kyWdM76W0 zug~@svL2a9_g|qFgki}k(A^jYI+cZ6kZaBQ%ye_eo!Gn5T=+}i|O$qx!O#~Ts-J9n3gP$YSBQ;><&$lDitjMa+|jEW*Oqq^#K@IIKCXc|uT# zf;m(|haiX&+-|@lQ_e+DDk}D}gs=~*t7|&KKH=;fwcaI#c)<3G?g20d!S897-B)XI zR8H0!0{fgnp;uT1*OSoYck9=7>9xG29t37 z{`?tx0rr543tKfj^k@dhGYm|4Bv`P@F<@6HxK4!&1NMf0Njd+F>BJP2RQA&-5fM8t z3{g?h2q7Xg{tfT%@;8)COw6tM;8|J0GOS@4h)p{JRba5de`z@Ad>AN6M;zJ_0!Mgn zadn5Rb?j4XiwIq7bpm)>fM|10UQ0(u>=-7zO?KKJAYBp4)~XQp?b6OgA-(MgRv(Oh zbA%k`PW;Qi85^6N{0Y_QzkWp+(8Kk7Vf_B{7v6@ka3KSYPB}s6oN$@zS{E%~qS}oX zh@n<`feOltdF{6;Bg-zsf^}>Z93Ry-I&HoQvxn5^_zqCHBHD9;H_>Qu z;?QG}O+?c1lRSN@K~>n(`%Wi=RdouTNed>^YI_cR5KuNK0OmZu3 zHuyp^F`(liVvB_r*=N>p&C8~^rD^sOBj9Uf4Kg>*HfH;rlxGV{AKZD@ef^@Tvb72^cj>8sQ&| z;sUKV1i!3~tDCIfBqViQdMU(s@7Z1m!-dcS=M^7S*JpSjl~k#&JFg9w7xUUn$*7yX zVQt~+9t)c$?aTq*q6ZJ@0M$ojj$&S>S%H~Z%BvCk6spx1rH(aumP9;feE8zwW^jQD zHqLQDlZmpIH)zReG^Yy)$U^WX8cb7@6@+Q07CwTyk0+aPJ(Cs*a=2Ag_~v?y$ssuC_I^b~mACcY;b*5i+G4**)(2Q-4X*+A z`z2Et-DlBcKg`^zT>V|VST~3Sbz+$$yt(#IG;<|^>G$05H}P4ck=XIfCtTQjU<)Ve z(Pf;3&cdvdp@x`FkiKD-(%Grm@U(o`u|A7P+$Rl}0!ibm%eqE% zyYxcd^^U8 zcG}n)`{F3*viKG?A?xfn@#!|c!-K2kcNU8=QgT0Hx0G*=jV&-9fDEp*VEY)+v&kLs zVxTU8=<;tf#vjH8`>~)tT3?Co0SI8>+0|tIBW%5FD+OvYMC;$j z??{1$g1^i`U!8XSR%K`!j}uj%UYXUEt=W?;G&s+-I-U{ z`^0?dW_6XHf7Nv^R;64|EI<-BVE5N0gzuAlqfFAwCx@LPo+AY-k#*^gMwIWm5CEgpmgD^qS&izg@CP1R4lWk=TUwn(wPnoKm>C$Ysvnqevg?od7i~6p-jp$T z$4Lkr?i_T}sP^uTSb29lOlF4sbxbMHN-TL7^-}zSt1Y=SATRs2@ntDGkx1UeuxE^F zs{Tm5;=PUBy|iMnEYm)BU4Xxfqj-ClP2F9#<5x_R z23;I^gn(#Xk{sCqVDBybF0380zuxomM{8~6JEwQ*m^)@aeng*c=gZ=cr-2%_+owxi zwfxt0{5p0%-Kw&Y9si810%sf=%f3cs{eJN1;pF&*9NUsyi1*_!C1V2pnsNFa+Tyk2 zZ5K*OG=nZ+;bG1<8{astBKnAejVzOjzKl7>Tu;sHR@WSbv1n0hP~Z}5{$@UQY_MC6 ziSThtiHmy0MD;=Sexmi~cN99572q1rhj;fny(I1)$YcCsxRb+vSg#>$J*M?y z?wvDe#ugXh416cWzuU$Tz~*KQ=5RIPh;WQCPVp zws7M7lvrWn-dD`8YvL`Yh92JEecM`!>`_usqZCMA--SJejbRbXvXS`A@MX-1 zk&4|D!v?*F1CA;ZpdvMUqNRtns7zruCi@0GR!uu=LU-F9#}ig5rmUS3Zt&q#c2ZJ2 zdB7p->%vmgYMkV8{~N&zN2}2uZ{0g=hJrxu17=>c=R=R`X7v?0eDBXR)7AUdo;tnI zX4aXpAiW8FSn)W2tREM5O+NdP{7f_z>R4eI zCV!m2Fi5daO(tWx?>ph>2f#{@wfT@9o6(oz5EbPg^5j=l^wr7Oa;_YwCs>n@yh#O9 z^LP!NcL^0VaFeOEau0aroqG(5|Ke{LQpRa1nf_1#tBgtUm1*w?1%>a+Fs}t+$oxXg zZsAzg^0d-4&6bDW2VB$_V_tt>IASU0EUwZ@*(T-JsH~o2T(`y`9y9WPssUQ`(zU2S zPNh5Z(FEy*wBj0TfdX9`5{<35a;q=7x(O5toA;3F%zYf#JP6Wzs-w-p`19+|>`(kx zKkc$^k3|fFgGZCBUubW<+|f8v>e<~qfvc%qrn#}$d*j~rxoh{eZ(x4^O_b+yVoAFp z^*n7wngKbNT$)f7F3z)pf`=K26xD!)TjKqKBEF{j=|F6t9gUc=zQ|YWoq)Ro`%^oU zX?^!V!W`3(f1-+fm3okW$6O_s&ncg-(_%5xkGBbtg}kw;;BJLGOJs#Ssfl@d&fJBo zDOR{g($3f=|7%v!ockPV&jBAc4|{x*WM|C5oaHr6p28>A=56vc;aye7DOS{cvV|a6 z_C{-woq6par2tvlPLF#LKSnhIL$L-_+PUQ_T1ouz`@>|V2u!0j^NId%xH1wA7o{S> z`rm-sd=wCb&zM9+#n8`|;6ug#w>BAQ!X(&#S>%RrO)(R=tP9MIv_e0P`7Z(t{?82X z|DezS{z>}`x&hMQ0xvLa7ydb(n}^N;{`Z^_K4h!}Rq**y9encnFTwo(piKt`4``RaOJP?_5Ulb9I0mzoi-vFv|SrmXZ_7pHPe7O zlst`i_s0-z@si#*d9X4}oVpyJ;(cR8ef|XvHF@tzQ}D$Y^Dev9DwDxXe-@zYut)0W zq8aa`P&+03eZFOwkxdbYnVli5)%9*dM$Qn($_MzKeB%?Yphpfc0e{_UwIUpywi#GSUAxZee~$d)Z$f>99VFCZD1Zc#))bwmfj(%g5 zzr>Ftu05w9+4)rleQR`H!T>hqusk?O#-^tfk4^IX+BKiAimgzr9hC51&oh_*MTCYy z-V`oSOuh7U>gHkHg@V$!AwfF76X%!mae<530%}2lktb&*pk3?f(c{2dNejho5)@2v zp-+A!yuNlAPDx{QxqwutULJfy%KM*T-tO~Tk?q%bmmflfCq*A~XyoGkDM-z=#&rs; zAo7v&gALC@nl{C{fAj0A@-}K7a_SZ}TNX(NSxsmHotYH@?@C2})O)Oabu8Y`^<770 zZiHP%3Od$=OuVsspmu|EompbqF7kHWYvA$v$AHuK*icmU2RH52)0-_^LV(7n%!ae6 zCGJzxr}uf^3Ew>7PElA)5 zv-|9!ha~$CP-<4RenV#@ogP*4mP10LYijE{Tc%>&pFv7C+;h%41MvE0Zj^jXSw8m{ z8rk~e4V$k-H-qw6Zl~7N5cEX;T>1iTJ}A3!W7m9^v)78H@7QKpJ42wGEzv-e$Hzd! zkar;#NQyklq?x{~GfFR7E0%eKNKt4uGh5puOKC>)_}D*l5%f^pARS=yjA`K5(=*Ll zy*=O`)RcdY+rS!3a^8>SO9B4;X2}GSmqs4937VGhhQv=clkGWQI zI_cfs4DgS9tXZ_bJ=97&fmOnNFwSTl#YF-<|9HF}G1~O;ViRH*mT4}-8UyTXg_dgR zEN&Dx#9FdJplkuYYL9n>frqBso3T~1?K>$ogO>z(w0vXUm(97k1IOJd!))`s#d;a? zcP};8oQ!L#{+3_0(I=~&6PAl&$YEo}!KSh~9Y4d<4P!K6M)B5nGJ z-67%5x-Wweicr8x*PQC*H}HMbM*7re$SUY0H}%r+H8;#0{Ta&WPdm+6-=>&=$HtZFf~Dc9AAC_Qh#$d%eDqKpSo(0ciD06u!xiGanJ@=D2JFu{Tqgs+9{VJu--(dF(ncxoQ!Sn&L7*1iQ ztxrI^lyUoyZMx!zrY!nb(IO3IG9opcHZh-~ot@WCh5f&Ri{~$KOy}zw74Hj5f2HMh zvRP?iSwH9Qs6Q^^<5P}y1I0aWoWlMLP$pqlv18lvkJ7a&LwhmXe|Jc&2ElOr;VNs8#^p_JxjsbKkrc^ z-5Sy*D&wpQ-FA}!Bt)1*0o<5*&*j$y8QPI6?1Ns@G_$ThK9w=?9S;zs0lf5g?E;Z& zqqT%Gvsk`L&aFX9;>JEQq^QQV{7Z742 zB;Z!e=za^3nHEKeEtYPZ#1--xl)UgMj66#IO`TDMFXFSC*(*Q;zN@$wAml&ul!N=k zrn+PanuQYv8aVS_CXGCE?YpOG0cr(;cnH<=(@2TwQm?72RsSK62{6XFgKrfilTq@{ zt{=!)(@|7smh{VHAHLyL78FiuH8d_Mtg|n`KVVA%&=u3k-3( zd=@xOH40!Qp{D?!|HO+CQQ@{t=JwxNTvQE=%C(9so}$7D7NkLztxoH~MZRuJM;n)&WG3)< z5K-2FGF=EAki>ara$HJC$A)7FWDZjtVU!Tl`Ter&uWeLxoaODg|_Fzzq#e&-WJ4$1G7QzFRUYCT)(^pin zIpkVS-z#r?rcGPr-`)nR4m814(hTbKt`-yiGUo|%8V8BGQ^Sj-Mz#x@O6#WJzVz#u z;$#|poU(+T-`+-dlG<%-@&VPI&~Pq+6;f;-P+=kSLq&23L%z10q{UwEX_7~$v2`(v z>v+Kn%1=RK&49pSY~0y?iq+3D)wM;ne0EXkN5Udsl#Xe=w;ftyk?nh1g@;owV#Aa=ckZyVig=N$EjMwj`;uU4IyRrqETR$1a&Q z9Fj?uAaOA5+RKytR>=@$QQ?kruZ-1qQsJ~M&vM$NJ``X*zXr5-s{In8!7bh(+OR^p z*zT35zjtYT92ogJr_A@|{mj99&};RRd@nb4U7Tai(&@K;3=zYV>bi=oL7p1|G0lbm zQ%x>#HmE0nXi&Vxk3GIb?TU_v|B@xgXQLLq8o?M25VXu4@iyAC+*|C3mRCaEz;tc{ zn@2V_qj~rbic3K3HZYCu8Q%L#&a7q-TGP}usPrfxtZ{tnlv1*7XrIKZWem)dDcQQk zbUdQwO_b5wALQRU#Z7PAiJm88^((A6qkazXh38pP*@nE5>L*Uz0&yrF&S6|atA2|tP(!& zoSXq>c1Kr_)auTj^&LrBd2Riql6qRNmsLH3+kezGjUR-7fL2gM{YY+Vpv5j8{#X53`#b-@gM) z5#05SDTI_80?BdwV@FWH_*^CPE029 zsFc|-HYMZD$7Ni(_mqZa35BDRD@TH^fseuPcPw?|;HZSJ$4KHM`5aw9+Km=#S5%P4Nz>`<7d4e}PZfD22>+eUA=gF2&P z=9l*@qUP5&FId$yO`K}hc8|!Z?tzRhEN-dcFRS!SS9Hw$o<7?p6VSc?I5o2thxK+< z*WOKF8XA}TX%Ulzfmg=OKk0x;NyXSAAS^wvnTUeFmp`Nq{+E@U%BFqzoT-%TMGL>= zLiBH}s}CY`E1LXj5j%i?llM~#V)e&*rnxu{6lH_3QQav8+TDo%$sHZ zNy4fzMe3G2SllzroSv3`T<25u%>u6~-*02-BxarB5b_BrJ9>x5B~;OK%cvVa&syC3 zaa#if#5U|7V_lPR^=vpNV|}C6NlHZ||3ZlGoAc+t@AJR^f}-~y9Jb~6J9G?^Qpye~ z#bs~$d%60JD`qgO+)nv+cnmIkYZUbS61i^e;+&dZ(KfiKq2uWnKKmO*DyaCZkvR#p ze#X*!7#NXQu}E@9`)OJI$(x_yU-qJsKD()&&okv*0Q?|Eejnr}RnC3as@pcsfx9^q z!auq5)`?_1U$#+7m;iITtSKCBS=Ccgj+>4V&*}w4wM-LhKb)Rp%2>RfcM1c2Uw1En zc+~4Yt6mNv4K26w;nlxl;g#K=vEr6b4F;Zp0TYD(M%>J2-6u7Vi{30T#a47Iqc63c0xx_lMQ@}}?s??Q%UQlCqvaQnw(%ZX{mUS3WbN8oea{-erDGP9vrKINOApkog7W_ur?;G&T)A_icSALj zV~t$`49b~SY}-w96J>9OUAo6twf37=*`aBIO~YZBkUt)90(A{Nr?{Nb5gya5c@%$W z+w}So35R52ZQID#Z}O&2o*=NA(!r~s=)>eP-j%D*n%b96FL1aY)3H@N^~Ew+H+~6} zwzd49RGyMhYsPKtjl3+|;>#RdlYLSW+`dDj{5X9WAPgdA0TIuODynvzywh?D2j=)Z zO6!}a&v4i!q!OMtgNf;r#J&*`WzVQ*o!y%h^i2G!Rvk)@*H{$a^I4a~4T9;@Yx;?; zUXKxS;nP3!>qOSPV*X{{)(JwK6C(>N+SUMuDA7-?ausz)w^U+E2A<&LfvM#qEIg)5 z>Na6fA0H)v7o}BiyHC%#_~h~mtG9WDWp3CmuO8x%U-t~i`od)!2kJ?A9%NV2u-{J} z**Inrd^EAD+t~WB@6~em6#^k+_82hFb1&Vw3ywWrEO}H{Tn0m$QN-7slSZi@zsN6Z zY99m(nD+wHd)A35uIM@>=hP1p$m&JcifGzKzMAVCdIe{X&4Dc-qG z-ssmoZ)(t_Q`0-R9MEGD3VxGXxFlqx58kC>H7R^cVB3Y4dgt{3mynY7ee3Q?sA<1` zBjQPV-N&989A**Oq_m8;YtBhMs4EIL^^Fs&KY!fRJT4rX z{`k9fYMorO@PxnZGoWiW%os_VJxC)_sKb=_LSvrSXm^_O(oDOKwVL^hOEKt~BID*0 zmsY_bkZ~;-CL-rB^?aOB)!e=Pu}f&!x`o7MZyusZnOU{%d=^je zvP#Fdb3Y7%pEN1&&L{$s4h1gXHHsp5g>P*e{Jw8==I1E|8%N3H9FQ$^vIu)z%ah1s z@?OhyLb$N${t=4Zt8ee`EnNc_zZj6$JF`K?DseNv{L|vWF*e~95ev`eiCqGrgpngW z5^7O!h{1N(J3dcX`Hq&6BQ~v;iGM*mxw?bMymFtMHffL`pkj~d86V$`%HkDx@o-nm zuG~x^0fc>EP}Z|>4ERbe0B)pi{0&K|?;Jckzijs)BIYkSt&&q#UfJZIE21}aQ}O`e zP1iOQK8Les$Ku-06AICLq~s=V^V+zws+nrdmw)Pk^x>u86&+WF-0oGoBBtv#&EsDb zTe-A-4@id(a4o(3L2~2z@5>>{3(K@}29_=!sr_R=j~T_xa(AhPC5%H7GeIs3r>;S6 z{SOv#yT@&PV>7=_=z)m5u90PB^BfijcR0wc@7wf@?^aLO($G$T zD*&wj!DXEv6wV4sXHA>@EfO$|f63C7lvY(bB=*B!6g@nP@{yk!rrnb6#T$M55)Gf` z7B0iTY8y|4H5|hdQ{T0L-ZQ_jl#H_Ku1zz?xTmSv?}jFpHgReAM z{`9xZTesg&6ZvyN>Ajyvv@!;!$u-UGAI>fb+_>4&^Mi<%mFKpx_u!$3E{J@b&!*t$ z`grP4QbkqEEc(fkkXbW>h~LYS;h4($x3gdg7sW}BzoL9VF z53B-fyRgjn?TgzdtUQ+$jm_a&&$->RU-xO41Qi`!nl~|MIh1;-or_lW-D^J#{Lp{k z+W-XGok;6Pe{(pnh~BPzJ3&Pwyu^9=oXZROF}tAiOB6-jDc6#Dc5h21yp+e@C!t_k z+qq$ei#r!Vc;Lp129fyM5rjV%AwX+(|I5HZhzR;7A+CB0uC$J(O`&Gj2x3%l-oV7f z(m(8fDP}_uY{+9mB69&jkT`P$c?=7ShlQaTIJA=$VsPyU6(ow&)2nJB1J?-IRJ5NJ zP7Z`15P5^7>mr1g*B~ec*=1P3Ci;-JauH-3ZaZ+>h3wxyu`XH*X^xPh9iJ!v6_P@( zl?i?Z%5}*gO9_!TdFUtoudx#TTn%pO?Fcp+e7mCo%hC)%u>MJP;lFhtii!5>qPb=R zLsK*YiWcia1T+X@Z)m(aB90&n4F#i_;Ii^u1hWE?!R`MH)<|^-f(hxj+7N^Zje*vq z17gu=F#26n^Lwbhg{l{50yRhI(P-!*j3pRouPQWI@1Y4eLLzv0#PIM)&;c<~IH`ld zh>*i$qk!*FhQP=D?NDdEfFSfVFrai64FS?KF<<)6{Ej??MIj(ZtpssVc;1k1R#S&m zHv~mtxS)O5uDNI(3xXi-=p6)=5l=L%j3!0BeINqo=NAz664KewK#FE~p}qPaEDA9> zNQ;(M4B`wyJ{;0<@Pngp`$voBqLD91t4*4ti7(_o7Hi0~qp5bZ_!LgSAP|^?3TF&V z82`9YY;5}fO<;{aby8AF4lW)cw8$BjBa(|?Ltsu+R1AIf#nGiogdoT@Si5L|4vibj z%PSiiqfO3e;?fFoq!1*vHAk4x^xQv_FdCIbv+EEiO@@I+s#9SZX>faPj$onL>Wo|{ zu?|5%CUnk1E*;KZm7;-jG)vn3k0br(9Ud4U8XO!RK@TJXf6;-~+aL%Q9wC~lgJfxhv$Y+HpFhHw03zVRBDc`+y#6xEFc)QQ zh5>0(a|F2u%QQjPrYSr$vvvfzAA%6Vvowc-?kF_pZ0*hf?Z#+@Gy1$zYwOSr?0?~; z)>gSFtbZ&iEa!bY65)fi!GhY`5%LZ+PY=oJPd%$^YjADWt*tIc)b3t{8OG038Y_B) z-rA%cg)oL7)(^TIaUmJb%)-I~2~vbL1R?tmKMEm1IIs54{kn`eM_6NO!32j06CyoB zb1yVD{*RenK>lycC=vpT2!&lc3^dJF82*~r}qv2{2QZh0OGBPr2 zYn*>LH8pjI5Dqh|1e&ErACg=Mw8Dj*^%YJHCk<_W;bT$m$*p3hZMwy+F=Ystc2wuY}Z=7{x8l&x)n z8a5Q`LbdJ!l-|R%j{m5YVQR-CbZ&x29BebG5gMrU^oSeyaK^R}onz72m5X3Ng4$Z8 z9fkb~9X+`S(hE!NgQXz-=-mJu?$8h^Z2ZGxV-pj*)&a<`Weo2KcjOd)wJUOF?Lr9K z{$CU(CIKBMC#SV_@dApQ#~g{7hwt(gPa*s-`$3ekpTAP0z^IYI!ttf4Wy zJHYndm5d4svVKgA#-h=U8=ZR+mmiB6i(tV!L&fsK1p$JrEG!|&>cYh}#EEs`f(wPL zFYF`E$_p1ns5O))oL;WcT)2=!A=?WVOh{erLJlfr_kZTBFJ7a7pT*10g3+zy+eB_+ z+HY{?g;U&c{>C|FuNS=;p}qHh2snK3u~%2S-=Q^jH-X@>@9*O=%E}LQo3bdwr;t-9ch`U_s2vwE*;0rz7(xu2q-M zec-wO>Umc9!dQUNY&cuTFR#5hx*k0sIPiTXoaT-R-4%R?Z*H`M%>RqDvkr@@ zYa9L0(%rEL3F%Z)0YO5#yHlh=Ktf7D8lbcE2R5xPxmmL^-`IK9T58WBc1FlJw~PI4Kv@JXMO{?uYM0?f6|j^IFga* zzx#vNE|^l5Bmdh2lc1v1i3FNoU}CU4j?;JZbYirun9hpgAm!HoWse5Cc-(=haoLjk z8mL`Xm%!!6CZl!sVGV0yTsL3EJm|B$T>I3V#&)~4oeun`M?I%}e$p+H(%K|TNeHtgRM#8J2z=tUAmDYAZ3l!%M<7keY^A3ZbMAMS&2Kv?IQ{L z9eMrH%_7&YLEu}E0~YZ^M5lChazoC+!Spt;zZdbfdWPja%r9CQnZ~mc2aMF%h#W|Kjs8!>+#+@Th!h7`RbO&U8U3b>|Ri= zKV!sW1g!^bmRfl~Iqi2@@`x+#t!|+#8?55Iy5*6WoU!e3RDdiU!Jb-Va`)g;p4dge zOod=VNG$BaL|J0!OL+$e+XfgX*Dkf93mI8AXw$S&iNjPB&mp4>t^YC86>ra`@e3Cy zh`zg98(i6{k`!PnNzN08U3p-`@4aj0VHe~S(X*iq81`1l23OPOpZ%T}jvnZS&P^hW z$lH#a)nE*kqBoH*!O#Z(bMFWBGh0?pAGV*)mm|9zXb5nle2_+$OcawkX9G|9ZiB#u zuM9fH$ZTrT#w`W^wy9w+b9n<7V$h;J)&n5+hBxX(5%)HszY*V{55oB*c~(@XiZV)_ zFfXrKUfLVrp`_rtx<#~-LOYLB@s#hO7WG#y`gimqKaxpkR6Nwffs=#Y@4mh-)+uJq zgdrr!DHg7ZC;`aD^9!i`uXr>mSb$(uo@@fOk56rjnl0SuDuo-rbkad$6{ZJ;W>5Czp`-< zA2+xrVr0ELi(nzYPG~t=gQ}{IH>CXzjq?dS_>^B(AVu}^<&Pbbb(ANWGAu)8Zk<5n z{3Rzs-y7-Fg>~oMjukSVcDGfjkLBL?XueIbzu~9^IVA?i_%C9|&&z&@7HRiq;xUYx zVyes}&Ew#;*<|55gQ4F~llI?km7s=nrFyJLvr<&(&h1JJik7^{%b|$w{Q}GfhRZ!p zTL=tjx2SqTf7q(qrj zT0M48SetqWelNQ?YRSycnlB1IcH2$c5hwbdV(~y8`=@QG9IZ(_C1CHFaS4e}2$--A z|HY`;VT1MzsdH`>60#ebGR!rkVF7BAY6>z{jr}uWtV+CcIYPNi?n}DMR}08avjAAh!`yuB}M9q&i3)KgC4Wdciq0KN5T?mtqFXD7 zT0L7@j`4HIgU#)IXF4a!uS!m3(lSU!1O`T%`{VsfYIi)p{1mLyGR*O^Y%S(=%B`(0 zy<&PZy#&_93`%%VLn62MbMJROktjK!{c6}+rP1v@mx(jX$$bQ7oog&#oscP*(WGNU zpHWYzxFxf22JN;Wi}lB{A7mB+nF4YBNo34Rn|jiacmq#HNhCLeo&Xfb>p>;L7=b;mO18#6%DN z!jU&QS_Z#)gzpiNh*Xmjb@*t!KSR<{WD_s@9MlQP=Vptr)Q-&DMWla3Pe?sOVUVb^ z;l&IqY)gO9Lx4kd4(<~A@=?)l{I(LwJ-yjjf7NTFQ~neo#1{FuDJopbN*iQjCzpL@ zXRS~BoC0QMQVbi+X2PiE|( zbM<~x(M#zQ`zzcqs+Y>AU#F;<-NAPR)36=(em@1QYyFn-+*a+m!O@cmk*T$}!9Y*+ zD<|+^lX{1Qmvnu>dG#ZDyQb}n2;PIoGsMRnE9^I>yT8LhlU>rJ-84naey_dW5t>i( zQ9&6c+h?si6`fQV3P#0KU?*^n&aP^Wp)mlXMpNsLAB%I z3BT|x@4w(EfY*+JXVSxqXZShL?w-hpMAM7wS-wF;rUUFF*_aJ3;#w zpauV(p#2Nb0(g}aD47__sfI2SLPEo!6m{q#{x3ia{yRbY7oY`DiKhQT(EbHz{|*WS zy1s&^+4;kH)&O`D2ycSmO)$K94R1oACfy%Oy@RHi?)feLf<~4Vemw#UU-bIlxGQ`n z<3CY+>;ClbTm8?o@Ox8)+h4cX^nbrtjc|K#OZNTm6BP*m{{Fv@O>Z$i{Qa)K&)?n} zB0yKyhzR&(`_N1Z{D#Z+5A=G*`u`8T)@=CW9`li|Q~MbIEVBI`_yGI#^J!-n{j|oz z$9MOZL!F))>a7r? zYpYzrd!j@caLbf<_RwR&s1)TuY+US#ixjuWb1EzeG~(kUe^FZnQDC4OIU!XuNiR|L zOguMoCA=i(`mi^9-Oh2Wu|JhuF)hpO; zdW{C~HC{Zk&yIRQMz`^)FMllGMqqQ{G(wkC_0(F$Dgld=QbOcm{RYt%rU zS)Z7eBL@eoJCbcq-&PH6T84Fk*&M-61+T10H2zzFT=c0bCS!CtLwmFVU-xFd=ftgq zphRo=b>?rhqL@fTke(sR|Dfa>brzm-JxSOk1%rzunxY`}&f?hIk8j%|?BHnnBuxNw z1YKbL^f+MQNm=Ary|8t zxaA_8^34!%oRbv}8Nny_NP4aITrncILF#>}s(IbSqMs`+4e4tK!}(-$q~-X>lg_e=A&2o$ z%GihH*GM6pqiLq;Lp@>3Ej&SBX!wN?E-b; zCn8+GsI<0>e%rGI8VsfbJO0h}P$jM>7^x3f?SBN+TYFBrGFZrG#Sc5yTWo4_L5@9t zzFXdFcMOb5zi>nsE+a(2rk@PD8qXucwoe-R zak(PLkr|}wdV{A54o>tD~oBZ zVOMr`zS^$u!|RrP@nEwPBNE``sLC#Z@e89PRrA+~o3k(={9oIcAeE1sx7#vo+&D+pxwOqM7)#+5Lg33qFCK)YnD?X+-s+jDaAYV z0!1{Xp-UMq3@2|^!4cLsWQOFOdnr?ms)#RAB8+o7)z!dc%cHqL#&(9CQg8t)&CE3r z^+OHEiZJ>0{tNHX-O0C_ckicaFnU`0rgMYH4MoW{#bhrCOv~+6Lp#1X9TpmD>J_|< zYZmCJ%QXW&6f}zNu}{;B%X&(=66V|~iah2nNX8pyO6X0gVtK528 zvB7-9u<)Rp^;BTvcX7Vp9@=H^r^I{uqMdJJydxRixktepEPv-j*+AyVqg5{wPVY{6 zeZO-%u+(ggwY7dV_re+^IJ6PBMdoG5$B=Ea>sLHut5VTkI7%Tg^1?)8N{(^|SxEY- zjn{)Vu_@*q*>18#?AN265uU`#o#({vHz~8^zyedIYv2nvLnkUU_xG8g{5fMm?l1M9 zl5>epL^TT+P(@p1w{PyBazt!@5@3wzrRg>UjH3_i$Qhh@=1vh$RawBJna;qjYof|% z9TbPw>_Q%0ch;`_8;$^{(vbP~v^zn7H#zRflb>Kx2#0SQG65K)RTw2azC!RKEI3HUwdO|+fLZF16t31hcnQV zCKqA37qtfi5*Rnu-*1e%SdJB5zq4{|uk7K)jpq#HWiQqUO1NCZ1AButv{bD#OAeW4 zB|cRVG-b)I%Q)|n?6m=jZer;AtwErFVC%<*OdP z5pMzoB?rP$tnSs!lC<;;lI@AoF5i{?KqeN0+()C$MsEoqaQJJWg*Wpsq)wN(jF4KIMWOcMz$R=ds*3f2c=(wGipfYtsZHM#XNMYe52;1d7pKJwbzsG& z7GAi$H|P9iT>w`fvq|*daRALpj*>d7PdTlex`LWVzMp zgjwTDDZsLFq7=E*B(bX$CL?#Y`A~$B&8sqr#JsZfmSD-dwERE_^}!J8bmfo67YV)_ z#mvsK7Bm-gD3OEf7wM*|=2Btcw!td>m&&;Z0qGZHw(Xvzpnbzf4_jlC*ltRXwuz`k z!MVySO;WWwdfAm@YcYmgQRI_0Z^0v##^~i2=VT<%oJQZ?HFXw0Y`$xCS-9ro0Ht9D zb@HrK4Ns5`A~_n17rTWj}F-n*nbPHz!81IztK+n6a#Mf#iZwL0aNq^x)* zC=%0O`_bHO2j1S8n}A!LnE}1=Pt|?*UEd~cK9GwkA3V9or~2iJRjF+3@SZvd4EfR~ zYv3FDsdWN7vf2AAw!|4Z^NT0VizS!LwLO{d;F?XnZYGe(=wr{EQ(@;2Qy<$Y$>{T~ zmCM+{spXW^Nw8^lN~2{QmRV472_up-4%t^Vu}>U8l~l9vjB4oy%s^7l(8|TT=+oFb z@NR}MRJo#_&pX>YzwgH${r1Ny!3Op&E01KfW)_$_NjdcJU&+jTr?BSe>`p5LVIM{eK@`PO9nC|J_KqJ zi~5<9wy&~Z&f^5OpCfX{@88~`2237)37M;hxTJvLk)*1}o2-hO&e5M}=#T(QQB~dC z;cextob#K=wAOJj|MLiyic!rjI;&%S7mJQdx8|`=^t;;8sacv*lPmsxaN4-uh{qK# zTDNm)9YW6k;C+*$?$jfoKQ{&B z3{9UGEw6)pHf_DYw^gJ2$mo=;&m&?7uqo;EUHYfd@yPjvr8MI~4jP?`Y1KNcgiGp4 z{-Ta|TI(yES^0@;yfz`vg$wj_fFLG)!JzuAYWAlk4|3mJM&*us^1}A>z|!xxsKk6q zW+1VDolDU&K67&Ulu<&-HYPbOb2qSM0!>!uLsf0d00RUKx_J7g_s<_-a+x~iFCLRU z*uYk`^gqH8mWZCEa;gOh^E}Gfl-nh(;_km+ z8KvY9J6HtCJfBZPDe&Co()b_(MntjHH_tOtutETr5h@bldE8jN-rE}_q ztC4Z7GZCMV(G9N?l1G0#PiT0qiA&X;fp!f#(^O`KkQfQ|)bR~Wg1h&1jGW&T504$= z>wRkLSOA;5L`?UBa=At1<8nHNCa(cA&jShj*9j?Kdr9dYsh5Gu(Ooq0r=jWD#Z5gF z3`&l1rR`hixOa67-MUEgFbOq)^@W^DgizZhgI3$fysn|;>+KMo=fQ;)y`0S(9tEY2 zAZzwFlg-!(saAMWMJuO%02U8K=roODcxIAGYPyDZf#34V24-A(g(briYte7NKo{*i z655eH)4!3V9A5Y7j>kvjf z9~o7u*XVpo$sf6)k$MqPh5t~tf8~bxbzCZ_+rA;BVv^8xNch~2#`)YKFydYMN6{yN z(HN|{Z%DpRfW>_x)2dA@kH8c(l^<>;i4VM9Dg-vPj72C_)f-IA`~pO*2CKi2T57&X znp=(C@i;44@cnQg>);$5`@ZHY83i|RbPlb$#vrEC(6)M2(>_2uP@820`k<>Ei8+r`hZXOVl(g?o{g)_;G{TEj-Gy)ALui&DFvny;OU3cIa zGIEGWO!?5`rGI$c)bat9m2Fab_1rH}%XM^C5wA3GsIDE}_;ojMT{IM*-+=x%dQ_f( zzV(;>UCx$Q?+l;s12^HtpH^*E&TXlWW$nGAr{?ep8Fc&zxwK#O?2#JTzXID7Tta%z zF-0Z&M>xRy)yn2EF^kCCujsnQK4F0e7dL=b^r=tG?8YUh`#U<)PXn>JZKF>Oekmpy zZgXoRVMs@VkAWja&5s-RkmNNtc|O)O{n)|8Wsuk;x~m+XP}nlM4t5?In)`%CEZ=)* z7nW4_{pyy8$sum^3|l}%q4e{rLNrL3Ub&=Fh(4F~C|Wo1m_^X8@^NAnIQRdKMq`O? z>lOkkjEXJB&IS)2hvJgCBgf?oAyW2Ac|b2lCZ=VTvGW8+H~eCWDVbBa?EG)uW*?y9 z%GkXwt!@|~mn!ld-v{BL8kCyMVn2l2gLNB)38Y=1KH9o~7Mf)`YodKoq} zE9n|mx45dBR$Rv8-SE)y9Gl_eB;ob}kTtfZ7U@CO3;DFp?AL|GDk`C8QUgKt#{^Wi zp)pBWfRL2p9+=M1F zE!-_TiIm->qSLO=EF@I-$^b=+H##>_9%WtAYt^gd^-s(3>0si1hAj;4(vcN2j9Wk= z8;M`oB{{okZTpCslV2+|D*F(DM^RnJIjWHNb=YU{b@uS=N+GAZY5Nib+bE>6u4@Wf zSR!)I`#ydoraplU=wvqT>w2hKA}kFkyp=E{sU6pfY*6w2@Y}$nl$unX9QcQ(v2*1P zDRHVCMrfDStnHsmzg#$Q4;-GS;}O&Jcm<-;a?de|sCX?rN)G6G1w|eyzYKdnjyk5GX=wJ6mWq`7#u5EVi^4=AWN?1-Y$79ck_@ocjJvexTd_qd` zlN*3VH9FzrCK9FTbMNp^*A#bU)pe5JW%NxOxxNl7>)j&*^ukWrhh$VzU-a2hCIn5X zJC<&dqL!K749lCj)*c_Df;WsJI#!pjvpT09-m^}rW>5cdhOHkxIE`Wv5S0Bu56VBN z*+0bK5D<}gqNwH*+q#BJ#vyFHc&7CHeO`ltVHqQ^@bb&86OD-dJT|kncZs)DbbON5 zxLM8Q+4q?--6X>d{FDrApWgtb-&3{pZ<>~FTmp{gGv&R!QHgm3OcE*uL0NPE)(Iji zISsv$eN5_SB+R?=*3su!`YwJLayrgok>Fh$r-kkFm(l&-e~7#ISJgGyM=(EQ7uE2F zeT~Q+x|{-nY9AV=R<4n1JBjQY0ZVXfp<>ETp`opte`ekkHJgnSD6JVpL`K8mkx;bo``Fmr$!5@kGk1>3rtbX0Yo7Q; zH0W9MITCV7n1CHNlW?r#=0?$lR<2_afOa|6Ni1?34=~^u(UEkAa~8=V?AF9Tzh(0f zP1`FVrfyP6+j$qCL<5vHj-k`tEfA1Y^LdxQx{pf*=vd{HbPQbT2Ok?)Kl22E<(DG5 zleo;%8ot#_BBt@#l{aw@x_Vl8+be4_)_089b-Hj9rZXxkv$W2!3MFvat%`Cr= zcTL{9u*YYWRjpe^1)1|NH(q70*)@3jmF8^YpE6wLs)1^b7=UBEc1(CNSUuSM5SD5`1wXF!&$!DxBJc9G`#uhQ$9=W&coI0fC!C zP{758!y{0akgX3gMvlQbwsH981k^xP>*1VQ77R>5(pLysou6M=IX;8F`X7Q5j&MTi zPh=D#NO=e`YiN+?5EB~`1^@Bc@HJLHh^aWM+obYyhsHg#yxp{)di+D!_AbK?A@ips1vw zsdeW+fV8#_yj$HY7z*4Hs;3Y2ZvaOH|1a3t0t0`*PB`#pZfOs#3H|Zd{-X?yh=7)d zq6py3bWBVPq(jZD`HwNw1cn4BrlBJM4!-%rkfHJ#&0qZ?h!7sFSuk=4^Mr$N5Y`9P zoa~2cCHzs~Ztv{un!sp&K?-0fAa)wkh(bo?^9zWGqkw`E9#kd}JPnNk4Yaoa+QEOx zL+K$*?jK1c6MO{N#N;7d62L8@|CnG$y z>mqy%m!Q`#!-sbz3uf^Vc@2tn6BznW_$S@l!@|N@MJTk$#DHYmB&0wPI^Q1o!w|*A z#ege44;u1<>O{$hix#(U2P$PKLujKv{WJ4SZE@WYi)W6RyyO z%R`}aX)V}t6=vm+07HgeiUPe975emWV`vtP46<>!k%Wmjs>Vcvcdfb-oiOBA}ik;S$iOEEw{iECPQREH(><0q46D5))H0K79^$UxhhfLw0n?AKljv z9SV@}8yXoDh;-iG-f4kZm?P}&T3F{jL)hC3cJN1l9r(kD;K>93aNUs999k#FgLJx- z7G4$(h>$e5-S`fq%w^|>JEHT9k^dwOK<}#zA8!?Z7=o&*#R~*AbrTp&qXmWsX}Gm? zbaf4kjE$kSUg)6Db4Ct;z|bHILu80&&H5Ou3M zo|z!n9A_cGJhEU&o{;tzqRb(F8?t^wEGr}~|9}9I>V-wY`Y08hy%76ui)@Ryfq0EH z21VGx2Fh+00>r}-!T(6W|4cxIe#anS0?0E5(8&(msxPSmV zg_E%kIExGju>a+N^_y9$H|72EKFF%>!%xI-=yf)EOIZa~^qA`T>#=-A=^!}OKjL2H z*f5|G;vAf6(VG1n)4(c~w)|$2XOcnA#RIUl27DK4DmSaJ(o^w!l-24(;>gbRBvqDk zn0i*PAfXl9*;hmRwxp@i-SGYSYO!_53z)cF`=DEL&qGuRuZOd|po`tVkrCyPhQ_Iz zbYw)i7>kMB)YHwJJ4xviQuGbq}ZDpPsGrI zZnSj^K3`2A1EqB~ZdnuTJk~+Wdl@I)N#~3*(<>|f1KN9emcUwWKweU#ezSGB%)b}xr zhMn4J@UdHi0ihd{@gnWwV!?(C(CRl}ZVwj6^R9YCf4&o)eePo+kFT3d@+w}xezeAr z8W`Ei=~v24>F!lZHU4a{%RS>IBQX=<8CKbu#{ zNnp+`en4h%4^Ssqfg}{KwGtMLI|jb6Ew>?;uT7h(gTEI1)9>KEQU?fhK{9Vro^W40 zoxB>rS~tRzgZTi7R_numOxaAj+JZy1A{XA!!@ zV3n^5*whfxIE4~9 z<6rWcXn|&4TcC0oD9jinw|soB#P88_J8(cU@F@7V6Z`1Jhly^7=VGk=^*~!q9{G}g z&}J6h18cBL-)n}}JRoY?I;+Y@E40WkUD3@wi3H%_7H%PKpk zfY??}Yrm9X<3s03V2#`vOI&pSO`*lZv5%2{eNR2x8i$yJ%UFKXF`6Nq1%d>O6O1sG zHUxC_rkO|PH*3sKxE(*rm_!J-$Y^@GEJ3xuK>Bva<@HC|>_ zJY~vYbIh&>axr2KkCk-;FxrM5Dn99L?h5O1_lWJYLgB7CB|Yl3hH-vLtbv+C znv#XlF1Ori^Lur*#!u>u?9GURyD;0|$n+r4GR1*sudq<>ml2_dF_rk0?ub3`qPM*A zjkmEHSL#d9q_c_uDaVT^^bA#VCI#K0_lHZ7?9DfqJ4N@L6Uf}`D+AisL2M11??tPZ zPIz#4e2rL?noOe_XmlvenM`k8jGObg0y?v*^EWV|J1;9$ru^2ByuPjPW*L3#$_}1; z;9*8M69@`6Tw&Z@ID^!t4nZkLO6GIK9$bdZ4GuRXj!5b+9*6*44Q>B1;OC6Vs6DWJ zUQkPR(w(P!r%4SJ1HG)F`qC7*<{TB3wpb-xbO+PTExY;u>@0hFC*B=R^qkkb#lGS@ zK*f(O>PUZIyR4?~b{Sr$c6>wMh?{eV^eKTHmk!p;pP)0#ZbfNN8Wx|4uWpGUT#(x; zLHY)*ZL^zJ7S}uELq4b{{cI#<7B_D!i^UP3|B6UeYt=oK#<5 zn#}WF75*9>J5YQTKwDZ7{V7xT9_syYnA+oQV2hvPvWk(%{H_7Pzbl+uC_>C2YEy)E zDtyAybh_DV87xlhzoH^(U8Jek4V)*NbX*e(-_Q3t>9FWO$jBfpD+7FE0clkv7fA8n zw`b6tesD{is#QH?jLakUo`T&aTL=aj#aK7v%hDtBV`%+$rS4ryi8p2wW@8l55lxkP0x9>z-$o}LnUW6|oQ*Tk zje?vt-r4T=rYYRKG(~@`=m(;c)J&T8(FHNrkWCWlFN`94Vn-gl$z2%ZzW`XwK2(6A z#m)d(x7UkBlh1SHh+{%HVzjN`G{8Did0m^{tVbOjWqs_9#W}CH%2D>8A!@lC){nfh z39)z=jby#I`A~ZrloRGzWUfrLl7C{?eZqD4UTV?#Ys}_w1WSVLBOz5UbuhisF_|@mtdN*=dJrk??pWN(3eI(nYN{FL`4_{K{e}rKC_E@@^tMD zLVr@qW#_RQ?^vUIQ*{#ltXpH4M}Q3Gn!aF?`_!A$!Ju6{((#wUrQ>OE#dr~Yxk>fi zS{J}8{ksKm;B;0k9{ucDmVg2^3-x*PH-l5})oUh^_BAGeV-aD#K4urLvh^W>;0MOu zQ+~p4rE*k1zbjpQxIQc603-}jY@aK?-Wt-Yy1SqF<%Cr6-u1|4*ke^eb_$!(>;NqH zN@Wd}Og!=)`WZAIFiCTFaXLkL!GP6mAM^(r^Do#ulp~gi`zqp)7`h|IV5I#Z1A!O|5@9)4!N0_%ngf z4X>s$@TV%&C_If3el7bS+!p+I-u5qU3;sKA`xmzb|DCt}i`xQtCNosM3Vw;M^q05& zi`#;K8*BgawtsQkzk>o{vEhrZP)a%kzTTqT-Zfd=M1V!XQP^mB69aEz;Y}R8iHA3D z;Y|X(NnB)r!4Us;c3TXCCL{ihs{XgfL@+3c@$VOkVSgXre!P8j`LE6Y{*dUFSoPl> z{BIm~n-!X5g!W_vAa8P+v&C*Yf@5`X0jTy&)ria(C1KCi>)86xHNtY zw(%Zj$k_8%bMQ6ZXo}PHdhhg&BxDoC?#bT~E~AB{I9odP#ifA@*%j;Ik&eCBa)nI1(LD?aiZC1mb^x59TE{8;X{=h)xwrV2oAK?(G6&7mlnft zen^ap1-p8t#h-(*l+jCYXDsrWCkZgK*1GS(jhZX?;r^h}J?fxsgf)l5-4A+j&B?xC@hBX(|qt@$gxU`i3RA( zYbYP!1&z0YEuKPMUtVGS7%uvJ+1<#lOxl!(-Q)yJBlfKv#a}G6o(hX>-6{Q|Zi};n zh*`OLP@6E1q0H9?dIvHqFBipXA`ti-(0)98CmdT4LV6h=&chNytrI<8Bws^a5LV2q}Rl+`n$l=8^aKSyk6Ot;#|0DSF z7{@#sxwhwALQ%Zi1uLM+vPavQ7mS*daN-(1$gL9JM;Wf!@+N8Rvyg_7>R6axzcedt zz6Q8R3bBVq8`!f>g0ILGweOk(G`yEfE8%RGo$sS&O@aV6uio^|O`wmKvy=PvxW8KB z4g-srUAq6TL@oV5)2LKXL1&C+BA@A>uz42b9v9Rru<<$fSzL#zP>E<@crDum&@ca=}DN%Ev4jd2RVk9Uusr4i9Sw))fbg}>{>T! z4rjuG*pf+uqj;1%h0pVi27mnLIE7bU9*MySae~Ee{q}{>FM784! zc`Ir^Fbt~C4~Ty27(J3DD_X5FUf$zzb&FXaMJqb7rAhK7^I`=tb_mPYT=-TmQ|bv1 zu;vIukm)7;refO@(d18*9+)S9c$veyeA&Sm$tjdYSCKR8R@9W;lIocpUhF31EV+ZQ1q?1`_M#bm z(!suuH14VdCqovx*ImVy(LCq|l-2QI!+rUuhv=BRy>j&^y5Z>yZ(%{dlhh*mpfk#; z2h7Bi;FYD|cVvm(^_32rR9Fgbk~b-%JF?@JXr!|{R`dm;7kEE$<}-2|iZ?#7uERLy z_@OS6cWGdRA|3n1Q=dkpuUw$RM_vx-7+pR(+A%(BNIw;14YcH;bm|J8ot7!~_%;Iu z&`}~3ndOyCEtlYPhF3{QHLyI82{`ewhbPsnKaa9}?x@OjxVy6=bgD z(+v$36sDzbX%}ER17$AmeI8RT{(`yr7a2(F6eN)-_$}cbDTYs|%;pu)#y}C0Eb6S- z;%H2ys#^Tei=x8LOyLmca;!hjbu5;p6YUQ@^_i!A{fjj|j>!88GV&gj7?= zdrldjSfB=hkUhzIwM4R?nO~2E+ud!0=DRR@SxFay(H`+)cFL$^frr)lYOb$e88!(7 zguonpn3M;GoZfDeC!aHT+si0mN&t1pqmNhJ8mR8E_u%$iI9M-|jsN2!UOci#ENP8M z9xbSt&-f`LSm>R|&@t60?cVJ7lHKMm>g12CM8&f<1$}yZn0V;Ao!ds@Qc9TT=vzb&SQ@CmEU1sONDI1qOR83txsUI<4JYPoP^X9Q< zH}83+*mW=uPA%E~A!L%%Fjh94}pA z5PX3z>5_RrPJ7EmX#;3h-IT%X%VxG7vfp-*?=dvifKWHp5Ym>C_};c;zvipX@q6#> zc|Yq{S(lYm7FrZ=Ha96;V+e?yh_&@cG%8*oB@&rD9ci*uP@bWK$4kU4%K0 z5;pW}9z(*$9-wNLRiSxE@5Q*+9?MrqHOIc-&!r$~MznOacsQ|YX$b^+a5Zh(=B851 zQapUGvJaFZ$Fk*V%PSGH20iqgBuhb4?{!9D5Z)kNUi*w*c;fd!=I_%c=kKH_H%e9$ z6PPW*==ft_FJ*h3qFoyMrV4>%!wHXOr}urs)@n*;+R@KG0Y?;$!djeC_RgJL@rc71 zF6OnaD-le=(CXl&VYRUL3gB12>mCt1JwQb1(_wL>+D%G73>M=3E(rVsO51h)b-Z$_4Uc8Vuz6)s!n`e<1twtU8J z?>(&mHs9X8lZ`MT(5TL1Qeqo-{Z*Vk6g5>%-|JmjYZgl+;)5abpP`02e!zuOeW&uf zf*y=zl&Z^&Gm?#hlUd3^^i^|}7OUHF;Q|;Y`1}wZ-)h2l#hr;0hJZeZ;~BjCocX&L za<-H^ww(+ZYxf|f{h31dauu7UhUN4@gyBX>Eq@pa)l6&h?bWIRpx9|XnaUN=7f+iT zwrzDH(xVKwMVJ)~^rjmMH0f;)1+ThXVtO{VQmXyCPqc--exY$+k&%kUtL&HrpD=UA zT7WB!-rZMWluoXNlUL^0WTbk0Y-rb-6%9Kd= zgPmoHgqXQ7CcSJ%ji*l`eW{i+T|v8^7p6JAABQ7;=QV8GtYc-Mi8r1eZ?Vz{%x-M* z*qQ%mayqoxv_6n4dF(xaG44%REh&_XdQ>b)1$4?4<5^77+QpfS3rhyYrB&45#9UGe zh&g!q28Jc2Re&RWE+H!y53kn|?~BXo8y9wd6VdQIR(tLqP&=}ZfGq%Q-2J28=lAr@ zQ3#JxNVuhz9$kgpBCiAo$T~O`xWsON>o{yHq5KUsy$lhxi1hU*`h(S2`y$0|8{h-kDinE;bG<(kz9Nsg?VEUIbo z;JZ#IljLtUTiOn&=)gU8R|xi)lq#yQzv!=x6_N@`Bjeu0d8qUDa~b#>dj&>J>^+k7 zPzW>HRg13a$9bGT0@mnxJ!2Dmzcg#wLdyLj@Te^V4gEO;GDtcyC1x;g%kk&0Sn!DZfz<+G44S-#rhF6Ojcq ze=T7M`^G2EuZVs@w9E%phjud;NOIAF6{svKExLK@_#98{^NTxrX0N!PJNbu$SpmzK zteX0PMIMX5HB>1pTczhlQVzYMKx|qm7PZ??3g_FY0-^(_XQfs>&LdfSu^XR z5J(nNxJhK}mhdjStgUlwdK*!!bNn1&kkjeCP5<1BdWX@*IV}F$HOZ&#@-C-(5g3l@o+ThjI&|PjbDq@B zLc%s55mQnRNchk*wP);E+6Q(l{K7wdL1*NApplUWsf;H#jxmYpRV*CSY7xk|1a*OJ z+b)S!^DQ}7R7&Ic!5zYTW`R*=g>%=qCj%D6oTWHGS*L28Lb}Z<1(*8KgjrmPN^Qqx z6GFFhGIv3F_uhSZ@P2A}gT~g)=X2N4)B&-yk+og=$4^ZJVoGX7-?na$n1MrD?#HT{ ziPc{OViF_cn^f$+fg@<_-2CKJ41TrS`$&L8bnXfWi-o62!#Z$qT_a-eihnJ;t6S5` z{$;;ilYt4Ka>XUg3@X0cqK+|4sc0Noyd&!ooY;p!$jU2d7nQCB^o`sDDtoS(<9;Eq zD5PbhGDl~9XtZ&N`B*c#Kul(A4RVWGyGCfZ4P7SnnT3z_m#bTlAG1n6udv3IY~z)1 zMg%AmJ^Exh4kW?pc`M9f)(&sGdY0C4`Gg+o+js}HL;t#u?I2Jw2Wr6?xHK$TgeCGVh=@qTHwL|E{+7Y5z z@z*VWIj!Vx)4u_Uyx-R$Ms{!CsQG=*d&b$hrQ_&^zgTTk7k`qOAI+}Y_Z=~r*yYMd zEA0B-Q_VAF(}C|oCZ2H{`u?fl)7aV$mqA?f&z(DXD)EC$o7*?cPVw(PG>&iX9^l@C zG$7wDVSA2EqM^b|0YIH4fuP#bDEj%;H~7 zuh=~N+BLCD_&k1rZVucZGw&pETGZUc68k^Q-Dgx3&;CF79&*kgh)_hy84<~nv*aLA zB!eU+i2@=DC{d9Nf`a6X2m%6*tdUJ3qzx&$-Swqts4|Bf~$lrgFT)QNCS&EQA zmYSANNvCWV{LcT1@z7}SoHcTo{9_UG%AksbS=k`{O>vo8 z|JS(_r;zBB+={-<^YgT*mmixr1NBA~`cFw}7;v*{ztG zrZ1~tpHS;wO2O=bZ&+%^yB7S5y5W8J?4u0pkMEpr6s_;2f$ILrZCnawjX|T=gWKM* zwAR?hH&=e!yJ2A%W@2HT7XnV6Rk&1qqF42et(+!*UlR9D&so?xB4yx+&21*O42S@) z`bTlt`PFVEWS`OqDY=w?SlRdcJoTN>;bC>tHg@;`_#Wuy;($7Cp8-{39ec z(;)|odx}gJ=vGtlxp}?JDV?BqeK3D~UYCec+Vh=i4TA%>)DDaz2ZIayB*Rq7PA?~q z&R_NnPV4;S|G2ocZE{}0Bl>w-Rs|SY-KC(SR|?PS?cc|7a?9=;+>p8zm(%)X5ralj zR>=@J2keW>#b>-Ke&0bMrhYviMuJvJxXjDlR5i89{GwC@zOnJBaO9Kc^IV(5>O)T4 zKLkID6PEN1Ngv($flCf90^N=+JRYC>Se)7Ulnl4S$G0drw9RuW)~Og}oWIP`xq#@x zBTH)fDpCzB`gc;}_^VG%a!Y53s0->WZWokF*hhk_i^4ko5kv&!gTY~aJ5J&4U0XXp z&se0cx&;JgH2gpTS_v!LdsSao@C8IHT-^QdjzP{2-UhD6{aI+iiau^^c~HFAq9^1h%p<2QObOucU1{CV8V< zK6hiLDe0N{WfY!gwtXgH0Xo+$9OGW@of>*Qh#4MTCKt8OF0RF6a9&3)_`evUwE>JobW&FT6DK6jtY0;|5g+od%V8+KZ0~?h;i8bJI=Q%nql>x2eTalI6CGp=a|mJk zcfe@;TXaSDT9{`GceM_7U61ap0Ukc=59s!nXrf>L2#+M1XrkjrdwK@YRt>GxVB3U3 z6KyoNx2bl}?O#Ie;1|@4Xr_r?`w!EEI}Q^%89@_*j_5>~(SO0xgn)mPCd@Ip$ian9 zwA9kNc1;JZGhu~ys5A8m{{-Bc-u)vp;fjIQP-enEgV9(Hf;C9iGSOinC6sh$6hy8WlHeQom}4+hC5GTnp_%%B@uPtVT5 z_YQ3~kp;N%f7B-YcbDNIg+ZRHYa5#+WaQ*%I!ys1JgFdUgKYXw%q=Ye-FZeC8Ci6b zvxXs_O|TL?d?;kXO7Q3bss#1tzxlL^Dm=Doe^N@J+kgy(ClkSgNuFpmotp<=%MV770(ev*?1VP8qy!c;d|Tby zHv}QvKeQ7ThW|40bq)uH%b*(!Qz2PJu+ck;(}WWMflV0dHyiS)b$&8*v> zWM2;tuiNl+O9;J>o16@9@4@rS_7*;xt)gRm-?WB0qcc6Bg>Ln*hV|TGchGjCdV6id z2w)j1@+FLY)eID#ul2 z4R|xr8bP$tD_?{C>A)wtaD6@avpyV|FaX-X&>BG*89i#TYh!>g6;j|oHWD4*19Lt@ zA3urA&4*GM&LBW{QU+HpM}Lx3Ku(EHe}>QB&L9X<4Uf+Mr1RF));E8gvV}3HX10c* zT4XTy8H!~%^ojm8d%zmb9)N37zz5|F0&na!!L(=iga$s&!S{@oE)%h1_b z?CXeC2!FcE(6W@m>QB5$(wh#_HyQM{$)Z_&t&rJv;9PIy~ zPqg}k>=S*Wqopdu*)wwsXQ4I-Zm1qQ!M0W3RtuMegp`{Hjt&?CJA+(WA(-hLL$1K? z!lw{P*s~P$z<;Jg$jB_BTJV%q)!_%N1N=r=aa_fKqa2D|pQnz?NMb0OO()Ml<-B2y zLfd~N4R*P|fC|Tlnaw#lZ$7rQb!0!Xy^r){dlJEb>OWH*hQECM{$mB>CvupHIPT;v zqmEDDz;XPJ0dYUHYMsd4dHS>bqxNHqf&rqsgtCjmj*hnOOwQbso~qfD>E zH4wAVN5QD@o$yWD_+XUd0b(7R5&j$R&nyOeM@QGtH<<9dAv*jQDkjG9SNI_+K4}Hn z>YAPTi9&wOoRJ~xmCQx>SGiP+Dc3$)g%NW;Y_E5+v8oI7R%6)bP9&+@p z+yBG67LQEW!xRV}b>e~R+(qYNAFnTjxVw#Ox&`eXz-u=|!1?aDSGmo1O36n&wgK7n zA8&YK(VSCsG7s!qmmj3=5CWG*J6w+?kC5xv3H)Sf56R5TRTs*MFtRvVc?8P|ZTAIQUhXe0Dtq!*-4}h)$3wOAlvjaO$mf zy(8G@BT6|4-Az?%S=yCT*sThg9dcDZ=wmANC*9U1N%##6$UIIPukTphDf(W`kMAZD ziorM0B5a(Y#T0En)W2*36b#r}AD=7M%bOjU#;D?{I^XeYV1lgMQY-(Rhpqpk8GtSG zDY0%Sw*fnr@e0MVqqN{=y2W|H-Gj|I%M`{2aejd3nug0h?dm9TDicxsF=~^!CnK)t z93j(>x{BN-fnf=*>ehm9`^)?YI3r3+E6yOM*+&9=NR#8F=@H!Hb)59DYZ zdq<_{4?T{zH1vrkh%U%j<3dJ5%r~q$?13EUs?71bR!{2!CzM1`0z)fSF zaw@~j7QrFirHH?oT|;|2&g}$KUe6E#40qTuD#eUd zoc2zgki&1DEOJGWsq9&kiVzlBswP9-;lv^#M6v;gN;jX0_@2yz{KoZZoe3%5l9A-2oV@{B+f6jvSs&d zyDYMLFD6!ydO7Jn$27jeJARD1rr0i`cKsNuKQbUMAs?ew*4-f2~*S-S_Qjl5%oP4s!*R)c%yG}twBDU@|m*FKih z8)b~*(LY|3`r2VGx8kJaRX~%vNVK+wuX6LKU?aCVUV!<7i1#+6R?2Gku#sN{nB-M&#b-j3CHT z4Le$3b)5HkZO)NxS)u`YCA!mf9}Gy8+Mm$UbjOdir00^vp1ogvf^~C-9CdyhFx(W; zYfg_=#Wwmzo>M_uA;%+(p@I8r^6Y!MZ`6$#K9Jr*zee?V>_be40jX~A>E$;?M5GL5 zbvNoONEEW_yXHVPmmr6{U;D%0z@OQ(PtD@ZdbBmE6KI806CVI zZz%)KxL;OsFkeZ&dkJ|A3VbiiJ`_%oI?XLV785~zAL>hUNSeqK(eyaPDGv)kJ-kCpD@9b+tw=-6AX zt_8^_T!INvs~z7m)MBb%T8w=R0WI_6k|w$E8?Wx$Ey5bZ35Hb1=^q3xh4n^D5)F4L zJ^-s)t&oq$12_9K*T^;HbM7o>E#?ni4tJkgdX+}-^P4}&bV?y^uk+5&ch1o#U$9E< zj8VHv_&S;{q`)Bcxrx6c2;A%l8n|+Q^{zNg&Jl^6>{Eas)^t&6?k?KDd?4?!5C z$E`exukqh4todn(oj=etS5fzVJHE-)ZDIIWztSCW-{ti^tqNG|y8Rr|Z`TIbgt}*+ z>v^|J@pH;6!x@c0(>?#@_%vsSm>bfwvczSj&924D!YVx_l9p?GbW^2Au(6VCS=Jw%;E)h4=>b6=hncUBQjzxmxR*=_0 zU9~oQ;hSXN+}%nV!dim~|Igm_KLcC;0@A;J6#REU`qz&FI9t*KUL=6m2hek>|L=LN z;6DRf|3ccojuyZRBk%$Nx{ArWn!k|tucHP39n$`FwBSF3YyU#pzm685E1kfr22^lH zIqhFa``6L_<($yFzL@EP^QX~%H4(i?f(v+of}I2g#~>-_S5nc7H1r}Jy~sc>Ue5F( z|39RzTiP95Z?6Ee zrx_39&ut;utz@?uYgDV7ZcYl%`+;H%&oeQWJb7$TFDd1^zq#S_u^~XDV>9U&f1JPx zob@Q9OW7D{FlBQueY1Km=Y|q_Lv?vh?vH@WGVOCaST#l{oM>D>C{(a^f zEtor>K76+;CA=tW+=5Y140yJ`?J5$G`sPXXq?*zcNKnu}^YD4gLfd2#5OE*%>IVp% z8ms?J;?9|@uu3gAGLp3X6>GxlYo(B`a=ZweyetjC-!q>e2G1X*&3hJnzJT-bw^%v&_+FiforaZ&I${zxDTw+|Nzc9i+Dq4H zw;VSnp=G)hK-OumDNu4!I;EPIQw>C4{j5B4h0&2lwb^!AN&x7>bY7t#l? z+tOrvG^x_6pFMCW9Wbk+$a`6wC2;-yr`wk6;RI=pAYJA)eTox7b(-k&T9XS&B6pMJ zqpL-yXO@Jn*M$m@r-7SMZOEH(NwTW8U5?A)Y#9w1#bc8Kg)g2+Gf`AuOHl<+En=l& zKdp&zUClU7wG0+tjZt|o|NX@%&kde%pOr~XQ0zzgTTQC9Hc9zW*ao@aSxrv8x{8~b z%FRzj*G9l;B5?3j5PC(l5 zB$&BwwK<#L;JDp#>#5z9mM=oV8!^YA@GGs-8TPm|pmb@K6gtXw!Xn#QO4m%y=AXOrV!>m=gF;}Ri@21R$fkdm>KwWO`PCJ54@`?uQ@PNV7~t>4rQp> zQ5sjeRy)9zBWr1a>+zw<jvCsA%V!p8zD{AX=p%J1Hvn{gH7x!Yk7#phm!N?H9Bvx`Vx%`%i(x=$5FtyEtRLGl~RdF+i$xRH%48=R4JLvUI|>yDlfwz2Qig(YxO5ocnP@C#xW= zg;reic1(rFx%(^b#_lOw!(t+DIc^pY;Uv8WQ=g(P29iMw|?&8vu5Q#DK|QTg}|k@SRLq`MHe!y zL!WihrViM7_>e5}Sxx7ka?97~u!sXP4gE>8+08lGz=@-tT(Is4xJ)%vE5pWwUcU z?r2(6@cq}msrvX(&DQTbs!khV<sXUw`0CO6O&!<@KSkxQ&S673cOj92!s@e66r)T zM%*gs(w<_9o04@BtSeLZJ7tBq2P=@e6NlPA2h-E}FG zh1JCD{sR%;0~`wTV=(SizZOHEmE?I|P<30uNG>QXk~_1VFLP!o(B$_1gL~kb&;z}dg3_^-}nWqYP7@5ioZdC zP`nE;Jz}Q-j1f}h7q0AC$450P4}=U>M(s`XDd0GaSK}g2-g=r9fIJa5GM6~_S%%I_ z?OPV@C+?})P2ybj2Dp|amCsn1?7?#awInQ|d*UPh!tt`I*q7{@sfLntE?hWI7^xT%{LV+`IOqb<|ohBq&2H zpS;&HE$~u1;d!k7>NxQxQIKl%g|jJ6Gt-oelr_CJm1ebb@?aXp)Sjaqr&yg}$ppTB z{?OJq^$lwSbMV(!^-nK>7( zjO(w$F*N#P)bT6GZeJ{|L2ZiPEds&rGIWl0XSvlfF=>6*I4N)0iS3BD`_r;@dXV}$ z(|iY$-mDuc4w^Hf6IZ0WZkU!3Mi3kq=!G<1mg$ra&t=dB-|HQTX)<0bvm+CEV`5H+ z6KAh0B{@v;b~H{b-5EaL1+lu(myh?WkA3g%3!PxoFNCPRsgy zmwk1Vtb?|o}^sSKNXK{wlz3m0v--4n6X~xy$_qwa?mqBV`H&ux*=$D`=YUp2U=cClR znuql{?8ZUZ716v&XL5p`-*u+3&Y)tFedS%#RbhTfxr33rQd`&9uclPRoV*m*&?0^5 z;O+t>uS(y2ltz>lKN&sURsXt<{ix&!`_&Y2f%8QoZ0o8#AoJSXUV69}(=qq`(Pg!i z*4gEsClc3f0#gRRER%A{S^)Rgr43(bq!n~c;$9aNLtv$E6ciD^Ny^H9%^*H;feg?{ zxIf7%2$4`QuNhggD;zhh?4cH4i_A@IA@XZEAbtsk%^NxRlhT$|-VLu?<}*wgwcn`h zU#FC-G`K(T8;_6?h)C7a$s3!R+aM?Y_zvGn#2epA@6 z#w7t{t%LLNiRd{6Wc?q8Jt_UPg2E)DX1E&HG=kyoAGx3k%x&BWg|zA=m8S><-4TGYDRI~01r?J}27Sn)T3TlMtZ()O?O%4g;dPjKl(uUmLk^?b!51njzZ)2n|{ zNngJm-83-E;p(5*N68?e?U#g4qIAps7ZxlvaOZWw+MzGXoR%ekwFjr76_=s|qeH&`_lq(m-$mB6@8Dmrr|9Daynxl@D#<%FD|8E=pLEV zG`@lWyNJhf5peTemNpLBfA}b+c94b7suMqv<|;^(U8Fk^i0Y-_ zYy{jQS6TAf{Fg5`EN&ASJ{+D<9$a_xBvc8iCty<7GJgZQ4+Z42D(aVTMZ|2q<6geo z!o59slM0vy}_8eqdYag2O=>;Gut96cHmCc`vFpQ_q)Llqsj(<;6G1)+x7#O z1y+Htnb_o0)eiv~ITp$py^p%UtR0?IIeSFM%*IjWNX@U1&`&_O?>hplp7K*xIwj>$(lM8< zVLtBoy3y$vUD6IKd&@d~Vak6ETJeau%w6JMzbUV+ZzW=ukdaqXGazBObNA`^s?cSZ z01&%NNXg^m9+FT!B_J0V(Y>`tDrxz+nc4b5YUk7zj}h>QLPX7+-VLjool$c35UaVr z@1W%QXfXQz(UK#Jo>c&ty>UCOe&DlO(cG2WvAv7*u5K0WcMmTcx3q`GwKzRZ1qIWm zbYeGLqU-v}Obgd=h_fTXp}t-H@I$tm7_u*Ja(d4_%a6~CUezl^*)vPu7B%yY1G-L&$C;mr zE%ObeUEE_Ak@I|tI%bxy-qzF&%V_J~3I*G^%tBW}o2+Z>9z zM&ap4W)7eeU4gF%nkjhiuZ{VR$|>LJx0+_Z&`!#zc~PBuv-Tn=T-dX)Df+gS-TDQS z$oOGm1ra5;kb+%QR!P^epsAG&h;1Gik&(A+nVept6_*Rn8TmYy`MzmfygHS{Xj15b z87P!E*%O)f3>MV43609CDXHXej+>;^Hrl!O1=l?IQ5$G^IM}?JQj8_@fLG2VG+e_u zIiqp_mi`vLB&T%aW%DSY5WZ?*_vCe6RSQBQ>=62PkykVDN$~*BwbI4?i&qU?fScd; zv71js9Fyor9>0R|_ulpm z&C<&&dDXRjCng0nY+O=t`0O0_pS*lkLc`3d7gS^Ict0dDyOqr~sSG*<@23^poFNr; zJbLNZ6EV9=uqSD)c z#TGPmt^j?L!0?=!;ZN&7NXZ#Z?cDt0-mcN}d)+VoIQDgc)hZDb4GeGL64Sj%F83(z zRCV0v^Bdsg>HSK%>YHa~;TU)sBxEe|>UjI^;C)JI zsBO4+p<)omJKNkfvvCGm^J{}U@&}6Ejfk6fL(8?_tbIf5v;t%qtU4DTs`<5oXGe_u zLK1S;0Vyk+KR7S@ylI|TT*1(}`8XjXzwPrR0RU|LukcwdAJu+npS*It_kd4SxryD{ z2PZ1-yKs%35D_?^JmcUB3P^cW9KvI___Q;AF@Ux(nfn!zIJ`pvqu#R~|bniJK@E|nm*_*=IbC3bTX(^f5WVa>M;)++{Y5OGV zMd24);2c~0VG4%sYLFV3rOtcd+KXK~B8!~wk-gEgEA8+k8a%Fdi#x(f@$K&nY!9O9 ze$XUBhBj*wcetjZvMY5&y^Ug0uA@QEU*)vi)pllD4 z`<8HD7ZDkl`@j80%K7|&qG5tUPS@~JWLg_10d4F!VCJvfk=KifuW01Z^mxzTaYf$n z6_=bdwfgW_N7cZ02_SE1*7zc|0_bKpx38nf8TEZ$6m+kw6J57*ipnmaW(Ryq&cUhg zI$+v4*QJW{oH?^NSG)tF3U(|!bKZEfrQHIvqI&z+E;q>qyq%^lY#AK>v`GK*Uf{>J z{p%5<>>+JS;AVL0MCNkJPbnia-^kRmin-sccVE7__UKhn%gE=&Jt?4|N)Pn`dfG;nqN2YHjj4x^=gB85^+`6{K->B4iPF@9r{0}XC zD`zs$vHSQ3A1FA7fEii+H!G6HPYT~J?9nsw2wU3uW#ah?Sd%h-^UZU1FapyxEw*XR zLW5Lu-!0wVjO!a&u;dv#_=QErw+2tMn5%$EY;&!Ij!{ZU!z`45x}Iw1EXxt@fEDLG=Bb8vs*K)zB#Wihb9DRgdhoN3PzA-_`=6v1l7_CMdH75M0@*TExA7=6Rpi)Wad92 zb9awy|KI>>%nJ~i{i&4B2&@r=3Hl<08Fs_c1g}wNB7hAxw55ZZjtdexUNxvOwPB70 zY=1l^fF2Wa%zvT-&CIOtc%y>@p*0H(f-N{W7!~{=7-nS#Be?(6PDf{Df`4nw2sB8I zjVnZROnBd+9KthndrSE4U2WYIx^g;nmvHqtxJC2UP+g)`;$jm*wuElWut9zHCnwPw zxj_fMZ^PY!{_+pLq(b{kG`@tfg6HYseV?mW@#SGtKsU6x#86UFhT8(`rbB=R^J<|% zgF%{**1_F#h1~KFweJxJp8@;A+P=?ofduE-!#Dp%}fNz%S5oz5t{i0 za61cOzePom*Fj?nWoggA@W=@Cmgpo+*#3aa393H;4F=TCFCU`d%>XQzM~jUEd%}es z;GuUEzX?GI;1j|b1Oey(!hbV63d-{k0>fJBm&L_lIrTsF)G7bu(#oKX>EBG+ObE_k z2Tn~0;tU@y@VRR+LJEti-$KjFKc>_N&71)mIVY!}?IjvF|4TN%%*`*aZ-RcYqca$m zQ=dT)q}%#`k~RNSQ*T0$flLITb9w&+^Fn$D@g3aF&p&?uK0H1?IXOFnNR0~OQfxHH zgdFqVu$hM!y_0;we?TT8(1ZY3P#u<37e+S`i0(wiB&1DYq$Z@8uvuAIkwevt2F>UI zQCmBEbO}QAc#X`2AQN^IZ4EtU6O2F!MzGPbnsLv95v=F1#X|~>=G+Mhe>6ATODMPN z>Kberkw#leq&b@)6bBFOw$Xlh6ZWzNSNx+hGtoE`zRSDt2Z%F~efT)AMo1v%hM*g^ z!y|OvMO#jUIs3dV838oQ7kM?&W?4I%0Hvdg-aI|Hj(!t@jYgRUhD`|3Kl!>Quw6GZ z&t?v#p$ml-6~mz{jm<79LZ1p~n+$bwd3gm~w-UVpRhbZE21AdTiBO_FCWPAP5Z$_l z4~@+q+lQuG#qsB1c;(_Bl4(mu0#Eu~B4Sc9=#kMN*_J#Qg;2phsArIWaZGCj8=e`o zXp)JXUqlR0%&fNJFv(d-*_I1Y3%!U3PX(y2Avv~XMF(zjAl|k-)_(#w5kG5)F@q5d zNHIedk$^UJoDi5_oSpr~Rt(8oKzMPXG%hMG85ps>fB~5?{5Mf!W7*tr8$QFIkuOaM zG7*d*lMp@s12ECyxOUD&fAXo%U@9{XEIt)_rB#ID&#*^BV-g-gI3g~=VQB>rySt&6 z9vB!}U*8JXM84Z9*h(RLp}aWg!3<-R9kt;b1VXvsk{KSJJY5tktF0vNpRWMujve(# z{vp+{wkW^mHE8lfl~8(mwj#EIw!GNJCYDwdFy3t82)_W0`m&St#B7a31V4S zTSb(rs-t_hhOL&}ok!l-dU^)Nx9?JDAXXEt>%DdCcRyr7!cPCp7 z$zMV4A_b0jG2rNRyl;)N3&%yDiZI5xD&%m+81>L}<`8~w%yb|Kym!lrATWuD13|E7 zhM|?8Iio_{HfGLz7O_j7Sjx4z7Dqt=n5|G2mN3I$duDYTdR>+fI3dB%6F z60^4}YL{2{QYfS<`b+Q)axV&SEu83jWk&b*0|WNs#MS$BX6sjqK0VLFtkW)B!~2{n z4Wc{tMlc$WDZg2V4e{q}xi?&n;!IjxkpFVmBp%XMNa(2`9@A?v*^qtuc^?QubE1&#DCuofKU zW3HX&K`A7~8Fkh6UBNHpEPxOm|x4n8fMZXm3=(4h!aQ@hOX(VcXp*jlI7qVeg*p#a(=Xt_V z-mH86R3nfvXaoDZsF$T~!0FfzAVv51;3)rj_`-r+yQogc73F@d3&4nHUROBH{L*k%zQJ;4T4RBT%^CTVe7v(*IQtZu5l%rEF5@2Iyu^bJZ}v zzWB_h{Ppeyl?W_7EYjG={e#n9mgdP<68u3I7fqB}3KHWHTl3yM1|@%~<@mHJMBk%= zo>SD%mDK~ddl@wIY8h+f(*O8S-*8o*W4g`bte%?2QzA`ZgwB2*ctlEFX5HY3HL~DE z=Inbe(QIE#Pd99xPX9$AY+7@44M^PynMkZvnX>ffC@-JWf9jQe>&ev;J}3OJW%B3> zy3c^$ZP@Iy8)Mw|YO)zuMAyEb+k?Lkc&+ow1UYRcj;U+e`Njv!C|~v6OZ2e7x^{wU$MNhCSbLv*^Q)l zYfj(CjcX{+ZRP-EFQW8ZOUiE&3(v}kzkh|wnf+Z6S%uHj`Noubno#&WsL=n}@6N}I zdl&!rW}s?*{%$?#dj4uaTl$@6l`O=+61B zKIciUukpZXX@oX@@>LhiT4tMEm&SAW?XY&tUlH8^QS24cx_IQ?s+@BPjiBLfj3u68R+hj}n1X4)Ey?#wxo zCH1_-JtO@P-db;2dohrO;&`YvGFTH7VSTz&Q1cnvPg!&DF!-zRi^nUOeAD-U`5U1R zKPawiE-YCS&V1#0f4e{Wd8lt?9qI`Im|i5G18#2{(yzz3?{BNP$I4Bt{SdhndboR= zZzWBK$Ez~!Y!T>uB@H@Wcsw_EUyj@6(k#MMDYBNy{Zq%;VUcIJCq)z(x19BB=EMJ* zTF*+Tw1JE)2XkIS8+7;#wh}RQUhea zthP&6WuiDUDc9|Iq?T4@zIu)CCU+NPvS8T*@wtO06Bhf&rydHZko32L-Pwi(qhwNF z-G3B&Fvy5`gS_=K)tzm|50M;qKCC-kJ{x!@G~>iI{3XlQa+7JOO%&_}rOGxi^k@CV z9{$18qcSRMSpGN>JIsWCH9aZNO4t*GQfR8TDa5j9C$f#)stAvnjz?)bJFqy@{U+Ou zDG{mxl+G3D;iE&H4yc4LnCauv-?ZpC?7A3tVdb@s6pUPDKrg)jYcf3;X9CyK97gVok({zaLPw!nEo$C_`|MK2eo%vI;@4!St${4rSsU~Q_ zSv_%S5M8mKS=!<0Pv2Jc!*HOQf1=V$J=Q#AkD&^R=%S{26fxVUEyYxQ2I~`wFTJNc zvBzQmMqIGtmv&R_E~5$ zaj9eB{wAjc?(d)P7=P@U96ND-=@VIlk4u;}U6d`-zD}|k()v)W3u6CQ!O|^s_O{FV zYz5DrZ{yk|s>j`p5~DNC%=$4kUo)i_bT&bYIfaRm&FnMtc#c&Bky@(KI~Wvw%7JuY0PXm(&K85sdz zXm7X`z3HT;iP4@(%)Rxg>>kx`FT!7z@1^yuo=T{4f+V#Zu~3&=PBe?~`V)ne%54nd z?TXs9JW9HqSU2eaGTgmk+N8fE}6oz=Wp_^yEhFhc;8O^Ihwig zMR%yH?HzkmE8usPwQFiL|Evo3oz{;xqeZX?G?-b~3R+)|gRfOSyP(Qu(DhlQQsV7C zmY$plTt=D_L22Ilkxm@Wkod9(bHw25No=!$NrG7`xyrkxtg?1xN=}X9HPb$?MbvX_ z?Ys-Xt~n(;)qPo>q_618lfzzv8{^U!9p8*SRptCWs(E+I9^^)RX5{QCb1{2%@bZeQ z`i6K8pSc&X)ne z$UJ9TRwStx!luBWX!)&=$osK!G`yY8Gj3PrS=xjRm?aO_K0i9Yt`oVRfWy<|R66#& zj`yM17)Bs6MHVyr9_&9BYi{vMOEwv|+r=KSQMuWkaBNPWlGa$uRZXrN^%g|qCtCR> z5~bf4d3dmF*j0vvLZy?O=e9v?({QI@ zn)7aLby+JPP(--^cQc(0UAdIzLrZzlV9_Yq5cU*5c9L-2+mkoP@Y4kJl0E4Sc$V`2 zgTcO1pFvQ_{}KEOuaNvtMC)H!`WKdhpTGW_Ed2{h!GD*fe}OHyEdJkw?O$LEj7|PV zwEh*ge}OId@51&kumy?mf(p7!$xArH@2{}^3v9uE7q)+a?cd)SAcTE60}vz=ty{Cu zi&yByYxE);F3wPAd|NY{DC7+zngUS3U&6swf`;q zmG)mC7J+~MzXh?n?oO{iY%$%d|(7aDNtYC()=1YY>=VM4Zhvze;XW!F}`liZz__QzjW zG>-Q8+R}hEm5PnZ@p7C$?iouI*3;xe!}g+D&)0`rH_cw*u19Ht?4@4)LPb)^pDgRy zuH01ho0=uvVYXxUZgKTkNr_2@SK< zB0-3~T$(nQ-UE@fdOcXgR8hR-Is>Y3Yi7EqC$JRZJNaBz-|-L)2n-j3jgTD&tR z1D3~&T863~`%$2Y;~2zx@BRzlW&fG*Fq+7iypD(WUtoDy7t|^}Vo~7$*!06(zh)xb zA*mF$ozdpRk{mSaW5o;?0Mfj?W0^ne@6Bao;#gz_G`4;S5enyGR}q+k^qa6dj`FOf(tdF5+#w zMA6~l7Z7X?=CL$TF=xNLe-Y0g%uVkt*eU^$6@w&M?aRHAdurRP>$rAjdhK%Q+8>OA zfsW5jXUx%M2AuA|xChad^WLG?x4a7rwhwD&s@$l4nuB15x=T;wmG~a-FF0B&zMqnR ze`2coHDAn8+2p;!&cHV4k=8HO$9;XC?`G|J<|%0&4@Yften*bGT@s8qqlW?BKrEtb zu;z!nTZCj!xRDkZJz3K7xV6|yaHg`Qr6R674Va8QD1twEuAJVf(|JA2kaFxK=WT^k z70^_3Be4%RZXdA6R+u*is&xFwA5PJ=!g^7VtZt(^UGw!txh9by=Sm%LQmJ7iZ`mqP z8^xdKQY?yGJbbz@_*-GQZ0Y6mpf%zikQIL6NnEoBFn###vCM?B`HiRQO&w3KTgXWH z%vQB=w}WRf^W@?MKY|G3h1nFZ^sM7vA>>GM4*CfDa_Z!C)c$BTVZj?=T9E?e>HFB5WPmX>ZTUC@fDPFNp%|ETT z0J}M#)jCHHBFf4SUg5ML;QX|q zV)^zQdFJ|Wk+DQCUs1Eqj3ri|24WV^V^E{4vH(LkCqcl>xK@{&ph>;I5FN+&u|wbA zlOb7qEBacmQ}FwDc>TtLJq9%!q zMuLbVAxQuBjWp3Zs+TFK_6BBf66S?ee@+4$=Eg50%xbo*g|Wt)Tu_A>`-N8dC+Hjp#?;6|ugbI6&IiJ|B|HzVQNPg_a0PUhUc%lSYU z^x!D!7OSy_9?$90G@Ay#FEExL>vM>d#id$RT(as31cy{7@q=pn60f=zeoj3veZ@P~ zyi%C)D0Ma>K|rcLQ4z#%%%r_I%Jp`Nj&HLQmu=U|)wij(HNW*aVA`(?{0e&NG#Oam#W4hk>?SxlJ}bksM(>kOvQ6!0el#)j{j9*Vj(yZ9^XEs*t{iWXK&Js+zVGbIx<-eP-s&`^=d+&xL8bI%uwm`Z9uev zLV3wBhfurJ;wNM53O)R|KQnYmx(Yq|?seiLInp-dK_FkmkB7$d+3{0ID)ToW1l7kd zt)|0IODpTR{AEaAxRfUf->#y{pexm5e}0U}-4w80EwX&I{T|T^sbGd&L|LsU z&!fiNwpW2II!I_yEBK1e^9z<1II#k(tQCN4TcX6|>Fjx4ed&6Yg=?1-7asPeN;S*g z$mTJ;)3Ut@_}HnNtCytQ?0c;@KZUXB_G~+6xk!swW$|eicnR9S1ak2i!LRVErmw_p zTqk{|&&Z@SrH8)T7PgC6`Z~`1zZmM;F8W zszK=)sFP}0xMEnPiht}XhML&FHyXc`R400tj*e2lG4-SU6>vr25q&dDpXKo(_ua7- zk`&|hFcO7vnIx*$a`Azm$(ljPbmQx(JSro$pHXfbPM5<5j3dch9@C)8vK+rKKgsX_ zxHTzNgb!L@6YiL@@orWV)TK1H^px`6Lbd&2&8+G&gQ@6-k}qptkEfTGxa!OrWlNZx z`)zYY9FTT@TACW9QU=u}HCm1`Q7+}ig3-a;889p=$)-DdhD~r@eXD%! z)$KG7!+9xUe9$cZF^%M6NUU?YMakpINE6EMrCoWCrcH3S)Y(q5xi*2E(kqoN-~SjY zCAaqz|5l7i8Y^9M?NO%o`#Pg&&D(qpM09TBDh!!y@%iy<>3xkb2yWyRW`l!{4@eA3-d;dV{t0u1NKRxn44f zOUg&zG*9(UW)22NeW|D0O=1K;oA?mvS91kL7uf`wyCYcL@H4098ajL{+4yIVkbDUN zqW9K65`Cz6Jvy#>>GKahdY^kWePP;^a{B046?3u1F<^WO74t@(g5yb2aDqG`Q|*qN z`T(tzS0&MhAUWND>uwfd~28!qw{|p#1=i*alfFyP^3bt9Vn5_3RW*iZ=DzVaoT0mC1GOtjXGg( zXLuzB&vyzpS_M#46Zc-UzJ0~HO*)qzkCFQQ{f>fTzhnLUMK)an%Xy5^5e17 zpHxe~TQj2C;4mbRyo#|k9v;NQPZpH~Oi$muPk4AroXjdRwlDiQbDdmjd4`o?tRPRq z1-4a03OKFYxH{AP!bgQav*d+G_pKI`qIk1yN0$}rj7*?6ffxv}AFQM8qu4n1!*IP- z`d(jX6#A%c4>LtTPEVbId}lY<>5%7?MrmA8l>4Fn)s=BRyQn2t&Oh#o4U{AKjM7(KJbWzbMVv^GuT7OeXC6{cRS)<`=`vOSht~n*=t1V9zltvM{w+I z;6Au@OzY3eX|0D@ZISD;I5wF_>DQirw?D4JC?%`@4i`AZWueUDtA3x+G8pF0KLY-VY2<8n%5=1udH!H}yZW^T-r8NoHRjGQz*?6Bd67s72;A z_HK|FWl-#L@bEDQ$G*t7#^?O>Q^IS;sbv9|1BgftKyyQVBeNS1TiciakTaikPf43;*g~9k$3ZcUB@i@ zlT+@dOZxElieW}a?}1%PKx1f^-qbO+aoXKh-EX1rZGGRUf%^+0-@Fov1=?1nE_&;`X`FL^5bF`hRmNvrxgwZ+?vCn}eFPmUuO98(nSE94;3 zSkd%*Qc_ku`3H4I9P>Q4b##XIoRp#Y3?P!Uz83*)97kbCBVhod?ukPv22ndtpRl}^ z6?z*t;9t)#Z2WP2QvI5ff1ix;1#$sQHJMR^v5RkH_29T;Iw∓DF%?aZReIp*wz( z`}3-e4C5E(j&UWos#%I73YH-8+dS2AAxZD3)XGmAI|z}Gq^{9T_xuk=H*b67ydA^^ zVs|pOOns8;-?vVY3W;mwG`DStY8yB>6^;EA(6>yu3V2PsR`lGT{LrWYbev@OtB<<) znx{6m_j=_{Ezq;w4FaEseY^2*EmzEn)Q#c-_r$7os?#bDBcqEthsIDO0%yS$>)-)A z?HjjTLSHwth(3Q^viq1&@w)RnTnFaI{OVhLlV>IMU5~Oml{_#&tJLjF8cQ2 z56QY%psH)&aVoFixWLuw*1;+ENP@Wb2lzSF3*{rp?GwZ-d;t9@`hClnZH9C5ckVwc zDIH$fpjCABiB3*?Rr+P=56%(5$*-#UICq%fvWDryhEW2NV+xqMh9gV@kt|#V@27}v zgRYT@Kg5!r?J62qWT!WLCfyzl=ajA@Y@E{aXv)`x;z0SHJl25NbmR2m{JQjQpM=*_ zN6tiw4FWoxQ)|Flx@I89ggP5nw<}T;k#? z!gu=tl}Y`#Wn~LhkJ4!txyntH9}BxlTLB?(&Z}b6aW17u$)z*3yU_YQAydNPXK5;(m4+T9Fn`2Jp^dEduS^+sV2TxE~{^`q4WmV1M z(mJBYNk14^cq_gv;67>ST{x+36_i&9R<+(8JMBRtVRBbM^zt_)?^tn3Ju@ZOl$vf- zKk9~O7s%xAzIvyG$bK|9vdHjVQ~Nr;zNznr(aEXV%i8xss^5dA_TgVdlt{U|W+2NgXNxOqDy{&nfd2?2$u;&)<3t_7>>lA(1wdx+E(%Qwd=gfdy18aHT%=`r>kH+4SHA+^Y zh%Q2s%jTXV%bUCOITZt7Y@6-8lDe*AC^WzA`nkSE$jB{V=-;RD7VJ z=P}Hw9-O$Ssl(#_p^uDJUc)Q@`*MC+X9Q=CJ@_SbEBb>|PJUynHO9YV$HZUD=Xtjw zyJybDEYoYOKBORWnpQd>I3jaxn_9D0zw$lfNx@4dH(!6lJ1c71xJ)AgEjm2MuhTjkK&Uhp+t>IDhX&ZnIF`g1D6LnF$to z9?_exR2RVWEo=8ev!e2buKAOkA~)QUN-AqwJ`Ma>Bs#BSY-RTte8wR@eMZeYKKD)M zw+(Fr(;K!CKkyz$M!%_=-g(gZ{U)fN*h7jxnCJxN(m!!d{XPl?;z4san>j_#HHjnJ9?*8ab9!TAfdk=ley-pN@7Z_7#s=mX{(<2 zLdF%*{i{zKXDp@&m<3{cr_UKsej!F}>zTv>7G5p8uQSVz^*u7`*WCg_BcJ6>Y#$dC z6<0Jb?)bDo2B?iJ17m9@r{|cZlmfSWd;_bu=s9_Gs+Xv|K8-7y+k;FtT)d=pTaRN! z%MrDSor@bN98oQkb#nEOXfFdarXJ98Jf)LV2^qQ?lFoWY1$`qZ!OK~B z|3EUojCCf9{vCoK-uJ{%sRQb@9=&bs9vpM=>pJpcn-p03^{?Tws=Ii`B@J(}7zTiX z!7)v9>pPx_HC;V@U&dE<=}hhrQz%_U?^@CF=o`X3(o`**orZ3nCk98*w{!IGm)Hh= z#xMDeI-BR4=AB&m9Xvl~E2B5^1UV*e-1(hZUC%UlgqVT(oC>D(8|o+*zbG(zS@a9B zvUYnMSJC=;Le?awxSW{fj66EBaB&?Ue&2w&qMwY4(Kjplmo6)ob1B6dXs^E~D4`bA z$)d09J^64MkcxXimb~CQPCcIh{4f2n5B$rH;nle>5cauKmb2%Z1-%w&`I=$52dn@gBszQ?v4g=8T}L36qZ-w12u z35jU8T|B+QV`HJ?<(}o`Uq{)b6p|O|uim}K8eTRv*X~g+@mgWEVd;|Xu(_XDoJ~mN zgNCw&g+-$XWNpI6im`j51g_VAY&4<8E;QNQBX5p=8^_kf1mta!OkyM7u_U(z3SVY? z$l8P+vbB)1X$Bda*u8i(89|PXLbfK1cxPaM+-+EpzKtbtv#@ePQZpoPVi0nyjVxp| zEAA1RmCX=R7(8g}01r2;qb3GHT(NDr!FI5on)uK|*4^C$zU-NdAor3H-~|gy-p2Sq zeq37GOXwmCjWx5fpu`Bv>dc{rv}Rb4rdn8B3dx+)C&SjP~+#Pjf-vz>-r>LoTEUtUWGsErN){r6u6>Je*1*qxcDV?&i*sWAjwSwKu#?}_?h_bgwJ5oZ|8?5b(TMU-O4Exh4g5`C>t$c>v z_ldB*=NRY;gF%QPw{wr&x$l_kBZHUzDl@mm&&SWw&zy9hy9_fTzpQVVAvn;V*=*0A z8QF%@on!yV3!?K=1hPKF{uQ071&p*pbs;2Vumf%jv|ooKS}@@|M1y6?6U?l1ZjkAzlZe!8c<`uKw3KH zAz>00)`mIF4rg_3&CdjHV{`k+akMD{%n*FoLtr0)ZLq?{*+%gYgdMxorta{SF=^-~4Vv@+WpZz9%Ed zB=!^hfkBX|WP}E5G7SssGmYheLL~zY`Z;8QK0${PAA>!DiTOPIHinef%a{FJFev0o zErKY(Wfd_9N=d091W_?V5LL?te05E29X)4sj9&oSi+PXN?+uT4-}t0dNMeS^Jhq^R zGIUYKAb5}ioRNwB+_GR(*|4dcoQ5C*taUCl&xLQ6Utsx;=uOGnPkZEkzlS)3gOJ!v zI5a#ux{ZrZfVM{w5~6P+#Mr$`G77n{%P3OPjI}A$DvE+KV-26~C<6oY*?@V}`STMv zPI&N?az!CyWCF2(Ti^ia&lpCWFo^Xq4&*Nfj9QPA+;;Ks@bZp*8Xq5z_CO^j`q`tB zlG2RvU~g?|wjT8}MB1iMy-0gNFY*!YM?V+*uC6YqK(Ov4=cBq zQ$Hs^bCiXJ-}N!XYZOvmp%<(uVpl!7%ZIY~GunqjDE0`ll%u;$2sWXU4LSpl?y@6J zs-s^~&>R?g$s)9)uK$NY?61OgIT%mO$Z&+^X4CHo?rE~vE`cxa@Jri*g%{r8`2$;p zSXC1WqaJVyhx0{=CNk4CFD)paJ#DK!p?N2bYYybh;dk|sv#0C6ot1AREYw#Ucc>;j z_bkG6Ohn*{kFy#0p;Lam)Tm)tT}0{MIV%)?Mwl`eNon8zA^&tc3M^E%i3_oZxmw`3ku?4Syp8Mj%=* zh0AMWTMIBI4_-}LKO_As4xBL7DlciVOel1uq%NhYt1!LLMBfeweUH^HCHW2!NXJbo zrT2Ga=|j8l4AvXGtaRl$vPz`$%!Yyp_p>|8C3vm!Hd&Se z;J1PDsOQG-i+R#q1C8M+l^iXP4cx%rm%XqO9 z5Tcp6-LJLYBfO=`lcEAFhtl}(NG08`e^Co*b*za%Zr zkIy^rKmYm>>WLY-DbJDuC^J^2B(0o?`j#R@Kz`Jt~H-V=p-QC{t@Zj(fY<(fE^mzptAi*)F_r4e{q0MNddNgE^DOzRART(i zhai2EVC-8oT?{_&m2Y8>N^khZ*^D_nGN3H34}VbGvR()J>qkkyS|ja`RWG(nlX{=j zA{@Jw7oa2B-8^0BSN!K1@a-egsd|=?AGpgzkL2p#qWeWo*3r!hZI;GRzAM4-BB|y_w}x_gi2)amr5isExWmoae|o4CmY#(-HPU~Uw3;@9tZ`3es% z=g#m~ZTa>714YS!kDA4oUltk{;{l<dJ zP;Nifo!Kt^H1Ey(r~KpBB$)%|(Z^pD zJ4S_aHBNuVm3*aJ6g+ZsE~uQeHvgE1KO;Iibj4U^r-$x1VfG)M%@v*VB8ng@^=&{w z*OGx(se-Ow;GE{UaHkKruSn9SAHb{7p$ZewQTgc99G)5O63gqC!?)G7nL@c~Yp$KY zHnNj(@AZ5w5s*mK+OftF)7D~Kdb0F8go3FE1m3T``o03aVHR91@DdQ4x@q*ytI|;7 zXji0gl`!Z&n`wbovln-8UKL(5eq#=FHAaoS#p~}*U30n=g7;@*%?{U2RXCD>=#LV8Q zB$6I+OHmRQMlj~TgYzZr803%S=ik*Snfrj80XoETvC?iYg8NdZ6wUje*{2Wwl#i)! zw{h*it(dWrQU36wG~Owvq{IYp)L_CgomB1gG$e2+Nj#77KM$aCGj zzn9({HnCuRoF)`urDsVHi|&87nvDMj#B?`FSNadMtN;2EnISHtNn~xvb8l$ue$!)} zxbZJY36Lt|@~-GAef5sBzCzV{aLYKV9qs*H?9uJ?MuZCO84HMGDu2Yx`WoW)ms!TJ za}1EGW>7?!%*lq$dhdQQV_*V2c7EIe=YuHfIax5H=dPV1CnPejRg39x;3F4ZM90fy(5;ubYcBL$FIzU7-;t=Z_=SG zcwWiX<|^f52G=S&OrmY!_*C4Y{KGcn{WTH`u<3ZLqLj$P#j)?hSA6_*7bAzSqKcXZ z-u79OPRvzSl_0mNjgnVdrcuPcTd$f>7$;SDQ)dYAm6=N)`p z>)ZufZ#;4c>?p0&B#4&@snW$&W4|8(mtHSh7tvm<&w2Sq!i?%k$i}Y)Dv?f|-L-30 zjGNw56X0dW2~&@@$}6eu$ssCN<+y0l+oKlV5Y}DcFlO(k{eBh%gcqLf`L2spv)p(gCcB!&Llp%#c&9<9V2{&-$jk?PMjv!$)~BQ zgW&hfQGLSOc-S}*s74td|BURqs#Ta<8nvPS5 zPj?oUe!Tg#)Tj)+R;khL=F0bYe9FewpYjGyFx%|e9#>$u zd`46tXPSJOGV9UY3C|nB{Dzl;%+8)V{|E$G^wkbjpLsnd=7{cj1=98B$!R6Pu63Y3So>SH0U%&ZKcd~+p)Omc$2rxRj9{rX{afFZI z%qR~Pa^|$PkmBlS2bI0(T=YCA-$}5%F8EULJkf^f4VEZ6ExT;nFn%WP8@7-0Hmk@U zNH{wHQUx`RUcX8W!&r-H0-`{x&Mrs5(p1peIU^-l})A*ct1dK zYA$QbFP!7Vg`;ZBH5gXKNxy#yb6_;j`W&7*KCnTP2S#yKw!t6c#A@)d3)MTJ$@KtZa&*%)K4`hU{`ip4OU00I!RzHW&s#hmRT2NWlG4To0urKv zQs?@!H%?VomV}a~ic8Q^eTl-8VE3t)T!~v-C7!()e3{(%g$_UdznHOETBt|=8!r}S zcfcDCkRTKUucAW3!XqM~?C;4xJX7#L6HWi&nS#3df2>^pA)5Zfw*_P0{wKoiKYUvN z?;*iU20|iu%}e$V;r1WCEjY>gkCp2`gxi1kwg7v_>@vJVp{N8?Ot6=eTG~3gdjIfk z0Zc4{chqjcD||cqe+ak#@NNGgp+a74*61C0Rfgrc=3qy;*ijyK^cp+L$BqiHqeASc z2s?TMN4q$?g5O7vV#R#_kXW;jLmsUE0RMhOi}jz?H~iOPhlsG*yE2>qp8bD({6Fzx zb+j&DMj^`R^RY zKTwkFEx3Bn{^$FLaaYNGobvR3`wxN+<90Xp@tK3=d1DUa9+CSv*7O!zeMyIL%c^}G z%K^p~ChIWnI<=249<d>Ef++uu*L2g`q|IgEc*+s9W9miKNsj4!+IfA>%K*TXn@=RQt+u>8I0!#K{?KK^(6Iad$kq?}}X+s$*ZeCO_AoLO@pzk7gt zkia_-|0?-=`?xFJ{)_B%hw0`D?C%Tzo&I+Z{C5xhcMtq`5Bxv52ljt2 zi2vtzLgxU{_i@4lJk9nnex_+3r#isZ?i|L&VEh@j0{Qk| zU%$UU{X4$GvVVS;<=uZJHRvz0KkZcB$Hxw~UnS-+PJMSD|NDF9chX^eDRmzwI9R{r zti$+Z`#z3)fGZUr#%I^}al8Y3rRFfcz_$PU0UKU`t>-QK)4$_owSD~W{!!@ti|kKl z-S_dopa0U=!}y=Hef;nGJ)1s^<8|)iGzaS^zj_!a+}g+45Aa{Rhj9i@_>U5-zrSbW zN%lXlzjH}x?&F&W+joiXFs^cMA7?-K{N}-7T>jNQZg%kbKjk@$+xG0^zYf}$6FH2x zAozQ$vUY&a${fbaPw(S@_umtx!+3$-KF)fu{TFl(<7Gbk_~1eN)24^T zWYS?=EpHzuJ;1}W4&%25_VK^{TcY?deh-guZ~g!N-k+#BjQa}g<81tUvmv1^hw;0H z`}p7fcdqv^o)EB)a~!PSx37osl%jq7@BRy(K8!<3-rgJIA1p7rdKk|kCfdWv4)D?4 z!+3%4K2C9f2a)Xe_x-JbW^$(cIO72>Om`S>4cf^T%09y$Q0Y*-q@zwTzdf?uQmdYXkG(B$Yp1)st{bN^FWkTpfh z^(r-Gl@g;u%jJ<6^Q%y+UEyU|Z7H+M_QeR2Zz^CXAw^gzDbwH{|DDOVILD}P{g>OB zjEK3(`0kvUGg!9)A{8wc@*es;uj^cwFm0%O9Hk~wVkfU@^U<+-)?tl4d=prJ#} zHfu}q+M-2vKQIuW{bYWgia<=U`a=3mMvEx}cf>YhP5wC%`_mT5%eMgAn!+6GTtUTo zgNJ5ABD0OHgeBhTk$F31-IW@)q@#-9Zf?_+cZv=u5#6t|U#=#7HD%w;I&vJ@zGt`a zbddG1q8 zf+Z#5V)xPaM?xN7QxCPV#h>LhNcc0>xYcpHCEdb&}R1T$0O2M{gRn!O%*+$be2j*@{;< z>tTy+yn|CT-u{c+ACgd zuW_Js^%E*86G+{)v-zlzXFDtVBy@rO606_LrO6+i)B!oxwC>4gS z>ta3Pg3xgA%xxLct+5`}594*Q17br@uXg>IYeTg~4`NQ7 z>T~HS1_XD9O>plkSZQWFzL{VuI@BDJuUXaTrNc;)5?U6W^%iWpZ1N42elV7(&M@H< zyz}Noc+5gNL3uZEN?oDV{W@!~?rxkxa~8iT)658Hnm+Y^*^$hsSszdtp}pnwc}UY9 zSYQP5x;E={1QyE8qe|uRo?qK^-B}ilzb0Vd?=V3o0U|~5$?9ipbX zggKZp?D}$*&^>eeInPaK9v2Ce?M!daosXFm1+qpz`KmhCp4FC);tuNZU(&hgeR2*T zykPVkv$hHfb{|l#UHdH<&)zZ0a*V_0uJukg)kj(RF)$us)iqlcdoNf161BK)F2e$` zFAx5jJx;~=v}5}&q6`XFgY*p(sJbUpXOe#rqp!4o$2~{e;t=*tocjjkGVFjqPl~-x z4n#Z_7iSY1_%hK^jAo}dec5%s;btO3;ym$pV2n5w1#rY_o_XBzu>2MiCbtHke?ug- zm8(BjcznBq0sKry3DQ4!{LJ-;RZxpu?f`z8u-Y%avvT);TfCcSD|!R&EkxYbV%NH5 z@FXZIj`q<+UGD43lkt`nNBeGg?0RNsfMg5*xUH}bB0-Z|B2^U@Ip=Z+lOmpkyIIVi z?0B=pEdUZ9rRO9OBvM_h=r_M|SM1VB@0CDQeyGhU_Hu&D&&s*M@p1>M?ayxdLAj4ThwqNxQ~orkj`R?Zig7@Y2n#?yqKjoa|7;Qv+(tKAIJi> zX!-B;-$H)>QQOR`aa8@L`+#JN=5$zZ(ydA24zI}@Ky+RbE#(;Ak57V3%*pA?dEjf!56q|ai<*PR?rNT|Ptj)Pec)bIKDd;OoFEz`=iWP}KeeofPHwydJT9i_NN8 zMIpj1rU9vR+o3ZJ*_iOiShBH~ivdwUptj}wS(`!|6b@y&#JHl5^N(|<%4uYaI)#M}(`k;J{ajc}hlny8((BogtP!JzKO znHJg93su}JY+V}CSr!{3i}{&}(D2k>?}yxxuu@X+tA>KCGDr@@q3D=IA; z9C3|W_LX^-uc0R38*k|nKVDpYVwEi7aeC=>rfbJ<2Z4T`&Q3jwpYf7OIWx3keYBHSn!m`d_!Pyw zj9j?a8B78U(CwGnvzOM+DBOEoUsCGM^YQarX_cxTrHQ0(H5ci!z%Av4_27>}78j~< z-z0L78j@TNHQ)}+qxMLV9Z1Y}EISMNs3 z!gMxYM^syYwlhMVD>Gi&WG`B(hw5)uunf2~;CDs_yu+P$pq z^a+<8ob&Q})76U?IDr?U9C4H|Xh5fC*DNE8N35JCZLEz;927K_crG@kZW)AP-hZ9y zsO+4K_;~fbTvm!2Q)A*Uv7zE(_vr3q=%68N@y7_|I}`A74A40K#=-KJ2VDJ)=A!cVkZF-kX6f z1@kxZ&x9WRLgn->1PFmzZmyCGRt^L`i(3Szd@@&#n0KIvmE4kYdKsSOylMUgc5q0k zcm@55IYcZRypsARSE$A1)HQXl+c?~I_3$lf2hgaUhD+PV5mQ*&H?xX!*|n+$S4d9J z#LhQwfcACIcYx1j>$z#_coZdcg#wSt*;*Wj@$>v?(T8cOHUTq^6>Z?Bye>XPNVKTq zhuo?SudvpRDLm2Qp7D(n&JRN3W_QT}hlPJi6G}?a)XMfwOmam7ljKd0T66PDtLtP9os zFRD+Zqh41v^MM};u6dsq%x*0YWY@Z+<-Hx8S@24GTiwSarS!V6eUTQhot3=k^enfy zn~0fT2a{0W`TZv@(_N3Sc-B|-IOMckKtjg#ad>XQ6tS3OF2CG0uY}c8vUo&HyR&I2 zr8~lO0U+%68R?6v8Yb=!BAUK0*u{SR{&RRL5=X^lSYN9aM^XbA{t}CM`aC8%tzwr% z)cixs1dYN~6X#d&7k95_jZLlNgHr-$#VfZcPAaH|#b+QJ+C3yM4vSKE$ zsyDb{p*;ly&djPNwexvXO_NFPcV7&z!egjB{YX`(dG`#E{mRby7NHS2uWjHF(fVbP zPuu%p&oCS38C?TApV#dl!ME?fC^(oky}1R3l}zl9@u<5Wb!DbooFmGyjoPG56#-XL zFB#q;zI1Ow^G$!344vMCppxkimJT0J@$g;EA6wo5!fN^s*-a~(Du&n4Pg{CMM5V8p zclLcnojx0!keXXs1tw+|8FlS$W8N+NRuPrDWa;4__v}?ovqeJv=$cY;dKdV1F|gxy z@1w=G^c?*T2MX8mh2<8z#|5Yd#ihcrbOR1xcJ0;I?_5Gm*Bnagmk8~h!Xo3I?b;<~ z{#v18Ic4k+4U+o4uKl4na@{*UyKII`LR-)O!>3tJ3AMn+siUX(h2?;v)>Ts!HFID+ zvj;ivedo%O#z7KpG1J(7x0hs33Rh2pbHNiA)GVUZWn7h6XM!_x1l$5r^6NjZ96zh( znVeqq2b>VNVxC)4+tfA!IMj_CeFK}@X9T?hQaVRBIZg>n=m5Vrvz&ZM>8nT7Z}k|v zr~gTwe*JP8R533+%*K~t_V5SC#XcLk`$S(4ZVz1Ku}ye=%pjgC@a%o&%KSfL)QT{G z#nR^E_p_4HN|;1E$_x4?b}!yFeOlxckXCW?$@{X5e+sBM`iEsqNTlaiXe7U^`q=wN z0c~TKGe<3<;M6-fjA94y=%3#uJbFq-_h~MH@WU3-(c$pnlL9yIEN)LLTNb?q)&0}w zWQI>lIv4WF8)f%A`bEQejs$oFzWfo0sM299EygCxU~Ga1kcN< z-mK_BhW(#f?vTOO&QZYVDOin3e3esLLsybF2c~U&1hZ45ESw3*w!u!dLMBC~* zv!H|mIuWFm;8W?^X5kS558vR<$@iQ}Z@#PwNSdVNR`7`^Mg9RgH{+YTmM);}aUL@r zyXu@_WapGJXz`8OI&AuqUC;PDV3SwhKHoyDf>&~qs+#=TlI?0a9 zp+O8Khmg$0)Hjp!_&H_G15=wMbX-bWhEDgBYr6WE@ijoo$SD22>Y)`8qs&|s!9|UM zMQZLxFY`Xn{USQ2{*Ct+5D+)H8{g2nOegIe-+V+Y^>y*{B`Q|8$11~w^H(nkXo2*s z1_53DxR%`$vKpAzBeSH;tQVTL@C4M1!+ItVZh2sQ!|_f;VlOGoOp1t4FR5$iS5mVI zeg0vVC_Hv_+p7vJQq!HCOwEwf(aSNYp3B#+>|Rg1HL_y!VP<~WF8*Z)c+wv5(=4X& z?H}5hg(R|fu>$f}ziqM_-3*F|%lV`HC>k{4;Avale;A!Vu;g*StbLSA%g^8oGH0 zg?$IyLMjIKzA>AGEMnFH!HGrh2G?jToo;(1HVLX`7lF$_(Iq9lb%uns0_6}R6zANw zcF)f;Bx_6HnjcTpF!gaez^@s%wnNYH=K65tsC|u%%kxF0i~W>7Z)g0;9DSmbzJZ@& z7u^G5N*a3lSGc9`z59bQdYZSeMl2z9(Kx$fhXMde>B~2h8;_j}3`y(i`7COYnpIra z+(oQnmGaq~%5vZnpthwTOhfVJm*Y^-a)_(w*_4MBZ1RZv<$XABBrS{708vrVRkgw) zv{%^EW>u4WPpa3643b+(G-4}jKjIKEZ~zHq+uP3yOWXQU^b9A3F^@kGG3rIeJS!XC zCZ$z?BwwJZJ;)+tAJljBZ9fC$cbi8SiRknyXq}?QSGJ^0 zKgPCx(ePeC6&|+}2>-YUl+><9yy#n!wumoiS=eAzc$Au1(b~~DEgmqqNWs8!7O1&b z4Nhzs@E}o-p0W6?oWzKkEM>B+fiuQ z;gB=AG5Pz_+g=nU;HjDMTd>5?%4E9yS`#z6ai@HYP5hF&u77cP@9H*#9>^~HIxcJ$ zU0nB}Yl;2bUChIb0b*_`lX@B!4z=ibic^61f`&s>M)sRkljNL%BjOr(o@dD1c;8iZ zJYMBZ2-d;74HXr2wwZe%z9et4#qDrqwc4)s$*>G)f6t% zwxHu+uC>0UdyMbta&#u$?S%AV2C;WLdIl|hvZk&(HX{TWwwy zvcA~`6p6UDfrVY_>z2tmJiz4=6ce9OT=kP*_Oe~zu2x!E#^{5c=^qOFb`b6c(4f-Yrnudx5mD(R%i!0P;4?>2_!xgd~p!ZfMJ0;R{wK(QpngZP>cP0dpWCo7`}Oyhtt6nnbQV^H@A zb+21c`pE({pL=>w4p^M0U|t7S!N$WYBy>eV87ugNt!Tmqw31;m2YjqE3KLhL;8PbS zbLd@7M)39|pHQ-C2n!p^09CIrdc@4#<30u}{oGT1`a@Y8&3>f8&g-I& z7K7l^VT&HF>`}Oqd-6|&0k+8q<)2U*hqovHgjzo+bp-$>>WM>c3s&fr?Ln>+&oa!B522bL`qB!KRbpkOGm^T7(jcTleIx8JW4w zEp2V>X2{VFn2quA$wPpw& z>^*h$$yh-s+^l!Iz&`dOmQ>9IZN z>Q$%)gos8h^iKSr^=o?s-D53-(5@S0J zq$a}*A2S3|hXQJB*cDWU8yLE{x}lxXwi7sLa;!=k%B1}Q6JNj{0%v>$7ter0W-?TY z!XX>`speo1ygjvaGD5W<>jN`=ir$o9qoDfG@u-2pv@il_RDkU-==;QD;}iHW`v$VB zVM8RN2m+O&X+bz-WaO|x3OG>0feN+-yA3tX5n4L>V@zV_B%mw71Wp9wzET=%OCT$I zQ68p1?U@nSz_K+%&=2tKvE=~wKH>vgu*B zNrF*0doeg^FVnM{TUuIL+u9l)?8QEr!PqA_bi&4PvEtHXgdW-t>=_X3Ny4Ehysv*? zXk>KN?*-1z&W>L=f`aQ1N(Q?L+&*q>5)L0f20;YiaAp*`7)N7J$k}8l9VUQ%1eO>) z6tS)gQo4pl#>VJ$l&Kjy3M-vPEYgArEg?VLB`7#_ig*SU9$|(weMO?6Ms@^=fipaT zd!Ax1=?NpdUO!X!)b6PgXfjzmX9;D@G$xHYl?U@U}Oq4+BBRo zVum8ao=D)CWOH+Kml-rXPV+^bI_2l>=ZVWNpsJBEW<4@R@Wsy?uCE@{%T6HrwTSg( z#uULdV-v_+xA03q!Gk@{dJ1`j_C?>v4-SsX%P$D{3EM}zqDtN-BLSmGSuHY!J4S{* z3qbpW+B)oM0R0#>Iq7%T&xLRr`X0bj0PScaHn3?D35pxtLBSKiE*&xpIqbWvD2E{^ zti}3fZy~>M;QzHOD1>=*R}evtkIoD4xEI&O;@CIgRJ z?-cg7n=0Qu^P8PU5rm&#S76VWURO$Xdzwe_`J;U7S-bP6cYfC@qV+djY(8Hz76Pl(Iut>wQ;_ zzdrezs?bM<%P-8o56zS;^rozVSS1hr>T{T|nVFv<*H0>UpX`YjGmoQP`rU*}XN=A{ z2LRqmK{=7KbwGoUwVP_>+i=UmkkVr1a+$Ha_t_es#Q|F8E!VB)=VJGz^ghJ?;q)>$ zn!BJe+oi!Fw74$q&INBS=#`Z;E`|PD!4Y93!rbS&LVo#p9OZJsW-{%kB5Ui@;M5m= zQT_R=e@gBGuWype)#I;- z(Kw0W4O&{nTC(Qxxh=TxvKcRXTh@&qT%4db?vgg16+lYQ;ZaD>UO92>WH8%@tIC(j z%^y7xyba{VD#c_71Z>KAXKQdi+?aSj^TAeW;i?I9+fZJD@daNH+Kvf5lhd8>yYu{r zNLkno0{gKI+nY|;XgD^~=CzsLfD>pouNy7d-puKp&)k-s49~XR%#4mAIX=6*!2Y~` zeH4uBdVXi(w`<-QI8#pe=3BCR%nv5ii6$*VdH&2UGeiSiXsvj$#kj8fzMPguY~qPw zh#6bzb3weL##vL3Jyp>(;7FvGKEtJ56_w8>>Ks(bopHN%_;D|i=DCSj8F0bcp3bB}}BCoEE<~79ju^>YJzesxvs4AlN;rGyu zbjzlsyIVjy6{G~ETe=%5kuCuNDUn9HQ#z$v8tE2M`VPMLe*V|J?^<`=`#o#zeFA5% zIcH|iIA?y(^K;6YhE;)py#SPl5xZ3}x0Dm_oYC}d2a&P)VwsKT6mgXuk8V+b^)R~i z0Rp+(ANpE%Wy-1aE{q=QMg8!s{>gS9NtONh_DDYB2M+fo6T+=H5E`nXQWsuf)q*K zYGLx{XK8Q|tdny!KER~(Jn6C(ZSMh);QYd8#q0!n`2K2pMG9TA9dSa+{z>#{&JfB8?YecU8(wY8(BrZy124* zx~;wBC9^#Hp_G&)uXZ=%xSo^08@W7tX#@oRya@C#E z?3EV&q+xzsy7WpArc0%wfNSdRGaVowTlPoIe9typzx*cTMEc`#J%Mh~a84=RS?>v( zmJJPPFdXH&5D<;LU3UrTZ?Qy`kUL&%cD?;$s&8i&r78UmVB}l7*og=_4BO+1N*_j- zD~4WqPq%LnI*Q5q&#@2912Wt>(Pw)u>j63Retwx)sul}ct`D_>504tLY{qp+_JOEs zN&q3XeS})WOMMx&-X=z(9`p$dF;DH#!R@!B898+*&bEok1twLRthbx*q7%SHjKR08S z;A&r1{4k51W639Get9@V2?{bhzBQb;1D~`(!gRxL4q1VCw67ZS%`S7umUUG#M_9)# zNbeGwc0spIDREWeLyXHu3(rI)dW@_zM$TVcb12SR(y{%VuA%}Y`VW*RPW@eGu0b`g z?6uo_pg5$_cA669w zjGDQ$yy{tq~D$UW@w(6 z(VCD7XbtD6->aNIbagwOreu52P3JeP)bB2yc|o4%({JL;Vpk=QKKHI;`?vKWl!9IiFN)-eL9ip=^}jG{pgD zPpuSP1+mH?vmg6|tbQcQhUJzF(;#Ugl`oGfC0*dWyJlIJ+gUz*CxO_?&<VwmD&fw4@& zk3DW;&N46j9!1~!JwrKuA54u))%!yC{X;U4L)+xnti4Zw5&nKU=HdLQ9d_^~QP=8e zi>b-hkp0qcF!j8+S@x2y&2QKXQ3>PF!=8Tqk$g}1nSjyLrRa=)S%4`u*cPMpB4uh7 zr;yGQ$j){5Pg4y%tauiW3&QP5IRM@~h5V~o4gX^JprMBa2J~AQX3|@heNyn_Z*qS)LZw3NLOp&8UImfxwHC;3#&gXiM;RpaW}VAdUL>P7K)@X}L5fQ3BRi zy7le-CkH>0W1z~V7!_CVTv$6ec+`GTS^R!Ph}A1vD7z7_{;9|JYqg4`2^a`HAbPmiT=3({)*u!xMP{ zca2o|IreR^bYp@n@w7bo?3|l+xse$jvyNWW=?jl51?*ev<5tQU@WVx_qp{#MVfeVb zp+?@2#wrD9bz%*#AhX&6Ha~_r19mhb2=SB~IdjrVUf z-FMCRUCVvfdf&C(FZ2H+p%%Iz`d>upT@maK;f_!Lf4r0Vzb~4(PZGTcUay(|_kq{e z8Ifi|+YdZ`?IXQjNO!;Z_`a1CiEfG`xS+A8r<~ydUopcxCOWn&dgngGcfpE*uNnIw z&C|=7-Ihug+aqprpj3A?6&%s^POxo#J4lj|PaO5Qkf9?zW+B~iy&G7zx5N+xD=j;LF2wq}MY5jw^P5ltpJT69#H@We_Xz`^ib?G;OzF(fJrUlFdm| z;Ekqq#ds4fdSl*j_@~F-=4wo;F7w$9^XJG7KdZ1t%q!tFZi%pT=E5*ULdE z<3ii!fNRqDVzBnsXSmO99MwWoQl&da%;2j|b9pvQ#MrFA)QUUq=~F<19pK<9`+`|E zN>W;~lm}00I*Qx>&sVs)#xKq`c3EhkoR%x`BuF_HzkXlL7*`TWJ||`+>fA0nW+02M z7BBG@5M7%uZL46q?l+AGxNfnPrfX^GDKAz>`4EPEZyCIG14ajuuOECe^~XwA`LiU! zGt?=(fe_`zG-h5K^q|B9B^dAui^TC+m%N!(oWd1ft|va#o%(i_=x{Z*8q$di>h?2>6BX7<<_RpCC;rs4Sc5kl z(xacgD}V~lHG#%wAUjr>k^e-R<*Bc}*TWPN?I-2pI<`^rKR6i+In-@$RzODG4ryTv z*Fqz+{EX**#=O_A;Nm%fM1kg}TiT**KrwiEYQ#zBLCWSG6k)8-Tz^MOOyTSy8!y#$ zyg?L}KF$oVV1sI0i?N7EI!oX7hg2zgC>>6{mg?8BKVi0Rh=eYJ{kv?t6hx`5pUAHX zq(e6p2os-$UwQg5)@Y^lyN4~iff{M-iKViqzDnL4PR@r*=r3Lln!Sj<1oFiig7bv+ z8X#L0U6W#)%<2x$SDEBV?TngLu?4Q!JawSax%#zMI}_j`cktH?^rt|7FnOMowZ-XU zD=Zn1aw#tFOsZ>J9O$KN99wW`z$7Hnvvf_}kA1A0^9Bg9B}@HI?iKuGnwWDl zTK?oxnqs}?+wjBYiDzN*WZQJ0%XFVO;d6`0!C*+>D8E{$o{2 z@bfDlg|2aoZ@Dd9~rXO*l5uOmoa_^~m@YqpDVa+@5e$$gPZ z^gY#>-o6WcJ5JhoH@Wcr^)W0~LikrS3z<6+kWk+V4;0r%+7?!AY@#6KXRmt4d@Wxw z*Bo~>r;=MZ0pz{P!;=hT>zyE_o+tP_f^M$ zbqZfS`*6hQ{ws#VPD>|ovoQ<%);w^)P@@6Wk8%jVv1SUKh5%LV+bHf1Z&tk1OO-XO#q&2Jq4_d+lu9gkLD=j-TiuynK(?ft5Dr5}25D{#x*DozR6fV+I; zc~EQbK6QoGA+O3W$$5pOOq%|leLLT<%dG67Wl;7=?;_qZm*8PW{3@E#g6ix+2*=5!=+@iF*(lKj(pnE<9^SNP zZ4-MjR^Z!0ip6o(QwqdjL0t^n#&7$H?qh`5bU=WxVh*zsR~2N5=~L+SA%-anud3k_ zv=QmpA3k`F!d1Vl{?&X2W#|^*8YR&pq%PYR_SdHgeV7S&u||c^w-M+g+XIW;?obj3 zB;u7fD+!&`Sk7*69 zOB&v8K2%HI&F;a5hXVxR6&7pQ9~BMTF|;+-V$VbMVu~SMb3@{k$4Vec}A5*i#{RrCU|xv<*+?i%WD@EDVs>Ni_*8aEhQo zoBGU(zWXNpm9a~;|KixGjUB1rn0g&JCHH81^nzvaz1LW(d4jZnGu%c|M;zL45_-Fd zJMl9Hu$S;9uQ!gTvNl%Whx_4JRkckM|LfJPjAcT-qpI@X&8Db=nLOsT3cWo;{{i`!?!g&3oFW#MS<-vd-Lf49$k# zE~6azN+`z`7$Q?&Mi*z*3IE1b8DSti#jQn2@XsH@?fp|Sg6%v213AHQ;b=boKOB@- zKhN4F+8}dL=NuL~|89U^r9$`Y_yZh^iN7-GO~I>EHJ4gg>fz|S956BOjkxNrZnbQV zL5^c-%!dhG&+WH%@Y#q;=c6?Q$=O4qf4j^ zEMhjD1j`p?W3lG8W0Pf)0g(&&V`C|GH}#3BI4q@j(Kf0H;@Ky!Ju`{mu*e5Fq=2hc zao)2F9>=bMq@a8T@tM4^(U*;mV^NoZVgs#YUY~(e!8i#GhdBPP@3?<%%1d_bGVPEQ zf5|o#=U0*JoC>3o7yIkYm4=1WqbL0?Q!++VZV2&aAME}R5imPS*r>_mAFe-=Gf zvB&B>ewWy9Y~J-OvJ^(oCFq?9vdS8o`Zw)7L#`h(v2gI{8M+U~b7)#`AutD^@rzo- zgFfloIU)FcKi%4%ylWZx4tV49 z8dq;A_%#ge-743&uH=E5hMsYDE+Q_Yu&hDX(vO{^U$+P%Qo6=__(DiMz4U4TrfZ?|c%~AO7^GlMiNCxY5 z>3a`cQE+f80rk=OWz#nyF>_cravIu3)@~Jbd*=jMApY6!3heWv5kvri#kLj}t=bLccQYGzAE=%oFJaA5pQW&P&^p~o8bf0E^E ziQWLTQaLeQBfI9cA3Sn}<(03(qMLdViKy9LIR%6^&KY|Ezoh25r9anRZ<9WL8QQt! zb;@cTIG`+SJcYxcqLV1R19H#w4cvwi#hx4SJkQ@85JDDvgD9ryFt%m1g;YeW9u1U2 zJBF^1COR>+q|{l09D^FDtX`s5+)gk^@eIvMq6i}EFn{TQul`cz*k}=uoueVHFty} zEau&Oa6>{LT)Q@W&Ki`KH!u4~q2vOc2JlBTkO_z%9GjIlc1+MqNc%^#>AFQk=M)q% z+1UA{RD(k?rI#kIx%0FxVJSt_(odm+c^i^ZL#zt!GwaUZPT~NF%J+Eq<3OszGrH>p zj{0>r9--Wa_Dyu-YXM!}RD4z-q~Lufk3989ci&}d3B~JuR^!AL0h_o-R8G~m-Ctxh zK+rM0yzkreF^$x7ee3GY-P7BoyxNr$I8xo$4iRy+ouF?F0f$ou5&f}>ZR|CCWWhcq ze@K~DR5y{)MyU?}(>uWW{R*EfXzPjQ4YCk|=i-J#q;%x_C)5_@&7Cu0&9*+^L+SM5 z{?!8-0a>rSzNPg)=!{%~AxU*}2;|Zl?q1cXK*DxQ;i=!i=)y|(?9LIs>1)Th^x7ME zRQjj}3f(Q!HsFpeqZu4e@>uzGXwlDSmbqgQF6$C(zh|g4(*JrWd zoUscO!OFT{w<#rgt-IJPk}pkKhwhL8pR(5boV-~^0o$SQ?+-8h>k-fr3G}2B4gq|ek$35CLJw33%iz2!k4~>46KJ@^D1y{*(3nZ^^+GY#$#(+N2)0uKY8OysxcBC z>w0!Drq{Q3_Zf48+R-P0(Syin>{gKtI}FUi-T^VWMPCkNK74G!Rd5Z70R=sT^wxIH zp~*iUvK#vKEHd!xzV_WDom-_6w(VZNc>v-Wbkg~S<8wPX?I+Cp_Habf=P7CFndFUv zjLlYa!rBdhIVB{2{6a;`!DEu$ zc5-ox&7)x#kkpDxBWK>+eFA;eG<-VtiTOjzr)VUs(pnBKzJcLQ>ld!?HjfgTdgM*r zL2mgLhe(9GFgveOtz^=66YJ-SvjJ++>MFU=n3Zup9q`J3`2pRrn=`A1uJi?U&d)F!`OBM^z{9OJ)1~k5gjfpvbK6r_h1OC+-Ts;gisE z3u)_{_ImDOu)M3FpOnhSC^Rmu z82_p=6+_;?A6(L#2pM1%9K&PNapX5nT%c-IbRW|QNjSfaip{@-p+d(85l!E!rekU@ zDK%5ucPZHg-MwQch-NXVNQyw$;J1b6&P7-4DiW#r5h_Ku=f?3b(yVnvBfrlQ ziUCnzbc{kKviXH&@UgP8a>c-`h)*G-+aar-VP#DR7LJ)GsI2eB!k2lPz$T=is_vLj zhW5}uq+)H0^QoCbY-wffPk=(l$g32R`0@CX?zz<{hD+@7#^U<^xxTSUafPeVmw8aT zf|a;q(z6qg@~Ig?$>7Gud-z<}$RoQqa>=-qL|g@wx2>=Xsf9#m)C}(&Vm_pMVG&hW z*)TD`i9lEMwR?^cs7G~tJHdM-7N1nsHolzIdxnh8pjX#POljm(+k}Q^0KCJ~g(Lem zG}5a`bj0o)s=NXoYei3dSBYI<$wE*+3IJi{GEUh=YC&UjHz+mz&YlWYc#-{lkL70( z(aD)4V*9}Q0e)yl-|;!Bs;*65{n+u@6_VuexRtZ_=Pz3qY>%~pQ9yh6`(up=b-%Y` za{fuDPZh29FB`Z;lIeY0V?LC81*l>1MPqvxR8|N;Lh1K|N6uAKjN3xdgG%u$c~J?? zli*4rG<|$dO4l+vW%OJ@*C?uF9iK=yeESfNOGG0iA{pd<`+2MBT#rlcHn~p1#9#U=9tpgoCfx9>W6=Vb3#M+(1sB*Mi$7rl9*OIe}sUJgU`-w?;4N~ zN5!fPtZZC8{X2gX({Wgod|fqjjY;`Bv3!7e;aUbE-?HNjK-m>z{zVNvx1xdDG=pGT zWqa51C5H|npY-^;;~7~{JlMj&l6~dV^C1^6sK9+4fq+M*aOf7nVrCsMdZnb7eFc4Y zL=5aUZf}x0r!74Ki<-B|8ThLEcP`*2$dh{3QN)3yT~OE<5~Ij0C%51*OPHJ@V$C|Y zd{_%3COz?Xg+$sQSk%Nj*2sw&CcK{Ra#VG4Jz>XxLr6LjjERV8e8>s zVvdIKrHPG0UC+$I3FQ-6Wk<-Av~$U04E(e67bqx&Bwtrx;SthsxzyZh*m+k^?gEWL{bRH37 z8_$5yqUKvf8p&rSZb=iHkPHvF2gfF7tp8HJLcQ=sBX2RC}aRU>zc#jen*-rKY%3`lc(O|ntXxE6H|4? zpIJQwk75oyV+v4+9xGTU6o_g%1x3XrHVrK!h&<77^iIidX@~BUuI@m1#ACa*y=$H) zKG7Zhdp|GvOl1gz!m-r=z2nk&%I99CDD30}^ulr7@M1A+k*M*|XCk#LuEl9Jv%!)2YUL+5Msm(VR-jihWr8uMD2%QwBdVVb2I=3 zAO!8+Z~(bT{(@%NcUAQ5D+1i4H5*T-3JN^ z8pb2YgTsE$ZQg4<{&Jg;$ARbGfCDjU5RZ0`+G#^H+RInaCO%6eYMuOxcK<^zaaEI1D%WuA!;cpA3x^QLICt%`uS@X zWb?Qmu@idI1)+m~DQM{NFS?lp0}#6jVQUbGH~4LQeBu~lI3cUY>FK=&=dS>V2qK~X z{DHu=tLxjlI|M|+zXBX8YUrD#`AbAY<355Ky&(+7umXc2{#AQG8|*(OAm~OIC+D+g z8c;pf|C5FOKkYZVI4@r4zclrPc0Ndc^H+V-4kUoC&^&`?+Un*#0R0~X8Uq5LvtV#oSiyl1MfhL^20@*Wr~~aU)%n-^V>X6F zLPkz0C=~nwPE^!13Qk-+I28B(rliz;_1t^JTi(LL$|~32G|&(Z9^#)(!wunJ_Ru;w zw8LPI(2#_XV+xW?LGf4o1EHPn{>hLrBtJI?E-TA44n6yxe=aP7cBUaiNGt^{^4BbN z4|Zvm z=9;F!x;Efq;CuV~P2a#D93Df0=&vXJ{ zFx@N|;a@qH{{6w>m4UG_RK3{U4}zaf8{k7iLUKQL#iBv;ArhJnafwyA?_kwk_uH$k z9lEt@2!l01fOjJ#go5${0$_Oe^Wv}O2LeNT`v#VwfRtx$kqwPPWydhZZ}%bxVFprt&eL zS?wT0am5@L_yw+{AL{tY<_oQw9BC2}z8YQ|hBdz}p|+*uv1xrE3FJ!nUDTMg5m#E6 z`#DiYY*NN&&O5ObyZKdNf;QLQq(J_IFT%zb8>ITPt_`e3)lk2T0b3ml21FcSdBWNu@5a0me2P}$i$E#xVo5Dz_Eu7h;B$uar}`3% zP3zZojY7sH6TK$RT^sKTa44QuW0PG`e4&_|Z0X-5wG8@MgWN3_`<;5}+q$Yy9_X`* zIClLa#C{>S`Ho6hr^-W7olCkoMejLrkp-gfLnbfFvv96@!* zzBfjwikRA9OphyI{KdE)ac)#~qRw8`ctV9Z$rWoZ^hSwxL2$l|xU6{sgx*YG*aXTG zs79Hl+dU^sIJZbg!V8cH{!{f%m~Ep4572WF##f(eHgI#_z5SIO^y|$I^(O)2+@oCU zk%M(Z4ReU>xqxHN-GJ}QxwN5NPEFuz?$>xDOGS7QpfRtvOU@0jJGFz>Q_x3igOGxp z%R_4p#!Uy0r-U(FP8}}kkH^0Q?CjN1`z(5b4F7XwBewP5QoiBCT#FUy{jGVsbOvorP6Uj^3VISUQr?P(45-WkgJ`AY~ zuSbeEn;1UlndgqnT6xJHu+t_VFk5toR+^3iY$=e|5ND%L<#{*OF`mTv5{&jxzygy6 z6sI_TpEz>BK#4|y%&QYZ9U`N8b*a8BS>mFT4vX(>7C(Eg2dG*p&cWHn%jKktm(uH@ zM)VF7v-OW>!M;YrAj~!?1wm8VOATuZp-mmiu#!r zQ8E2wL=jU@lDQS))kIQ*z|)%R=*?He?c!n2pK3*_-T52uGqC+mSg}g$+b!wuN&~^_ zxs306#;v7fn{ZeX5@;ScN;^?(k~M5dJ)RG47!*OWGzQ>Q3d)p9b586$9}`)_)&`J6*<5O_Dv+10;40zU{p` zICTv=LRzLeMOa}i?fWXJ=o+h?gEDcx1>i1A#r&ay@Y3%9q}tBs92?(Iyeqg6O6tD6 z*gikCqL&0LSwD6aXMJa!=rKsT1U4~cC2Z#$RcTZc^JlfhGq7-f zDtH_H1@jEO$;;)r{<1aS((fIxT4Ym0S2og6tl%(BlLA6_OfcVVvR}bliC|(pqZygY zX-7d8&q+2$KKStMH^wpe9n^-^R9Cshr2PuRV{4g~HN(YEixGZ$l5AcXXsB3J zGCKMS`inX<%*UW9;dy@b7A0Fx)Z5WhZ220I4xU_ls$4(n2wqLtgRyDi)T*XbJB$mq z`6&;f{L9r7cMh&EShgwPilg*7kw^r6++%%8%Oo3bNnS#oFu&@}r~Qwn&1) zILK?>gF&*JM^tGAUh~9xggh^OVUykXCxldFQwtBoF$wi~Q_xnSTMaxhg%r^k$8#)A%eyXU}sY_M<(Ifaq~|`mMbX)&6yBZ{@ou6?UEDHAiete2sZ8Fr152H z$e^sKVp3a#xNZAVe-$gMUZyly1gfl02_NT1DUk9yPJUv>_$q;4eB~mXZ=jKrF7w`- zq7ledkg_b86@)fl%D*b9(^;}t6FN>_Xl1n!JE#~LaZ`#&$uj{@w{*&#`6-R zHHuYVeU~s5E>8ebWj_=2P*jvrBFDw|hv2Pn&5}Jkto`t|p^WA>E{q5TXi%S(6DPev z+uCF<;kWt3BJT!X+lC?SZOanzU%joM0y?D_&vXxV=R97y<1AHZ^99_PJC^rm+zlHM ztTpaWz5&sJ?MT!1j}yW@j_t^vwr#as-9`t%CQc%Rk5gt`Ya!|XqMWs6pK!`stv2uqACvm31t~d2iLRC&W z)$rE>T9eqoaPH3N6p-O=583zobxF!$G8vp1Ep{^9%5a!BHG|+@*oe;J^$dtr*Zo<~ zjV%hAZ>IdogiQNn_s}iuR2Kdntr{z8ZJ!$8N3#$R{^g+-y+%j!bHW^NRS?gB`D1-% zvHk%%cSI95NNCvGS&Cog{~qH{MG%~8W0{^IFPwP69hU;jHzEk+0A9VH59?XyzUS(R z8ybt*?t0Su$2`2UUvo1P>8XsRAOm{4O9Y**yE1p~H8FQdnn71y{y2(T*7273N!}Lz zu0r6MTJO8AyhS6-SA<2vNTUg$Bi`@uSS&p@Y5fyB9ElBBOxdH)mz-$BE*@yEX;e`*rvvKN$pXbSt$AAM%EVMcdk2|);n4?VV0%+SD>>pPCz?h+u^1Chq-e_ET^GgH(5j4=NQ(|>>|`0s@2 zKfn|a68$s6{3A^NfwthkleYgrTkt=PF#kx~f1oXZuG&33p$m3MRtsgC{y)Vy;D4HN z{*ku-KwI$NN!x#*?Y~O~2#9T4p@u=y)$@DlD&&uW-7!tB9Ku0)w$M9W_g(jW*K^_QJ>uM?)Du3`qRovY`EGl zsEEIw;xNc~(msMek*(+I%7ruhxgLB#4OGh17PfnZe}q1%yYZH`i61dLmiC^<58S2R z8lii;mJ6NDUp5`(?3W1CSc+|fK}~w^3fA@RgOozW{X-nW;}*9e);%}Wz;nui-$9XO`%dw5z2Z3^WDRcb!tKJ)rjY@g9%04CWH-6Qj z*$aM_k>ID8I|DdsLhNuAW2a-=Cs2@nMNlkOIAcs=_|i4A7m?;3?9>1urZapEtet1Y z+!Lh>D3Qiy@dgES)U#^bv-zK$gv?Grpd{Ue(09qhvr(4V!vyTWx$Ix-HG3|r^xlIX z_1CL50GGN3U6gog+Xb_K(Sp~n13#|O)23K1Z$C66)WFIQ$Usp?eF3dCWBHE2zS28Z z!S7n?P#gUb7JPf~ClS35K5-z_Juc{?VU3Z+H>*HC2;rh~3ISmpNyO+qo!%}IrQ{lL zD=I5OZ+=19oKz9&{k|MO`ula6w0!&4jrzhXcElxNaNW;9#U@Go1)Z}m3CH8>rs-=n z3Ph1kC9LQ+sp*7R2k_4Ppw{g3kM)zWDT)0yu^5aw`42IE>DNC9%54t(kVZk>a4IdM zeOre8t6V{1S!EmC2O|px977o<*UhZQ957XI@fcE+?is7iZfuHSn&j3F%6Q_wLMjmQ zE#lU@IjYJ9>50kb%7W%r$HZ@ycRunUA@F>tc!5B#_fpR>_2yvC8)Ss46<#CbQd6C; z#UK9gcwO6c{D>iYtJK6=<<_<@e-kWkNEg$h_s}|~#5%vm1+IPBaliZs-sU-Bq|>Mp zzSjZtFxq`5HsPY^piWp^Zd0e-x!Z3H_d0@WF9|k`_LSd(t8TmR>&k@W5azEyt^t!|H`^Fh~gg z>QOL9=e|B!GC?^z6qcpf9+-T5*=r!iIBXtmRRY`zXjHzXGB%K127T`5eBv0}y|iQ# zGu8hx1kEo0OXCzkn*Pnz@%ebi%3k!NRp#dHL0$KXEb-p)a})<#soOWrfGrwnzg9VJ zlv+?2^>!J-O#$B3|tw5S%&3|4Q=q>tV>G^=R{ampV1&a>2`2y zt?wg|Q3T;6FiQhY({0jkD%1Vej!OWe6sG;Iu+@aN9#_)}9v&q9`0`nzQZ@q1=UO+3 z4r6$+UmbK>=&R8#lWv_o6C4G{*nS@|X|jgVGh!Lph%KomqrTSQJ}!ep?fiBcLyoQr z-uhU5i=R7as_7}V@Wp>vIsNtF{0NE_UxDE);x~p9WWYa$=!O2G<*@DW&-t zCo-*~9NRIH9eC{(d8dXu{F)ho;kdh4G4kRbmM&Ink_-CHqo^0^>jKu)Q(@zlwY`YL zYv;I#88R`$=;*D-KS`w-p3tBwB25EUbCqBsojUZi{QZi@UZ!y1FGryx4+zH7>d%2=}hsL}R0Ktq6vEQD}To3anWp9-u zP@WSBPNi*m{BU8*lz^Y+ga;F5ar@bStg9~;RDB{yd~_g6`%POkBf7Xupk_$=03PTm zBKRB_ClfaFFs^cUu1446z?1L}<@l=+rP0V~BgGGpL=2_V)|ZJ{cPS;;{8pIT71b$` z8UL6CRmfQC%U1USq)cf|WwD?_zkSvWX&F%5^H6 z#zSk&MrcVO-p9B z+v!{(@hS*aMuOrGJ)I2%qCX z`g1e;smq!yCZvyY?mS{UrMtx$K=G_I_7|y6QpwaVqBwG_-Bj|FEGFY~3DH;n*H!vk zF9Bb6N!r_{PiN&7M!aDpNl%#*`F!#%#alm})jU7)s^SLLg`ve}49c z_ZOu=DLA@?wW109{LN)Z8As(ITbmUz^Ie8KV**vH^7B%#=p%rOJi!z@<&!2Y5*m~g zf$^OgId%Q|iBqC`O$R)wxG@XxqpNm*c}%l(RQgr*;PgoJeDqox2RrE|5&?Hu`N}B* z6ck@4N1b>R$;!sdkg=tVYR$p1<01$?x;Q~c?2eY113@HXMsJlq;QeZe@bBVQEu#1t zqEOmWH5EH(mWa_S8xD+Ao$47~zKdoTf6-7}DNt3pZmVBzYwmhaZRz61O&l=DId4w)%pDE_ln58f$bDTCtf#|G{U84B7c~}F1>shkWPT-LM@(#JvjMw@U zE(3q0nRUvRzd8Nvm*p&{CJk!}iyWZCPT&L450n78wVfkCjrrKAet?PzH@i6ik@`ul;9aOcky>q3(TV0A>{XISH3T^zb-5lB`0h^g^}Rsa=2S~T$d75Vgrz|YV?(q=^ZMT^WH77O|lv3HJ+HhSLfLc5_e8* zLsKUpkavuVg9zEV-^7dtTPWB#^slc);q(^;UF!~qQt9Bx8ZMzE zHeOuA_%$l2RlBe68p(ngIKuf(Vix0&508vR5H+L*Npkv_|ec_c;Qqg^aE^lV#6$~kN9^o9ux7fq)n6(iX+Qfb4 zm+?)<$ZO>n8XYfwY|#e5d1hc6ox@jVF-N8xFnuYcFUePAP-1bVzh!_af|po=sf-GQI@Rd-Lwok5haGj=0ZgjM%t=&Ffn{e7|2H8$s1A z*-eHefQH396}yOda15(jA57ragSX>|PD2!i8eHD*OGrcu`G8i9wpZ5Yg8CgUvD7TQ z$C^pX&cZ_?py=_R6-aGP{&;NX%0e*J+~P;k|@tgd4Q`?+4*^ck+= zOQ=xsr^4dO*1<(|K+MdgsN)h54OP*jW_6A0oZA$6A~(9Ze}pS%TMGBV2>5PB;Rlxp zRP;HAH|$=@kEwlJfBNA!>uX>2=w4o>o-JUmN7aHt>t8#?C+*ww3lW8yS;{XWv+g?v zBd^SJQ|ksWc8ANQ=N_3}xq2;QFwG+D6x4S?uite{p{w`d0G%Khw2W+HGnclHX=l#c zUvbovd(XgWpDB3z&B+wk%a|lA}-}oZ0V?V7CQpX;` zFaQZ9EvuB`bBMBfNcGsWuKOI5oL9K-+xjsahk|DK;4FBgu4iiPnzyzIk3>SFKe)!N zY^l&Nwxy%zmc{_TXg&}2(v1IfDbz^33{U@DLHWF)4W866y}r{;(sTt;F19ERC^7IT zny_Xz4vdlUDSjTAn8tOD$*5SwVB^xX9G=pA4FZQJmhqUCEI#xNO>sxeu4BIn%ip+E zO_@06m1{WU#{`OQRLi7Vx?S_34ft;p$L7LXmDGBP9`>zYW6?9cvh4UtSm9e`0vJ+<`6p5_!a7=zLB8N{{VK!t4GgVIX6&?FU%-+`E%mbqJ6 zQ-{D$mEA+5+XsK(QL*R*RO(uenYkBMu;f7I#-U9?5s{?n(}RvN0y<9L_O8h*asWf! zGV1EdOnd|4+)~ZS4SjvFJ$*>26cSo5u9W-}ONp7dl+`=}quzf9C+8PVA>3OXwIy7WN%Il++WnaOl}50el8gxobx!7oy5m;nCS$m^cA#?-C3C+^XyNPn4`3 zMuI8uT+X&~jyd=m)g)vztKmS(jJ+Eh=-PgQD;rdt zMqU{ORb5BqyrMb}D0unRf!z#>_?&@PdRDbzX~!;un;!_yhu?oCGN+(^+{(^BDC@=RcjL<& zK55mAyqC4nA;}=OqIr6QTFyEpzoc2)!p`yYS4iRL-Ew}m$9dD*VT&4^gW1TsunX8BIXiVUaG-Z47v|sAx z;aRHldcZPa_dL6bZuABbxqMyD+5N@TChu*ZjOO;Q1PUPbabjL!%P@(O(VJDZ zOPcL*87*ybBb{UO{CU-j#}TO@N5v;3Hmzu$MQVZ9h0MH?;HFkEDI=$a4cS$31^=2! zaF$b3H{%0xMN0OD?z5L2g9jJ61Z6b+UbS~l(~8NtM}h(tPKC08#Vv#`dU$35`&oA9 z1WD;Uwvr~)Ux+!W*@DbYTc$=5ESuY9$(uwH)-Ly}Huv#_Bm&u=rZp`Pkp_eFpUJ&w z)J*N(Sy4sXd$x5hnMZG&647dgJuZAVzOX?8R5YFMMn9_CIqM&k_p)<<&&EE#Xpxy+ zKqeprk6hZs4aBCs(XjW3PAO^og&!D`+A|`5w|RwT1kp3;L`Bv4egTrZ9}a<%Q4|T6 zK^*!J>&nEIhUXd*|K+9NmHS)N!BwD|flI*5^-gr#=N)D)8KuC``quA97vy^97WW9b zH17C=s<|cVORREwc0S4bO1g%2uHmV<`BgppVS?>ji*F{zT3$Mlrs+BO-1ZuJ5K=%FTa}pTfelNwoZUY zBP6L{WMbuUH>s#%^*6bUnSE$_K~>|>=jGKc0#yr;@+>3g&90QRhDS^P;MW5QRg0)u zZx5fuvs(2eL)_>LU>@}DA~7q!?6H8F&ETZOWjrP}BNta!HwbjPL;a=)8HCL+g3*Bg z!aAQrt>ZsbXT~3-69Pin7`*j`#UH2>Hy0Cm5SN6O(c;oque%_Q2DwfQ*a-szp$$L? z4Vned48wqU=Vu6@!AgF^?Q|9*j0g;r1-!$ag&^3$2o5H2Au@og?EXo>!dT1M1w=Jy94u(B6 zaXL7qn{~(>I&oVMHA-e^i)C{*4Of{{u2%I8-xLJF9`$xEs z15KPAofZfcq&YD>C+4ubyL%zjiQzfnVL-}pZy&;7P(+9^5ke2?F$x=sZ5bJv;K5<+ zwy-jc-nQ`{loR1-L~x*-6JzH@xZ&%GLGqy0L_qk8hPDn2UFf!jc3Xo#NT-ppG4UU_ ztr;3abB2XyAvlmzi-^Ru^?opdl*K z_^Q-k0@`is{z2H@L3*vRacX+*zofR<%gf6vS$`~@$QrDBJqrO)(g_`h5M{%_Y(IWL zdq33NLYNIoI_c=nojZ5oAGGu0#fz6P3@Z~0iyY*MAx!;;riM*XhM|IqKeA4^%H*aR zJaBb*;25$K6I$9jx^R-n#}@{4++yfXOavf-kkZ7+Z6mT@K(w=7uVNodBYpsMqE2C?UgIQCa!6y0&iUALs2P45;q>$96k6M~yL*!dP1Sj!%dQ z3{8E8Xcj>bVoY(i5y8eV)evJPgMnc^$tfTc3yHXY@#OHpAn+6L;DIaIIXYP&I1u)9cEL355AB%+Y0qE;@n}R4&tN3{F3BIcFFfeGcm0EsQqyvM z(RZOR_C+|FC>KLcW3cI-o?iGA$ay0D@Da-NkU`kXhlX zaS5sG@@D3XC~t^+E@IhILrNM_k`53DhuY|W;hu4E;ilLaN*cB+5%zNo?74_I1*6WT zVo34l85!9xnwnZ*U<}c4EVX~^K+B)$f^(CbTQGk9TtuXCw|8Ld!o%8YM8Y+2_F)`g zT6frpAV;}2sN)lxN*o*jhf=N;+JcmwogLbtp|h8l4?2LfwbA1EnDGKb57Q0wF=N7@ z5y692Xd@Wmrid}x(gKBV0t51%rZ%-`SL_JrgGL*LYaIyr*Pq;qH_iiv;Qvf%A%!?4vh@hR3AlS-#utC94Ci{o-#LS>ZL`FY&08!6H#Q!V? zZGQC{1{Bs-^r7|f{;VaKm_VCinlpvApG8o}G^}(6)-;=iV9m|Vp(Bv_+-Ty3uV0rT z`nieeC}+@cu%SJ%q3W3|+>H#glz<8j@^iwlL%@)L6-Zhj7`)j%7`2FaXQ6OqVaUnD zcSuQD4WgfZi0dNaYCnc}+K=MF&V4uWc{+Snh6NJUXMqYghcI);n0+7iix)XLD@ZpM z1h#GJEyeIkN?Y4Lb@!m}q3tXf4FWoCEguR-p3r5vo%e<9$UqXHO}R+tIVr zsE4kzr;I3s2U4#H!aKWxAbc1k7U9R>v5z)qPuWq(|2}053TJ0&tCos)J8)d8+g@A> z7cJJnYJcYXYgBB6`4#r~E(qe&JbxuCbm$409C44^ruNX=nbCIo4nkjZ1*? zyplu11Dn*_;goTSk~R^~UP!1)o?fZFM4>fS4yXE>Cy(9}&4M?usS{VuTlz&fdZc==& zKVlNxa7mZKQ|I=-JGVz}8?z8O<)5f#E|J@DAuVsBHoxl>psy2>d*iiN2ayc#*N7;^ zx8jwUQaVb=TnddTIl1+X8C2e$_(bU)&!OKKHNpE>b#~G4UM{`37AL1qYcqAX7&*Wa zPCMLT6k4x-)3Vyk$v^fhY?ezhNO3~8-ev0K_KFxloqCVbKRdNtJ~N~vD?rE}Ecfk& z^6!M_Z4UdD?2b0taH%;@C)Sg9$0924wjz|u-tlLr6QO!ZMGaOSb3SHJX#hp8o^c1P zvuQKEV$EJ|@)p5((!yrfU386-F5ntQ{%{6+8#nnxBi8o>iFoZf8`Oa}P3frqNmnuP z$Olh0RPZsN!Z+C1Q$5PI9+UYR%aKpEiWk9oM^bAwS5EqllDM=oSS3)f2}-3Y-MjeV zk{pPPOg|hY<(XOIc#exdMRRHEA)x<4CbAQs{H9s8nT5M!E648q^9rhn+f3t@SH;9P zG*&@g>FL$et+NZ)+&emYWQ14G*$Ycj1oN%hTXQh`7Hrajr|fMM%qrwhtevetaAQAn71*m+l==DCS$s+9^O&XXxh_O{GQ&-}=rWlg+hUKZu_!Pi; z5R@F`=qYpf&3(qGIkJp*uLtX8zN%q}alcS_W?&z98$@-zv!VEDOP2oV>m+N1-H#uo z%d2kXa;Yf8$>_0sfNGv3Q{|xg@Y(9AzutArXCi@z-!sF;kKD+~6oX5z+W>RjSFu(z zQJe5)z3$HlzCiJ7xLYhYGI>J9@d>@05KizSui?9H-1cr~EXk$V&@ts`j=`X}8*L6Z zSVH5RM=G+wTW#xWV#EcaJ74Fyjqxj7=YQh*Br3hiA(K<5$hsFi53)N&Oh|pslBCE> zEN`k@lh4U~QK(SWWM)b}ct@bB-3TZI^)>8F$oLRfwv8x#8o?^^+mvU%@HlD)TS2S4 zNPZbGYG2o;IFKGww+x#??p-f^9O1fN*4}uP0z|#Xq4Y8V!b-@%9G22+aa%LVs7bZO z-iynOZA0Wxs6FNXEO}KOROyii#4(JWQqhS=e4mv2F&-54TJP z{bmg7$6EQQ>-4KFE5^RmcQ~m}M_$ZhiUANs&I-_bBlZ)@V<)5Rrwd{&%}O#NMd zm&paTaBriHXKH%D?URm}Q#18v3ErRAHPDP_M;nAldJ(_JQ2CG3IJp~rd*S$W%pfN$Cg>|`0-uwm{_nV(GJ&q!=c_sD4Qhox zY!@3JEzNR1h&omY9MSqUyh(J|6=ps(_V)G8tAY%aW;$_|X)qd$(nB@C@H zl7UUE>(?8X>bFh%zxXR?+FyAWenXMKa(j0FKDpV7;J_?Ueae1uk19<>jFTpWFiAn& zA~aG^Lck{~YN&oW`+#c_G$>_Qztd;=+;AYXqA@t5WNv@S{`{z*bKUO;>_3nXBmlqT zFk`VV6gN4TM_ECDIN$Lby;Ie0Y9@9QQMN3@gDU{5a*!inBznPFd7eeVCZx!h#A|8K z$)%}{!x0tEDjf~zRfJ}|k7l2s14*spUVKWjZw|~f>QJ6HPHxu{REEn4ymxdJ-d(w2 zF^#ulG2B-$L2Tl7vvsK8fuL!KT?vT_##nk;m+pn!UvlfeD;kO6Q^0$k4 zyMd0kO>qn&@%%0&zWTPZW0lXWPZM^Xgc5bNYWaxxAMF9zc)6KOhvfr`YsLkcF7GoU zw34NR{5-XPBb}f4ukgfzLYvEPwNh`Lc1D>$u{%GW$J^fEE;!(WHnrKXaE+>x}DN7ceDb@*us-A{noDc)i(_scKekJK!QAIulwZo@qJ+2Z`X@Y z`ZNh-R%DEj&pxx?(s&B8GPhEBK|7amf)@Kx%i?>jiQIAne>bc5uNzRTZ#i#PT<$@r zIzeKJ1;KuSaQ5|;;M~d5xti=zPjh45-_IRL*@XHt^+>>-rW{<(OK+*gTz|ZNXcNTL zQ{SGNzm)QJnO&U!qIC%+n9tQd`~B;ACUHB4Lt#GCuN#p~(=cOV|7oUL@&{t>*Wl{j z)1$QPLfk61RX?m1*Q1j3BYZ6NS+}Zrk%MD-XZoBEj$$QLfEo)6ZB$A2 zl!Wsdi+^2K@Z}QTGtB#E*J_^$7xyhk1Or0L-Lu=c0Xt9Yr$VcZ=DJMk@&g%HYBH2R zGF)|ugpy)o=2RCm8*7(VTBLPq{? z)N8Re>i&O&UjH*i`WGSvnJ^hf4ornnP*?=lT>np9D}c9rFqwUe`(skFfBMm{`y+~(zO2u)56t!Ow24WrxCnh{Y%sSg=xXRdu#vFw0~h* zU~ciBXxhIp?OzHMLa(1@eGsGz1F?2viXKeSiz)grML(t(z!Za+VhB?VV~UYkfc!V& zb>>tw{BPC&2X^%=>c6XC|Ni02)9XinfBHY{ojs*i`M3X44zE;^e`j9Vxc^~ZN#NuE zE%S;Fvn{YK9i8U#to=Gw9>kQ|B}o~+WfqCZkVanSXbu6y37T5QA1~w)V=cYkm@|26 zMMEPN;oi(#!a^BK`kE#gIQ6Prr>1%&laNz-c`^ElMzTew#o-YVF~wblMT+H#XCOD> zB0a(5MQ!YJv);4%a+#`*WD$qHa*kJ>4|B}OMBae#Y6E7wH&3(JzZW@&t{X;5*GyYt zzjMqwCP4Oi)1kPh<+p)GLQ~&cA41wK*J9D}hZQxmy*pGN!o0jW23q_k0r#VZj!ZQj z>+UZB7TZ5wel@XE91e=ZTg@$TIcdyW$pZ8tsuRKz0yY<(PP~s{7Cc=0=-fu{tEYYQ z?(0%&azAYF{_74)Ypy9(ZC1MJOP>hEQIDJ78bzPz(G~7(cKd3dfYWzOzsGRw3$seS z=KZGypCr&ps&t-_7)_XjBIeOZQLsWJVOb^JJC7L=mV2z3QcCJou38r z)aKxtu7c)!@O>yxQjmM(Fj_n(hNp*;J;_4qJvqG;P6IXAEf=(Zz}(g)a}cNelZ1t; zN#jk$X4gHccfYPCtkDkOfzsg-Aq$+S3qt!&=hpD*Hk@wA1xnW1G})(E`%*E}1c3qX zhL;!fMsXZ6(96b5aUZ4^d(s4-2~FN;CXsAuFWCn7&e|!=MWN;nsv?+VFV&g$n^LLc zYIbSq-H%W4PK>JopAAqgdaB0R;tG^~apQx!3B~oYVz>e5{MrG!a=JPJAhYzexaOx> zE|JBG+}*sFW5id^1#j>sr?#=ZJ$g;(1%LzX+c!V2Zy4k-X`g@TbCuu`N7C?}%WDfQ z=FFp{1X(sffv`W&QQC-Qe)Lu4B-EJeKYurfvTgs~C=qSIb-sQAEYOi|ZBx3wN%;{y zl`3-F+pKd!B~o$VC@5AOMf^D?0Ti%*;9v}M`nFcN#+f63`PD{|#qE#q4Q`(mX?jlh z@FI{My!20vH(m2L2Zb0G;3mb4!gP#+n^IfQ2)MyND(Y37i|+T26j_y*r4QghT??+ zJN(HSuKC8ajyDK@oJ`8O}lmu^%Q?|y$331;%2a8jxH*J&y& zp{^A*jjWckN>{9fS~qfwZl5nU6bIUM@6xDydaJ|ke9yDa8ocs|{gt;!dW_$b9Ae(d z^L?BkOkT2!#^^41oqH$~C^1D8ePVj~wi8RA|F~yS<#*m4P@A2u#qwY$;$v!#lZMoD z#Z~m&u-}d!)fMA*^@W@84uIi-_8dQp%C)t#L7{-)!jGp^4=SGf`jSkDuu>&YM-c(t zOE~ZMzR_*pmOV5XyJU37u`X75@`}2<%#Mgu+?MfOz=s_b{`7{vT7CIUODK=``c0}w z8@ryo=lsw7WEV?jz6WR&&-2W^xaxZ)sJL%GwsTu@_GM6JJ;4Iuy|kH+7Su+d{Uy<4 zr{V+mMJa`o$A-e{V{T;D?#->d25%fnK>?9;V2IKNNbZCH1_ z=X9O%0QQ4)!J41Dm(cjW;TMepNd#PmlYgK7V)&TtacnA5DFp17hzR-)P&fIRuGjL6 zm6)QHsKdf!{R?)@c-`(58Sn!xUqUWbsk8$=mjl-G)1xg((NYZqO|NnN9(j;vh@Lot ztfwCZWww?M;x4Fph-I#Z^5~%hE6{Dv7c4`oqWJcDfPKrc`;0}$qoz?sqDIZ0u2
SGi+OJnLwvykPz>zLvXt^>1W#y&)6)vSQq`sDY38kuunP_uww(4l`ANJ zas?kjwy)10(W~(2b@B$BS zFm;TmZ!LN50;=t)@y?l20g#3(oy50jN(@y93CzX4|k{e(G!k8Yb7 zEgj#AyUW`D>6vF^O)l%rf~`>2kX$^FQT(hMkIMXW>gvb-Z4pZ~Q8Vc^VOnhOqsNK( z159O}K)sW5=kWNnYEiG_izjn~!w2j@uBGlzjrW}&XO8p!^aP9Y?oAJBcPyR{5;<#L zv%M|JAw(Ig)WfBB;{N?Y^w0wkcCsBN?sc=O**f+h;@yuV2Qp91b)Hm z*5s(1{W3$d$g{d%>N)7>=9-x$S8Xk2x)jdm9nwu^p}|MuD`GoI^@L_oiX1p5#vZ9S zn$%~QkRVfW&-yu2s~zA?vMj{g6wk41c4Rs=cA=xjBc4B zHFd2?yAE$2Q_SxzC!|zx{5}}T^8M5V#;rK|PTt!Js!Y6;im$p&#PH=tnlMAQJk{z= zwJ*b3E#RYo)WvrkpAzsD<=<7!b#U4}|75R#&*NdWBz6;*I8X^3JC9>+Xx^wb8dJTQ zZg%D^4}zCbla>yWBv<-7{f0`Th85Xc>zxqRM*H7SHHe`YnpcO3b^KFrq!VN z`&E7ABEF>R_~4eGQ-vIB`IFY}acCk5a|6h20{$2=LVD{5j<+7FQ~rL};}^Hl_~WH} zZ{~Bxcq0xVyuy}styp-Udt_VCPKKKJEBQC$o2-Tpn&eHbKGuJ{1YX?B{Y7--=-c4$ zfqluLMY=_cm46V2;RB8UZ2^}7jTi7(cVd<0%%E{nHVt4u$t=aS7TXp5a6Vl3 z^&b4PS2Pr0eU9KB_mgkyzcmt_d`>%Bs;0aoqni(CpD_t)>CQ%So_ zY_-aJMyglTX&cSlJkvifxB}lRxQ4mRyY{ABI`+1W0oOPgUZA-9msSa$U4%DqGNwhOKAK^aer7`TB$k`O@gtn2};j?_fi z$&sK$^WE1HflcUgVi0pHs-1^noSb2>%=Gs8OTqC~YInG&@G6=f1*--UlTZL!jli&F zEW9(9x-{-3_YRG1o#jwAcMK`5pZ-NEC9P`*3fF(rcMtjAYaE;ZEfw(=%PhI&^U^lX z<&0C}n@PoI!~oSr;{ff>@Z{#K#qR13(FJ%H+r|>CzudKVz zjdVIF&skiSs^2_Ar{wJEn?}x~t#9WILZEE>g2Jt^vgST=PF@AO)V%VcndR>YA=5P1 zH97kT@VssA7)MA{)50;(KYHONiVXcU>&o3C!kVL~-sOR5S|H^&tm96qWbgAev!`7%mHeJh`5ZwN@-6F&oP(ec&ab`O%9Np6~X-c4`#Jaa}OuYByw>~Ea1X#pc^ z5Ozh{>C@OS6HenZ=|;2Io}Z~L?K`M13gJXS_Ytd1c2L>TGi+5y$E_!>6~gK9_<;3R zLRm#)_x)&Sp!_`b^&23hzpP^Aot9fz{Jwce$>DK&-_*=L4w;OS)}7$E`j#2+jg;p6 zEsNW4Nd@n|)wXkR3cx3)Wfl^>p>7_Sjep)X0SwRoz?%{lYunUJdZ%Rf^U{}xi9dak zUsQBw=3zG-;cbGe4HQoHu~nPr71jMxOKKQ&eO{IKjBm(V+6O(FoD)+5?s3H}bDa0m z();Is^^Y-&FLF!B+zCpY#<^tZ=~r3T3vje6@6@Sw@h~&JbMU;X)P)SUI$NoT?aOP93o9; z+(2RPcRVd+@^oneE8A;Qns%w_FNLMO-!J^8Viqdzp2q=KZT`9y)TdSZM3Pa*-)A4illDjJSXzE3`~ zsNMH0?I2FCKRj> zJz!cs5^MiwzORPG^)lS@TD)SvVO7uW8~|>+G4A5Zrr*Q@E>C-Aerw&v1#CQ`{@Ilq zKYx)MIR}D9K|_lR-laqrf+DgDYlr8K&dJ@lF6OBd*<;fSp4DFiEDt9PeQO3siEro_ z`-HCK*fG^Kw|;WZ(T^EgIxB1E1N=hA7r*0JHTEyENvH<3AJVAadXXn4t?Ux@?I$U# zgd(u?j7=!Ja9LQ{HtO5^P^ZC8Ne>0fYedGb6FZ2MUvx@__$?p5jHdPpIV-Oq@L`bl zRxiJ#=AD!m)y>1(XDN9sT>@g`JC~Wc#7(WCi2pm*tJ-<@pzzH2q?Lzdwfh(DzN3^s zubMJ}x*o?Kkn;h^+%Fe%V>Z59^7`}YHymL-Q=f!_(jmedQBP}rptEZ~fL>Nkf$-=j zjUSJw8PE?iD+hk=98q%d3J4iFKYKB_z6B`R#H6#PmVTZkTiCLBH?nO$HX)Hxawcy) zTY277;^TaJ`KX;}2$4I-`>ZTAR9AWt4K{(n;RQR(#Q9 zvX9NLn8hd8iO<8OVpFj62KOGM<$q}6yJ_nY_#~~D#nksfO3};VvET>K(ucnaR?dS{ z4i}&PZ-`!S{SOv%&kvuY5YK6e80rhVU6~`8;muV&HR6E+~4l!kHi-=>)nY@8+$c zIQeY~zirYmln)lZTSNq{@FfY^u2tB@e-Z!_-y#PVjbdwz<8!@dKT{ZoC91wUA=ZB? zN}B(6dUoFll-4&*9uO+s@_btMc8TA_)4Q_iV`u;N2?|fDw)qPX0IJR-YFcM>4W0KW z9O_3m&t1*^O2zPsnock(2#)%2C-AhW(fb9;wwNp{Th`J4Y8-X$5ySJBJkGd$ss>s{ z!Lz37>N25RG9_75EVadWJKF4e^Ha!oD8=l|h-u2FUQ9)%F^_@plC$Air zQzrCjT2Aw-k;khy;LGN*;5FsDxgX|nIryXkL*g2@eoNo(pTqZh`l9z6DId^u%K35# zKRdifj)ihQ}4cjxxnMalMW&t8-? zci<7Sie7{FrCa+4N7o36IodPbx2HF@EZrYyt3bqaVdI>sA}6hO79q7!6uMTtLdJe|9U_n0L)?%dltxqGy^kgj%oQ4 zGINURI`UL+g~bRNx_Dicj|AOIWUdPAqKmwCb?QDA9{E$0Z}4M#7c)*Fi>s(LbO_Gc zcBAP=RN@ntHW*kQCW)%Yy`AH`Vi6tper4+zVUz{hCXUV?cORt&#@UR}@5)h8>buw;G<@Loq3R9eV`04+VR+HPl-E0s zZRGn}-ppF~&fuzx>qsImsQn@Syr^nO(<}KaE0jN`EK>@Xg21T7X zRvb$yz*$ONv*X}zs&V2msaUR|mkQ0(>XqD+d> zrOG008^#lU)ASh_$F5!vuU**O28Crnx!?GU9I?mXB?Hz$l^0Ckl??5GkjKC`Y;GY3 zr||w6e&H*U(sJ%y>-#8@OFSmFL0Nf~VCUqNkdjZ^CZMYJeHV(F<#Oi&*?CrOF$Hx4 zOaIt-0wAPegf4jf#k9QYf_719jqnn=6fM_*|GvMqTKV)@8Z(f>r)v2DkCgh>qdM`C z8S*QUEua0wC->-?ZbZBcFubz``1DM&@QwT)luzP`DH}iQr@gMKvj>r~KWU;NnTd~a zjUr4;%#fMHBur;v4Tg$M3xS(Pa!ihXEAUg+LiTwO9fgG}as!Dq~D7$8$o3ARTWR-u9c=2WvVvQ3DZsRbj1 zP_>D1Z9*n<7T%24g3Z+ZLu5i26XV*%$Tsg`;*Z%jG&CE|H$eiesHhm$_!3rB0v}7^ z_9_^`Ei1$DXz+&$*wV^McyM*^>Y=J+d}0CvVoqb?AF1ua!dK$eZ!nKKBrq{S3~M?I zpGX2XQrIdCf%#7obqfTcv_QZgp)d6L(n9X^;ze*(0uvYtQwpQCm6j&KV3@M<3Q8*0 zb{Ly2tj8HX)dg;@Sx~wOe|Cra9`MoA0>Q;7H}81CdZA?ziZ|gA`v<3|XTXH&FfdA6 z7&$O<$#Qcc_}tzO=Zi2{Cgh%H5d;^5KKBna!ig1F-%u8uR)PB?76>v5tAqd>gfqt{ zCg-+)BamH#Q%0D;V9$T(OsgXz{yz#^jKNkwFc`st23z>z6Nz8~lUn`Cm8-J|0x(#n zgoKPNbZ}Z(Sy^MWwU~g`T1?nG5J7XVqcc=+VkqZE1Q(;OO@LG;M!^ZW%wPn0+K3=2 zjR<`zjAxjDsn#>I8Ze30|HWvw2P0I_UWR+*v5Z z5c5nUOy4ukLeMv{AfcJ7j$>|R?HCrWhJu8q3@SQ$5fQ<9`0x>$13x(>uLOfJTfkh0 z@O7%Lt_~ML)zoGoNL?_3mc`^}|6{5BW8(})$p55}Z-&PPXZbqdFj`#=*F>$ahszQ} zBPWb)XoZGOXtYeY9O@iBrWxm9T^AamkJADPH$ec}oC5Nim|(&LGYbc&qM`~~lL*5v zLocVMfwA!|GzSjkmGAlm|CV5GD#=I7B`i1muW;0SqtPm#FR1GPI-*_`5*DM zvMOd0(hJJ&qd zDj0jD=D-g*AJo2Mg$8YofZhuzxZq-A`)`S@O!xbtOy7XKtwDMv^UY!YvPg+ zRsORO0PPZtLab*ISZOeV*uc#;7{S4S%MR%KsN1(~-k{vzs-p1F@IW$Xr2T^_KYRZC zc}7D+bF(!QKI|))XoDoOXCn&vXaUtg@XhP&3=hMC;C~}R47q>EWDk#GGI#EVhhjlm z86Ai^I!4=L{l>m%U%rAW#b#w=V_QKLS)dS(S%{Wa!5ywfB&r_aUP0=K;mPE(kf^9O z<|JZ(4#R?Uf2%W&sX1KyWM7FycD#xzLPbTxCn7Ofi2Y0Cp?$6eiWCCMP)m)`?8YS| zB&V0!zsmI@#W4JTP7@7{%^mPmQCNYjz$UN4CasAREC5_9hJb_Y{~D z1qQs{hV$5%yrW0QRQ8`x=gx&kg!@PJK{GS#>dY)GZ0yoE?7LCQ$}6ar!Vicl`VM@H zq8d?ZYEi9)?OCXfZW=8ujAj>#b|HI>nXxuB_JkC>U(_%Xu!uY*{pU0RVP!}vM@3~9 zMvWmap+gn|xR+<~Fvj6i0t8a%-!T;W={aN#u-(XIuf9I2n5n#j>U7O(*A4R}(a>wlZQ@R6bSI(R3QOUhnMfp=B zq#Hnszi;nCwf=oQ`c(BNI|ivtX*pPdxWqSfwXOt}j>V+`tyJP>d*$d&1rE1yolU64 z9R9eBL#}`(|6#90SIMRbOoak2&Ac(8;9l>1(DRJrttkDd{qf{A+C_(NS7STx1OnTp z((6t3A17-4k6oyEI&+7+b9b(Z5DxN;biDS7WO)K^WVh6E;n(LD9yA`bkj1PS(2adg zRxt~awU6n?;b0a5g3p@2z7FA+ym?<|T6&y6LaVV_{l!kqzMfIC#&Xc`7$Dr?rqR>e z_cCZCHz(nv*gaR{;J`lNPho@C8)T1nMiwYLD(h?7`04L;`3DKL+%C;$S2vu`U9_L+ z%B@pdV6g)2Jmin+&^@yq9?mR0dS*80%#l2bUF$)rv)wEo)dU{{OT&P;1G@Z|)m2uU zJmi`i3NG4%w%@3ig-rE`>MZY60b*;{ulfxRG9Gsrg~K;j<$1`B-T11U`=3~>)5MzA z+yTCL6r)$g?(ux@TwgsOYizUq?)JqBnRW}_kO6VFO04G~T*q6Y!*r{o{uoeHU;US4>J&p$n;2^P(&&?;s4mZi5g^}o2@Kb|(6lZUUNW_!odq}5Rae{ZQ zt--6qJHNgvIgl{qo)7KxH%xR}lHBsCx;UC-d@>D|UVRg_zs$HH6)g4vXN%P6DtjC; zw&zXtoTJ0()4_9iV71^iQSZ4ttINGp99td&mABqv_Z5Ua-;T)6AfGsPc?hTAjhs(|^<9pV0j}rMWUnL33(vrWpmXzx= zr@Q%{Trrms$oIb5;FPGjGtjYOg!oCs(D11lxttj$yL8AlVlhX;4;oAChp_mxEEu`L zcR|aJ_(wRsm)|LpX=W{kZCIU{cmRTATo?avekBgVG`=mM8(?%n0w7-s)eEU(IK z@%9FY><_wLpM78_tKZD`p7#FteVHP~P~jI*F(tw|=E8T*0&kZlwO1@QoT)##cMmUg zyEqTL#McOmU<7GBIt>jWZxrFLl` z;w75*j1gaegkH6xr#s|kC0GwN7|}YuIrYn10%zkB@J`9N#}VD<#osTZBW4-s8X^9M zii(_vMn~R@8&9Gf0Y_E^Bt*vUA80h*ph@nG>J)kVOYT1FIBR#p^Lkjm8Uc6QAJ)wj zEC_!;kzU@rqe_eBzH)=R5`6Z zRc&>H)v~iMl^{4kDL!vj5Lm|Q*+pp%DBhskwJJ|pGh?T`hbL9~d<);~PUgo$vGruR(%YKZ(yk|9PsftT&3AouD2sL7^OEH*@N&F@KqEO*vOybQ?=BctJG2 zDQ!vesGhv`&fx1v(?StJW$@b{3x51`GTcP4hT8OH#eEvV4MHy zjP*w8;Y8t!+WAU7Cv zUAu;A__`Q{|ETtI@35m}f^hA+XvO=zmS+Cu2ly^kfLECMIOG1xaPHLGsWg}i@dvTD z)wy*6@%A?jqQ=G0-}rc<@I>faP%(REh-J<>MjJ1jryEvQS|Oj-=GE5o3T^`O4NKB4 z-;)AY#W%iDDV85NDW@_~WG>rvEuV)uO-xFUb_%V~sAoM(1X#b3GMh{6lYBBz#YQrq`) zt71XOeLEmOf0#|9STKgFO#kCAnj!&^hWci^%Uhyq8rI>h$W?j}Ar?%PVovd?*yGjf z(?9|aU~9Lw%C~3vMUzg!X@HdqboeI8a>z2{Z6)lxKc~!j zExF5&+g^UwKEIG+%?0q)`>q<4{u1mK^}Ci`9$W3mHs&UNm}WatNX4lzI8p+*D=adu zEx)^YO6xBjf;BS`-Gl{wkK861Jo0#T>)Qu*uH@S@}YNz=8qZ9 z(DKC+8Hiq+_ItvnJo#PA7~ed|-QtHBs6BY%adnQ8yQD=)(E4Bkr%0Ra)14+0O4{dp z`VP8x7J-BVK10-G?B^em&4Z1HcO&fD+%KBrR*GER&c2rOW0zf5(&5yS{oyoOlpV1?J-OE?*6A!*I3n<;b*%T;T%Cm$!6&itI_kCW zv^=8cQ)7ZVV7PAUbzwa!OZ6yB z<&|coyZ6tHH{-M@=PXw)70szc0bqxB)sO>gyo7&DMx_M9h zn0Wvx_Ge$XIIunyitqmzV{tjnVF4_=7fYw0*Ai_I^gb<=dztLN3_{Ncp+smg-*xjs{9UWf96jAVy6J6 zIr?)ci&=jAmplE7odO5PzZTcO-05HZ7DPjd7v@e@Ts&r>F}$Wq`{%yGf8n>_Kl8VL z@muhp`P;wvE%?v;?O*&BU@n5;qH#>d3Yci)f68qCUNJySc4W2(-kQOXuVa|vGo~2F z6cdrgU;uLktBR2aTE}O*sW@fe%qmkvU`diiM3wVA0Z$JLMD*5+4DtP*Urzrg0&)6OK1A1jhwA+iGwQ+n2wL0dss~oUVNdO zZ%uj}mFSxA;mxJ^p58(|+l8d%ba3+rmt9P~gVaxFCIM7M374`q|2FCbkNb?3WR4qE z=NrIi>T4OWC+Lv$;|c2<6A!lw@|FRqfg&L+=bb(Le-UPaUrmdzk-7=8y!^2g$=B3p zeMLN3RyxkA#7XS?I~X2rgAaR8!p~jVIsWGL{9}^*=3p^Vfc6M(Z8v2(pP@FZUIZ{L zI?Q*SEr}o&Nop7R`0)GN5$=ct8Z03K|1YEY32$V9O$e4y&!kiF{FlnQgH6l3LJ~xQ z0W_*OCu?!UUiJI*K=uyq*HnInWA0K#-%3kUb!q|{mWOOc>XgY^Oz%EX4*>kKc4Vpi z{MkEokx`|#Sr;Wp+>Tj1-28F3J1q*h3Ru8*@$PzO?RTpE)=9BzZ6RF;0cT_R#VoE# zdx}wglD0|#Crt4f&s0=L2WP*K^DbW&m~k|u*<=zAE);*PWNmtF0c@(`wP;5Zn6$ge zRKLG?q<52EvPK%WmwD4!pE5GWo*LAd?){u;E3=&+W<&KC_m?ll3Do@Vn+6#IF-q=;|Gdq4bC-VKGB+u@h zQjr&h9nPwn?GwV9?SAF=Il;bruaL8XHhswEiz8w8Xzn5XD#_pO7UPfed%m*pW5dMK zL>EonOtXJ49nxBKSFStGcAO&3s8}pRItlBo@Tb{O+t}B#bAl@=KP&M}BydJLH9$-R0otG^B zaj&LLsO#MiQy0F63?n+(8>MZTuNZ&Cx?TY6PFD^EZ-09;SpmO6+GOjaRel7WvDaR& zCaJ-iDp}73^pYk@Lr+|F(^5wbv8sd1FA)iMK{=i_!*+)BAgh=UFxD36y!3nl+sui5 zN@<@=mX(8El-tD~8w-rUgUVfw6E#isk>dNF@(PiuI-U9bXwaPwO#SQc%t~iF*GlJnHKcM zhg`+gPsnY{RPS@PCChu5Q2tS0B|K7nu^;dC^_mpGHxzZzAd}-3LH_3pNk$(2ED!Jc zO%||mJ{FN8zs6h}2qYZ~USG9$5S`9b2}d|X^Ga$(SP9}OOatzU%@Rx~;(@R1TLka0 zzC2bca1cwk{2Js&UD4|liQM;c(P0-nIr9z3IeoDe=K8WHehVknYHjwy1haCeTmSad zFgp{6xQS^kc&heKdj2OrIJ{e_l>Xs|Fh|E;?&Jh+MW z$C-jfj?S~P6C0n?&mQTIGiC+n6zc$u;NpnX$HmVRPJe_vJbBJ~K1aUZoO$P`yZYoB z!OCtYpp>Y;$A?n9)or?vT2(kX5H}lAZ7g$|Xo<6L{a)fk67aYSBMO%4v>G)9%L{tsbx%fPnH%BuV;=5&;6VH=|nxw7}o(Xn_^79 zFlwf#CfoNs7|F+)Ln4PVLQ*35AE$SIC_`z3y7d7Y0k&f*!_A4<1j$MQh8H3;vqF*V zE0;8WVSNmPivorrWugduLUd+xL z*}ri#czwb|d&HG9(oZ9kB;hl#G%%gxS`0c@RIk_Yf@PmqX<6Xw_cSf64ZqIeRNe2F zL7Yi*okmX~^_|%?4&noe>nAcV8SC&l8_pf`^mPbUSc30TW{*sbKe^Tfo{RW3hi54| zzib@Fv|E2s7~kB5xT^!yutpi__Za)>F;T3ZjU5{h{Yp>9Pxe@ZE|`DdX^>JpKi6RQ-`YlyWuL4HX-?N{Y}y6pPab|bvk2WOnXf0|^Y1FUQb|%@TRs zPj&vt#VO^b*Ymt&`dwh*wP})bT8cuYklY`&&eYS$Z~9j+yp+hR&o~KIbJ0eDanU;; zf0EvKyOn(|8cW$!e)L>$;2lTj9%08p?K$N~9$@!+PWM;b^^pQh+U5Cs%b$*ttn_ZS zKTD1q40nh#SMvq-*Bi%DbwUm<1ZQS@ysl86_9F9Sa-p_L)50TADU`VYK3WL4%g>P0 zVVX+S{}SiW?tMV^I%>s%YyQGZo8!hNLC94qW_naM7Kkj6-}&yy760>mtJ-$zg|1Su z=Lk=?oD`7CcNFXrKQc4t{M0&r>1xbJ15CQxCF%_hklkreAhy!|Y6^cjHrn-Y@IlCOm5QzM;HFNp-)V3FMTV zk}3&(>wM0XYV?HFM!4X5kw(Q@0avZ3Z*!NT`ImKEgzOLP;+y#Oj zUvjp&uHCcBYjisWK8rt&=~Oc1T<&a;<_-+8e{l#*oT9vF<`Wb)i!Y*J4%{N2K3n~B zUB@+ioa<^pSWIze|1VZ;Lyv+tj8R|OG!`r;8n*W56y4u^ zS4`72jeJ-FDrUYamw!dAIIeRxs|dJxH3n_szrtbCt?!}a;4-&r1D{q;NCZ^PLMvWO zemiC3y((m2l{+-Mw0cNLdCRu0vlk$wbbK;_p*c-MAJ_05LgKSI@8-NX;tzT0b$cwL zA@(QWbM(!vZ0VHgJ~#F(|6%6)=!mbPZI8U0QFwBeL@8Di+}3Z`)CrB>$DrZ!2zWzm z;@tLWVU^u9EWWM@ehRxkcvw8J!>yuY5m~xG$|R%ekUzP1kx$U;ZBx%F(78uQsT8a0yu6k= zZ(iHG!Xun-TxSpwT}|m4If}_C2fFvARPIl+TF_pWJ9a5}cEHZ%9FUe<+_pxm@$gYm z%OoJ-m)9&Pe%-$M^|!WZRBUQmUR}q?`Z1lbN@~vX7LGR1x2$;Cv`cy^COPBRg}{>X z>RBQoIfZ~Za^nk8jbaXrz`?od%NXfZQH!NJ-c0Z03u(C2-Zr+_9Z(ukE>H?f8v}eX ztDS)llZcGIg_V0?ME0lSKMX2rnx26Tt1vI6XCR17DeE0ag~jGnHMM?3=mD>|l#6Fe z*D&;l`p&F;V+Ia!c&q}d{sSkX^Wrme-(+-+>L|M99=t#BUahG;B)bEq`Y{>9qh{n% zvuf5(md|kyBk`#@`SskZzH9|YXVi{^9UT0t!4WMzgY*hU0Tp{E2#%zIt^e%GrE9WQ z^)tICfbfc~Pvigr!>u>Hv$({xzRBe;Y-7`PY!7Z-7bUssU<7is%}6im==CkPf4_5o z(k}dlQ9wisF_>Jr6`Dz_;6@DoSUH6^V_*wv=hiliFYk-rbxteY7B;X94zHTo|AQ|C zX*NY>KR)HJxG{?wrLTWd)~>Q@+&>RQBmi}+;>S0bDNs+3=hAYi%cB( z9Xr+s?9$$WU^Jb}zz_3wK;uKIONx393GJ(-5l@LvZ_}+U{=P1i)ej7ugH)~j2Ua93 z5}ppv?UG&K;Ietx)ZV*`PsOB)@~;HkB94_)m~?{IQMR$)e)5?#O>7h_sou`Rt4l7E zmVDd@WCOT#)`YB9esCU6&y&b&Ju2ZeE%H1f=DBt!<0-q=2XNO*f6ciB>mg-OSaa(D zE9W);=*L?-C%ZEo$5QgkS@{gW^CcMxM%LfS&pt|dK6o?#cvQ9V>Eo3?Jlf-83A(q55nv=OTMXW7qlQth)aD zjGTs+3GkWyhhcJd6f3pW7pd~rMFIu$o=u{sl{oYQ!kWg;$@QT1%QgqUVoYMj)MspJ zWu3T=;cZ&G#GQBR(>On>?eHZ+XWTf6##7%jTTe#myr5XYQOP<6TT(R5kAWRy@?0-K#~ z8-XSKp~*QrSH0f!yk4f`zdO6D@u-EroQUMHi!6x9pE*3g!mnWX3xfh&6<0`j($6cB zRMIoMz$5e^w{!_?Y@JdIghoC6cFYuCSl=_X@twPhkn+m2wTs`cd96D@Lj|3k5O&(n z%Q!a_zubT1ZCZ_ST_)Yf{P+^D8g{?BDgZCL*a_Q8Of6_Tf-atTB=YNc4k*vWX*puV9;x z(Q`02Zq>)1anm~o(G@prb8b-RgAcO{xa`)h!8OCb{!l58&0$MEEG+)KxyQ_AZy2spNO5MQvscry>Si5y!cVO|Tt&3-->#Z)& zRqNzkHR}~QtBj8217+1wfJ-OpUEux-z(z`MPiRYH-{->s8(CI}swTfgLm1 z&xR+{@k7hHDIb-BGwR`t#l7e;b`K^wWvxJgs!v>1Q6r5i*0CAbm-t-Q9 zVCEHfFRETM_j@oogN?_l8&p)eu=A(*NPRRYXeJw1m; zHY3xe42of}VN_2^8W1@TE(`p@$({arQP2!>I!$4fdtH$o1I5$3SoLm;rw+;C8*! zK`Ap}_vAZAX2D}3C(Xhu<)%p$QwDAa=j3!H<-cX3>v=Z`LA2P9(GY6+*zA{MD}*jl z4T~E;6m0B0(@S4})-w%=djdWz?BNpMylsdIO0OLvl5qA3j)*HRt8HkR-P*+^64wA` znP0blVPDemtrx!i5F;c$H}4gF*9H2bnkgw}=Ld&Z0f$NymCzqHb)Od6*ZAbdM+6dw zl+=6{UCqp<$2hu>v+drr$a_O_J1F`$C6AR|-V025L)V077a zC%u#U9gNo_KMd1IK3k(MRJ7mdPT^Ncq-320Wwi?!3^!DQ$HPwwBllkIUb7&HOkPG|7CnxleIXEVp&;2cqimU;xYu1QhkDn_Krz zeL5rKseCykXzBaDE@E==N@V$sd(9(Myh1re<#k=RL(3^I@1|cii((Ot{R?g`;rx#T z>23drG@(foMlCwfq-s4x)f$_cp>Ps%oEY;EQKLcgX=p-id3AMt>#s=@O{Ee4g*ca> zr;iLB@b_~h7@-J700h<0YC*I!5eC{L%E$;V{mXCy$Z*R1O)o76ZJLToDyo*&XpYm# z8QN=MxI(DO4c3deqscapPz3RW4Wh@^OoNzq>*ORbJ!5Sd7I`auiQa>+4f)gT~klnrYfA`(notNL)P`XHg*f435flPMMMcpNClo14Nl8P5(*g}~ zqA5;mw5Hb9js#6`!e+Y1p&`ykaI4hNzhX_~Nj`!=f)gfDM=NXLlbNtOXxKzUoDg5j zEhs4Z2jN6}HqoL@G{Z^vAByuow%Wm=kAH2o=)~%6FtIwUu?k;Sv1ncRpk} zQ4r;X?{S{GfB9;`%v3HeKO zB8KQ^j8F&`)NY!XcGaNP_`3kpHr!O|LvkVCw)1Ja#cJ@4Oth05CX4X9`R_HA<$?P~cyP&8!S_V%d$ zp`w=%1fho;Gqgdwjc;Yb)% z(V$(O5w@207oe;2^_%*}_D_)coJDsg*qtEAsts|$MYv1o9<}tj4WWRlTC}Y8ub_8h zV{>PRz|s{5%4uPa_9DFqZEQ$GQ&CwO5Yp4L3QI^p9j%m06AFP(xHEEa zr{rN#Kq1Jjd;|xoc@-6vPzWw;CL92Cw2bTWK|Cy-EX@!fOB7aGdd|}b6!u^KITY%j z(I@g5d{ESeAjOtG)Uf}cqZHbB(e!sk)oU~k9T7%2^4I?i)z6cYmMBWddZK&I-2Bqg z@*x`aL@<`%0uwUb=#eTk1&xi9k07}4S;%_gSw>pM;GijR8i9x~OaBPB2uDKkn>Xc9 zW`CjQRm8as1F?b|Si@oy3famf1VQZJL3>2(;Naxs?&19iLeEd0So&dPWLP>Ape?j$ zVzsm}oC*6c+G**6!oY-#bA%5(I(1*+>n}{ z{$@BoAi@GMved>!U;O6gAw_?|W=&{L{YTi`hO8oHn2CuGp?MYJosjQLew3LHy~T}| zI+m(kO2lpL?H!O`hE`^Hk+&h(f9C)|zbw4a;iZm_@9^WdVN3opo|YCAXu=aBoJc9lg5m3HRe%!!QPixb5qd}=+`}T<26zGA#4deZJMZpjCa)eRJ4 zTZ$npY+HsQA{tXc{*N^IFLo^n&B?L~F*S(QD#rEFIxGiANAV?H1d&)er$rFSzt&f& zr6UAV+2=G6%U(LiMdJM+-im?9qM_KK=SyEPK0H}E$HQ=Ww&e7GX<-*9Gs$v`Cul^8 zaO=s~pAAzEU)T%??fGM2`D(FSw4+F;}#~f zCfxyT$4#vJPk30#yyX{-e|UqR4;FBB3-Nc{KYaCc*1gbw&lDH6?HqAvddq?Nn#XPr zaNgEj>Zf7+>in>N)M?Vo@v9%7_EKLY`yaI~xs%Z?1>jOVW36log=t%(V_Zh_f zrS&MO9Hlwd-mPy5n@R^;Cd;cCvutVErdDzSR&z&}^SUKL#n8@43EYrk*H6j!RkQ!Z z^A_V0a}(HOfZnEr!T4jrP))*|6{np3NL22Cj0w}EkiRVE#0!RIJ>Vg0nCC%${pRWo zZ^z4#8;TRCfZ*5r%Vd2bcy})hU-$s52-va&N##o@CSCrx_e|_nu&rg65(}*@N;Xa2 z3?>o>o!sfX9C%BXB{SQ#_rj=LtU$=%tXuAty2uil9I_8VfWsw~y5&K0I)`y8?igXe zzV7E*QM30~j+g`}@v#fMnSl?3tRDM_n{3V8vCT|3-s8Yu$U55oqw(`h1SSjE~)HpSBjfc41TR4K zKDzUU15e7+>=xmLL4mGZqk(RtW-rG_KWTlypCBCe0oujWuiqvc_ZplVeZ0DO@lU6m zZ~2!IM0iSP00y<{%VA8jU7!7Lh+UrvOG#9jh!Ed@8sYpVZ++Oe><%c^YQZ5E)(*Nw zG4h%{ay$7h)#%d;GmKYj3a4qbbOclYkv(eY=BI8G@GWtkvU~9SA-@gZO(HAuX~oPw z=HQLDfR=Rg_^GALjb}zphW_GOIz~a6vH2Mob#GJ;_&-QZT?PURh95}kn~gcJZ|@`? zkX1eiT4)iNCca_P@$C6~^DtH*7i0S)AjYbp=1b9wh*Zmj$aMdA=BIQ~S_!$MDvB52 zKT(}`;#JseeC{}GVpnB`1a0Or_mMsmJuaR_mhoj2e}M*j)Q=#(Q`YZZO;ovYOS=LM z*xq$lg0(84YQdZ4O(#eoJsSv)5z>fmNp6csnDety#I4GtHb}|U?#mr*nw|xZZcVto zYaiqt$^3!}fWMvGNBv>st9bIg*XQB>C7BI(;7ZZ;X<&3WGdGCYybpsj(hxVwbBUhG zTk9L<;wRGjco5e9ZIX||v-E4zCQkT?cg9K-$rJ1Rwa$nb$u?4ri>g3W!ztH@+2OgI zpy@F)`GN@z?=Hjmm+M-3WtSHP4E;7hS=gA&%jFZD%r~dLF2QCmabFLvXM{JiJ${ms zWqhS`9SCo7+|i)W{D9ro&)lUlx#h*l6#LcLnef>e#^fhO3NBDJ${D=zl0rwiast!R zS~ujnl1BWCD;Bhvabhe}AAHULzgkH}CU!fYhMN#_zfWmrZ+yFscC*#ww_25$iMg@| zKy7{teVE7cBWl5!uh*8~k-+!k7{N(5$DPNoem~PSwgf5$W}H{UD6Zm>QG7BYBmYyA z%*?}f(8DqiAi%17p7RQn`ftDdT?$Z37XoK(XQPr&!myI1aZVP@cgN`aBMW80@YxVp zSMrnHy`8dm^vSy6EzcGCfa=It&+rDT(r>LSwf8M-7RRqNMrF8MG+%yoJ zT%rDC34|!=7`g{PFxH1BeAk#)>9HL(JbgV-TqV3*m6BS2lnkVl=dK;e^2d^1_d0S` z+SA$+@T;|XFt~aJ`G$1ddv6FPeiu+r+6Di7t2~u71rCI&gDXrhUo_ju(cwN%Ww?tC z-034JJQ9EWo=W%I2@Zah$OK*z84>xkZY7iV^cM5?gI|^2rxd0S*Z<^u)zP3{`t()c z1bHwWu_s*ksfB6zU={4?k&;(N3`D9-Q!ktL8N9sxo9`!i>3+qQv{pkO5z9WX&&e-v zWxd$d?{pMu`z`Y&82s z2d5g5mS>)lfU13rd2e?2;8JU9$WDR6E*HTzn!NIXf{`vvM ze#&5S_%}C6HbKgFsy|c1Uz$Cam+h8Y2&?>fzvlOXn9ffgIyF0&rTPJp zU3Q3r_(Vmb)9N34dzb3RIG4X=sh()|f9YIh&gQ!eM)JOuE%e2>J-OFB{2|v2Gg!W) z@}AC~W?9YC`1C$EVW5>pjQ3(gvitaJb4rlR>;5G|)~;@+%8r#W8GDtQeQS_(>rHoJ z{BI*YOVTtyDFs6>{L@6y-`9IbKM3U6z6tmQw5CidI5QpMH07%M5yG2n<30NV`Ef#8 zj28>ldubcl!A}9T9`1{ZhsOjXbYYSt7iMZ6Ol2L&56~J*NYJnai~tHDDkZM?SGmIR z7-HPllzLaZ->3$@wzo|rd55=sVO#)|^UPAnuMZwDm)p+B(B%iU5H%^KOACB*6#n&+ zikkNfjF)8`O6!yD)s-w-KhRv}qNq?$TW*|aX${ag!FOl!1kR(@o2D1nzH?gD+)#); z!t1|i9FL~vAD@s12@whMf)wrUaf+MLMI50E|V-ymP_ta$IXGUYTqI^4P zUJ#;KCr9+1bibsi6_iddZ&+0tMMQRnA&tEw67|-v*(yq(D?5}hH7>q3+Tz6eTw#G+HYuS@BY#e&s8i}ZDfTw}&s7&(GZfGd(xO@1L ztkTA@_Nvr6Nii|1Fij3r0q=dnhUQ`S+x5az*!%}2ucdeC9)iBEUkaEz8e0O!zW_%r zF@E(~pvzaAesy15amISqr7)C=3_Jd>yFJeewxvN^t3d4h9Il&aY*_pg9B~3gE201N6MN6F61%Ux4&qeiZz7fb?IE7F-wl zSK#_DNc%5G3;sJu`!7ceJUss!Nc%5G3;sJu`!7ceUf05(Nb1o)!oexx|HWhbFK`NZ z*TtpFkW+;a>*6^l&C(7AvWx~=SI~=9^x_M8@fE#TgNwy;p5N#Kh>}VSN?%sfAIYOhh9U~??;g* zJq+zMdVsW!gc^J7X3BFP@pY>B3d{eRb&~^Xt5h=M;aXQW%3ZYin6D|TZ`tSE^7hz% z^MH(^dF*b-XHZKCir zPeujL{?+C%-4!RR@|m+;T4DXP=qfsPs%caZHFg;zGFn(zEt zqKj*WJF)uBKMk&^Ez_l;H5VM= zCr{Y#$2&MCzH?&<>#*v zY!8mBB~(;Eoa@cn^HX2`D&NO7}?j}l(XM1b5G=Cfpa<|G%Vlf(cF@}p|$E7A5&FD^xYl+Pkk z51u1+sSSKb$UOXmFA@{DW9<7^bd6A2&=4Jwq}`6Gn_IWHVOxTaTK_gZ|78cmv{ zeVxO<%T?hKm566Qi8t3q30NXdkJIq6h3@JdGq+fgIVSgF%a{8Iip3sqHFvlxM1$}` zEAfH4`X;__yDe+u?^$|NixrqFC@qE(p;4$`>?;^%%+g8pNI~|Pc3HQFqi@_$PR0wr zvcSXi`Sf0W%YqM3*j9Qvl*KN&B9>xHqaSvCp4pH4t21HRLp|DIw*rL@zaaw9N|E>BBd8Sqy25`%Rpeos(p(74Q0(JjkC#8&4-)ii^H6w!u zE!0gc1K2=7`g@_x+OEm8xOMmV_GaAt51Dk=iD7FshFV81f@F0tcI1y&eKsTeh^Lc( z^pWeOm0IC14VSr{HP(onuW`~h0L-{_1;YgGB*S(Z{V)0APS%5Wl55JZ=%jgU1mdrI z3W1;FuiyT(X_ezrDF_;8qQ7>x*2nq>4Gj^BZ?bLM@Inc&_9DT{)4m*Wt#{4#?%f0P z)-)DLlHy!L+u2k5aMm0?K%!{h?pI00)k~=-rO23$b3R0EbO8dVub$sK?mM6x2bvkq z2I{lVBc+Cl5$C7V^?J8FjTAf0U#8}ZRYO0Q9U%H@8tuC9Jowo0q>%7wNnc7DnH@k}mOA`RipBn|&dWXY>y}PL5R=;s2>)zJv&U4 z;d~@BGwJbz{%n@L$NFJlnf0nTe%B#8BdDz|4ZEX$-49Eq=5tEBbPILK(iNU(W5x=@ zNz~?c#JNBwT^aQ|yt8dXB_K*9D|0oZ^9Bv=l1X6u!!{nOZK*MEqSnHEWd_TJx_Thh z{bX3rJeVtqQCa1h@0F)d@Vm@3LCx=Ywt&eKmROfesz2I6mVDm=@+~TQ z5zgZKxd9zCflJ@%9W_J|986R0tFNk}e})&B0c-MGqdP)Wqj;`onp#g)-c}J*S?_mh zWHWkc{?Na0+z9G5p54*65>ql#9U$yoG)>*1%zMzQ*=NUO>s-Ki(T4~~)h3GNm{W<= z6*M2(H>lZW%2hlK%9SM%#!Ub8z;K=)j7iemiX2EDrISBV9dm2=VH5JH3xC#RZ0N_! zTh-ZloM3D5cZB9QOvfGj|L#hRW3o*U?{M4nI+ituEeBC*ft&9Q0zr3t*JANeGZq7cAzGCV z+~vm>6gA~M)-i0HSxxt|a4)`ZKZZ?siC&vld2wJ-0~j(IvjrOKOr~X}S=qeF9YENZ+g5Yj+9z!hvQb4!wNueJ8LJ*YC0MjWj-G z+Gt6}xN_TkiS3%9nwiQb_0+(H6A4d{pSfhR#8=|0Cpqvw>fNiiH|ikg-$S}Q7}N0< z$bB3Hyv+m{*CkKO6%uUA;_IA;*h1#L4b+2T-gw6*ob2|ffkexPUM7`+Ms++`45~OA z$8ST0EkYd27bBKL{43sYn*;u&qwVB}kvkt0J|`xRa%@p`U$rRM+nSN|xoqt;BdrCz z6f2fa;sauSS(V-Mqd3@D>Eqv2q*6)mnhp*t;^Vjk985C%8X_Ger>o`7Mynl`J6czJ zyjKqvqIxv$7UtxA2Ht;^@MZEfb(p22PY&AlsM*M_`eJj-7JTwrkfR&Er4NSf)@jJ> z?+`B=S>Ife7~hwyOegs`#2qYeR-{v?oGi@$Cq6 zzj%S$GMc$mVF6J^m4P?=NRl<)i%2$e1s$2Hek8^1icM|;<&EEji}37bUPp(fPCk=0 z+pP%@_r*RAU}w8)Fd)Tt2b@2^KC28qnaxkHcsFRlPh%ETUe6Mx^5yq?u4_>ZW8UD# zAtBM5y%qtr3&x%9F~36(-B=DX_Slua)6%=ktOkbyx;T~0S4GN}a(fkG;*0vn>L*HJ ze!?5sNlt^}()STIu%|ubYx~k-z0LNb$&*B>$-D253tB}vmyhWmS_Di=Uk95XN(a2$ zxvekmuEs@N=0ChiT3+m=q1n>?^|32)P7OCWH~E9L%*5Q3-Gmdcpo-1ee7tefTf zh;rxyuj0WR3!7c0cHOGPBNEm(cyB>?^WB7%FN&`uUC0~kZ@sow@XB8(DmM&vb}1bu z;1tmZbYlwGpJl?s>IreKZ9LYa%6k}z|LU+ZNRuH!Cltb)z$=^M0m1vgu3hcx9He^~ z3wilF>cXlN>*O`rB4lm~XCP9${XnY5a=O@rK9EOQT4?eIgH3sA{HN!OkNefHuCxKK zHI^Zurtp+(zDc2zDq0jD%j;$^ZEJ=_iYIsuyu{?J)NU#Ds}JK)r~fD0_Mm~ zCz6$4GqV+=spUSjN?`87qkH&XISlnFsUxRVHL3Tp@0cd>NsAK3Uh$V6e-;H|k7YO7 z_kN8=N~pWCK2eJeDQw(Iq>)w$e_k{4^S-VR2*@H83wiML^{udsx|Xi@zv*w-1|_Gy z_)RQ+FFxginjx^xX+NO2EGpw&X)-)5DDCCVW2G^8|5et=_4#6$q(>1rt#2J(&^%6E z9u`au>8lP4qYyW+aBz*DW#PGP@97^5N`LbRh+8H!9utvWRklih`C-`7DW;_6BN62# zDN{420x-IXOJ`I4Zf;A)G~|?sOL>}8I7-SJQ`D&^NQg~cJ|55o_El1QMXg>lGr#FQ zFUQFJtl(gp;(gL+qj>KyKGO}bu(7>QQA;lqQ1P;F9-ow3IWQ$V@73lp1&}o~S^G%? zj7;r(lVRrGw=Hdp8@4P#kE_0%5HN6vRkX6{TZ~Y=1LljX_&Wy&UJlM5ld^vkwuy&a z9R0+??_AWs782KNx(_Inbj!Rl8c1bt>1TEB{JzY0^NyCQU-FCEH8LtGOV1K8wf*~? z%+@WeqOt#!o>Raz=w-ve0-tSI$*+UoUaxP;f~@A5>tcnq$4fS z-JSwiIe3lTz2H-@Vy3wR1+TnaQf*hQ{E~WPiiJOZ-}J0-N>16^-k*Tctgh+(H{6>_ zdZ9H#6c=d)CFD`TPrmJwvT}<0W#l)4o<1U;=-8KySj?rBE8lQ0YiMOvk9;FDcAvd^ zGc+M(7W@jgJY!SWx)Vz$%TUgu`M4JEO*y~N(^t5<)$77e)AQgD=@sdztv!O<1q{{> z(HXt_R8Qxyd5weOQmO|z1of?elTUI+%ffdiA$_aV>K{%I%4-P8`mlk^`+1tU#ovsq z;OuS&%j-IJvA}h@0(sAH6)h*fcUsYfa>}}?+war;q=2W=V?T%`*l?+P$0rYMQr*-x zaEi~$8~cQc%B&e6yaKK(-_Q&7=EAp5Gm=OZCCqo7&E1r2Qr`{+*?4)CV9M=s;p#{oPy_OZ!Esru5{ zDLKZsuNaIWuJ6b8N$FWbQnz-4bLW8X9ZgpxfB5~*x4=~r!$%*Nq;Ki?=_Nfb>%##e z>JJLQzz*bZ%{<~Cf84%wP0c;?c}4Zm=mD#1z>}iyN$K5`KvW53o%D8k{=3xEC7YmX zJnm#k-$-n}m+yUE^=zHsn*fQp#9@i2?bmEhddd{^GwQ_y^DAErPmlq=^qQWz6##go z{Zd}MTip4{uB?snSX$rSzap+{XzS#Y`EKNrNb>?X=DwLb0u*hgsY-@)uvO8~6m3=}^9CluOzph_&DbZr)3MutIWGichNjgXP(c zXlzI7w;24hU-8JmTLXUZ+jZ#?P+YYbb^3gq>&M^*(ze(dAZvfNVEu<%PO>)Z&aeDQkffZ_5LK_g&p zme^`GLm`1Cu+r zit$gLHFo}_5LWT^|7xE9Iv^r8sgvLc3 zdTW1m6qBI^IAkq4->b6PVll|-QOX&aJH!=Lu}&&%kn{GB%4@;72pG78#0(3XmVcb# zvtPg6IR5D;xs3jya_HDDkEj>CDT4XMRRpz5c+otvyc3htE3d2fit2U*YftU5a?B@1 z_c8E!MOaLJGAfRYlZXA&W5I`;9K?Zvp~`i{*L~6phZnzq{hPKC6^nl;*aVb}LW*9^ zO9$4?e%&|n3rL$KAd}b91qPlOWwU1#w5(Eh?issy`exiQ*tu`y1P!?F`6cD36!zH#744U}fUcRP%Qcl1 zrjmhQHRCkegv?z-+$Bsd2UMb-X;s67JenvG z$EJSk@@cShvHs)C`VNbrq?1S1(>F`>swnRrO2xwIgVS>+78!MrUj1&2m{U<3MC-8fEY;t}7w+OI%_u(6`bBKA;^JSCI>;j!z zQU5jNjLUH~rC9^*Jp@@`H}?F}01maq7`~+XFFcXNnWdeW(2>ckj$7EV)@~mu!DT)X zZNnEszttUrV$&PnwfBt9tH_DeE$rG=swU5=p5c4r)T9Av-b;(PADjQOCZ#} zvUSF*8}eXeU&{yt{vZL|ckVN^JxxfyiaF2~M&8Tu=;uZ2JK9y9YiEfxfWay>Zb}xP zYew-dW+j3ps&3%j$QD_+e&NH)8bWtfAJ}Gej~=2veLK1D_iCA%$2PaKxBs(+op-~? z8M(l{++nc%`-Zqn>5G82ULEHre5@bk=iN%e*>7j(@_DCqe!}qp;lFVA3|9mdjK6lP zJQ&0e@BXfuS<^5w^$qb2cs}#_8=PLiubtPr_UjyGbj3zZELtT2zT(<5O9JkZHulExzOc_p+Sn z!;}uXE4O`Olbc7z&RA~TRGOUO11|AR22PKcP8ni;$U5Yd+v2h57cBg^bf-e0>?_5> z2^|P1GhdtCjoYzlTp;63PMe_#=mVD1SG3D$ie85lI;4Y_Jz8cV?+Lwefai+ zndP=iL~43P^Ei-u5LNL8jGao^G>^=zUlhG%=^kBCGqHL>E@Lt<0VDM>|G9d&B zEt#hfC_}@Qe~CDlL=G2CSaQHLaS*WNq2js1_t%&Si6b$I+nQ*OOh-2q!GstVtj_>~ zUWg%lV6=pdU>KXgs!gHH@vr?5ia{YxYKKm4{uir+TqPtb6Hy2;GzTU>N~@`Xj@i2U ze3;oBT}$H<@*lFY4U(0i2=Tjjt>}PYWm{W2#HTts%)}@lQu=;y3Cc5JK)Mp*W>87F z4F#G%f0EGB(!rn)g-j(1GL>-6gszx*34v54e1-)U)_er}uRRmC9i0o0lT#T|l~ACG zMk>`IQu&WU&>tO00E9)+EM+Kyg;vexLy{6*VHn&N4V|HBN_0TEE^K6M92!5XtgJ>$ zG+)yH^=P6|<-eFRdVHk42V#g2teg*pBF!ZP!9j~OA*qaw;Qdu;LaGvl9t6Qaz?Uy* z(=M7L{kFBeO$22kWH1Lh`CscS1r-%FA(~QV01&ObDV>jCq4DIlzZy+M9#)|c3aLtX z7XCt&Xu+fdfxxh%l?Iv3!mlx2d_jo zxC9;tG+(&{k7HRGY-8n%kHaHqxN>xK3>|2|@^5zZ=^4D4*|`-ol?-4CR$Fw1q$|wvZ9_~XzK4Pgukob>p6Ly?@1WAEa zK6>;xEiJ>+47affj=QzIvlOFfhiqnNXZI?+Z2lu>hMRA~Pu+q$WeG=;zyE_GL-*!x z8-j(#GU1EAAO#6kx`$_Hu%F+S{LjBM`ZB>Zh)I9mu%?$D5E_IUCRdk1dogN2`^25XZ zmpNX=Qox{~Y(sD^po1zDL8$W)5L24hq*#n*}OB=*1A0hjT>-+fl`TK{YWx-xyX^R70%MjAHyhHLF%@>zd zR8_x%mY`uvMPv+aJB~i(6Y#-gK3d{~1tmTx=Ls|Rn` z1_tX$6`mpVC`01j*!HT_iSwl9$Es;&3#oR!WIYK@ZlXlx@ajE!&YT! z8v{}N%fBiujUb5f(zzs5k}sVzA^kB+%NP#s@HLFV#2-A4S~$#fC`t67mm~#+>>- zIX7Y*@N0LB`CC2%tPvH-rt1t1@rf0ddh7|4-!02I62E@2>D`kPEA=)s0cO|ETvm0q z3k@>sKjW5C(<*)5mGp3>`(r;dRq!LFN)LQJy6Jb<$EJ*>cUi*c+JVWCTi+V4UVAg2 z|IawSySD_v&|FnuN5v26H|O}bB)*Y#SRZ;;uyJMmPHuhpmPEPeCCG^G#|j;CK5J>qFh4yUs2QM%Jv*-1 zsc--b^CLb)!NRZ1*JTEZrVtsM`)CmWD3WFL9td>u1kAlst7@4cW($^Pgq zMV^eJA@^7ggu$Re+0x~0uMbH%z##|#)@>M?xV3pZCUzWo&`*pxx29+?-#Wnlk@g6vbXm4Y6rirTD%62f(x`%XXZx;B)Nyz=q|szcayW~;QYK~M3aNj zq#4L@*b#HJ&fjojSPpt?J~>-<-PSN$no-EnHDMZ`WiJzq-o98IA&E10&tdxX;e1n| z{n+~+A&E!C4{!_>J~?Lh0;9xt&mCw3t@*b0R!edBr95Nzv9go!pQcO?tsEbz(}3~| zmJ*Eo5(Vcm5rfoc=bJC?4eIr~YZ0JUF~x#jcF6;;t4E&0#9U;|p-M}tc|44hZkW1w zg)|zJAk0a$pGy}^wuFJ!w{vN;90|_1AKoGiz#QsYav8`8xvGvD#~f(`(vyrG>Gr?R zsiDS;lx*O#jCNtjHZOM3TAOStSsTF*Kz+GEoyRb$T4t~2o6|>9$2b$?;d*?zoC4v) zJ9Fb2CV3YrAVstnAQDyaqMq@iL(*&LAV7V@&oPtla@O~u;N z;$+sA$1}HsoNf81rPc8H@a{STk7wlHf84#-*L`W$ClA#f{@TY8CEF*jLRc?H@bmEx zV{kkkM^Pux5n}hiZ6&*4_{)m@J*{E|wtb25!!+UDWDFpjSoUfnv!jqgQ-p~$G5&a+ zN|)w|c!@^e#l#?wJF~i=DK&KW(gLRA*XG}(W>5SxvfD&ef+L+01nAyHKUIN0QMGmA zEZ%JMA8VxkbRKgJgUFFDpy25isA{_G&Qw*k98l2`b!ihR<4n(N= z{xK)gs;XYMtYJaIC%)4QJ_~UB8J^7amY$K{D^QufKLncsg--D+aSNhMa5tXD;clbuNlbPPE=seL#7 zO~*YKUA9wDiMvuZ4_oIM3phe-a2Ts^0?8Xpogz0&9?qw63I5)E@4A7_I6UP~dueZu zaE`Y}91A2@>TFMT_Tpy<(rn+Z+2aF28dOT^Bpk$^{h!}Tm#+dPYge^<5!vzCq|bE( zWKeI^uY4j3zUzdHOjbpS&&@Rga>99Sqx(t+-d$!BjI9};$`&(-eds7dyAuXlNbNIS zfthT(pTaP9WgSLO$l{TQtYddEm5k``BL8E#(M@Nn-(XVmc~fcR;&W@yj1^UtQv2Dx z6uU_Q{(ijw#oS#+Rn`6d!rwH~EmD(C5u{rh1f)Y6q&o!x>5%U3F6ovM0ck-RL>g%j zk&a3Ce`His_djosQhBfzguJxJUDQ@b7Za=yQBxNYRB~82ahllrw z|0WCH=p+50pZe`5!(>_N>{PN%Flc^+D|&~OFM2zsGr8ufl7}%XkH;PO`%1vCQkYAC zRT+#Tu0*VP#ZPRSJfDe+0mc6HUS}nRDb#Z7IC-DwT2z6u!rdjGqCTaG`s$%TTY;te z*N{;9`hX?>+%q-NsZdW4Xqs*x_eSAWC#?_@htn-d;aVtL`x~4Jv+-_h3D*Ns(9$=U zxt{D&5$^d#6=RCV z!N*q<(Z64L#1a9f)ITVD9>Q;C)1~jRGlXerN{x&2O`=lLi#KDiELve8BE5cSb9_HK zxAF@|){>l;Rz>K#!Oh+!LqIy-?XbgNFn+w)Tn*lsl9?vv4Y4S^*HB9fwP-Ou=}3As zR5P>n8OR%A-xv0PowJimQ>yS5sKSbA4f`m9gx#&xII;h`1y-cq@C2Mg8_QulK$`5q?}z+SpqGy2)b~vpdNg9a-(1ZNGtu@op8J zP2a6L{@$o)jq@ofK!T4Ou2O%9$X~g}n$B_v8*F?=J*Py#Yj2!O`pjNM74#A7I2gvV zN{nJZ?jVHw^Jb|7VI+IjAgIP? z`7!x|Lc%n-14uCR*FRnpD~KXewp|f?;c7a#3A+)iwAI6@HTtdxUiycOPi~9-Tv!TE z^>%el%$T6rts5^xC&Vmde3sq93?$+TjPo-Z2|_6oNY@K7F89x z5kY5Wx+uC+Bs&kMn{+ITQJ(?oeK-zoiK*b26coPltrb`XQxIBzN9|tf=;)ey`{}Y8 zCYK9#kkXIpELxRKJ=OriTE$&BrpK}?zBz$+qIqoY>kZR;q~Ka5VJfRb=Z-|cX%PL2 z>q7~q`KJ*iLLa6aL*K;=T@0T1tdGAI59_;603yG(+~p5Rq+j$74Dhn6FT10I=NWob zEf1fmB~Pwq^8kOIEX>16S&eUAqIo$M2=Vok4gCosf40V2g_|3$EWAL72V%T!7ZhR~ zPo{u1)vgc=$KOfFErn6>RgbzcTHpr=X}k+7=y)9&VN-_cwX@hx*mIUB;g40q=W3F6^D;}&@$h~DtM2E2TV%en5a%s+M|a%}4R ziTAaMPFbB_`yv{g2t&6KTgIbpj4QYcHBmGx$^Wy2_4fHUqxD}}`mZbn(9~#XTJ*y> z3z`aD4$Vu2PNP5*QvX|)g8!M8{wr+3|4eNE6}I4iCbs_yTR=_$9tOP+r-h(1Uo20d zg<{yDb(a2H*aGNmizqbsg690m$o@-g{}r|X8pInJL#yFD%%T35*#0YQ|MjGhgxy{7 zfQIJ~Y`urSr&nLuhl3qFDA&V>-EU|I*;l>?`}*hM6UPs`zYn{Uhu!H4IW+$Iw>gNy z{@;-Piu+#>_VWJ+2us9&_BrQRh{EpeD;fHa%jOr$mgX$+ZPXJ!2*vK68&@E=ij4iX z{?41jpeKaA^Kd<;gl^7|ttt)9y-2BDkI@;ptj1?Vzi}0gJm-UxI9^KR4Mf^^1Wsl)afAKv-(48CUsCIl@ssmny4yZ2{d6Whc&n?VOIiLs zxGM~}QL3|)@uzv8i%8mjt>kNWUysygAh^G{$f2SPL{_;1G?P_-;F#5~?Wt4S-6d|i zH(g!sXP(@NoRr1Kn5!rW0s`Jbdc-y&^Yu=%I~A@}`1un*oDun4`NixNTy;KVXz4S= zb>*||G+1`E4nr2nx3)K9PK9q}=l#yAHe3%p173r|fjqo^H$RqV_b{7v1T;rskui`T zAo~q@fspjRg=!0Yl}+7m{f2Uf2n15n3 zE5!{l9ub2{d3(NwF^;Y2r!5|FrP8@oe@tkNSdos%oF=QYQKZ@doz3W2|L@Cb_f^6d zK8lG1t8em-v^$LF_|3Ef6Lha%0eyIJ6h_`d`Z19=cE{#@QhrJ#f!Q(7$n#z~p4czq=Nb-Ub}P6@!ZEJKSkR3S=D)6aNgXTceVrbK5hoW-A|qR!DbuN*=ceOqf&y!U9# zM%3L#fzQ3la5PQJG|y*F&*3U7{bA=nq@AqTaj7k~PHqjG$Dm{DeourprAg$f@Elw7 z?yr7p#m8<*Q+&85L(MlrO&3ZlqRaR;2m=;;Zw?=7K#xgd%>`G+LcaMK)^pUS4Krb%S>X_LDS)4uws|D|J9xMtGi8 z$gzxob4+*X6EtTRdlEdANo{h`^8S zVl*f6wv?R)GSMX3QjKE=~C*TRhPbsf_Jsviu5h`oW;%da#fSP(EjhinaGW znXlEgaabq!fd9>{ZNcgzPG2kxu?ak2__A*1w=xwAd*YxnZSg_eyd542=ET@m9OJ&+>XcQ<{u=(fAW|04 z7!s>#T_6M8`wY)psL>KJ6vSatCKbcnk38#dSUiWUtSKy&;sy>tLxNcEYhtH&JEfFx z`Bi#73jBUL46x6UB=y6+*}-!HpizWs7|-kM`|p6!t4DIe zCO}4q(xR*>LFFx+_E{eF#`YWZ3?KMfvTf05~O3$Tl2)qqja-9 zLW*{~P|2CF|2aVV^F82c(ji)S2^2o-9GD|VD=N&B_B$$4G*9qR3eP=gazw)+y2)-s z`uk23YySn%Il>-aB(Y63Av0J%(;;gF+MhMKmC--ytBky;Kihwo;U(mc%Q8Z%Zq_Sd zu%2)j2_nVG0@J_8jDA1w(51bXpO>l8maCqAm8c_J)W}4&;tSlf5RKPK?XNHAaHQhu z#U`C3W523>W=O%DvKR}e(4zxe7eowse*tIko)D{wW!~Ql_1s$rg>+UE8o@V)O&Z^U zZkr2#;oY%L5i+uM=r^bOyN+o3Qt+e;+s6^)Cp;cXFeIJ}XP94TF+~++?lmU)wNIBct^h-OJ z@fG97`;=4>;55uAFLx1k{$6%5jv@iesNWMU8yL1pc9PFOYuV#d@`*0kiY#OJGSx9T z*mZ9KRVmIE@zMB-PxmtgPU4NF{pE9HN`I@dUz2z^F_r|~0D%??gm|*a+*Db|^2kqB z5>6wDpxfYYM9d_{n!+x$N^-=j^yF+wojtEsZJgM&6mMfqy{EpNW)1`S*>kyxoFpLn zwmkn`Bdl@uoA`aKOcc&9{sQ`Pl-#G9A@+3)Uf z!&p{~2{krF1eC(&+3mx71{Gjv6_s4wPAFh*nOiw|$NW+)JmJUc&IP=rWkCI%u$m@F>p%gt z8s5QC2PoY3&gR!G^|M&N8SBI>eKt=qA`5>5dhU2D7?V$#tCvedqTb>JtUyxOp;aW;H{%__jlI*XCOWP9Wo0ls$VxZR;Cb z5#M&lWZ1zTDT#anC+RXZ&!qRpGYULmdnTP@ZfY4gFBb7JuPKxvd~}DHhVhy78@srv zUzdCk=qc%5*+a$CFpQ4v5qEf-)B5ue85M_up68uUQ^({yI7X!mPaEC5#o(4xGB8PL zMb@^CD+!6IBW?*`>rK8K0_rvlyb5YmarFo6pAU#cJwp{cheGn{8RkGjPr>`nG+81ewXoHn4!g$`KWtH?egJUFiZQ{;@z_8&xJO zCb{Z|lz*P0ED$>{JMfu(mQNS`BC-FkgmRth&GRz^Pk%x0&KVj%!F|x1(9xr7Y=gvM z;}8)wLr5xS8rF!X>G-bo$KolRkg+3(O3rScBp{>Fv3>7XR8_b88{Z=|vSf=_QZ2Uh z&lMaSQ2q4x3Px(_*ovk5p$wP7`N~%YcUsJ_0G{`j!qM&h9=O2#@%c5MC?u7aVMZT{tfP}bGjT=MHL+wvHhtmnoAk%u^6R3pKARkTerJJsm9&>| zO1_v3bW#lf>j(evFaB(1A=enPj_=e~A7)lXUWUfz;IfF=`+kTl1sB(9Aypl-duYT@ zHF9Te(TNSy8sQVB)}-V=Bo_|Oe+RzEgENm`l`b+-rs7hY+U}}_5c&m0WX&r^4zK)F ze1r)|YW*ZE1L0Y4V80||l9uHYzW<`*S5UQa^2z@C6Q9)rWHk?8qhd;Bl=c20;Ze+N z>*|}myrF*+`9)1TcX8Vz1|+w?318AS2uEVW^uMhg+8Eva{ez-v#=s`JJ}kYic^6!{ z6@T=X(-r*ud{cIei(k)5T+1?Yah>{wx{l+}oVFgwt?k`FK@(6mAbhFnl2-n?dwrXj zMLI5X>OxdDC?&rWz!51oOb3jNBTFjiRJV4oc65Ij+zl<*?3`B1ICwF<3DB&Cd<4+EN(t=7yA~TK`@><6Eyvxlrsz%zQQ4ACe{L+g@qGFQxX@!yXxuBS& zjytIP{s{dst&pZwNn8KSo`Q+JZ^DXW((nt}MJCra&mmzyhMemOh3UIZ&#cmhb#l@0%rzJTle~8F z{*88A`ID@OieG?nPVz0HdAc)~dQmThV`%C;8m$(y_P2c*4f7_|rcNOcai^V~U&CZm zpk%OjSAIylZ$A46Pf%~+id8tVom9XaIQVB3x3u<+?4mpsGIf0GpITerG;wn6n77ON zQq$7@7@RQWPaa$$U=wLug|zlf{n(}U%4|4)%*rlmG4h?|Kp%wbx%eTWD%mHk?;Sjy zbYyz#C-h6!o%iQu-Wa3OubLOY{1}c)%hWNxzUAzNqE%2;Jrk=$Q0CSyj)1C$wKHVU z8@nFi7@Au-dPlW(ZET?{q>P@<{JacF&K~@AhA*Z429({%Y4`_+MI{!KX->c?X$usq zkDybpvI{nGSo)N#a05+8i=5F-M3V@DH;#C!Z3N`S3qlH-P63t8o%82UsJOwacU7Aw za0ujd-0J3TzI82QSak8dhkwzG-ZkuBbBniwr9Y3EIAx6jbE=!>Qc5(BhQ9CQrWkqB=^txz#3xKn5nS)`+XHelL5O* zYQ~_Lf(vrDlvWC+r|jA_ji9Ax6_NZY+w0tt=7GHnRBB!YOI!Dd@{ZZ{JsfTCpmeas zBv-U_ghDPInbXpT!e^b?v9d$UCM^HPEhz2F5UnC;>3z%+oQjl)V!m)o5Ok90+wgsG zYafP@TQPfmPox9~P`(}uYdW&W=eBm-axg1;$MOj2*m!ph&*41J`!qbc4ep+D**FHK zReZ)}l9Ye#9Tb^|D57ki(l@?OPD$(cAq;d6<57#cXY##v3#;3@5cWSj15H!X&VS=F zU#S|YBq0JlVm@ti2W-Z*gdh zKdk&Z#{-;l5g)%j0gMuw4(W?X0G-^${e57;NQ0{deW>|#jqw3E)>g}DMR{1Jd)}C613%&q~we2mf-S;Y5ZOLYyVewP$ z-hYV%(?&`89I9`yX{_78{3Zs2Vq*5_EFQ_Lz~+_9XU}yE>_P{}W_N#|KNeDp0eQu% zQ1rQtH-1o9T-D+hB8FQ;;$y`mc4}p7$M?~~hM;I@<5V_z1XIoF&l7<=5{;f&ot%9B zqSMeTLIOrUO;BY#NtQ)I9ZvQ&ZbGD)ydAL=jq!cnqAzur()+F5nDKOaD%}ktOd+nBNB7UYMbG?R2*Zv zZxC^q-=+QLO3R&`T|H*k$!-8m1M5!`hJ-qcPcLnAe!2S3ig->HlyK@43)wjr&SFyn zrZ?8b@Lk_&fA8QfhAj|_;>pN=oOnbkt^XcI#s5NF16bwM_MRvjhDWDmkBl8YQ_1h2 zSwcW1F*ePe*utgI)(3BVViK|&aoI)tR(1~0LL*v-(4M|jiO+Q?YU`rjgXDBEddKpo z6vx1_Nd%N?w%t32>`N$)+$I-+#NvZJ+gcSMim9l&;1Ve5T~;(OFGIwokurbZx&jQ0 z!$0;6EF1@b4-sWwRyVGJg~QwTf${kx)Vi6uP$qxsIhSH1Dz;28QO+reB&l%V`@rvO zw+-`*{8=oGBoHryoY_OhrK%bvMju$2fw8L>q%q%n=fV&nj_Kpu1$oR|h+{%q0CYPL zdO*-a1?R%RKa4Iis;B}|W)R4Psurq;rOhFa+0fLC+T8wg@u8NCpykwZA0jCrAhinJ zS?hvRkQ@8>2?;5n<@+ZXQ1qc4 zF+WISLYoA%Nj``$Dd>hY^ke;lG5?A3R8Uk@c5rfbafJ$!m{3rx`#Vq1N1o6V^Gkh* zi1mX(?hLBJ2S`{1J-!&)O1fZZ|4F%o(hkc%8o>aB=^ieX)Ykp4sLSc;2aGujt!zI3 zpwBQNeYUcG^G{GLJUjx_2BHxRhLj6|%q|!V<-x9@8bKb@?;nr(82zChfE^YV4j#d? zhx|y0ba8PT!6+b;$;0!2(|G?A9Qz>BM4;;-l2Q=MG&ReGL?+ab1=Nsb7Yy+qkO|#} zKqk!A4+iX@dH|V@j*vcehg36EsgT7?gF-PMqGRDQG9fVsf#wH@T3GxL9ozTcpm`8# zWGEK~KRgVHOxQQ*7dbi#flR2r|Km_+<_{l&RG_+iSVa8#<_3bz{~^u)Nz|vCS?R#MU5U z`2tZ1DrM*<3gkBbSv5UK1?wNT`5-s7v>>->5F{HU5hMivAe^70g~USQ_diLoMo=6x z)KHDzf1^$4_^F55f;B*&Zp?+@H9eqih;_HLwRd)QcK=*lUN+T-TU|Ak!-x9QgKFM{ zy3*d>F&cD;VPS2+Ng+JIX6Vs)56$2k!LS|zWT7x-!hhnJi5};|5Fy9;QDFh73-<^x&C;*uZ>Q&TkPsn!qtW1A<9AlwOU(|!*tG{PQm zCp--GAw~9|0A}bb3()p67Z%)#zp}daYxDf-KfV*`!8xNoGPOWKhwL^MHYaDV792Ns zp{@z^>Hr7hgC4~X{avAeP5}YI6{t7`O`0{Ko!Nog~)!gp|%mO)Ov&d3ij z%usNrsXZd}{G1bmED@lCC?yw~65t0-34lJP8$nY7f_!0FxiAEXcIH68veJhRv;iLk zKQuIK>WvP;&e4C&_RQ&-5e)wP{M^*V4-R(u@M?AS@CtPe{Xh?s0d8)gHt(SAegy`D zUU^_JII~rhhj?5lVwyUr5XRyM3(CZTIP*g{;SqYJ0clU|+L*Z7fh_-ji%%0MV%qpMQpiJ}i6|_GqGqE^3kaa;01rvIP$g&9LwVj%=V)#7 zgF=@5V5aE^9T0Esf>M^DC-*QFAQy)GkhBcVcz}AsKQ9x*yL<2W2BXbPK3CN@$~cI3%cZVQ@4wggJdS%*L zSOZfv%|Ux`%v!IZcN}jYKiI$?%r~e6!4Il!vuT z!^XzNGfgy&fg>W?fJHreIOItI4fLK;dSrPJx@QC-+}{tZzjPN)T4n_XG1XiMsajs* z$U*hLf}xc)A@4qj0CO{qMu%j-rzeyM?U#|A6NU^|P*8>#dbtg|-iEt{m3G0(;NhWn z5Yt?^iVEvz$kjE_T!n`5ppURA6Fi@;zSEBDZWqxlb<)q=hq_ThiKAPsUZ3qCc{n->K5hcqS&_oWSYj^wbSGST2h z^TyYp$m9pwUr!MLk!u>h3^WxRg|hWO z5`-n%ov2xPhkhRW+1-e)3w?TQV3mN)KmR>P0VSzCy3j(%A07&y$Llo%ucKA^vPPA! z3IGR9;@ONezFL1Elh3+Jr{a}In*|Dyzjl9mE#b1nELC1C% ztwJnh<;7mbHi)(4HF5+}Hcch(kPrjDrf4g;d#%WqGn$=tzN}i9Xh!_b7l}*)o{MJR z-q4w{Cer`VYLGGl85S&UF~7qTjZX+F(h$C~1Km%?ISNlB@cQ%siK;2uSU^eQCGXuA+y@A{;18uv4v*MEA0=w{ z{S8vPrK20e%Wk4iN2J4pGk}vbW?ick`?H_!#0C6J&T7PsfYNtg+XbB~$?}vMdqof^ zQqtYgzxTfPBA_)X?2SAU7Q!Zc0OHbhz%etgB%3n`prB|S>y1rs$)fb4iAr%CZDbHn zY=H~fjjbV#_tRzpl|_#-y|+={dh^V4AF2rIyf?9BZgQYXrn`Sa>K1w_3G|SpoBX~Q zbdV*hHzX6C-H{lM<8UHZXpVs4Fbs3bpMakBcq-IFuR=L`@Mn$SLgW&kml#FtG=K0; z&*a5XY!$FwF_!=8uhaJ=DKdoVi}jMSm?OT;U|`&?vQ6aL@8)fQbV1k{C20LkHZa4) zk+`TiwB_TT-s6{y%^sy0P?**fc;#LCdl1Rjr?|vq>~DBUo;~#$d0$Meob1^-N+;m_H|D@i+jc)x>gtHNURN$(8hrC*UqL<#nw+ZXH8rh`>3#2g(|jL5 z=zbdH^>S<;xB|~p9|r%^Pc|-L^ZfdVPjwLdpRIP|B$`=GWcSp_U9E!r!@bv7uIo16 zOs|J|hyol~E!{*}Y4YD96;D^Ez*3z|JB4R5i@H+WGrC^bRUqt4Yn;#XYNAmG-Z2Xd+K1E5Y7r55*tb z#~RXot3A@DTo`b2EXU$_R0io8H`C&_e+K~_i}-BIXV*uBCz@A!s2r((KKYSh1Q{m} zmLk1kq`BDw#h;Kc3=Vm)@>LUx^J9Bidc`FLw^O=x2*~gFc1jqbtLY-?6Lljy^O}#{ zqlt<(BrP>;;;~=Y8+N=c=}sGTf|jK;T-htJOF&3X5!+R>aAQoDN_#O?bI+{c#f`Gp z@y!kRqQ2VO){Kq)PAlWCb5@juRMXN~NPa72)mSImAtx^a_V3@J);fanraud61p7uO zeTD?w!^Tpg6)vG8X;}Om9!`r{xV6pPU3O4I)|6Oyj{sFfbL} zQJb8-UAS6aIwDJY&7pWaL|;GGtzDhY#Kr9ZNppPF-(2mqWcz&oRtUVQHs* z|1xv!^0-yI|DFsm27mPZ0S%|$9vz475~+Vj!@Cu z!c|WB<1UN}!0pxq3Z?|)SfG*Sd_{eI1>n@TU&ktzt$C~6mH?6}{4j$us4>$|FA3g^$SE)}}?8~!P;mCby z`z(gGVbJUaBNoqBi~8lL)_s@2^3Nchu~!}`O{L5i2Mq3BI`i4UxYHUJ^VvPdqxS56 zP%w)hDB9%zp1)Mb&S*~;PT3^V2;g8Vz#`4@4 z`7e)!o{^uiP&5Z)s2tEIdL@dr(wFqT(wSMUM)IU;UzW!J7MpawqkqbdOrPEW=`{$N2w{L zqsVhc+}d=@0U#(Lu&0}XO5s&ffWGc{B$DQr7G7NW+x%o$F{i}ScmS-%&+UG6YfM|? zj>W9w+u!H*o-m>y@@~vaFr8n0_Ui&j>Jk15ZFS)rHk?<%AaX{x+fY`D>Anj^xe$CT zU%6!odWag+HX}Y1Vh`?|g!QYrD_Xa74Qj=be>@wxiOXJZ2Y+z1#_z^r-59=c{Y`n5 z6YjL(;xH>&ua7d+&Ek`sNi4%P#tuAuVTpCQ@O1F+^@bnc@Mw zIf#*EKDy8^*__{dTQjriqlL#|6RDvP`dsJV{&>O*ce1$S=u=I?NrDXtaHGZ zRLP^%nngX}AIxTfm8wYCo4gDN9xo$Ht;UPY--WBfV@V z;@W%89hP;+)g!c<*m-lS;QPm8uPpO`pEhV5SE}~QpZ}8R5VOhEMeX^3 zVXpReN8}2;n3rW;`^+2mDzV#sFK4Tl`FkhkN^eyA&W@$x{Y*2Q^O>{@y*%SzC`Yo zwFMrf?0$1Ea>Q^g%Z1iVhD(S>T%e4^<4zb5{ch1^ETDYxX> zRgL2oO)aUsAOI0QXxQWaOD6Ko274;&3bu`0G@ay)=v}b8A_jG(v6z2;reo9+>EISUj zsaIhh1mcJXUuO6Xv_49~+QfJ^M6%|(TT0%9V%>#o>{HDCaReR)SLF*&Vj?XJ%ThnX zzxXTK8JmGiF8bR0HN`o>l;RekHh*V>i)In4{mt3l`q4NZ@SXm!j6TTEh`Hlx5cTE^ zsJ)MRIvYddTCrGn#U6(+B|XFKua)$C8>7*cBz4rQ3oOhCM6Eezp176Z`p5iu@kBzG z;15rFH@$kJu11asYTTn;Bxw}>i$f{m+W)hN{hx{Hzrqy!&%_iu_wZlB6#UP`^j~QU z9)>0nk{9$(i?V$O?40J08 zaQ6uJj3g_2aIou#uiQNBZXb4c58ng3e|oa=8Sei=5X<~uAQlh$Nb@j={`dLbmq3H3 z|JU7eXt@2q-^Kag?t(X(*12Lr#VKx7fhHa>hK13DUs|>%msyI>%j-|cYe|3%yO2sz zbt(Z@+N;Xkr$fc=$DQwICP@h4zDQ<$^M``)%goH{9XRE}aAOoYQbuC%^Otmp<>f2EVM$M}a&o^agVS8II6 zl(epn!Yv&h?z!?mz%Y}G8#%JGe%vaJPJU;w~A=I z7aZT3E|7e)X{cQNOg7a(x2EfA=>N8kK3xza7s@cws|QS*8O?Eeat1m3?j(qRsFjbca#hcE} zf)PFSya_x7^Nc1X-s=7lIG%r)i)7lbcO{fzK$1~i<0K`mP5A<~CS)(lHGvs*F!Xe+ z#M)3l3oS)a4gBOFmPBHkcqgLJQ`%p2@_EnN-44#|_TJ9O>2>NrK{i029h@MJk$ED+ z(K>EVwKYg!Kxn5T{-z~@{p`mfJe@n>48f5yJ!Qk+=u@RCAn8(%cI-fig|wT%3c2m`}1IRmwM#I)0;wdP@Y6*IL44fqUEgwPckevQW0exWy+y{=oVOvG% z5zHqYc);lO_8qSy8)K4zY08`VNFj-L?8q>cN4Jg#Y)afg1!Omwc z#7`T0bhPa^vw*r?jF~1Q%S0((fH~XPMK|K~jWBj0HtXyzw77U_}ru% z^5;iEAZqt(vEF(W3ZHMj;+yOO&!b@nGaD9}DRlwSHEN_7W01g3xcZavL_ytwN{Oea zmpS_V->4S`xo{5hM0zh22Nr?q8BLSIh$3}w;FK0z;rgaRKwDu?*gIypt6+At{Q&^_ z<(uygN#J;tw(lk{LRd=l?_UoJdNZz{E@Q2q)dX0AnxKtR9Q-7-XXLuMGG5!cLtQBY z_i~5t3bJhd6K=*~pq%gRF8Y1hzC5xtU1`g~YLYy+QT)tu!5z==*Vm~=I^gZq3*`;& z&ztsR*T>&}jc`1%V`tUk{wdnx%DR*_soV${bmV2IZWY?nQDt~`%CR+Be(m>BR=h;k zsB%v)7S2ZlDt=g^o^AUCG^B8!Dgu)QX^LO^lAh>)H1qo5QZ!(k+mZAdhxYBiU(X_o;Beq-srXlfI2X61D zWst(~R60pafAdE;mFHfG&X!oVI0A`&{@-yOddNIzihwQI_TF+0WCLPP~|%2{tj#^s4dT;QQPF z^GG-Tu1U?7f?v*KK?8?$O$)6WAb)Vi;u)$E)N7w?>ZU!(85t))5eE}C zzmdbaQ$)6%0jz3Y$(R~HquB4N_e_*0&!dS@i5pB(7|A{oppVYH2n4?{#&wxq6m}86 zJ~3!!d)vpb=4bXjf5Jg?Kw47Q&=dh2HHsMa`1ER>=mz!=V#qL0Th5xL{s^pgu=B>H z`}OP`l%tHzvy3X12uhO#eb?Jae^o@QH~%zj^vMjZGYeTu2*AS;VXH@$Mf4EIkx}j& z-)7h6>*e8)p%c1-ho?p;vj79UEC{q4q|e!mP`n2>i{KDajL6j9O^jLiCtPD4*P4SD z47V$76tR?C%Mn=WcVg93=ldEfj5~H(Qoh_rg)Rr+*Q-(yG>(O9oTE=eLTY%adI=SW zC~0phhVbrZJv+|TfRwCl<&GxVU_hXDu6D`$p$|q|IwA)u29}BE-TtO4nI{#8o z`i%&$A@-Q%vZbsl&F@Fp9Nm0~UbS?e_^CkdongBXN2EZFo3X2RQ%$rC==6n) z6zq+Rix-3dR2&l)NrrFM@azp|j6FFPuzd40#gRx{MPned6E|B(>e*AA!HDKj>P8G4;G@qhA= z%n#fb_FovTXK`+1Kb6^gQ-RUra`hax;{->?DLEV2?m7>yKX>3HFncTV@(XrG&=BbK zhdb02zmQyADsc?SdQ?TXx12D?qmB9XOq& zs*$k`DGvLw)h<$h>=^lVNunO%9R4F#m(2VQ|M!ns0Or8yW zkx<22G%QLiyZHfBusXxPB_%Ly`Z}K^9}pgv5j=lWDjapF=U=sc_x`d143_#7$Iofl zioP-dG8*I8ibc=fM6{;)@}$K~TI0i>fT;q07f~jbu%=87E(LcSe*zzk7iIuIo29d? z`^NFP4e-{L({0^ztIG;YOzk3X%75#t_XdqOA#U8&?JK9P9!{&$*0 zkcNmc`jV8L(zX=*v0n4H**kymL!{A5@z!3@H;p@RBsxCLMyyQJAX1@n2|@B^a+tja z*l7l4x}U-iVBFI(OV?m>53EwMQXAt_q}}UeT2o#;0et>9(Y5#D$EW6+ES|LUqd%zySoUV@f*F zI8{PAIC$`L0^>l7g;pP(eSmVR;dxcT_p@% z<$INwPc+dW*Jhg4TNNqF-1S3NUi0B4F11oo`y+mlnDpGDy2i2bVW6TryrQr?(%P)^Axf z-bN-J&U@?tzu{BiE+e;t}fvKr(d{liSEMxD}unOI3%c>3G5g zjs4hRmkKRh|BHetB;N`Dn0`-Nr5PTmBoj;=!x22QOX;3l+5HRmm|XBp=0_y7rdFJ! zZ}UI*0dr#I$nGO7UDvAC_R0F5zSZ?dYCXSL&~S;El-w8%%)s}RhUfXBH|!nOPbH#q zR-_U9qGD4D*R%st`$X)c{zw7au+1lD&$Yb~0;6WB_`OTdB`9L+Ma&(7Ba>c#>#bu& zBD-*Qjazhn6RFS00uXlz>`8#vw0DYTCefvdU#4^m0(McUxhwleIFEG#KY-X@7^Hlv zA-OjQMEpV$l><0~zqYSP7@p}@H?@C8M#l$)uXK$Uu{n5Orex;z4vwx6`KJ#p5=d$~ zWtOTh@5lIq4kXpJO#PW%(w=d>fQFX-1sM;Y4VJ)UMK%35&J|xqfP1Ophjn48g%z#B zvRedxql}`;g}v)1T(5(M>3K81FM=%~;U1NgRoVD$bMO2S)>95g-|V7!Y@*Qkyy7pL zI|tzE4nx(*=^U_yG#zN^d1qEMUEC_d>3%wUIx$4^h|B~0LfJrnrfVHr=#rFyqnB2- zMmJ*YwS)#jGD=Ydor-<}65iKb(*nOwnArUW?%~lAlK20@E9!mdA7zuZ$%FV02y2>J ze!?oN@NRAs5sN{g_XL+tK-Z*o^5llbxJJ{$CrH06*?Q1 zK6OuTpOjxhBm|xZk1Uc=$(!IPsXq#>n;|4IL3Bf7Hf&CBipKLqWLvL_a^HL7s)8N!jB0$1~u@kf>-AcsP^&5x3 zse79Axm|AkG!wsXQcly4^*`X6kY4Pi)m#5cUYVxRRTu%Wf_c?6E}c;I+!njSr=d-N ztyi@-qvOxw5UXhh6Y8F2mU>$VyNw^ZNk?>iS<>tR0tys8hJQkdsrvor*z~;WCf&F2 zC~=JoSCV#N3B_$gfG@bbe{A{qhPbl1Yn6cc*=w5=?D*1}rmyH4q45ph(LrEXX3MT+ z6f0wpan~|rDQrsDh(*!xcx0RVFBR|5UjZx1GjW;L!QVtAzA-4Vc|#L(0!bMaU6X4k z=+C9(3_L+lNO||j9R{n2zNvFaLPd4Yz#0jgjNaSI*3UiEuc5T!tfDW}0ZWrHI}*C-+q8ow@|iW(+f-x9O){*cv( zcmg;p0L5Enq2%V7oY6(YOLJdLfJSRTX?TPt^wM|Jw&mL#G0>mYo<@CTU=9!1c!X2? zH&5G+Fj*y~10zQcF6o|&s{jp0_twovPn66ZKmWMGXW=*W4T%_`l-FwizJ*n=ibe%q zdG{*wNj${fAJ}%>6?_ZAbUqU#BdPH~NTNP&6Q_spAwK z@3BqL@ch!LLU{Jf3Z_j?6{3PVI543W-D@-Q^e|2xV&L=A709AAa!A_D|MX?`F_VC_ z43NrOsjF%WpxeXC-6ZAnZf4Q8`~H}c%gZMqE3a%5kr?nvNGrJH)DMnq5wUPfXn2Jr z&*3xi2uN$1_yxq}oeBaay}H?5VT)3Vv7e7#{~er|ez9T#j%$~Da7dzb@SiDxkkC2` z{@@%8sduh1>8H3vQ1+jF!wE_yP2Rq`F(EWR;*`|Uv+z{W8tSiiq#_b8{Swk^uvl0v zJ)>(nyHk3nzzjN}n3`op&A=iqn}m{AXz4TFuCF_P?j(}CzYg)Jq<}0k`j}reqc&sP zcL{xxG_w5_eZNqc)Lf=6pK!Q+Ud9ABMi@b|{DyvW$0R0>sJNU;9;+9(a9omdc8Q+{ zhQ~GlvXn)1(%1$^Xzvl9guKbOpG$WxX+N$BWokD8y&UvEC%U`m;Wo&pJTb}|%P9T0 z^jQt4%&viNn}v4@-YFm?yp>Jll{PT4 zat)4Z8<|-@mrrU@QuT?59@@4JA0Asdfg_X}kbVUcW(lKoA7N!aF<-QdXCCWQaS|YS+m6Zci(+w`#N^R z+`#|m=bZUBG=1~;AJRV^kT8p@f}pV2+D`NskVqa= z(t+SFbK4hGOuYKW@B9<0zuv*&Fb2-e-sL{A3d+t;-G&34(&|=imzdcl8&m%eX>T1C z#rHmb&(hr~$e~39R1gG|4hcy?O1evw1_9|7NkO_rq(e$11tb+gC4`j_1wmTr=PZ7{ z-}n3Xdp_6m&vUM8X4jQHGiR7HyTkpuU-#za&lI$GXMP8c!G=LQF<>V%tYVIVJE2Py zL$J<%-P=EbZ0BhKa~xcVbm8E^hL2&p2rw%`GYEG=RPbMLCpkHWU8Q9_tq(3N{1@%S z|0^C86*EKV{=#Xe;!TWrP+I=B9){h5P?rs+*<$*&gZ^(JgWP#X)y9%AD9hK3lX^Nz6@LS=$!r^p(VcvxCGME)<+i32&c zM{#ifFi0oH!AXQcI{zc;HAATXihAKl(=u{kiE#|n`8p6`fmG+IzZRM~PuWf=>Fqwn zJ7LfS!o+aUI1uez`vni$`uh3?1`peW1KWbjb|41r+}(v7>84{X{kcNdpa5^$FvgB0H*^c1;MW@X$dQP}=we1k}ginYtj?Poa?PUX0 zWDGtg#>hNzp_DM1Z!&jkojV}MUgJ9Uz-tP-D^Y$o@Vq+#F#8#)^e1wG_XTHwOFD&BX zhDKAvYVAajriLS?I~B=5j#{|T*2=EivCs)6CZ3C+u3ZbgAL@<`CzzxetiBFUN(fXd zD41De3^K4;*$}|V<>2T9>1iuNJdaasIu-tCS}r6%F~5>@47{1q{vWv~8UnsyL^&9n zZ(bf8M^9)B*4wvlt-K5GTR9VBsMZe?yAb~T{Rj532bX=g9OObi78`?p=E8s)Tu$JN z2z)V$3=12FfRK;`Govo>@$rRvA{VV3$%KTiibF2i05Z|A8JQv7j)+O2Jr-m=%@CYZ z))USNOH0fJ!`jBq&feL@B@D`+AH`YOQ~ig7h9iNKB`X(m;#lcpLB_ND4)QwGw9q0K zg>=9j=!7;|2zkOO13~bfp5DHp;Z-XeZ0N3Cx4MmN6>5_1!1;kezK;+L=h=>6LCUio zL0I9!mU{|$p5eeW4C4uf*4!A(^Dq9*2U*Wh|4>DZt3ABO{}uVP(|c^YP=SP+1J+Opf`enL(rfhi}IHjy3Qd)r%0=_2Oa9a(JHSBGfQ% z04i-sPr+_@(!=x!#w&Jj5K$|&P$hhLio@~9SVxv2c4!2Ca4aAa-;vT>6ynrZj&i;W zci+Xut{nd!M7Q0%;!+DWu`!teR${2EEUSwY7^)f5^78TuO5sJdH?sZoqvJd3l^M#e z9UkAoT;w};-*>`(+`nS#JV~;tWq7jx`LlnT6L55FH-HZ}jL8VVwvxr-JjY|#kMMrS z8b)2s_M9q)RE$qNL;8%)rk0WBndY8n zbv(k-^6}qTn*zr!MKW!&)F(p)kC;V%#5LR5@jF>qunTJZ?rKf+5K;keWEb5Pspyj< z>!*)>1%IZH^dYeggDnCI#*%oVxj{z2jlJ$(w<|*!9yNKT&{wzQs68)9EJuguPQv3h z=zSJTz)rgc4BvBIIzu3^x;n);%x1&JbFN08>Flm!Mgv*KBG8P;MB5{&`D^)OsrFA? zvK8A4v#vvQ)vQzubw=McvF&c*7j-u znEMoG!r}i#iA1oq{zHRGfFnI|#GAWU#HAC9ZeIu#B?4a!13$vrA6SPXpT{b6yo(b* z7W&|^ztp3bN|XZT#n(Wv$5|co@h9^#2vfpZ;PKPDoYLs3ok3rXR?d`%{akyXK~L8; z#vtP&*6-y|u^k(l?dZ=xezH2X*g1Nw zvMcO=WD?Q|HUJOb3-OpzKP$H~m36AXPQc^Q>#JQar$g$Q3$LOYooB$__muRh7Rj3D zAL|OAcX>bHed1JbWvO>DTbPEzrzJWT*sLEC6U9yl2*mQ)9QKL*X06UTC?1OGGIR5K zlciCR1U9L3;&-Ml#hDfV-mSm+WLJ_RqBOrVu7~vJvsVeZo3?<$Mfp5|w~&$Bal5jn zi7TluiPAu= zwM%H)bu!l;9VueI@g-n3t9IA8Y~$L*;HSw%9v=%)(xH5$ZW6q| zeeVV1bdJh}zGiRLrt3tFPOE{z<#rQWeXvAVEZ}K9=9=p$-Ki#K*oi~Bc5g+wIGmhi z=@(s0Bg-Xltp=B}z6I;uYZlhaVA+smn>T;_>9(-p6WXAFOJ{bY<#4D$sbwzBm&4&F znF~yffog^LovB~b-(Jlfk@^!V!ZE)g4-6CwY&8~cH*rhuceQS|^E8INZVP@=VRQF4 zDrkUyQwT)l-Eg=|*T8;VAu|8)zIil%NL)>*#GlSAq14hB(jM^h9Zsy4&j0crLdZFU@v9fI)= zz-dvjao$0hjeOHutE@d5=ue{V2|DDLZKy=oWv3S10g(ZuB@XA>1HP{#{tjv7B(?7! zoOkpz9<=jdir3BQ{2!p)I;s&lfz$<8vs^(DQ* zP6kuGoLXK(6bs>#w8YF(^pl`f^~(-;_vT6{KzmQw!JG0bcW>#+<8#5(I*mnj+EY7$ zY64fYLmah?^g*lk;8|O*y_+K{7n&UI4fV0BTRbj38y=RZ zE5cgT)2{|R^Lkj~e@mX%dfOsIuQZ|va0o~}RVCtW+9#T>*##M5Yt?$jSr1)R;S(-qL2*%)cj*9-1xybG^HqoTuEk92 zw*|CuzM$7}2#C4Dtn$buo8v054$PmQ92plk<4e6>Lz$0(*VlxV7?H|gBu3s%BZp96b?mfvYYRZZYi zWrd`M;S$mW>UaS#D%a$%z}KQ?#)0PIykGJg@XZHq8cp6Z*6!S|P7YFLP1d^+R8#yJ zyNoYczd05i3b-O~Jk`-HqS3!(+ljIgjx_`lMwhqdiH<3~2hQ4jsss%eUngd>e@|$9 z8!7odUZT~%WAHn#!=$KPYo2`SSEjGvqo&H>f?PO3yaWHIZn>EcWE1u88`+8n#axXx zZzvs20`}qigd%Ttl=^uip(RhyK`h#J=xHdzG~V)Lm83F7)WJILlPb=$u;eDHxBwbv zaPl^7o=*IZskG#;RU^qrd0q!xYnh#)Ka%Y$c9&3yB$)S4bLiz_;Og2ts_Ht>STP{N z9Z_#BF;m$*mn?B%7Y!~sT_lltDOenSKJz9M=@KEHQ^>_?i3YdcFXgT~+NdVbP@$Xa zvUfM0?Cdt9NEcJ{Q8d?iS|?6v6jN3N_s6*3fYhAfE@M}krkzk-uB1tU-tQ}_D8CtF zMcFXhJB4j`%77P9AX{FKK*#`tNSbbuOoc>k*WJ~vw!68!f=$c`q^!XA>-aE{4yObw znJTv4?U@^f4{jFnP1aOhDyktYs&APC63(d-to?_^)u{_^!VS#GTM_(c$Cyv6tPl~v#1sCH^| zTWD?z-DJQF75A&m&xHoOt!CE&I;5~#_L+IDfudmNZ?*a}cJpob=80r$UweA(I5=g# z0Aw%Qmj&Vr%Fagu)6XUvXWbpOjaSu?sQqFq5(%5xL_qMqph6^RSb3Rau(L1gczD+3 ztx*<1xq}Wn3nRBE&MPq4EPh@|@9Iw3U|T3wn*W+&_j?oR&-9h`_&k@=84eOb!>jwF zyvf6hMom0PYB}qrrmr$hP(@{pc~9zRduGhm_z}p9y%fEx3_!^W5#& z*G;`u(=7ut+@j^%)fDt!^hHq)KUP;kUtE*Y9F0ERXG^=ba+`K8^vx8j4uy1O*X*~B z3-`a=1??tWj*d2DIo~2L%HJqOk(`|*HgB8NBsR}KOqAnIngOf6_a-9bmtCEQ{RgY! zBCUg-`kr$~4n(N8d{eL_in_o<8)QT3Tf%mU>86{69m@%8o%nLUjDrg)RxOHhV_^oA zSyW9nO1tq}Jk{>vWi(UcyTWy_aQJ=2Q%v=_g@HC65P9YHO@!PvsTH|eB&T?rqMWml zG^^DNJEP}aQXs+1I;`hCWQu#@^D0oo9{*qo|U zF3wsf?9j*%Bz~{$ohu_KRKs#9&8jV0#=8zgMu`mV+?~3r*=7)uIp$RzyLD zeUqoz4|QU&TAX^$JCv^}zJkp%%F8Y5SZ9@DBrGD|5Xs@oRM}PqyPu+ySth-dmV)_9 zUpzeKNe@dR6Q$m$PsgnlqmiCF24+0?SLgnS9A(_*FjH^uTfGxw-|b%`ohFmH&2V#c zO$Y=FkO*+g7t(|ssSNkuPdF3$(w2jwj7R%Z`iYD8xx@BpX!K&2$J9Mz zL;i6{K6d`RzEL~*? z$>B81$DX-g8Avpc_=0U@$D)!Q9GA~3A5!YZUyLY?FwhoguBUFycrP;iVJA>(pkRM` z3RI5+&AuaMgkGy{(lyC$$Z>@6wS9l)K)Z}$e#|0GCwLd$po7odl*u=0D;Gj|Ls*>b zrdRsqRgE>D;0gXH=Lk^TDc!yi)mrm(Hue6IwN8+;^VrS>(qGt_!CZHqURX*6UoQyx zg}tN3Uk*~apOfxv9#XCEy6)h+UZA~T+v|-N1*BFj4>Hn+%_I0X1=ok~(0C*Qi9_eKp# zxPMFH?rqcu^-oT9KMoRX*@j?!(c`BfRQau(%O%(`J--OC`;NYfC(u`VFuqK^jek!B z(Ao8=NZpSNluOw&(co{SN)Rl4^g6W9?&U{eO9i9YN>F9if39$oEROW(GJ}uODCZ-K z{id$g-1g>07QX1v+isvZfo)vnm;O*+e8%8Q0hh6M<^%t|*6k0Ew$1;j*x=}Z!r8=g zk^7G8mnGM-Cn*~q)V+=5lDciqLRIzp>JUrFGZ0qg>G3kR=HWG;yd~p<>LqG}4dz;` z8LAa;hwnPHL#DtfWhhq1P_|OSKCDCNLUmgb-e((B6&-ztWT$M&1&0%08cZYbqQ(`= zcQnX_L(Qo1yELI^o+bGtNyjta-;HpQH35E1cA*Q#clL=;_Wh?P9WkqcdcT^p6 zbc~saRzMlrv{Z`X?$O!RmlBHkLa3s{T5@TjbLD2(Vjl}HxE=tsL=(Z8Fj`wR8T{MP zeARUQJti^?gE^`UVcaXF=SK8^=JMx>r@WNmN`}`wtLNRhx1FYVYJOakU^0uul}@pa z2lw;k%(t!Cm2VyHzlbgnOZ*lyUiNGY|MT%dIBQ4ftfxFhRHxzf6emLnUtat} zMcVP3FKhEcfW@`J&sQ$$FE`SBp12d7C&T=4(HQJRcI0K9yyWwJ;Y;J@ulGAoe*ZXm zRcl|fUdNQv(*H4d)AxEIeDKie$)aY>W^$1R^)cu(G_vGsG$F!$R6rZXvrhFL$GB=y%a z&o=QL<<#F3YrCAt>ouM4p_^iw4~#WQd{})SpG%f&e(b@`zE-B$QjG}LU7UEe-yFrZ z_7RkGE#}pTXid3oJ8yZClRcFamrkgo1!>L>w+Vp8HYE`Kz#ERu#*6K!Z0pX13@fC? zJYG*ys!RnVv==2Mgdc+JPpUWa7sJ-d8!T4T7*|KBs}*)44}SSR9FEX&7OLF^+=>mO zrNhAwDaz#~GSB=tQ1BdVvaqtfzwzXE;h9BTU9jfVSEW1g>yqLnb}M=b5A$jV5zDS0 zU+C(ft%0dN+!N^fESgMDhNDJr+DZjUY09kDkQ5$UG&w0AqX(p|jOW3k6wbN#MmXF4 zxtdAd%`by(%%c+jq)23cTF@2km|9;2sh#G*bcl#y+6o=zt=HetTWoR$MUR!-WmtD3 z@B%w?L8y575z9>L#IGkeggJOban)H%D{scqIynWgCC?ctUj@u^Z3&6X`1V({JN!N0 z%t^7$F;r`h#_fl+?0Mh~AD@8muZ7=&NrgKlJl#H-EVtYoFNhL*zJT-2jzz;Uf#JCy z*x7C3BabDSCgi=E_!TEHy7Sf~A^k#en|>_KvE9o%VW8zO&vB^cFnzPiCT8MpqCj}b zz$H`L?D{X?NF&DO9ykJRtagW{zH?&tEquQ%9sXGkYjsD7jj-Di5r@1`a(Ar*gF|>W zD*^N(51qy&(AVBI$M`Fzp4lMc6WEZ@Ep#361lzO3om3((sqjAT5ymN94qYaAUivX| z)4VUGwMiY3%4B_H^^7oC-L4gT7aFi!=sY5%Sk?P#<6E zTrL2Q}0tv-G7p;N9f-CpT^Nodq4*b2F zk(!?sW7@l>cl{PbKY*Fp#)JTn5}r>d8)qkWQE8B=^3ST}i^o}nw_R0RUGnu^ynHOq zf)7jHR8}3!X52{?k~heT%Y4|#Wi+PLztuffku!hxCB$LW+Hrp@d@4zc_)JlW=sc(sAnGP zxSIA3b9E(|&A+j4jqkh(s1IeO=tHf6#;Mh~yp%&H$LBA7a4HzcH+W?Q&c-WUSSV;8 z@?1LG0j5_M>&+{k#c~?DJbQFcKog&c@t`v8srBSJx|zM*c^N<`UM+a{9AT$|c>*s9 z&J6S8n#*>KN^1I2vD{nPqcIggpNrC^LG_5MPA2Mh<$-77+IN$yw%(*M8mc2t?iuA8 z028GKJA;>38XbCP0*Ls9vAnZYutT@Gg40AVCCy|gCjndmQvHNuBXX|aM8wsWUnFMZ zrbH{~_~ZHo8CknE1@nLm+TqK0iH6ae!>O+XaK`7Ec%O;uY_P3weR~<}hVapX9}d1a znH%rq{d~z%GIz49M8{3C81tA?*=ucT#!XsZf@BR@SoKeQNXpr3{H)k4!Z= zdbK64#QcdEel%$!N_De#lbG!C$!E=hB@py1(^UP*0vqozF87N!ZRO9tfb0* zMXK`S*1P6#cXnHAtvgE+pJP}n!5)?u>qz7*3gT>&XpdeCk!~B*)3&Y`NseY>y+(xW+d*~1#LUr|6LQlaFf#S!+ZMwO z6PNQ>L~m>ppVKny>eq3bT@Va?M=NIKGz_+5X4Ul@^g}q$B;IiHvo;%&G)$%0Ds7L0 zW!43*4;lcYhDJGoe*2A)ozTY}JRo6cTzLGFqm-9%f{fM5Y zVBs;d3QI~adHH^unN!=qJF2{L1WfPIxOV*}AZF*`yY}RRwOzvVva0scN&eaVusS~3 z@EAZHIn%ie(fq~hBnl)l7Dg980EA_3@+TDF#{%Vnwic8nh_R+$RH6ZeK z;1HYe?N(mTKK8YjZ>LUf7<^;6X5@Tb&*hwiR`XXtHe&y3`%!~Veaq`%{3{AC@hSz( z^G2>$i^q#c%7u5G1=>qk^2!LQPGB3MfP7?A+vMi%J}dY6rrr;8I}kQJ2d-RGG%g+F z-p4Ls6wUzC3oD+euoOJc1EN$=7=J|)k+{Mv!{zYkT*mNo<= z6>NHLCABRXQ856OqX0geASt|`H0Sxu+)JkRxGb91Z#)Qif~{IKB>@Srf<3*SdC{0x z@7>%;&t9y9eC~Ns-;nyBL}Ir>BVwO7gNZ-KSVYuxvIfq+akVSI@x@xF7V*84U$iX$ zk^6GMDhVt-UJT;gwhx{D>wa)oYd+EBpLF}ENA+AC{Ie%9?cGnH9?03Hey@i2T4c(bAG;I`oWM0a zwQQD%_p1GCcJ9RL8i8FDgmGIXqZ?9g>+1OpsuLBd-XS&W?aE4 z$}HmL_?E2I7aDM#YvG*2Q^8^4EgO$?nk+tXN|t(hMiw6x2Bq|L5_>dg2I=#BHk9A%cWcAE%Q*bL;_{GG(>i_f&FEAt`qr9?aZup-Qexyx9vlMfS~&R8Enh@)aP69LJR*q_(E)z_~vcMmP#mCw|Cr6xYj$!oqWTJ z#v`riHMR4n{;_#;mzbG_t1`If0rO>r@~@;ycU|4Rt4H3C%@dGO0%0ZVgqd50PN}uy zKfoWB;N}k#hYX=fYrK5d^q2G^^G>SI_7<(Es8ylPW_|&G@Mz9(-n{J{K7trMI?EAD zPS>N7?n5fsJ}Mg&LB%WvvT~X`hJQco+`*$`Q@xi_Ieg`+l!D{^_KwkYY|fib86fw0 zO$QSfw_Z~6;HpV@VrA`*BLe0NI)T*hgzT@6VuG7Yu6>hQR(g@BA-&NL;b6MUQ^x~O9p zSNr+s>{)Bq(5M%^Ul$Ju>45a@s_ys1)NJ6QfoJl-BIkum^NZifX&v4DThI7BqL6X% zvktOfn#O($(06&-isw!0yKQ5-x;}psP*gHtA90%$d_I!PpqXD}3?5{c`X`X6-Vf|J z#L~GNR9e@xXohm;Y26YwQ1d9)bDCPvvhgp$`<69J z;YTW)ko1+SsH=YpkCg7L958Z?UFYEym8x1lBKrO7cU%1J-SF2h4m=S6i3haHebAEAN;J;<&>h)Db5vbTTeVX3G zm-5eKiGR)!Rp0#P3>%lcihfz!mwoQ6@=oxE{<6BIb=MCDOUJM-ijHy8WnzKHPs1k6 zcg3~7Vt<$el+3E_vcqn1lUKs?(MN3d1w6kGE?vE@Z}{}6Z}nkJCaA9M93!BWl2bGc zZ*8C2q++`HsH%O6h?MbyrM1Hj86CJBRn+*2m`oJ%jPtl0+&49~I)!j)FPhdH+=uB4 z9cO_7EW-C7szdF)d&jHvGlV`e8yE>m}v;o{u<1Oh5#l zpw4f^u7%smhB?DcD7ao}3`KjkxH7f_lYX;$rpM1U&#=eknVi}&=5C3-k(uQ# z&z0sz&JTcz$c`V!97cD{a>hUYB-A!(+V-yP{;_!kxCC?@VhaYqf@FCzqi(7>7oVWGWqJjJcj-%myNgn^ zw1DXv;q089d;Kfp+Q|?JXOwj9p@!E;JdGf#QYb# z-waMH{rG)gaL=!FSQVBdwaeY7xM_1=-|TK9IseThN@2y9?lkl}inJ!waV#0a^)$cTKrC5JGqW)6@6s3JCKlmI+b!I&DLKHy6kZME#Z7+vp^NYm*bKqujkqeV z{&tQd1&`|v?N<9n_-z{VC|RaPt};#J>GJ!IL# zl8XBz{HhCd)6QRLo!q!e6*&^B9iG*N=Qbo5Q%U${42Mc>;NV^aVD7yWn%iEqUbZ>o zNi=$~DDlPh>|Y8gWg50IeEFj_Y@jXiI-iH9kn+e&H|YlElkjJPHv+nbO&^UXx+KmF zQnmwCEv+8=$)u&S6S+r z`H0h7q$s(Z*F0FberxxI5U{EIwB-C+sB@9H^&9wEO~jJ(#|~3l8j@%B4tJxX{-=UE zA;dQ^CDh~M9-ASs()6j4GZAu%$;lAigp4W#RI{_IAhrozgOD!5;F_nBLBg)?-o+&h zuDKcrYqP=SS3CTJH5!2;P7I5+30WZ=XyU}EIFX%pgbos$7({h{|M27l9|CCrvWt*j zgqu1gHzAGo*Exuh4xZXbc`r!cxCv`ftN-rrWw) zsOp4I2%x0!RM**PhG1iyi*P4fV1q>uXCcKoH}^mMCNy?J&Byu{ArUzVG~b@0n;7>k z4W>6*Gstbiqkz!EELz2sQ>|Yex{TTo?|(^yq^|5Lj9aS_~nV2Vu4VSVTT5zo@9F1QKho zj(iy$+!)?}z-Y{G;}d)X@)^E;0`tyE*rqTIa+w$~a|ZIMU%xJ{+ORYPuK>BhM6pMHW((W9G>`eB%P_U=c3g;Ib5mAm8EgBNsuI;p0jm zLJo0nsL8<~pIbx_e5SDqM$yxUy5cdyiNbtVCe##P4g$@vDYQe%6KYRgQ8#W_dBWi- z!xvP{5JVOBbTbzrS5wo_(znI{h(Sox8Kh5Zlj38zX? zaV`Wta}g27q6edFlZN2?N=zmi)xbxsou z(2F>@xVU(MNMSq{0RbWAs1d>4A%1b*UIx01jXgYGyyZ1 zGJuBD<Hm&7j|J@*fzeX`1R{jFcch`iUrdJtWv1pCl36~fj(&1Y;7H! zp>_$r{gD~+9d{jxkKArY*&QHBW(X=dIW(OF%6nl_1RPmZ$U7+&|3sV^uje%AK(ZU4~F$h)z_!=UfD$1p~Wpv;#@ zh)MVbuUrukxrT|W2PIKJVBE&m9`i9BpOpM0?Nyuk4Wy%J5P9lL z(8aK5`?K+!-4Sf8PJwsi=dAD1IcT|MCqBEgB#)=By@b}x1bO`O#&+@~g>=4q+zRS< z7&SGS56dPwq|aSgYkx>kb_Nu?Hvd$?a{gG|Mbhz$sesf@U{dU7R1A+F`nduxiKZOD z_U#?|=B75*y;{O@_R_cu|C^&sd`1=d(cKg(0T)$vFqqP8-kbAFTb-_+M{ut+j621= znSR6RWhy>7UdXOnFUTdI7(pHRa&449N4%W1aa{wge5FEqF6wfH>uz1Cr~u163aWR% ziC1zT&?2oI?mxw_hMC@S5e@ON=vH-%7UO}c2Xg$^2qLj+odMyd631N~W1XmGx>Sb# z&eBm2x1JZ^o_fU|;lP*6L<{AL^%+?326i5e&AZKt|Jb!y?#W&jcru*XtsefRW0v)z z-Y)ai-SgHGG-p9FCr6%N>+UOae$du9-iD{PSh2dZMmSQ%RpD@xGfs%9zCWg3zQ>X& z^D8KiHLDhiDpt?E6PD-Twfl)b8Hbi(x2gLME#9&1R|0W>dJ$h?A&{%-!1>e8?c3q5 zcwkflN-)1dR|S#5Z^m^8qc0!tU!WoOvjK~+dQ`{yjUnfK@Vm4r6sM>xr*$wLBrLpT zEM1*=r|7(y&}cuy{)>shE#uC>QbydpOZVlKdcfj)k~U+?+$T=H$76U)bZT~Ip_}d@ zdYr?vz?#!K7T)@=Z+Ou+zxNuRlTpigR&P3C!RNYhPveG%Dc)DsC(6pe;`y2CVG@bO z<@DrSlB4eHy5A=sb|>z}G0(+RIXqEp2Yw%mJTfO)>nHBa zfVziwH!$=JF*IajEqnOe>yM)NVTDNESlai+w8oVvKr<&v{Q|VGXch31P!T`RDV##n z@u!hgibxSHUB38cf8h1n^=&Iq6}Xkv_ufd754)Uf<%5#Wg?=Gxht4`zS(?|H0XONd z08MlWd-+Jx!_biId--Idh_kN5o6q7e{KtgwV>E@BgusW;?^EPqezi^q<&QayaH2tB z@ye9uD}~RlnLNaAs$0Q(ijm_3s^!$rY~opnyiuNp$@LG6M*{b*za0zcckmttKS;!P zbX_Qp1k`Cnh^`)x$30OcRWEa_*1KGxFaJSI5@ak;aqhJW{Zw#{to=oyogaOz=%R+U z?(s0y^+dxKjzFM%9)EecuQ^JdG^Z+IB>uv)!7Y=fggalhIT=))Ot|fU?dy3mFZ`G4 zPMpFq1j05;x7zy8ebSvq>2R+7(n<051rF25zSHw($_!Fmssc_g8+LAyX2U!d)){}p z3R}tGDiAXNL@Ub7#4ycqiiW}bZj&&z=_N0t3}*?JAEMr+ul&GwrUqo9?Hhgq-irSF zeY&lsmGrY56`8EL{n0ifa%}owG-*BXmq6Y2ybMW9rfTQvLf13vaTV==%?H_RG1;46 zz@$*aP+|CWhgOwTqoX$=H-g7gl?3}KJE&hN>j)ocTmcIy#P1iGLo~Q?Gsokv$ zUD|EfK1=!Bkl8WhUNs@G8&pEQCo8Yhmf>g$iYLmrGjV=x)K97M$dHs`doMK|IEF{y zkf-8GUeffqmRB3Sevuo!t>xG`<4IfisjR|e1)#;w6w3ah5)$62xO3r4Z1~%2EknFh z<)y8qF167Q?v{hdPSJX9FZUSNI<`we`|c!CsC)inms<&Ib6+VtUlxo4QIE^nD3Uo! zzC5(@Ghp5j^M7iJ!)4orwVYL^&EV}42GT61`h0(t%_UBdt5pVi{KO^C;1ykQkG5IS zCB5L2mIs3Vgyor0X6#cMmN%?7E^T=OQ>`QhY{S$?!y;&9yX(NN#I^>T-_+0^RsfzS zNMy_YwaL&I?v&b~?*8eg*l-|t&GqAK_IM`2d$IH#(Wt>0fkUxB4|-=Uwx<(&6DO#? z028{0LCI-O>n%?k9*9mXc}9IEs4_IYXJCVmW5&S0Rt=OdHLh_}x8SIAo%lTVVD7}` z8am`4dBh-p{<~9bMouGmw67gY5faSq5Pze)m{iI*{OaQmM0lWodX@mMzkGR*gCg^l-WY>>sPQJ!#M*L}Pn!ROKBXDZ^~y`RR@@w0 zq7D&mnb9-S-kA-wIE>bhww>Ly=w7D-y&8T75FN#m^LTYHY4*gH<_kVY@fhdvuxB8W z?$PQ4Wctp|^PCa<{E!%bc(TGvKBNVQL;E~oi*NYM=P5}ySbs+bmWxYulcO%|?j^7; zl+{p(E}QW3d?#GmmK%DX`#sPM;MUzwt17RijsF@q{-<7OXC0+jGbVavBm%c%?80ks z{D%2z#DkQ+v=z?}E)6BD)ywbPc`jxt+C>Z8HG0)Sb zdfZubOZl60Yf;O8Yg+Dm#)aH)P;j}WD= zS=~ZyTd`O;EyU7u-@`qjfX5y`; zbKB!MQ}zShhN(9aNhNZ@c*ts9#d#-RgEb`UmC#ex;(@}>-qHK~UHpwtXZeCyO_Y5ymlL$it$VLjtWt9yc5)UrDz5Z10P#ies`cMDKldODL%dCJeaVU!-5l-9WnyoqcK2+1vE9x($E#*x zw)q`EIY-+R(J*?Wg2i4# z4qX-%M)eeZMoAIKq^!P~TD)2cuu4?^>|F_JEfV3lmAwhxI|tI_@u(Pym$&(>Y^t}D zz%2WbZ@}!V3vWBu4MXkRcc|LxnpLg~8}^m)SH9J@FM&Msck|>s{ZG1X0PbkF z^MhVwdo7wX!=14zob1SVO$}E!LpZqI)X%G*6{MVf`-WFKz$TA`(w#~p`cHJ|95cUj zkvx8ndG!`9M=m%jB3ojt{@{ZzJ@I?8k?xGn_(;>{gTU=4v8?vl+T_x}F5E`cCzp`a z=%yhJ*Co-JW`j%Yj`Vs$eV0@K(2x0NE`zq73WpsWCX&xcugFv0Njj}-8> zq3pC45v;LP|FWU6sTs7uG-z1Yrn3v?aQ?%x{)6-acz=ocqYNfv_U|8={x6p-I5<2y zhPMhZ4;A(=eJ=*3CBdvnF)K36iX5||z^o`SD=N&28m=I%E9i(`Lm{+iCInKt z|9gN>N|FD=|N75={QDV1V*gL>7WqGmZ2bFY|Lb#_6I!i*ZxjXZ-T%$qdeZ*oZcX6h zKiq8q_J7&84+sQ|F&ha&{&VKAYQrJ_eP#LY)>)qe!P)oKeVU0ul|`8nBa$BTG=mkg z1hNUOd|}pMmP}#$fXos{QbK$#EOvV-R|9Z-FPlyg3Ze*CtN67<;x!tq21Hoc3*!P= zMla{&%5+y>YrJ0_|A6ZXvUe!5BY;RKh5~6#ZIwhvqGuNMEUK<67Vd=66t8bfCMC<7 z6*b-StMLbQr75X0+gFn7Rw`8QtpQ9FuEQ7ybitC zCkwoy@G7X^kUJ)78`{?$eN@|?iQc2fC7o2!kC~T!hsOdgo+W)e^7VyuF^N>+pGRFP zdAo8eG*8I<^xnstJSyRg0ghPxw_a8thTJp5~zUrL@x(MAt&m%E(FI|OFf zKltOS4`t$)+ePm4ySz4?58=66us-87=6>$eZL_z4&HJ@moRIit+Kl%ysi{Yxi(l2K zTvrbn>&m^J=V32sLDP56;MFT%H?XT~a82?y3KlIJ+VTsSg@0ZRhQ8YON%SQ$TYcIeqac!JH0&GVbB+PKrY%B|E6MslpA#vL);wMN72~6AQMA-g zD-)^n&;#B9|0X04klaOg5Rs+2OoY>JaZ!q79CVV7^PeDnjQgHmApiS^D;8I$#qw0I z?|Zmdhpd)X=C5_^zAg93?LiS!N`ieF!mO>;A6TAO#V7i>3-TWu{9#~^ZOB~zIXt4e zB&!61?yo!UHsL!R$NbQ!B(SCB4?gPq>1GZHk$3(GjYb%(^@4r!fHbhc}T^p?}@Y(KVyip%W zD>j{{q`RN|?dJ#8lP7ZtuaLLw;(FDI8lQTorA>W`!;tkK3d9av#2hW0NQtn9B zc7H9qc3-X5+oF{s1J?G05}SHXSED$!$8xqocJ}L?>ruR1{Ulr%CXv=liE8&0ztumbRTEmJVP!Pp07P=7Iz# zkzrnErvvg4&w5K$o8`QUVxmLU9+4j~B4i!bX~KK=kfKidbvEAT+#YfbKVP&c7pY?K zRpcc*(0Qa_n{9%wj#p5Q>zp5X-EoCbQ70+Y0~HkOP56E5Ifx!I8l1vkd}`m~`QyU! zPA1{E1g_A5T~Q|)25Ji3no2&T^^@_lObx_-^$R$>cjA`f%YL zTDzk_j@B^JE;V+4D^-K5xvPFXe=b@VlzL_DUGGKGZ}`?6F)$gmQ*(3zkfZ%fZMt3v<_x)TSnGkE}%7Ux)3aT8Gq+ z`?uL?w(li8q}%|9c;^|hOkFrQjHfp)sA$LJ|7qkKeK$}uNm5+fSzp)+#Hu@`8x%}3 z!Umbf(*~2?+LIa($jCp=6cmd*D&SK{1xg3EeTqXqX(Kve`IW=i(ndebUQ-wm-dE;M zQR-fl*#R6*3}$W_1}DaTFWb!+bahv{oqgWNea0y$8N%H=iB|^YpEK--^}OpDhDGH( zeses&x8{Bppd&d-`X%dc#AC?-%Q;C}vRq~t-*+{ZLP}9WVp9D(*kUG?^*K2+pX8E; z!JNhVW9y+WVeVvVZ*;CiON{0#oHuV%ThidYZ7JKP+y={M!o|@ zc{c}+sUN`=>$zCTpML?RfhV^UdQ_8EzVqIwy@YS^*{0+;c>4QqZE21%1@&$*5G(F> zd3cxY>o-Qh!1(c!pkk)`d$dsgYwOgAqiNFR526!t3z?`_ZM^Ni7NJlaN>w~P<;i~n zN3`)CGvA4_$pnM?Q5HS+GC@}haWx0+8FJ6?&-I@8FKFnmZM0O59gBl5|1JVu>zr=N zhB+MFg7H(8|*uinlFbYX1)G!n(KD+ zkByxMFlz98;p59$@`m2ayU7>dg?$$3rRWLr$Cu`&2x?&mGuv7+cl@hJ+#B*lNnrIk52_wP6!`Nes59n;zwCqMUDH6W` z2^sILzyc?y5;I|~2jS>Vj!SP-T~w@ezx|-G{b6hA2QHgAqt`^S*X|YTnq&aaZZY1= zHRnc~#EnOiK79XOJpi6Q*u1FdSI~hi_9o6>znrRgcYjbXu&MPos-Gi9G|>t?ubj>c z;%Bu{{bT!^PPmRWqP9-k{Qltl3-wZ6qw+XMJlu!!}rew4P4d^uls+ z$k6THVYk`g%LmJ}ec1C-tvytiuS>tX1ZvNOe9H?QcUT~x-!gM9JGTEC+1ox#&L*+s zkt|RQK7*k@k3C2H9j<07{idrRjN*0sXY-mQLR@5$cw08%rTc8zhc|_!Q%BW!psOirVwdxwzauzIC1i#4Z5rHX1 zT6cb)qRiSo7O~B0qQU0T>=l z&&bLffZC_Ok{6ZpeJ|7%%VCv%T4sUAUQfufRr8^&UjbuUV`KlLEQ#1b6)Y^{S zGXMmw*~UxDtE(TSwn~G01{kAV!2E$apmVWc7}gOdJ_M&AzN!jJ0leLtU{5!}DZsfV zZ>RB^&J!W%WGMWkp9Tqrh9R_5TN{r6=;8xO2Bz6pIjfFEo9yfzoGK{b1vWyzEB1}l zI&mo6IRMB(7#kFen_S>vy_|p#zif$&O-zc5OUrOR3NMTdK(;4rK6k;x#Id%$eH?vo ztV(dGiAj!sA&AEWA03VgW=-%0emK-KRI^PrF5g<=I$W|sFmxeyA~bBxw}ak#WCXry zcoiqM>2SeiW#u>$LTebEJXm%46~J&dZNdXHFfr5A(n7c144f_Ld<$Mk$%ShKW*xY1cOu~2wzf;ApA&u9J&hYIQ>a24Bmo=f%w%R zQV-8`XajwVQ~>-I$w?3=$*lwtN^T*DFj9yhPLV7G5l&Jd)tMB8SZDn3A0#)J^rQf| z^!Uv&@E7x|tarl^%x92HOq_+UW0zo zO^iFx#H#UWQ^nEio4yk~g);w1aZ2fpm)O+sXu)Dmzk7D-g~kcn z(M9w0lS%iy^1v2&N|U51Q(9<&X<8y06sK1Le`V(uSe;EiLs0A zu6#LrHn#*p-RY?N6s1GH?RS!;>@SdLSXN~E=Id3yLYeyP2H6x%YJCz-!K+S1sbh|1 zac{3LQa)~4(@@2x(|VC8MlaDY1hk>$2u9q;;3eGZrqNr>q>ky@ei&+ zMFB^PI2X$qzDVkcmddyNIT`4>S72r7N7a#({nZD?{r7NvD9|x5&dzseV(rS08Zg#J zIy~&Mx#=YBHz)Os9tp#XZnIWCL+5G&_|;RrTlgj{P>1GxK*1FKeLq*{`4vWMY_q!d zNSy5b-%M>S>TaFsoJEU02`UN7NTesZk1ODgS9_iLBLR82!u%m^GMxz8?tvyA(0K!G z=2s1uL_O1&S+%@%f4?m$Z{%&VJBNru+9gPuy5B=QY^1+@Dr9BuS=11>nQOVQ=>U)A zjBxMqA7d0zZnK8UfSk#I$sB(n=hnH@itW>);>SxkV%~I^7YCRi)bP43y(n?)mCU93 z-`^%MkzL({8i}tR6I<_obP>raaI?gf`W^Y!sEQEZ^LU;H{m+_=6XDvjqbe>(* zn@<8c;%|m*#1u8XN^+*XXpdAW>+qnsd97ogbzYlxrBpYX87B9&gXRLpHt5_E92Oyo5B07q`*sSUQwAP52TN>q|IHL z1+C5gBuflTrwpq(^d6{DtEyevMfPwU4o9M%Nl%CGdQaWIN1czn@VGWjCG6!~2v4om z?Zuy@4mbRal5&!KOjP)2ddAPs{`z5BSf(ymM5z9dmcZ-OQZ^k*61vlsPv zlSN@$r1-uojy{(@esgU9@P*{q$KAQt%3Syd+D|iLC9jPaQec&ASk2`#_udT!Zh>_R z#c|6=r*r5!EsXieLml(|a?6MkU}&Uu`{ZkuovF*Jk|E}7XP4ZaG_re)UOrc9kLE8y z>9xm8CGBluUF#PO#)q!dcX3%bit>7j?6oOt^s$P2jtCL!XL&b*TNtduhODv?bQJ@k zr4zp0fATrmJNu5pvg)tpd&{G_9sxhSE4MP#-&9hsf+ry@Orhi4fh)_6j*IAaVkeK3 z)lU--YWlVmt8yvOQ{U^JPV{Zo9kq+Up7*mol5w$mGRC=CRVA24_Sd=~`O>Q%?7L5O zC}$+5sSJkT)DUM>(7_5H90N9f;j#8nNM2mmlw8;^-ZR{iEmQi1`U9aX0Z5m z17rchP(gh2;RP}5Ons9n^P=dO|D73z@G#nc>%2^v7j3NbGG$)0vChksdC|r?FH`2l zdSk>#=857bd+-1v$gP5SCxmyxWFGTB0 None: if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) - manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) log = logger.setup_main_logger('Test AidaTLU', logging.DEBUG) diff --git a/aidatlu/tlu.py b/aidatlu/tlu.py index 7f0b7c9..6ada75d 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/tlu.py @@ -18,7 +18,7 @@ from data_parser import DataParser class AidaTLU(object): - def __init__(self, hw) -> None: + def __init__(self, hw, config_path, clock_config_path) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.i2c = I2CCore(hw) @@ -30,13 +30,13 @@ def __init__(self, hw) -> None: #TODO some configuration also sends out ~70 triggers. self.io_controller = IOControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) - self.clock_controller.write_clock_conf('misc/aida_tlu_clk_config.txt') + self.clock_controller.write_clock_conf(clock_config_path) self.dac_controller = DacControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) self.reset_configuration() - self.config_parser = TLUConfigure(self, self.io_controller) + self.config_parser = TLUConfigure(self, self.io_controller, config_path) self.data_parser = DataParser() self.log.success("TLU initialized") @@ -172,8 +172,8 @@ def default_configuration(self) -> None: """Default configuration. Configures DUT 1 to run in EUDET mode. This is just for testing and bugfixing. """ - test_stretch = [1,1,1,1,1,1] - test_delay = [0,0,0,0,0,0] + test_stretch = [1, 1, 1, 1, 1, 1] + test_delay = [0, 0, 0, 0, 0, 0] self.io_controller.configure_hdmi(1, '0111') self.io_controller.configure_hdmi(2, '0111') @@ -366,7 +366,7 @@ def run(self) -> None: self.data_table.append(event_vec) except: self.log.warning('Incomplete Event handling...') - pass + assert KeyboardInterrupt #Logs and poss. sends status every 1s. if current_time - self.last_time > 1: @@ -411,7 +411,10 @@ def run(self) -> None: manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - tlu = AidaTLU(hw) + clock_path = 'misc/aida_tlu_clk_config.txt' + config_path = 'conf.yaml' + + tlu = AidaTLU(hw, config_path, clock_path) tlu.configure() From 60cec9058e661e744527a64f3c8cf841b62cec2f Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 15 Aug 2023 10:54:52 +0200 Subject: [PATCH 33/68] better pytest --- aidatlu/test/README.md | 14 ++++++++++++++ aidatlu/test/hardware_test.py | 8 +++----- aidatlu/test/software_test.py | 36 +++++++++++++++++++++++++++++++++++ 3 files changed, 53 insertions(+), 5 deletions(-) create mode 100644 aidatlu/test/README.md create mode 100644 aidatlu/test/software_test.py diff --git a/aidatlu/test/README.md b/aidatlu/test/README.md new file mode 100644 index 0000000..1e5b928 --- /dev/null +++ b/aidatlu/test/README.md @@ -0,0 +1,14 @@ +# Test Directory +Test software and hardware of the AIDA-2020 TLU.\ +Use pytest: +```bash +pytest +``` +or with full log: +```bash +pytest -o log_cli=True +``` +Also to only test the software script use: +```bash +pytest software.py -o log_cli=True +``` diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index fd5260a..becebf4 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -10,7 +10,7 @@ from hardware.clock_controller import ClockControl from hardware.dut_controller import DUTLogic from hardware.trigger_controller import TriggerLogic -from data_parser import DataParser +from config_parser import TLUConfigure import uhal import time @@ -104,7 +104,8 @@ class Test_DUTLogic(): dut = DUTLogic(i2c) def test_set_dut_mask(self) -> None: - self.dut.set_dut_mask('1111') + time.sleep(1) + self.dut.set_dut_mask('1010') time.sleep(1) self.dut.set_dut_mask('0000') @@ -182,6 +183,3 @@ def test_tlu(): tlu.get_device_id() tlu.get_fw_version() -def test_data_interpreter(): - data_parser = DataParser() - data_parser.parse('raw_data_test.h5', 'interpreted_data_test.h5') diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py new file mode 100644 index 0000000..05fd0e0 --- /dev/null +++ b/aidatlu/test/software_test.py @@ -0,0 +1,36 @@ +import sys +sys.path.insert(1, '..') + +import yaml +import numpy as np +import tables as tb +from data_parser import DataParser + +def test_data_parser(): + data_parser = DataParser() + data_parser.parse('raw_data_test.h5', 'interpreted_data_test.h5') + +def test_interpreted_data(): + features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('overflow', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), + ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) + + interpreted_data_path = 'interpreted_data.h5' + interpreted_test_data_path = 'interpreted_data_test.h5' + + with tb.open_file(interpreted_data_path, 'r') as file: + table = file.root.interpreted_data + interpreted_data = np.array(table[:], dtype=features) + + with tb.open_file(interpreted_test_data_path, 'r') as file: + table = file.root.interpreted_data + interpreted_test_data = np.array(table[:], dtype=features) + + # numpy equal should do everything. But this could help for debugging. + assert np.array_equiv(interpreted_data, interpreted_test_data) + assert np.array_equal(interpreted_data, interpreted_test_data) + assert (interpreted_data==interpreted_test_data).all() + +def test_load_config(): + config_path = '../conf.yaml' + with open(config_path, 'r') as file: + conf = yaml.full_load(file) \ No newline at end of file From 15ea692df4f93dde9d0cdc388dbf751ac3cc14f6 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 25 Aug 2023 10:07:56 +0200 Subject: [PATCH 34/68] refactoring + better online monitor + aidatlu control --- aidatlu/README.md | 9 ++++ aidatlu/aidatlu.py | 51 +++++++++++++++++++++++ aidatlu/conf.yaml | 2 +- aidatlu/hardware/i2c.py | 1 - aidatlu/{ => main}/config_parser.py | 0 aidatlu/{ => main}/data_parser.py | 0 aidatlu/{ => main}/tlu.py | 19 +++++---- aidatlu/online_monitor/configuration.yaml | 10 ----- aidatlu/online_monitor/tlu_converter.py | 18 ++++---- aidatlu/online_monitor/tlu_receiver.py | 29 ++++--------- aidatlu/scripts/start_tlu.sh | 2 +- aidatlu/test/README.md | 2 +- aidatlu/test/hardware_test.py | 4 +- aidatlu/test/software_test.py | 2 +- 14 files changed, 96 insertions(+), 53 deletions(-) create mode 100644 aidatlu/README.md create mode 100644 aidatlu/aidatlu.py rename aidatlu/{ => main}/config_parser.py (100%) rename aidatlu/{ => main}/data_parser.py (100%) rename aidatlu/{ => main}/tlu.py (96%) diff --git a/aidatlu/README.md b/aidatlu/README.md new file mode 100644 index 0000000..e1b6cd3 --- /dev/null +++ b/aidatlu/README.md @@ -0,0 +1,9 @@ +# Usage +To start AIDA TLU one needs to import the uhal library for IPbus. +```bash +export LD_LIBRARY_PATH=/opt/cactus/lib +``` +then: +```bash +python -i aidatlu.py +``` \ No newline at end of file diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu.py new file mode 100644 index 0000000..d193018 --- /dev/null +++ b/aidatlu/aidatlu.py @@ -0,0 +1,51 @@ + +from main.tlu import AidaTLU +import uhal + +class AIDATLU(): + def __init__(self, config_path, clock_path): + print(' ---------------------------------------') + print(" _ ___ ___ _ _____ _ _ _ ") + print(" /_\ |_ _| \ /_\ |_ _| | | | | |") + print(" / _ \ | || |) / _ \ | | | |_| |_| |") + print(" /_/ \_\___|___/_/ \_\ |_| |____\___/ \n") + print(' ---------------------------------------') + print('tlu.help\n') + + self.cfile = config_path + self.clock = clock_path + + @property + def run(self): + self.aidatlu.run() + + @property + def stop(self): + self.aidatlu.stop_run() + + @property + def configure(self): + self.init + self.aidatlu.configure() + + @property + def init(self): + self.aidatlu = AidaTLU(hw, self.cfile , self.clock) + + @property + def help(self): + print('tlu.configure') + print('start run: tlu.run') + print('stop run: ctr+c') + print('exit: ctr+d/exit()') + +if __name__ == '__main__': + uhal.setLogLevelTo(uhal.LogLevel.NOTICE) + manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) + + clock_path = 'misc/aida_tlu_clk_config.txt' + config_path = 'conf.yaml' + + tlu = AIDATLU(config_path, clock_path) + \ No newline at end of file diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 436a98d..eb399f4 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -74,5 +74,5 @@ save_raw_data: True interpret_data: True #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: 'off' #"tcp://127.0.0.1:6500" +zmq_connection: "tcp://127.0.0.1:6500" # 'off' diff --git a/aidatlu/hardware/i2c.py b/aidatlu/hardware/i2c.py index 4f11346..326982c 100644 --- a/aidatlu/hardware/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -1,6 +1,5 @@ import time from math import ceil - import logger i2c_addr = { diff --git a/aidatlu/config_parser.py b/aidatlu/main/config_parser.py similarity index 100% rename from aidatlu/config_parser.py rename to aidatlu/main/config_parser.py diff --git a/aidatlu/data_parser.py b/aidatlu/main/data_parser.py similarity index 100% rename from aidatlu/data_parser.py rename to aidatlu/main/data_parser.py diff --git a/aidatlu/tlu.py b/aidatlu/main/tlu.py similarity index 96% rename from aidatlu/tlu.py rename to aidatlu/main/tlu.py index 6ada75d..35deee9 100644 --- a/aidatlu/tlu.py +++ b/aidatlu/main/tlu.py @@ -13,9 +13,9 @@ from hardware.dac_controller import DacControl from hardware.trigger_controller import TriggerLogic from hardware.dut_controller import DUTLogic -from config_parser import TLUConfigure +from main.config_parser import TLUConfigure -from data_parser import DataParser +from main.data_parser import DataParser class AidaTLU(object): def __init__(self, hw, config_path, clock_config_path) -> None: @@ -365,21 +365,26 @@ def run(self) -> None: if save_data: self.data_table.append(event_vec) except: - self.log.warning('Incomplete Event handling...') - assert KeyboardInterrupt + if KeyboardInterrupt: + run_active = False + else: + #If this happens: poss. Hitrate to high for FIFO and or Data handling. + self.log.warning('Incomplete Event handling...') #Logs and poss. sends status every 1s. if current_time - self.last_time > 1: self.log_sent_status(current_time) - # self.log.warning(str(current_event)) + # self.log_trigger_inputs(current_event) + # self.log.warning(str(current_event)) #This loop sents which inputs produced the trigger signal for the first event. if (np.size(current_event) > 1) and first_event: #TODO only first event? self.log_trigger_inputs(current_event) first_event = False + #Stops the TLU after some time in seconds. - #if current_time*25/1000000000 > 600: - # run_active = False + # if current_time*25/1000000000 > 600: + # run_active = False except: KeyboardInterrupt run_active = False diff --git a/aidatlu/online_monitor/configuration.yaml b/aidatlu/online_monitor/configuration.yaml index dd7c46c..ce50979 100644 --- a/aidatlu/online_monitor/configuration.yaml +++ b/aidatlu/online_monitor/configuration.yaml @@ -1,13 +1,3 @@ -# producer_sim : -# DAQ0 : -# kind : example_producer_sim -# delay : 0.02 -# backend : tcp://127.0.0.1:5500 - -# DAQ1 : -# kind : example_producer_sim -# delay : 0.02 -# backend : tcp://127.0.0.1:5501 converter : AIDA_TLU_Converter : diff --git a/aidatlu/online_monitor/tlu_converter.py b/aidatlu/online_monitor/tlu_converter.py index 959367f..cd50dbc 100644 --- a/aidatlu/online_monitor/tlu_converter.py +++ b/aidatlu/online_monitor/tlu_converter.py @@ -1,6 +1,6 @@ from online_monitor.converter.transceiver import Transceiver import zmq - +from online_monitor.utils import utils class AIDATLUConverter(Transceiver): @@ -15,12 +15,14 @@ def deserialize_data(self, data): return m def interpret_data(self, data): - return data + interpreted_data ={ + 'Address': data[0][0], + 'Run Time': data[0][1][0], + 'Event Number': data[0][1][1], + 'Total trigger numb': data[0][1][2], + 'Trigger freq': data[0][1][3], + } + return [interpreted_data] def serialize_data(self, data): - return data - #return jsonapi.dumps(data, cls=utils.NumpyEncoder) - - def send_data(self, data): - for actual_backend in self.backends: - actual_backend[1].send_string(str(data), flags=zmq.NOBLOCK) \ No newline at end of file + return utils.simple_enc(None, data) \ No newline at end of file diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py index 2bf2b2f..464acfa 100644 --- a/aidatlu/online_monitor/tlu_receiver.py +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -5,6 +5,7 @@ from PyQt5 import QtWidgets import pyqtgraph as pg from pyqtgraph.dockarea import DockArea, Dock +from online_monitor.utils import utils class AIDATLUReciever(Receiver): @@ -69,33 +70,19 @@ def setup_widgets(self, parent, name): self.plot_delay = 0 def deserialize_data(self, data): - #Ok alot of string decoding dont panic it works - m = data.decode("utf-8") - m = ''.join([i for i in m if i not in ['[' ,']', ' ']]) - m = m.split(' ') - address = m[0].replace(',','') - address = m[0].replace('(','') - data_array = m[1:5] - data_array = list(filter(None, data_array)) - for i in range(len(data_array)): - data_array[i] = data_array[i].replace(',', '') - data_array[i] = data_array[i].replace(')', '') - data_array = [float(i) for i in data_array] - array = {'address': address, 'data': data_array} - return array - #res = jsonapi.loads(data, object_hook=utils.json_numpy_obj_hook) + return utils.simple_dec(data)[1] def refresh_data(self): if len(self.hitrate_data) > 0: self.trigger_rate_acc_curve.setData(x=self.runtime, y=self.hitrate_data) def handle_data(self, data): - self.hitrate_data.append(data['data'][3]) - self.runtime.append(data['data'][0]) - self.timestamp_label.setText("Run Time\n%0.2f s" %data['data'][0]) - self.event_numb_label.setText("Event Number\n%i" %data['data'][1]) - self.total_trig_numb.setText("Total Trigger Number\n%i" %data['data'][2]) - self.hit_rate_label.setText("Trigger Frequency\n%0.2f Hz" %data['data'][3]) + self.hitrate_data.append(data['Trigger freq']) + self.runtime.append(data['Run Time']) + self.timestamp_label.setText("Run Time\n%0.2f s" %data['Run Time']) + self.event_numb_label.setText("Event Number\n%i" %data['Event Number']) + self.total_trig_numb.setText("Total Trigger Number\n%i" %data['Total trigger numb']) + self.hit_rate_label.setText("Trigger Frequency\n%0.2f Hz" %data['Trigger freq']) def _reset(self): self.hitrate_data = [] diff --git a/aidatlu/scripts/start_tlu.sh b/aidatlu/scripts/start_tlu.sh index 095025e..3ca7b17 100755 --- a/aidatlu/scripts/start_tlu.sh +++ b/aidatlu/scripts/start_tlu.sh @@ -1,4 +1,4 @@ #!/bin/sh export LD_LIBRARY_PATH=/opt/cactus/lib cd .. -python -i tlu.py +python -i aidatlu.py diff --git a/aidatlu/test/README.md b/aidatlu/test/README.md index 1e5b928..5fa7d37 100644 --- a/aidatlu/test/README.md +++ b/aidatlu/test/README.md @@ -10,5 +10,5 @@ pytest -o log_cli=True ``` Also to only test the software script use: ```bash -pytest software.py -o log_cli=True +pytest software_test.py -o log_cli=True ``` diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index becebf4..5ac5250 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -3,14 +3,14 @@ sys.path.insert(1, '..') sys.path.insert(1, '../hardware') -from tlu import AidaTLU +from main.tlu import AidaTLU from hardware.i2c import I2CCore from hardware.ioexpander_controller import IOControl from hardware.dac_controller import DacControl from hardware.clock_controller import ClockControl from hardware.dut_controller import DUTLogic from hardware.trigger_controller import TriggerLogic -from config_parser import TLUConfigure +from main.config_parser import TLUConfigure import uhal import time diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 05fd0e0..3b23da3 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -4,7 +4,7 @@ import yaml import numpy as np import tables as tb -from data_parser import DataParser +from main.data_parser import DataParser def test_data_parser(): data_parser = DataParser() From 798e38df0332e69e59686870298cce1c2315f8ed Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 22 Sep 2023 09:58:52 +0200 Subject: [PATCH 35/68] bugfix EUDET mode clock output + some QoL --- aidatlu/TLUPyProducer.py | 4 ++-- aidatlu/aidatlu.py | 15 ++++++++++----- aidatlu/conf.yaml | 16 ++++++++-------- aidatlu/hardware/ioexpander_controller.py | 2 -- aidatlu/logger.py | 12 +----------- aidatlu/main/config_parser.py | 9 ++++++--- aidatlu/main/data_parser.py | 1 - aidatlu/main/tlu.py | 2 -- aidatlu/test/software_test.py | 2 +- aidatlu/test/test.py | 4 +--- 10 files changed, 29 insertions(+), 38 deletions(-) diff --git a/aidatlu/TLUPyProducer.py b/aidatlu/TLUPyProducer.py index b98d802..c7314c9 100644 --- a/aidatlu/TLUPyProducer.py +++ b/aidatlu/TLUPyProducer.py @@ -3,7 +3,7 @@ import pyeudaq from pyeudaq import EUDAQ_INFO, EUDAQ_ERROR import time -import tlu +from main.tlu import AidaTLU import uhal """ @@ -36,7 +36,7 @@ def DoInitialise(self): manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - self.tlu = tlu.AidaTLU(hw) + self.tlu = AidaTLU(hw) #print 'key_a(init) = ', self.GetInitItem("key_a") @exception_handler diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu.py index d193018..2def006 100644 --- a/aidatlu/aidatlu.py +++ b/aidatlu/aidatlu.py @@ -1,4 +1,3 @@ - from main.tlu import AidaTLU import uhal @@ -14,10 +13,14 @@ def __init__(self, config_path, clock_path): self.cfile = config_path self.clock = clock_path + self.rdy = False @property def run(self): - self.aidatlu.run() + if self.rdy == False: + print('TLU not configured, Run aborted') + else: + self.aidatlu.run() @property def stop(self): @@ -25,6 +28,7 @@ def stop(self): @property def configure(self): + self.rdy = True self.init self.aidatlu.configure() @@ -37,15 +41,16 @@ def help(self): print('tlu.configure') print('start run: tlu.run') print('stop run: ctr+c') - print('exit: ctr+d/exit()') + print('exit: ctr+d/exit()\n') + print('for access to the main tlu functions: tlu.aitatlu....') if __name__ == '__main__': uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - clock_path = 'misc/aida_tlu_clk_config.txt' config_path = 'conf.yaml' - + clock_path = 'misc/aida_tlu_clk_config.txt' + tlu = AIDATLU(config_path, clock_path) \ No newline at end of file diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index eb399f4..1a5b807 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -3,13 +3,13 @@ internal_trigger: internal_trigger_rate: 0 dut_module: - dut_1: #aida DUT - mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' - dut_2: #BDAQ MOCK + dut_1: + mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + dut_2: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_3: #DATURA TELESCOPE + dut_3: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_4: #FEI4 + dut_4: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: @@ -34,9 +34,9 @@ trigger_inputs: # all veto seems to break an all do not care trigger_logic_1: - trig_1: -1 + trig_1: 1 trig_2: -1 - trig_3: 1 + trig_3: -1 trig_4: -1 trig_5: -1 trig_6: -1 @@ -74,5 +74,5 @@ save_raw_data: True interpret_data: True #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: "tcp://127.0.0.1:6500" # 'off' +zmq_connection: #"tcp://127.0.0.1:6500" # 'off' diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 9361f55..999324f 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -191,7 +191,6 @@ def _set_led(self,led_id: int, rgb: list) -> None: # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? indicator = [[30, 29, 31], [27, 26, 28], [24, 23, 25], [21, 20, 22], [18, 17, -1], [15, 14, 16], [12, 11, 13], [9, 8, 10], [6, 5, 7], [3, 2, 4], [1, 0, 19]] - now_status = [] #status of all ioexpander now next_status = [] #status of all ioexpander next now_status.append(0xFF & self._get_ioexpander_output(1,1,2)) @@ -231,7 +230,6 @@ def _set_led(self,led_id: int, rgb: list) -> None: if now_status[3] != next_status[3]: self._set_ioexpander_output(1, 2, 3, next_status[3]) - """ Output Control diff --git a/aidatlu/logger.py b/aidatlu/logger.py index 60f40f1..0938260 100644 --- a/aidatlu/logger.py +++ b/aidatlu/logger.py @@ -4,7 +4,6 @@ FORMAT = "%(asctime)s [%(name)-18s] - %(levelname)-7s %(message)s" - def setup_main_logger(name="AidaTLU", level=logging.INFO): _reset_all_loggers() @@ -19,7 +18,6 @@ def setup_main_logger(name="AidaTLU", level=logging.INFO): return logger - def setup_derived_logger(name, level=logging.INFO): logger = logging.getLogger(name) logger.setLevel(level) @@ -32,7 +30,6 @@ def setup_derived_logger(name, level=logging.INFO): return logger - def setup_logfile(filename, level=logging.INFO): fh = logging.FileHandler(filename) fh.setLevel(level) @@ -40,14 +37,12 @@ def setup_logfile(filename, level=logging.INFO): return fh - def add_logfile_to_loggers(fh): # Add filehandler to all active loggers for lg in logging.Logger.manager.loggerDict.values(): if isinstance(lg, logging.Logger): lg.addHandler(fh) - def _add_logfiles_to(logger): fhs = [] for lg in logging.Logger.manager.loggerDict.values(): @@ -59,14 +54,12 @@ def _add_logfiles_to(logger): for fh in fhs: logger.addHandler(fh) - def close_logfile(fh): # Remove filehandler from all active loggers for lg in logging.Logger.manager.loggerDict.values(): if isinstance(lg, logging.Logger): lg.removeHandler(fh) - def _setup_coloredlogs(logger): loglevel = logger.getEffectiveLevel() coloredlogs.DEFAULT_FIELD_STYLES = { @@ -89,7 +82,6 @@ def _setup_coloredlogs(logger): coloredlogs.install(fmt=FORMAT, milliseconds=True, loglevel=loglevel) - def _add_success_level(logger): logging.SUCCESS = 35 logging.addLevelName(logging.SUCCESS, "SUCCESS") @@ -97,7 +89,6 @@ def _add_success_level(logger): logging.SUCCESS, msg, *args, **kwargs ) - def _add_notice_level(logger): logging.NOTICE = 25 logging.addLevelName(logging.NOTICE, "NOTICE") @@ -105,6 +96,5 @@ def _add_notice_level(logger): logging.NOTICE, msg, *args, **kwargs ) - def _reset_all_loggers(): - logging.root.handlers = [] + logging.root.handlers = [] \ No newline at end of file diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 3629dc7..99b9084 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -50,17 +50,20 @@ def conf_dut(self) -> None: if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'eudet': self.tlu.io_controller.switch_led(i+1, 'g') dut[i] = 2**i + #Clock output needs to be disabled for EUDET mode. + self.tlu.io_controller.clock_hdmi_output(i+1, 'off') if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aidatrig': self.tlu.io_controller.switch_led(i+1, 'w') dut[i] = 2**i - dut_mode[i] = 2**(2*i) + dut_mode[i] = 2**(2*i) + #In AIDA mode the clock output is needed. + self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aida': self.tlu.io_controller.switch_led(i+1, 'b') dut[i] = 2**i dut_mode[i] = 3*(2)**(2*i) + self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') self.tlu.io_controller.configure_hdmi(i+1, '0111') - #The clock output needs to be enabled. If not the trigger number is not sent out in EUDET Mode with trigger number. - self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') #This sets the right bits to the set dut mask registers according to the configuration parameter. self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index eb7e36a..1271d36 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -4,7 +4,6 @@ import logging class DataParser(object): - def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u8'), ('overflow', 'u8'), ('eventtype', 'u4'), ('input1', 'bool'), ('input2', 'bool'), ('input3', 'bool'), diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 35deee9..f3a5af4 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -7,14 +7,12 @@ import zmq from hardware.i2c import I2CCore - from hardware.clock_controller import ClockControl from hardware.ioexpander_controller import IOControl from hardware.dac_controller import DacControl from hardware.trigger_controller import TriggerLogic from hardware.dut_controller import DUTLogic from main.config_parser import TLUConfigure - from main.data_parser import DataParser class AidaTLU(object): diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 3b23da3..0195b14 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -28,7 +28,7 @@ def test_interpreted_data(): # numpy equal should do everything. But this could help for debugging. assert np.array_equiv(interpreted_data, interpreted_test_data) assert np.array_equal(interpreted_data, interpreted_test_data) - assert (interpreted_data==interpreted_test_data).all() + assert (interpreted_data == interpreted_test_data).all() def test_load_config(): config_path = '../conf.yaml' diff --git a/aidatlu/test/test.py b/aidatlu/test/test.py index e9b88ac..34edd71 100644 --- a/aidatlu/test/test.py +++ b/aidatlu/test/test.py @@ -1,9 +1,8 @@ - import sys sys.path.insert(1, '..') sys.path.insert(1, '../hardware') -from tlu import AidaTLU +from main.tlu import AidaTLU from hardware.i2c import I2CCore from hardware.utils import _set_bit from hardware.ioexpander_controller import IOControl @@ -12,7 +11,6 @@ from hardware.dut_controller import DUTLogic from hardware.trigger_controller import TriggerLogic - import time import numpy as np import uhal From 0bdb83106072282906dd66c3151ba1f248b67fdc Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 10 Oct 2023 11:06:15 +0200 Subject: [PATCH 36/68] new and improved trigger logic configuration --- aidatlu/aidatlu.py | 6 +++- aidatlu/conf.yaml | 32 ++++------------- aidatlu/main/config_parser.py | 68 +++++++++++++++-------------------- 3 files changed, 39 insertions(+), 67 deletions(-) diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu.py index 2def006..cd98ae1 100644 --- a/aidatlu/aidatlu.py +++ b/aidatlu/aidatlu.py @@ -42,7 +42,7 @@ def help(self): print('start run: tlu.run') print('stop run: ctr+c') print('exit: ctr+d/exit()\n') - print('for access to the main tlu functions: tlu.aitatlu....') + print('for access to the main tlu functions: tlu.aidatlu....') if __name__ == '__main__': uhal.setLogLevelTo(uhal.LogLevel.NOTICE) @@ -53,4 +53,8 @@ def help(self): clock_path = 'misc/aida_tlu_clk_config.txt' tlu = AIDATLU(config_path, clock_path) + + # Uncomment if you just want to use EUDET mode and just plug and play TLU. + # tlu.configure + # tlu.run \ No newline at end of file diff --git a/aidatlu/conf.yaml b/aidatlu/conf.yaml index 1a5b807..a37ea0a 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/conf.yaml @@ -22,32 +22,12 @@ trigger_inputs: threshold_5: -0.06 threshold_6: -0.06 - trigger_inputs_logic: - #Enable Trigger logic. A 1 in a trigger input means the trigger has to be HIGH, - #a 0 corresponds to a VETO and a -1 to DO NOT CARE. - #The elements in words e.q trig_1 and trig_2 have a AND between them. - #Different words e.q. trigger_logic_1 and trigger_logic_2 have OR between them. - #So element-wise AND and dictionary-wise OR. - #TODO The signal length of the trigger inputs should be analyzed - # with the osziloscope. For mor complicated OR and VETO trigger words this seems to have an effect. - #TODO the code will most prob. have edge cases where it will break. - # all veto seems to break an all do not care - - trigger_logic_1: - trig_1: 1 - trig_2: -1 - trig_3: -1 - trig_4: -1 - trig_5: -1 - trig_6: -1 - - # trigger_logic_2: - # trig_1: 0 - # trig_2: 0 - # trig_3: 0 -# trig_4: 0 -# trig_5: 0 -# trig_6: 0 + # Trigger Logic configuration accept a python expression for the trigger inputs. + # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5'and 'CH6' + # and the Python bitwise operators AND: '&', OR: '|', NOT: '~' and so on. Dont forget to use brackets... + # eq.: "(CH1 & ~CH2) & (CH3 | CH4 | CH5 | CH6)" + # produces a valid trigger, when CH1 and not CH2 triggers, together when one of CH3, CH4, CH5 or CH6 triggers. + trigger_inputs_logic: '(CH1 | CH2 | CH3 | CH4 | CH5 | CH6)' trigger_polarity: #TLU triggers on rising (0) or falling (1) edge diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 99b9084..fba797f 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -1,4 +1,3 @@ - import yaml import logging import logger @@ -66,15 +65,14 @@ def conf_dut(self) -> None: self.tlu.io_controller.configure_hdmi(i+1, '0111') #This sets the right bits to the set dut mask registers according to the configuration parameter. - self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) - self.tlu.dut_logic.set_dut_mask_mode(dut_mode[0] | dut_mode[1] | dut_mode[2] | dut_mode[3] ) + self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) + self.tlu.dut_logic.set_dut_mask_mode(dut_mode[0] | dut_mode[1] | dut_mode[2] | dut_mode[3]) #Special configs self.tlu.dut_logic.set_dut_mask_mode_modifier(0) self.tlu.dut_logic.set_dut_ignore_busy(0) self.tlu.dut_logic.set_dut_ignore_shutter(0x1) - def conf_trigger_logic(self) -> None: """ Configures the trigger logic. So the trigger polarity and the trigger pulse length and stretch. """ @@ -89,46 +87,36 @@ def conf_trigger_inputs(self)-> None: """Configures the trigger inputs. Each input can have a different threshold. The two trigger words mask_low and mask_high are generated with the use of two support functions. """ - [self.tlu.dac_controller.set_threshold(i+1, self.conf['trigger_inputs']['threshold']['threshold_%s' %(i+1)]) for i in range(6)] - trigger_word = 0 - for i in (self.conf['trigger_inputs']['trigger_inputs_logic']): - logic_array = [] - for index,j in enumerate(self.conf['trigger_inputs']['trigger_inputs_logic'][i]): - logic_array.append(self.conf['trigger_inputs']['trigger_inputs_logic'][i][j]) - if self.conf['trigger_inputs']['trigger_inputs_logic'][i][j] == 1: - self.io_control.switch_led(index+6, 'g') - if self.conf['trigger_inputs']['trigger_inputs_logic'][i][j] == 0: - self.io_control.switch_led(index+6, 'r') - trigger_word += self._find_mask_word(logic_array) - - mask_low, mask_high = self._mask_words(trigger_word) - - self.log.info('mask high: %s, mask low: %s' %(hex(mask_high),hex(mask_low))) - - self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) - - def _find_mask_word(self, logic_array: list) -> int: - """This function creates all combination of trigger words and compares them to the one from the configuration file. - When they match the word is returned. - - Args: - logic_array (list): The combinations are compared to the logic array. - - Returns: - int: Returns the long word variant of the trigger word. - """ + trigger_configuration = self.conf['trigger_inputs']['trigger_inputs_logic'] + self.log.info('Trigger Configuration: %s' %(trigger_configuration)) + + #Sets the Trigger Leds to green if the Input is enabled and to red if the input is set to VETO. + #TODO this breaks when there are multiple enabled and veto statements. + for trigger_led in range(6): + if '~CH%i' %(trigger_led +1) in trigger_configuration: + self.io_control.switch_led(trigger_led+6, 'r') + elif 'CH%i' %(trigger_led +1) in trigger_configuration: + self.io_control.switch_led(trigger_led+6, 'g') + long_word = 0x0 + # Goes through all possible trigger combinations and checks if the combination is valid with the trigger logic. + # When the word is valid this is added to the longword. for combination in range(64): - #Transform a given integer in binary in reverse order to a list. - pattern_list = [(combination >> element) & 0x1 for element in range(6)] - #Ignore DO NOT CARE input -1 - logic_array = [pattern_list[i] if logic_array[i] == -1 else logic_array[i] for i in range(len(logic_array))] - valid = (logic_array == pattern_list) - long_word = (valid << combination) | long_word - return long_word - + pattern_list = [(combination >> element) & 0x1 for element in range(6)] + CCH5 = pattern_list[5] + CCH4 = pattern_list[4] + CCH3 = pattern_list[3] + CCH2 = pattern_list[2] + CCH1 = pattern_list[1] + CCH0 = pattern_list[0] + valid = (lambda CH1, CH2, CH3, CH4, CH5, CH6: eval(trigger_configuration))(CCH0, CCH1, CCH2, CCH3, CCH4, CCH5) + long_word = (valid << combination) | long_word + + mask_low, mask_high = self._mask_words(long_word) + self.log.info('mask high: %s, mask low: %s' %(hex(mask_high), hex(mask_low))) + self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) def _mask_words(self, word: int) -> tuple: """ Transforms the long word variant of the trigger word to the mask_low mask_high variant. From 3569a1581da5dca3a2bdf3cd6fd318803719449e Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 31 Oct 2023 12:44:43 +0100 Subject: [PATCH 37/68] code style black --- aidatlu/TLUPyProducer.py | 58 ++-- aidatlu/aidatlu.py | 33 +-- aidatlu/hardware/clock_controller.py | 48 ++-- aidatlu/hardware/dac_controller.py | 103 ++++--- aidatlu/hardware/dut_controller.py | 43 +-- aidatlu/hardware/i2c.py | 24 +- aidatlu/hardware/ioexpander_controller.py | 322 ++++++++++++---------- aidatlu/hardware/trigger_controller.py | 102 ++++--- aidatlu/hardware/utils.py | 25 +- aidatlu/logger.py | 12 +- aidatlu/main/config_parser.py | 184 ++++++++----- aidatlu/main/data_parser.py | 137 +++++---- aidatlu/main/tlu.py | 257 ++++++++++------- aidatlu/online_monitor/tlu_converter.py | 24 +- aidatlu/online_monitor/tlu_receiver.py | 166 +++++------ aidatlu/test/hardware_test.py | 75 ++--- aidatlu/test/software_test.py | 50 +++- aidatlu/test/test.py | 91 +++--- 18 files changed, 1009 insertions(+), 745 deletions(-) diff --git a/aidatlu/TLUPyProducer.py b/aidatlu/TLUPyProducer.py index c7314c9..a0e702d 100644 --- a/aidatlu/TLUPyProducer.py +++ b/aidatlu/TLUPyProducer.py @@ -13,6 +13,7 @@ """ + def exception_handler(method): def inner(*args, **kwargs): try: @@ -20,46 +21,48 @@ def inner(*args, **kwargs): except Exception as e: EUDAQ_ERROR(str(e)) raise e + return inner + class TLUPyProducer(pyeudaq.Producer): def __init__(self, name, runctrl): pyeudaq.Producer.__init__(self, name, runctrl) - + self.is_running = 0 - EUDAQ_INFO('New instance of TLUPyProducer') + EUDAQ_INFO("New instance of TLUPyProducer") @exception_handler - def DoInitialise(self): - EUDAQ_INFO('DoInitialise') + def DoInitialise(self): + EUDAQ_INFO("DoInitialise") uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) self.tlu = AidaTLU(hw) - #print 'key_a(init) = ', self.GetInitItem("key_a") + # print 'key_a(init) = ', self.GetInitItem("key_a") @exception_handler - def DoConfigure(self): - EUDAQ_INFO('DoConfigure') + def DoConfigure(self): + EUDAQ_INFO("DoConfigure") self.tlu.configure() - #print 'key_b(conf) = ', self.GetConfigItem("key_b") + # print 'key_b(conf) = ', self.GetConfigItem("key_b") @exception_handler def DoStartRun(self): - EUDAQ_INFO('DoStartRun') + EUDAQ_INFO("DoStartRun") self.tlu.run() self.is_running = 1 - + @exception_handler - def DoStopRun(self): - EUDAQ_INFO('DoStopRun') + def DoStopRun(self): + EUDAQ_INFO("DoStopRun") self.tlu.stop_run() self.is_running = 0 @exception_handler - def DoReset(self): - EUDAQ_INFO('DoReset') + def DoReset(self): + EUDAQ_INFO("DoReset") self.tlu.reset_configuration() self.is_running = 0 @@ -67,28 +70,31 @@ def DoReset(self): def RunLoop(self): EUDAQ_INFO("Start of RunLoop in TLUPyProducer") trigger_n = 0 - #TODO here the Run loop from the tlu is probably needed - while(self.is_running): + # TODO here the Run loop from the tlu is probably needed + while self.is_running: ev = pyeudaq.Event("RawEvent", "sub_name") ev.SetTriggerN(trigger_n) - #block = bytes(r'raw_data_string') - #ev.AddBlock(0, block) - #print ev + # block = bytes(r'raw_data_string') + # ev.AddBlock(0, block) + # print ev # Mengqing: - datastr = 'raw_data_string' - block = bytes(datastr, 'utf-8') + datastr = "raw_data_string" + block = bytes(datastr, "utf-8") ev.AddBlock(0, block) - #print(ev) - + # print(ev) + self.SendEvent(ev) trigger_n += 1 time.sleep(1) EUDAQ_INFO("End of RunLoop in TLUPyProducer") + if __name__ == "__main__": myproducer = TLUPyProducer("AIDA_TLU", "tcp://localhost:44000") - print ("connecting to runcontrol in localhost:44000", ) + print( + "connecting to runcontrol in localhost:44000", + ) myproducer.Connect() time.sleep(2) - while(myproducer.IsConnected()): - time.sleep(1) \ No newline at end of file + while myproducer.IsConnected(): + time.sleep(1) diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu.py index cd98ae1..622aaa5 100644 --- a/aidatlu/aidatlu.py +++ b/aidatlu/aidatlu.py @@ -1,15 +1,16 @@ from main.tlu import AidaTLU import uhal -class AIDATLU(): + +class AIDATLU: def __init__(self, config_path, clock_path): - print(' ---------------------------------------') + print(" ---------------------------------------") print(" _ ___ ___ _ _____ _ _ _ ") print(" /_\ |_ _| \ /_\ |_ _| | | | | |") print(" / _ \ | || |) / _ \ | | | |_| |_| |") print(" /_/ \_\___|___/_/ \_\ |_| |____\___/ \n") - print(' ---------------------------------------') - print('tlu.help\n') + print(" ---------------------------------------") + print("tlu.help\n") self.cfile = config_path self.clock = clock_path @@ -18,7 +19,7 @@ def __init__(self, config_path, clock_path): @property def run(self): if self.rdy == False: - print('TLU not configured, Run aborted') + print("TLU not configured, Run aborted") else: self.aidatlu.run() @@ -34,27 +35,27 @@ def configure(self): @property def init(self): - self.aidatlu = AidaTLU(hw, self.cfile , self.clock) + self.aidatlu = AidaTLU(hw, self.cfile, self.clock) @property def help(self): - print('tlu.configure') - print('start run: tlu.run') - print('stop run: ctr+c') - print('exit: ctr+d/exit()\n') - print('for access to the main tlu functions: tlu.aidatlu....') + print("tlu.configure") + print("start run: tlu.run") + print("stop run: ctr+c") + print("exit: ctr+d/exit()\n") + print("for access to the main tlu functions: tlu.aidatlu....") + -if __name__ == '__main__': +if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - config_path = 'conf.yaml' - clock_path = 'misc/aida_tlu_clk_config.txt' - + config_path = "conf.yaml" + clock_path = "misc/aida_tlu_clk_config.txt" + tlu = AIDATLU(config_path, clock_path) # Uncomment if you just want to use EUDET mode and just plug and play TLU. # tlu.configure # tlu.run - \ No newline at end of file diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index 618c581..5683eb5 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -8,6 +8,7 @@ """ + class ClockControl(object): def __init__(self, i2c: I2CCore, io_control: IOControl) -> None: self.log = logger.setup_derived_logger("Clock Controller") @@ -25,16 +26,16 @@ def get_device_version(self) -> int: chip_id = 0x0 self._set_page(0) for i in range(2): - nibble = self.i2c.read(self.i2c.modules["clk"], my_adress + i) - chip_id = ((nibble & 0xFF) << (i*8)) | chip_id + nibble = self.i2c.read(self.i2c.modules["clk"], my_adress + i) + chip_id = ((nibble & 0xFF) << (i * 8)) | chip_id return chip_id - def check_design_id(self, hex_str: bool = False ) -> list: - """Checks the Chip ID. If the chip is correctly configured the list corresponds + def check_design_id(self, hex_str: bool = False) -> list: + """Checks the Chip ID. If the chip is correctly configured the list corresponds to the data in the clock configuration file between the addresses 0x026B and 0x0272. Args: - hex_str (bool): Returns the design ID as a list of hex strings. Defaults to False. + hex_str (bool): Returns the design ID as a list of hex strings. Defaults to False. Returns: list: List of the design ID contains 8 integers or hex strings. @@ -43,7 +44,7 @@ def check_design_id(self, hex_str: bool = False ) -> list: numb_words = 8 words = [] for _ in range(numb_words): - words.append(self.read_clock_register(reg_address)) + words.append(self.read_clock_register(reg_address)) reg_address += 1 if hex_str: words = [hex(words[i]) for i in range(numb_words)] @@ -60,11 +61,11 @@ def read_clock_register(self, address: int) -> int: """ address = address & 0xFFFF current_page = self._get_page() - required_page = (address & 0XFF00) >> 8 - if (current_page != required_page): + required_page = (address & 0xFF00) >> 8 + if current_page != required_page: self._set_page(required_page) return self.i2c.read(self.i2c.modules["clk"], address) - + def write_clock_register(self, address: int, data: int) -> None: """Write data in specific Clock Chip register. @@ -74,24 +75,24 @@ def write_clock_register(self, address: int, data: int) -> None: """ address = address & 0xFFFF current_page = self._get_page() - required_page = (address & 0XFF00) >> 8 - if (current_page != required_page): + required_page = (address & 0xFF00) >> 8 + if current_page != required_page: self._set_page(required_page) - + self.i2c.write(self.i2c.modules["clk"], address, data) - + def parse_clock_conf(self, file_path: str) -> list: """reads the clock config file and returns a panda dataframe with two rows Adress and Data - The configuration file is produced by Clockbuilder Pro (Silicon Labs). + The configuration file is produced by Clockbuilder Pro (Silicon Labs). Args: file_path (str): File path to the configuration file. - + Returns: list: 2-dim. list, consisting of the address and data values. """ - with open(file_path, newline='') as clk_conf: + with open(file_path, newline="") as clk_conf: contents = clk_conf.read().splitlines() - contents = [row.split(',') for row in contents[10:]] + contents = [row.split(",") for row in contents[10:]] return contents def write_clock_conf(self, file_path: str) -> None: @@ -102,12 +103,12 @@ def write_clock_conf(self, file_path: str) -> None: """ clock_conf = self.parse_clock_conf(file_path) self.log.info("Writing clock configuration") - self.io_control.all_on('r') - for index,row in enumerate(clock_conf): + self.io_control.all_on("r") + for index, row in enumerate(clock_conf): self.write_clock_register(int(row[0], 16), int(row[1], 16)) - #This is just fancy, show progress of clock configuration with LEDs. - if index % 10 == 0 and int((index/len(clock_conf)*10+1)) != 5: - self.io_control.switch_led(int((index/len(clock_conf)*10+1)),'b') + # This is just fancy, show progress of clock configuration with LEDs. + if index % 10 == 0 and int((index / len(clock_conf) * 10 + 1)) != 5: + self.io_control.switch_led(int((index / len(clock_conf) * 10 + 1)), "b") self.log.success("Done writing clock configuration ") self.io_control.all_off() @@ -127,6 +128,3 @@ def _get_page(self) -> int: int: Current address page """ return self.i2c.read(self.i2c.modules["clk"], 0x01) - - - \ No newline at end of file diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 73b6a22..5e55b8d 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -7,6 +7,7 @@ """ + class DacControl(object): def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") @@ -18,7 +19,9 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_dac_reference(int_ref, 1) self._set_dac_reference(int_ref, 2) - def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: float = 1.3) -> None: + def set_threshold( + self, trigger_input: int, threshold_voltage: float, ref_v: float = 1.3 + ) -> None: """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. Args: @@ -26,33 +29,43 @@ def set_threshold(self, trigger_input: int, threshold_voltage: float, ref_v: flo threshold_voltage (float): Threshold voltage in volt. ref_v (float): Reference voltage of the DAC. Defaults to the external reference voltage 1.3 V. """ - + if threshold_voltage > ref_v: - self.log.warn("Threshold larger than %s V is not supported, Threshold will default to %s V "%(ref_v,ref_v)) + self.log.warn( + "Threshold larger than %s V is not supported, Threshold will default to %s V " + % (ref_v, ref_v) + ) threshold_voltage = ref_v if threshold_voltage < -ref_v: - self.log.warn("Threshold smaller than %s V is not supported, Threshold will default to %s V "%(-ref_v,-ref_v)) + self.log.warn( + "Threshold smaller than %s V is not supported, Threshold will default to %s V " + % (-ref_v, -ref_v) + ) threshold_voltage = -ref_v if trigger_input != 7: if trigger_input < 1 or trigger_input > 6: - raise ValueError("Invalid trigger input channel. Channel has to be between 1 and 6. Or use channel = 7 for all channels.") - - channel = trigger_input-1 #shift channel number by 1 - #calculates the DAC value for the threshold DAC + raise ValueError( + "Invalid trigger input channel. Channel has to be between 1 and 6. Or use channel = 7 for all channels." + ) + + channel = trigger_input - 1 # shift channel number by 1 + # calculates the DAC value for the threshold DAC v_dac = (threshold_voltage + ref_v) / 2 dac_value = int(0xFFFF * v_dac / ref_v) - #Sets threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. + # Sets threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. if channel == 6: - self._set_dac_value(channel+1, dac_value, 1) - self._set_dac_value(channel+1, dac_value, 2) - #The DAC channels are connected in reverse order. The first two channels sit on DAC 1 in reverse order. + self._set_dac_value(channel + 1, dac_value, 1) + self._set_dac_value(channel + 1, dac_value, 2) + # The DAC channels are connected in reverse order. The first two channels sit on DAC 1 in reverse order. if channel < 2: - self._set_dac_value(1-channel, dac_value, 1) - #The last 4 channels sit on DAC 2 in reverse order. + self._set_dac_value(1 - channel, dac_value, 1) + # The last 4 channels sit on DAC 2 in reverse order. if channel > 1 and channel < 6: - self._set_dac_value(3-(channel-2), dac_value, 2) - self.log.info("Threshold of input %s set to %s V" %(trigger_input, threshold_voltage)) + self._set_dac_value(3 - (channel - 2), dac_value, 2) + self.log.info( + "Threshold of input %s set to %s V" % (trigger_input, threshold_voltage) + ) def set_all_voltage(self, voltage: float) -> None: """Sets the same Voltage for all PMT DACs. @@ -61,7 +74,7 @@ def set_all_voltage(self, voltage: float) -> None: voltage (float): DAC voltage in volts. """ for channel in range(4): - self.set_voltage(channel+1, voltage) + self.set_voltage(channel + 1, voltage) def set_voltage(self, pmt_channel: int, voltage: float) -> None: """Sets given PMT DAC to given output voltage. @@ -70,23 +83,23 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: pmt_channel (int): DAC channel for the PMT from 1 to 4. voltage (float): DAC output voltage """ - + if pmt_channel < 1 or pmt_channel > 4: raise ValueError("PMT Channel has to be between 1 and 4") - + if voltage < 0: self.log.warn( "A Voltage value smaller than 0 is not supported, Voltage will default to 0" - ) + ) voltage = 0 - + if voltage > 1: self.log.warn( "A Voltage value higher than 1 is not supported, Voltage will default to 1" - ) + ) voltage = 1 - #Channel - PMT map [channel 2 -> PMT 4, channel 0 -> PMT 3, channel 1 -> PMT 2, channel 3 -> PMT 1] + # Channel - PMT map [channel 2 -> PMT 4, channel 0 -> PMT 3, channel 1 -> PMT 2, channel 3 -> PMT 1] if pmt_channel == 1: channel_map = 3 if pmt_channel == 2: @@ -94,20 +107,20 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: if pmt_channel == 3: channel_map = 0 if pmt_channel == 4: - channel_map = 2 + channel_map = 2 - #0xFFFF is max DAC value - self._set_dac_value(channel_map, int(voltage*0xFFFF)) - self.log.info('PMT channel %s set to %s V' %(pmt_channel, voltage)) + # 0xFFFF is max DAC value + self._set_dac_value(channel_map, int(voltage * 0xFFFF)) + self.log.info("PMT channel %s set to %s V" % (pmt_channel, voltage)) def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: """Choose internal or external DAC reference Args: internal (bool, optional): Defaults to False. - dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. + dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. """ - #There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger!! + # There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger!! if internal: chr = [0x00, 0x01] else: @@ -118,31 +131,37 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: if dac == 1: self.i2c.write_array(self.i2c.modules["dac_1"], 0x38, chr) if dac == 2: - self.i2c.write_array(self.i2c.modules["dac_2"], 0x38, chr) - #self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) + self.i2c.write_array(self.i2c.modules["dac_2"], 0x38, chr) + # self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) self.log.info( - "Set %s DAC reference of DAC %s" %(("internal" if internal else "external"), dac)) + "Set %s DAC reference of DAC %s" + % (("internal" if internal else "external"), dac) + ) def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: - """Set the output value of the power DAC + """Set the output value of the power DAC Args: channel (int): DAC channel value (int): DAC output value - dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. + dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. """ if channel < 0 or channel > 7: raise ValueError("Channel has to be between 0 and 7") - - if value<0x0000: - self.log.warn("DAC value < 0x0000 not supported, value will default to 0x0000") + + if value < 0x0000: + self.log.warn( + "DAC value < 0x0000 not supported, value will default to 0x0000" + ) value = 0 - - if value>0xFFFF: - self.log.warn("DAC value > 0xFFFF not supported, value will default to 0xFFFF") + + if value > 0xFFFF: + self.log.warn( + "DAC value > 0xFFFF not supported, value will default to 0xFFFF" + ) value = 0xFFFF - chr = [(value>>8) & 0xFF, value & 0xFF] + chr = [(value >> 8) & 0xFF, value & 0xFF] mem_addr = 0x18 + (channel & 0x7) if dac == 0: @@ -150,4 +169,4 @@ def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: if dac == 1: self.i2c.write_array(self.i2c.modules["dac_1"], mem_addr, chr) if dac == 2: - self.i2c.write_array(self.i2c.modules["dac_2"], mem_addr, chr) \ No newline at end of file + self.i2c.write_array(self.i2c.modules["dac_2"], mem_addr, chr) diff --git a/aidatlu/hardware/dut_controller.py b/aidatlu/hardware/dut_controller.py index a48ee55..951ace4 100644 --- a/aidatlu/hardware/dut_controller.py +++ b/aidatlu/hardware/dut_controller.py @@ -1,6 +1,7 @@ import logger from hardware.i2c import I2CCore + class DUTLogic(object): def __init__(self, i2c: I2CCore): self.log = logger.setup_derived_logger("DUT Logic") @@ -8,8 +9,8 @@ def __init__(self, i2c: I2CCore): self.i2c = i2c def set_dut_mask(self, enable: int | str) -> None: - """ Enables HDMI Outputs the enable is here an 4-bit WORD as integer or binary string to enable each HDMI channel. - With HDMI channel 1 = bit 0, channel 2 = bit 2, channel 3 = bit 3 and channel 4 = bit 4. + """Enables HDMI Outputs the enable is here an 4-bit WORD as integer or binary string to enable each HDMI channel. + With HDMI channel 1 = bit 0, channel 2 = bit 2, channel 3 = bit 3 and channel 4 = bit 4. E.q. 0b0001 or '0001' enables HDMI channel 1, '0011' enables channel 1 and 2 and so on. Args: @@ -22,14 +23,14 @@ def set_dut_mask(self, enable: int | str) -> None: raise ValueError("Enable has to be between 0 and 15 ('1111')") self.i2c.write_register("DUTInterfaces.DUTMaskW", enable & 0xF) - self.log.info("DUT mask set to %s" %self.get_dut_mask()) + self.log.info("DUT mask set to %s" % self.get_dut_mask()) def set_dut_mask_mode(self, mode: int | str) -> None: - """ Sets the DUT interface mode. Mode consits of one 8-bit WORD or more specific 4 2-bit WORDs. - Each 2-bit WORD corresponds to one HDMI output and its mode. + """Sets the DUT interface mode. Mode consits of one 8-bit WORD or more specific 4 2-bit WORDs. + Each 2-bit WORD corresponds to one HDMI output and its mode. With HDMI channel 1 = bit 0 and 1, channel 2 = bit 2 and 3, channel 3 = bit 4 and 5 and channel 4 = bit 6 and 7. The mode is set with X0 = EUDET and X1 = AIDA. #TODO They mention the leading bit X can be used for future modes. Is this still up to date? - E.q. 0b00000011 sets HDMI channel 1 to AIDA mode and channels 2,3 and 4 to EUDET. + E.q. 0b00000011 sets HDMI channel 1 to AIDA mode and channels 2,3 and 4 to EUDET. Args: mode (int | str): 8-bit WORD to set the mode for each DUT. Can be an integer or binary string. @@ -42,20 +43,22 @@ def set_dut_mask_mode(self, mode: int | str) -> None: raise ValueError("Mode has to be between 0 and 256 ('100000000').") self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", mode) - self.log.info("DUT mask mode is set to %s" %self.get_dut_mask_mode()) + self.log.info("DUT mask mode is set to %s" % self.get_dut_mask_mode()) def set_dut_mask_mode_modifier(self, value: int) -> None: - """ #TODO Only affects the EUDET mode of operation, looks like some special EUDET configuration. + """#TODO Only affects the EUDET mode of operation, looks like some special EUDET configuration. Args: value (int): _description_ #TODO """ self.i2c.write_register("DUTInterfaces.DUTInterfaceModeModifierW", value) - self.log.info("DUT mask mode modifier is set to %s" %self.get_dut_mask_mode_modifier()) + self.log.info( + "DUT mask mode modifier is set to %s" % self.get_dut_mask_mode_modifier() + ) def set_dut_ignore_busy(self, channels: int | str) -> None: - """ If set the TLU ignores the BUSY signal from a DUT in AIDA mode. - Channels consits of a 4-bit WORD describing the DUT interfaces. + """If set the TLU ignores the BUSY signal from a DUT in AIDA mode. + Channels consits of a 4-bit WORD describing the DUT interfaces. With DUT interface 1 = bit 0, interface 2 = bit 1, interface 3 = bit 2 and interface 4 = bit 3. #TODO not sure if this is true here. No answers in documentation. @@ -69,26 +72,26 @@ def set_dut_ignore_busy(self, channels: int | str) -> None: raise ValueError("Channels has to be between 0 and 16 ('10000').") self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", channels) - self.log.info("DUT ignore busy is set to %s" %self.get_dut_ignore_busy()) + self.log.info("DUT ignore busy is set to %s" % self.get_dut_ignore_busy()) def get_dut_mask(self) -> int: - """ Reads the contend in the register 'DUTMaskR'. + """Reads the contend in the register 'DUTMaskR'. Returns: int: Integer content of the register. """ return self.i2c.read_register("DUTInterfaces.DUTMaskR") - def get_dut_mask_mode(self) -> int: - """ Reads the contend in the register 'DUTInterfaceModeR'. + def get_dut_mask_mode(self) -> int: + """Reads the contend in the register 'DUTInterfaceModeR'. Returns: int: Integer content of the register. """ return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeR") - def get_dut_mask_mode_modifier(self) -> int: - """ Reads the content in the register 'DUTInterfaceModeModifierR'. + def get_dut_mask_mode_modifier(self) -> int: + """Reads the content in the register 'DUTInterfaceModeModifierR'. Returns: int: Integer content of the register. @@ -96,7 +99,7 @@ def get_dut_mask_mode_modifier(self) -> int: return self.i2c.read_register("DUTInterfaces.DUTInterfaceModeModifierR") def get_dut_ignore_busy(self) -> int: - """ Reads the content in the register 'IgnoreDUTBusyR'. + """Reads the content in the register 'IgnoreDUTBusyR'. Returns: int: Integer content of the register. @@ -105,7 +108,7 @@ def get_dut_ignore_busy(self) -> int: def set_dut_ignore_shutter(self, value: int) -> None: self.i2c.write_register("DUTInterfaces.IgnoreShutterVetoW", value) - self.log.info('DUT ignore shutter set to %s' %self.get_dut_ignore_shutter()) + self.log.info("DUT ignore shutter set to %s" % self.get_dut_ignore_shutter()) def get_dut_ignore_shutter(self): - return self.i2c.read_register("DUTInterfaces.IgnoreShutterVetoR") \ No newline at end of file + return self.i2c.read_register("DUTInterfaces.IgnoreShutterVetoR") diff --git a/aidatlu/hardware/i2c.py b/aidatlu/hardware/i2c.py index 326982c..d8b01d9 100644 --- a/aidatlu/hardware/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -17,6 +17,7 @@ "display": 0x3A, # Display } + class I2CCore(object): def __init__(self, hw_int): """hw_int: IPBus HwInterface instance""" @@ -30,7 +31,7 @@ def init(self): self.write(i2c_addr["core"], 0x01, 0x7F) if self.read(i2c_addr["core"], 0x01) & 0x80 != 0: - #TODO What is this why is this always happening? + # TODO What is this why is this always happening? self.log.warning( "Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU." ) @@ -62,8 +63,8 @@ def init(self): def write_register(self, register: str, value: int) -> None: """ - register: str Name of node in address file - value: int Value to be written + register: str Name of node in address file + value: int Value to be written """ if type(value) != int: raise TypeError("Value must be integer") @@ -75,7 +76,7 @@ def write_register(self, register: str, value: int) -> None: def read_register(self, register: str) -> int: """ - register: str Name of node in address file + register: str Name of node in address file """ try: ret = self.i2c_hw.getNode(register).read() @@ -125,7 +126,9 @@ def write(self, device_addr: int, mem_addr: int, value: int) -> None: self.set_i2c_command(0x10) self.set_i2c_tx(value & 0xFF) self.set_i2c_command(0x50) - self._compare_value_read_write(value, self.read(device_addr, mem_addr), device_addr) + self._compare_value_read_write( + value, self.read(device_addr, mem_addr), device_addr + ) def read(self, device_addr: int, mem_addr: int) -> int: self.set_i2c_tx((device_addr << 1) | 0x0) @@ -147,7 +150,7 @@ def write_array(self, device_addr: int, mem_addr: int, values: list) -> None: self.set_i2c_tx(mem_addr) self.set_i2c_command(0x10) - for i in range(len(values)-1): + for i in range(len(values) - 1): if i > 0xFF: n_bytes_to_write = ceil(len(hex(i)[2:] / 2)) for byte in range( @@ -160,10 +163,13 @@ def write_array(self, device_addr: int, mem_addr: int, values: list) -> None: self.set_i2c_command(0x10) self.set_i2c_tx(values[-1] & 0xFF) - self.set_i2c_command(0x50) + self.set_i2c_command(0x50) def _compare_value_read_write(self, written: int, read: int, function: str) -> None: if written != read: - self.log.warning('Mismatch in register function %s. written value %s, recieved value: %s.' %(function, written, read)) + self.log.warning( + "Mismatch in register function %s. written value %s, recieved value: %s." + % (function, written, read) + ) else: - pass \ No newline at end of file + pass diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 999324f..1128466 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -9,6 +9,7 @@ """ + class IOControl(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("IO Expander") @@ -20,8 +21,7 @@ def __init__(self, i2c: I2CCore) -> None: self.init_output_expander() def init_led_expander(self) -> None: - """ Initialize LED expanders - """ + """Initialize LED expanders""" self._set_ioexpander_polarity(1, exp_id=1, cmd_byte=4, polarity=False) self._set_ioexpander_direction(1, exp_id=1, cmd_byte=6, direction="output") self._set_ioexpander_output(1, exp_id=1, cmd_byte=2, value=0xFF) @@ -39,8 +39,7 @@ def init_led_expander(self) -> None: self._set_ioexpander_output(1, exp_id=2, cmd_byte=3, value=0xFF) def init_output_expander(self) -> None: - """ Initialize output expanders - """ + """Initialize output expanders""" self._set_ioexpander_polarity(2, exp_id=1, cmd_byte=4, polarity=False) self._set_ioexpander_direction(2, exp_id=1, cmd_byte=6, direction="output") self._set_ioexpander_output(2, exp_id=1, cmd_byte=2, value=0xFF) @@ -63,7 +62,7 @@ def init_output_expander(self) -> None: """ - def test_leds(self,single=True) -> None: + def test_leds(self, single=True) -> None: """Test the 11 LEDs Args: @@ -71,27 +70,33 @@ def test_leds(self,single=True) -> None: """ self.log.info("Testing LEDs colors") if single: - for color in [[0,1,1], [1,0,1], [1,1,0], [1,0,0], [0,1,0], [0,0,1], [0,0,0]]: + for color in [ + [0, 1, 1], + [1, 0, 1], + [1, 1, 0], + [1, 0, 0], + [0, 1, 0], + [0, 0, 1], + [0, 0, 0], + ]: for i in range(11): - if i+1==5: + if i + 1 == 5: pass else: - self._set_led(i+1,color) + self._set_led(i + 1, color) time.sleep(0.1) self.all_off() time.sleep(0.05) - for color in [[0,0,1],[0,1,1],[1,0,1]]: - self._set_led(5,color) + for color in [[0, 0, 1], [0, 1, 1], [1, 0, 1]]: + self._set_led(5, color) time.sleep(0.15) self.all_off() time.sleep(0.1) else: - for color in ["w","r","g","b"]: - self.log.info( - "Testing LEDs color: %s" %color - ) - + for color in ["w", "r", "g", "b"]: + self.log.info("Testing LEDs color: %s" % color) + self.all_on(color) time.sleep(1) self.all_off() @@ -103,8 +108,8 @@ def all_on(self, color: str = "w") -> None: Args: color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b"] Defaults to "w". """ - if color not in ["w","r","g","b"]: - raise ValueError("%s color not supported" %color) + if color not in ["w", "r", "g", "b"]: + raise ValueError("%s color not supported" % color) if color == "w": self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0x0) @@ -113,26 +118,25 @@ def all_on(self, color: str = "w") -> None: self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0x0) if color == "r": - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xb5) - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0x6d) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xdb) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xb6) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xB5) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0x6D) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xDB) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xB6) if color == "g": - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xda) - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xb6) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0x6d) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xdb) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xDA) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xB6) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0x6D) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0xDB) if color == "b": - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0x6f) - self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xdb) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xb6) - self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0x6d) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0x6F) + self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xDB) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xB6) + self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=3, value=0x6D) def all_off(self) -> None: - """Turn off all LEDs - """ + """Turn off all LEDs""" self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=2, value=0xFF) self._set_ioexpander_output(io_exp=1, exp_id=1, cmd_byte=3, value=0xFF) self._set_ioexpander_output(io_exp=1, exp_id=2, cmd_byte=2, value=0xFF) @@ -144,91 +148,105 @@ def switch_led(self, led_id: int, color: str = "off") -> None: Args: led_id (int): ID for the 11 LEDs, led_ id has to be between 1 and 11 color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b", off: "off"] - for Clock LED only [red: "r", green: "g", off: "off"]. + for Clock LED only [red: "r", green: "g", off: "off"]. Defaults to "off". """ - - if led_id == 5 and color not in ["r","g","off"]: - raise ValueError("%s color not supported for Clock LED" %color) - - elif color not in ["w", "r","g", "b","off"]: - raise ValueError("%s color not supported for LED" %color) + + if led_id == 5 and color not in ["r", "g", "off"]: + raise ValueError("%s color not supported for Clock LED" % color) + + elif color not in ["w", "r", "g", "b", "off"]: + raise ValueError("%s color not supported for LED" % color) # Clock LED has only two LEDs if led_id == 5: if color == "r": - rgb = [0,1,1] + rgb = [0, 1, 1] if color == "g": - rgb = [1,0,1] + rgb = [1, 0, 1] if color == "off": - rgb = [1,1,1] + rgb = [1, 1, 1] else: if color == "w": - rgb = [0,0,0] + rgb = [0, 0, 0] if color == "r": - rgb = [0,1,1] + rgb = [0, 1, 1] if color == "g": - rgb = [1,0,1] + rgb = [1, 0, 1] if color == "b": - rgb = [1,0,0] + rgb = [1, 0, 0] if color == "off": - rgb = [1,1,1] - - self._set_led(led_id,rgb) - - def _set_led(self,led_id: int, rgb: list) -> None: - """sets led to a rgb value - - Args: - led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 - rgb (list): rgb value for the LED e.q. [0,0,0] - - """ - if led_id < 1 or led_id > 11: - raise ValueError("LED ID has to be between 1 and 11") - - # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? - indicator = [[30, 29, 31], [27, 26, 28], [24, 23, 25], [21, 20, 22], [18, 17, -1], [15, 14, 16], [12, 11, 13], [9, 8, 10], [6, 5, 7], [3, 2, 4], [1, 0, 19]] - - now_status = [] #status of all ioexpander now - next_status = [] #status of all ioexpander next - now_status.append(0xFF & self._get_ioexpander_output(1,1,2)) - now_status.append(0xFF & self._get_ioexpander_output(1,1,3)) - now_status.append(0xFF & self._get_ioexpander_output(1,2,2)) - now_status.append(0xFF & self._get_ioexpander_output(1,2,3)) - - word = 0x00000000 - word = word | now_status[0] - word = word | (now_status[1] << 8) - word = word | (now_status[2] << 16) - word = word | (now_status[3] << 24) - #print(word,"word for debugging") - - for index in range(3): - if led_id == 5: #for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error - #TODO some colors also switch on LED 11 - word = _set_bit(word,[18,17,19][index],rgb[index]) - else: - word = _set_bit(word,indicator[led_id-1][index],rgb[index]) + rgb = [1, 1, 1] - next_status.append(0xFF & word) - next_status.append(0xFF & (word >> 8)) - next_status.append(0xFF & (word >> 16)) - next_status.append(0xFF & (word >> 24)) - #print(next_status,"next_status of the ioexpander for debugging") + self._set_led(led_id, rgb) - if now_status[0] != next_status[0]: - self._set_ioexpander_output(1, 1, 2, next_status[0]) + def _set_led(self, led_id: int, rgb: list) -> None: + """sets led to a rgb value - if now_status[1] != next_status[1]: - self._set_ioexpander_output(1, 1, 3, next_status[1]) - - if now_status[2] != next_status[2]: - self._set_ioexpander_output(1, 2, 2, next_status[2]) + Args: + led_id (int): Led id for the 11 LED, led_ id has to be between 1 and 11 + rgb (list): rgb value for the LED e.q. [0,0,0] - if now_status[3] != next_status[3]: - self._set_ioexpander_output(1, 2, 3, next_status[3]) + """ + if led_id < 1 or led_id > 11: + raise ValueError("LED ID has to be between 1 and 11") + + # indicator map for LED positions notice the -1 for the clock led #TODO should this be global?? + indicator = [ + [30, 29, 31], + [27, 26, 28], + [24, 23, 25], + [21, 20, 22], + [18, 17, -1], + [15, 14, 16], + [12, 11, 13], + [9, 8, 10], + [6, 5, 7], + [3, 2, 4], + [1, 0, 19], + ] + + now_status = [] # status of all ioexpander now + next_status = [] # status of all ioexpander next + now_status.append(0xFF & self._get_ioexpander_output(1, 1, 2)) + now_status.append(0xFF & self._get_ioexpander_output(1, 1, 3)) + now_status.append(0xFF & self._get_ioexpander_output(1, 2, 2)) + now_status.append(0xFF & self._get_ioexpander_output(1, 2, 3)) + + word = 0x00000000 + word = word | now_status[0] + word = word | (now_status[1] << 8) + word = word | (now_status[2] << 16) + word = word | (now_status[3] << 24) + # print(word,"word for debugging") + + for index in range(3): + if ( + led_id == 5 + ): # for clock led not all colors are allowed on clock [1,0,1] is green [0,1,1] is red the og eudaq indicator map produces here an error + # TODO some colors also switch on LED 11 + word = _set_bit(word, [18, 17, 19][index], rgb[index]) + else: + word = _set_bit(word, indicator[led_id - 1][index], rgb[index]) + + next_status.append(0xFF & word) + next_status.append(0xFF & (word >> 8)) + next_status.append(0xFF & (word >> 16)) + next_status.append(0xFF & (word >> 24)) + # print(next_status,"next_status of the ioexpander for debugging") + + if now_status[0] != next_status[0]: + self._set_ioexpander_output(1, 1, 2, next_status[0]) + + if now_status[1] != next_status[1]: + self._set_ioexpander_output(1, 1, 3, next_status[1]) + + if now_status[2] != next_status[2]: + self._set_ioexpander_output(1, 2, 2, next_status[2]) + + if now_status[3] != next_status[3]: + self._set_ioexpander_output(1, 2, 3, next_status[3]) """ @@ -237,17 +255,17 @@ def _set_led(self,led_id: int, rgb: list) -> None: """ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: - """ This enables the pins of one HDMI channel as input (0) or output (1). - Enable is a 4-bit WORD for each pin as integer or binary string. With CONT = bit 0, SPARE = bit 1, TRIG = bit 2 and BUSY = bit 3. - E.q. 0b0111 or '0111' sets CONT, SPARE and TRIGGER as outputs and BUSY as input. '1100' sets CONT and SPARE as input and BUSY and TRIG as output. + """This enables the pins of one HDMI channel as input (0) or output (1). + Enable is a 4-bit WORD for each pin as integer or binary string. With CONT = bit 0, SPARE = bit 1, TRIG = bit 2 and BUSY = bit 3. + E.q. 0b0111 or '0111' sets CONT, SPARE and TRIGGER as outputs and BUSY as input. '1100' sets CONT and SPARE as input and BUSY and TRIG as output. The clock runs with the seperate function: clock_hdmi_output. - + Args: hdmi_num (int): HDMI channels from 1 to 4 enable (int | str, optional): 4-bit WORD to enable the 4 pins on the HDMI output. Can be an integer or binary string. """ - #TODO use DUT Interface or HDMI channel? + # TODO use DUT Interface or HDMI channel? if hdmi_channel < 1 or hdmi_channel > 4: raise ValueError("HDMI channel should be between 1 and 4") @@ -259,25 +277,29 @@ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: expander_id = 1 - #TODO what is the difference between nibble and bank and address? - hdmi_channel = hdmi_channel -1 #shift channel - bank = int(hdmi_channel/2) + 2 # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. Shift of +2 due to the command bytes. - nibble = hdmi_channel % 2 #DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. + # TODO what is the difference between nibble and bank and address? + hdmi_channel = hdmi_channel - 1 # shift channel + bank = ( + int(hdmi_channel / 2) + 2 + ) # DUT0 and DUT1 are on bank0. DUT2 and DUT 3 are on bank 1. Shift of +2 due to the command bytes. + nibble = ( + hdmi_channel % 2 + ) # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1. - #TODO what is happening here + # TODO what is happening here old_status = self._get_ioexpander_output(2, expander_id, bank) - new_nibble = (enable & 0xF) << 4*nibble - mask = 0xF << 4*nibble + new_nibble = (enable & 0xF) << 4 * nibble + mask = 0xF << 4 * nibble new_status = (old_status & (~mask)) | (new_nibble & mask) self._set_ioexpander_output(2, expander_id, bank, new_status) - self.log.info("HDMI Channel %i set to %s" %(hdmi_channel+1, str(enable))) + self.log.info("HDMI Channel %i set to %s" % (hdmi_channel + 1, str(enable))) def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: - """Enables the Clock output for one HDMI channel. + """Enables the Clock output for one HDMI channel. Valid Clock sources are Si5453 clock chip 'chip' and FPGA 'fpga'. - #TODO does FPGA work? - + #TODO does FPGA work? + Args: hdmi_channel (int): HDMI channels from 1 to 4 clock_source (str): Clock source valid options are 'off', 'chip' and 'fpga'. @@ -286,27 +308,29 @@ def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: raise ValueError("Clock source has to be 'off', 'chip' or 'fpga'") if hdmi_channel < 1 or hdmi_channel > 4: raise ValueError("HDMI channel should be between 1 and 4") - + cmd_byte = 2 - expander_id = 2 + expander_id = 2 - hdmi_channel = hdmi_channel -1 #shift channel + hdmi_channel = hdmi_channel - 1 # shift channel mask_low = 1 << (hdmi_channel) mask_high = 1 << (hdmi_channel + 4) mask = mask_low | mask_high old_status = self._get_ioexpander_output(2, expander_id, cmd_byte) - if clock_source == 'off': + if clock_source == "off": new_status = old_status & ~mask - elif clock_source == 'chip': + elif clock_source == "chip": new_status = (old_status | mask_high) & ~mask_low - elif clock_source == 'fpga': #TODO nothing measurable here for now + elif clock_source == "fpga": # TODO nothing measurable here for now new_status = (old_status | mask_low) & ~mask_high else: new_status = old_status self._set_ioexpander_output(2, expander_id, cmd_byte, new_status) - self.log.info("Clock source of HDMI Channel %i set to %s." %(hdmi_channel+1,clock_source)) - + self.log.info( + "Clock source of HDMI Channel %i set to %s." + % (hdmi_channel + 1, clock_source) + ) def clock_lemo_output(self, enable: bool = True) -> None: """Enables the clock LEMO output. #TODO only with ~40MHz default clock @@ -314,8 +338,8 @@ def clock_lemo_output(self, enable: bool = True) -> None: Args: enable (bool, optional): Enable clock LEMO output. Defaults to True. """ - - cmd_byte = 3 #this is bank+2 in EUDAQ + + cmd_byte = 3 # this is bank+2 in EUDAQ mask = 0x10 expander_id = 2 @@ -326,10 +350,10 @@ def clock_lemo_output(self, enable: bool = True) -> None: self._set_ioexpander_output(2, expander_id, cmd_byte, new_status) if enable: - self.switch_led(5, "g") + self.switch_led(5, "g") else: self.switch_led(5, "off") - self.log.info("Clock LEMO output %s" %("enabled" if enable else "disabled")) + self.log.info("Clock LEMO output %s" % ("enabled" if enable else "disabled")) """ @@ -337,10 +361,12 @@ def clock_lemo_output(self, enable: bool = True) -> None: """ - def _set_ioexpander_polarity(self, io_exp: int, exp_id: int, cmd_byte: int, polarity: bool = False) -> None: + def _set_ioexpander_polarity( + self, io_exp: int, exp_id: int, cmd_byte: int, polarity: bool = False + ) -> None: """Set content of register 4 or 5 which determine polarity of ports. A command byte of 4 or 5 determines the polarity of ports on the two different banks of the chip. - io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. + io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. Args: io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. @@ -360,10 +386,12 @@ def _set_ioexpander_polarity(self, io_exp: int, exp_id: int, cmd_byte: int, pola else: exp = "expander" - self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, polarity) + self.i2c.write(self.i2c.modules["%s_%.1s" % (exp, exp_id)], cmd_byte, polarity) - def _set_ioexpander_direction(self, io_exp: int, exp_id: int, cmd_byte: int, direction: str = "input") -> None: - """ Set content of register 6 or 7 which determine direction of signal. + def _set_ioexpander_direction( + self, io_exp: int, exp_id: int, cmd_byte: int, direction: str = "input" + ) -> None: + """Set content of register 6 or 7 which determine direction of signal. A command byte of 6 or 7 determines the direction of signal on the two different banks of the chip. io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. @@ -381,19 +409,25 @@ def _set_ioexpander_direction(self, io_exp: int, exp_id: int, cmd_byte: int, dir raise ValueError('Direction parameter must be "input" or "output"') if exp_id not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - + if io_exp == 1: exp = "led_expander" else: exp = "expander" - self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, 1 if direction == "input" else 0,) + self.i2c.write( + self.i2c.modules["%s_%.1s" % (exp, exp_id)], + cmd_byte, + 1 if direction == "input" else 0, + ) - def _set_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int, value: int) -> None: - """ Set content of register 2 or 3 which determine signal if direction is output + def _set_ioexpander_output( + self, io_exp: int, exp_id: int, cmd_byte: int, value: int + ) -> None: + """Set content of register 2 or 3 which determine signal if direction is output A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. - + Args: io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. exp (int): ID of Expander (1 or 2)) @@ -406,22 +440,24 @@ def _set_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int, value: raise ValueError("Command byte should be 2 or 3") if exp_id not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - + if io_exp == 1: exp = "led_expander" else: exp = "expander" - self.i2c.write(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte, value & 0xFF) + self.i2c.write( + self.i2c.modules["%s_%.1s" % (exp, exp_id)], cmd_byte, value & 0xFF + ) def _get_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int) -> int: - """ Get content of register 2 or 3 + """Get content of register 2 or 3 A command byte of 2 or 3 reflects the outgoing logic levels of the output pins on the two different banks of the chip. io_exp and exp_id control the 2 expander for the LEDs and 2 for the output control. - + Args: io_exp (int): Expander (1 or 2). The LED expander on 1 the output expander on 2. - exp_id (int): ID of Expander (1 or 2). + exp_id (int): ID of Expander (1 or 2). cmd_byte (int): The Command byte is used as a pointer to a specific register see datasheet PC9539. Returns: int: content of the ioexpander @@ -432,11 +468,11 @@ def _get_ioexpander_output(self, io_exp: int, exp_id: int, cmd_byte: int) -> int raise ValueError("Command byte should be 2 or 3") if exp_id not in [1, 2]: raise ValueError("Expander ID should be 1 or 2") - + if io_exp == 1: exp = "led_expander" else: exp = "expander" - output = self.i2c.read(self.i2c.modules["%s_%.1s" %(exp, exp_id)], cmd_byte) - return output \ No newline at end of file + output = self.i2c.read(self.i2c.modules["%s_%.1s" % (exp, exp_id)], cmd_byte) + return output diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 88907c3..1a1ff86 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -2,6 +2,7 @@ import logger from hardware.utils import _pack_bits + class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Trigger Controller") @@ -14,15 +15,15 @@ def __init__(self, i2c: I2CCore) -> None: """ def set_internal_trigger_frequency(self, frequency: int) -> None: - """ Sets the internal trigger frequency. - The maximum allowed Frequency is 160 MHz. + """Sets the internal trigger frequency. + The maximum allowed Frequency is 160 MHz. Args: - frequency (int): Frequency in Hz + frequency (int): Frequency in Hz """ - self.log.info("Set internal trigger frequency to: %i Hz" %frequency) + self.log.info("Set internal trigger frequency to: %i Hz" % frequency) max_freq = 160000000 - + if frequency < 0: raise ValueError("Frequency smaller 0 does not work") if frequency > max_freq: @@ -30,11 +31,16 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: if frequency == 0: interval = frequency else: - interval = int(160000000/frequency) #TODO here is a rounding error that comes from the interval calculations at ~10kHz. + interval = int( + 160000000 / frequency + ) # TODO here is a rounding error that comes from the interval calculations at ~10kHz. self._set_internal_trigger_interval(interval) new_freq = self.get_internal_trigger_frequency() if new_freq != frequency: - self.log.warning("Frequency set to different value. Internal Trigger frequency: %i Hz" %self.get_internal_trigger_frequency()) + self.log.warning( + "Frequency set to different value. Internal Trigger frequency: %i Hz" + % self.get_internal_trigger_frequency() + ) def get_internal_trigger_frequency(self) -> int: """Reads the internal trigger frequency from the register. @@ -46,17 +52,19 @@ def get_internal_trigger_frequency(self) -> int: if interval == 0: freq = 0 else: - freq = int(160000000/interval) #TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. + freq = int( + 160000000 / interval + ) # TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. return freq def _set_internal_trigger_interval(self, interval: int) -> None: """Number of internal clock cycles to be used as period for the internal trigger generator. - The period for the internal trigger generator is reduced by 2 prob. in some hardware configuration. + The period for the internal trigger generator is reduced by 2 prob. in some hardware configuration. Args: interval (int): Number of internal clock cycles. """ - self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) + self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) """ @@ -65,15 +73,15 @@ def _set_internal_trigger_interval(self, interval: int) -> None: """ def set_trigger_veto(self, veto: bool) -> None: - """ Enables or disables new trigger. This can be used to reset the procession of new triggers. + """Enables or disables new trigger. This can be used to reset the procession of new triggers. Args: veto (bool): Sets a veto to the trigger logic of the tlu. """ if type(veto) != bool: raise TypeError("Veto must be a bool") - + self.i2c.write_register("triggerLogic.TriggerVetoW", int(veto)) - self.log.info("Trigger Veto set to: %s" %self.get_trigger_veto()) + self.log.info("Trigger Veto set to: %s" % self.get_trigger_veto()) def set_trigger_polarity(self, value: int) -> int: """Sets if the TLU triggers on rising or falling edge. @@ -82,50 +90,44 @@ def set_trigger_polarity(self, value: int) -> int: value (int): 1 triggers on falling, 0 on rising. #TODO not tested """ - trigger_polarity = (0x3F & value) + trigger_polarity = 0x3F & value self.i2c.write_register("triggerInputs.InvertEdgeW", trigger_polarity) - self.log.info("Trigger on %s edge" %("falling" if value == 1 else "rising")) #TODO NOT TESTED + self.log.info( + "Trigger on %s edge" % ("falling" if value == 1 else "rising") + ) # TODO NOT TESTED -# def set_trigger_mask(self, value: int) -> None: - def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: - """ Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. + # def set_trigger_mask(self, value: int) -> None: + def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: + """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. Args: mask_high (int): The most significant 32-bit word generated from the trigger configuration. mask_low (int): The least significant 32-bit word generated from the trigger configuration. """ - #mask_high = (value >> 32) & 0xFF - #mask_low = value & 0xFF + # mask_high = (value >> 32) & 0xFF + # mask_low = value & 0xFF self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) - self.log.info("Trigger mask: %s" %self.get_trigger_mask()) + self.log.info("Trigger mask: %s" % self.get_trigger_mask()) def get_trigger_mask(self) -> int: - """ Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations. - - """ + """Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations.""" mask_low = self.i2c.read_register("triggerLogic.TriggerPattern_lowR") mask_high = self.i2c.read_register("triggerLogic.TriggerPattern_highR") trigger_pattern = (mask_high << 32) | mask_low return trigger_pattern def get_trigger_veto(self) -> bool: - """ Reads the trigger veto from the register. - - """ + """Reads the trigger veto from the register.""" veto_state = self.i2c.read_register("triggerLogic.TriggerVetoR") return bool(veto_state) def get_post_veto_trigger(self) -> int: - """Gets the number of triggers recorded in the TLU after the veto is applied - - """ + """Gets the number of triggers recorded in the TLU after the veto is applied""" return self.i2c.read_register("triggerLogic.PostVetoTriggersR") - + def get_pre_veto_trigger(self) -> int: - """Number of triggers recorded in the TLU before the veto is applied. - - """ + """Number of triggers recorded in the TLU before the veto is applied.""" return self.i2c.read_register("triggerLogic.PreVetoTriggersR") """ @@ -135,42 +137,38 @@ def get_pre_veto_trigger(self) -> int: """ def set_pulse_stretch_pack(self, vector: list) -> None: - """ Stretch word for trigger pulses. Each element of the input vector is stretched by N clock cycles. + """Stretch word for trigger pulses. Each element of the input vector is stretched by N clock cycles. The input vector should have 6 elements for the different inputs. The vector is packed into a single word. - + Args: vector (list): A vector containing six integers. Each trigger input is stretched by the integer number of clock cycles. """ packed = _pack_bits(vector) self._set_pulse_stretch(packed) - self.log.info("Pulse stretch is set to %s" %self.get_pulse_stretch_pack()) - + self.log.info("Pulse stretch is set to %s" % self.get_pulse_stretch_pack()) + def set_pulse_delay_pack(self, vector: list) -> None: - """ Delay word for trigger pulses. Each element of the input vector is delayed by N clock cycles. + """Delay word for trigger pulses. Each element of the input vector is delayed by N clock cycles. The vector is packed into a single word. - + Args: vector (list): A vector containing six integers. Each trigger input is delayed by the integer number of clock cycles. """ packed = _pack_bits(vector) self._set_pulse_delay(packed) - self.log.info("Pulse Delay is set to %s" %self.get_pulse_delay_pack()) - - def get_pulse_stretch_pack(self) -> int: - """ Get packed word describing the input pulse stretch. + self.log.info("Pulse Delay is set to %s" % self.get_pulse_delay_pack()) - """ + def get_pulse_stretch_pack(self) -> int: + """Get packed word describing the input pulse stretch.""" return self.i2c.read_register("triggerLogic.PulseStretchR") - - def get_pulse_delay_pack(self) -> int: - """ Get packed word describing the input pulse stretch. - """ + def get_pulse_delay_pack(self) -> int: + """Get packed word describing the input pulse stretch.""" return self.i2c.read_register("triggerLogic.PulseDelayR") - + def _set_pulse_stretch(self, value: int) -> None: - """ Writes the packed word into the pulse stretch register. + """Writes the packed word into the pulse stretch register. Args: value (int): The input vector packed to a single integer. @@ -178,7 +176,7 @@ def _set_pulse_stretch(self, value: int) -> None: self.i2c.write_register("triggerLogic.PulseStretchW", value) def _set_pulse_delay(self, value: int) -> None: - """ Writes the packed word into the pulse delay register. + """Writes the packed word into the pulse delay register. Args: value (int): The input vector packed to a single integer. diff --git a/aidatlu/hardware/utils.py b/aidatlu/hardware/utils.py index e0bd2a1..bd7a094 100644 --- a/aidatlu/hardware/utils.py +++ b/aidatlu/hardware/utils.py @@ -1,4 +1,4 @@ -def _set_bit(value: int, index: int, set: bool=True) -> int: +def _set_bit(value: int, index: int, set: bool = True) -> int: """sets bit at given index of given value to bool set Args: @@ -9,29 +9,33 @@ def _set_bit(value: int, index: int, set: bool=True) -> int: Returns: int: value with a set bit at index """ - + if set: - return value | (1< int: - """Pack Vector of bits using 5-bits for each element. + """Pack Vector of bits using 5-bits for each element. Args: vector (list): Vector of bits with variable length. Returns: - int: 32-bit word representation of the input vector. + int: 32-bit word representation of the input vector. """ packed_bits = 0x0 temp_int = 0x0 for channel in range(len(vector)): - temp_int = int(vector[channel]) << channel*5 + temp_int = int(vector[channel]) << channel * 5 packed_bits = packed_bits | temp_int return packed_bits + from pathlib import Path + + def find_latest_file(path: str, index: str): """Find latest file that includes a given subset of strings called index in directory. Args: @@ -41,4 +45,7 @@ def find_latest_file(path: str, index: str): path: Path to file in target Director. Use str(find_path(.)) to obtain path as string. """ p = Path(path) - return max([x for x in p.iterdir() if x.is_file() and index in str(x)], key=lambda item: item.stat().st_ctime) \ No newline at end of file + return max( + [x for x in p.iterdir() if x.is_file() and index in str(x)], + key=lambda item: item.stat().st_ctime, + ) diff --git a/aidatlu/logger.py b/aidatlu/logger.py index 0938260..60f40f1 100644 --- a/aidatlu/logger.py +++ b/aidatlu/logger.py @@ -4,6 +4,7 @@ FORMAT = "%(asctime)s [%(name)-18s] - %(levelname)-7s %(message)s" + def setup_main_logger(name="AidaTLU", level=logging.INFO): _reset_all_loggers() @@ -18,6 +19,7 @@ def setup_main_logger(name="AidaTLU", level=logging.INFO): return logger + def setup_derived_logger(name, level=logging.INFO): logger = logging.getLogger(name) logger.setLevel(level) @@ -30,6 +32,7 @@ def setup_derived_logger(name, level=logging.INFO): return logger + def setup_logfile(filename, level=logging.INFO): fh = logging.FileHandler(filename) fh.setLevel(level) @@ -37,12 +40,14 @@ def setup_logfile(filename, level=logging.INFO): return fh + def add_logfile_to_loggers(fh): # Add filehandler to all active loggers for lg in logging.Logger.manager.loggerDict.values(): if isinstance(lg, logging.Logger): lg.addHandler(fh) + def _add_logfiles_to(logger): fhs = [] for lg in logging.Logger.manager.loggerDict.values(): @@ -54,12 +59,14 @@ def _add_logfiles_to(logger): for fh in fhs: logger.addHandler(fh) + def close_logfile(fh): # Remove filehandler from all active loggers for lg in logging.Logger.manager.loggerDict.values(): if isinstance(lg, logging.Logger): lg.removeHandler(fh) + def _setup_coloredlogs(logger): loglevel = logger.getEffectiveLevel() coloredlogs.DEFAULT_FIELD_STYLES = { @@ -82,6 +89,7 @@ def _setup_coloredlogs(logger): coloredlogs.install(fmt=FORMAT, milliseconds=True, loglevel=loglevel) + def _add_success_level(logger): logging.SUCCESS = 35 logging.addLevelName(logging.SUCCESS, "SUCCESS") @@ -89,6 +97,7 @@ def _add_success_level(logger): logging.SUCCESS, msg, *args, **kwargs ) + def _add_notice_level(logger): logging.NOTICE = 25 logging.addLevelName(logging.NOTICE, "NOTICE") @@ -96,5 +105,6 @@ def _add_notice_level(logger): logging.NOTICE, msg, *args, **kwargs ) + def _reset_all_loggers(): - logging.root.handlers = [] \ No newline at end of file + logging.root.handlers = [] diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index fba797f..dda0b92 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -2,6 +2,7 @@ import logging import logger + class TLUConfigure(object): def __init__(self, TLU, io_control, config_path) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) @@ -10,96 +11,147 @@ def __init__(self, TLU, io_control, config_path) -> None: self.io_control = io_control config_path = config_path - with open(config_path, 'r') as file: + with open(config_path, "r") as file: self.conf = yaml.full_load(file) def configure(self) -> None: - """Loads configuration file and configures the TLU accordingly. - """ + """Loads configuration file and configures the TLU accordingly.""" self.conf_dut() self.conf_trigger_inputs() self.conf_trigger_logic() - self.tlu.io_controller.clock_lemo_output(self.conf['clock_lemo']['enable_clock_lemo_output']) - [self.tlu.dac_controller.set_voltage(i+1, self.conf['pmt_control']['pmt_%s'%(i+1)]) for i in range(len(self.conf['pmt_control']))] + self.tlu.io_controller.clock_lemo_output( + self.conf["clock_lemo"]["enable_clock_lemo_output"] + ) + [ + self.tlu.dac_controller.set_voltage( + i + 1, self.conf["pmt_control"]["pmt_%s" % (i + 1)] + ) + for i in range(len(self.conf["pmt_control"])) + ] self.tlu.set_enable_record_data(1) self.log.success("TLU configured") - + def get_data_handling(self) -> tuple: - """ Information about data handling. + """Information about data handling. - Returns: - tuple: two bools, save and interpret data. + Returns: + tuple: two bools, save and interpret data. """ - return self.conf['save_raw_data'], self.conf['interpret_data'] + return self.conf["save_raw_data"], self.conf["interpret_data"] def get_zmq_connection(self) -> str: - """ Information about the zmq Address + """Information about the zmq Address Returns: str: ZMQ Address """ - return self.conf['zmq_connection'] + return self.conf["zmq_connection"] def conf_dut(self) -> None: - """ Parse the configuration for the DUT interface to the AIDATLU. - """ + """Parse the configuration for the DUT interface to the AIDATLU.""" dut = [0, 0, 0, 0] dut_mode = [0, 0, 0, 0] for i in range(4): - if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'eudet': - self.tlu.io_controller.switch_led(i+1, 'g') - dut[i] = 2**i - #Clock output needs to be disabled for EUDET mode. - self.tlu.io_controller.clock_hdmi_output(i+1, 'off') - if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aidatrig': - self.tlu.io_controller.switch_led(i+1, 'w') - dut[i] = 2**i - dut_mode[i] = 2**(2*i) - #In AIDA mode the clock output is needed. - self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') - if self.tlu.config_parser.conf['dut_module']['dut_%s'%(i+1)]['mode'] == 'aida': - self.tlu.io_controller.switch_led(i+1, 'b') - dut[i] = 2**i - dut_mode[i] = 3*(2)**(2*i) - self.tlu.io_controller.clock_hdmi_output(i+1, 'chip') - self.tlu.io_controller.configure_hdmi(i+1, '0111') - - #This sets the right bits to the set dut mask registers according to the configuration parameter. - self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) - self.tlu.dut_logic.set_dut_mask_mode(dut_mode[0] | dut_mode[1] | dut_mode[2] | dut_mode[3]) - - #Special configs + if ( + self.tlu.config_parser.conf["dut_module"]["dut_%s" % (i + 1)]["mode"] + == "eudet" + ): + self.tlu.io_controller.switch_led(i + 1, "g") + dut[i] = 2**i + # Clock output needs to be disabled for EUDET mode. + self.tlu.io_controller.clock_hdmi_output(i + 1, "off") + if ( + self.tlu.config_parser.conf["dut_module"]["dut_%s" % (i + 1)]["mode"] + == "aidatrig" + ): + self.tlu.io_controller.switch_led(i + 1, "w") + dut[i] = 2**i + dut_mode[i] = 2 ** (2 * i) + # In AIDA mode the clock output is needed. + self.tlu.io_controller.clock_hdmi_output(i + 1, "chip") + if ( + self.tlu.config_parser.conf["dut_module"]["dut_%s" % (i + 1)]["mode"] + == "aida" + ): + self.tlu.io_controller.switch_led(i + 1, "b") + dut[i] = 2**i + dut_mode[i] = 3 * (2) ** (2 * i) + self.tlu.io_controller.clock_hdmi_output(i + 1, "chip") + self.tlu.io_controller.configure_hdmi(i + 1, "0111") + + [ + self.log.info( + "DUT %i configured in %s" + % ( + (i + 1), + self.tlu.config_parser.conf["dut_module"]["dut_%s" % (i + 1)][ + "mode" + ], + ) + ) + for i in range(4) + ] + + # This sets the right bits to the set dut mask registers according to the configuration parameter. + self.tlu.dut_logic.set_dut_mask(dut[0] | dut[1] | dut[2] | dut[3]) + self.tlu.dut_logic.set_dut_mask_mode( + dut_mode[0] | dut_mode[1] | dut_mode[2] | dut_mode[3] + ) + + # Special configs self.tlu.dut_logic.set_dut_mask_mode_modifier(0) - self.tlu.dut_logic.set_dut_ignore_busy(0) + self.tlu.dut_logic.set_dut_ignore_busy(0) self.tlu.dut_logic.set_dut_ignore_shutter(0x1) def conf_trigger_logic(self) -> None: - """ Configures the trigger logic. So the trigger polarity and the trigger pulse length and stretch. - """ - - self.tlu.trigger_logic.set_trigger_polarity(self.conf['trigger_inputs']['trigger_polarity']['polarity']) - - self.tlu.trigger_logic.set_pulse_stretch_pack(self.conf['trigger_inputs']['trigger_signal_shape']['stretch']) - self.tlu.trigger_logic.set_pulse_delay_pack(self.conf['trigger_inputs']['trigger_signal_shape']['delay']) - self.tlu.trigger_logic.set_internal_trigger_frequency(self.conf['internal_trigger']['internal_trigger_rate']) - - def conf_trigger_inputs(self)-> None: + """Configures the trigger logic. So the trigger polarity and the trigger pulse length and stretch.""" + + self.tlu.trigger_logic.set_trigger_polarity( + self.conf["trigger_inputs"]["trigger_polarity"]["polarity"] + ) + + self.tlu.trigger_logic.set_pulse_stretch_pack( + self.conf["trigger_inputs"]["trigger_signal_shape"]["stretch"] + ) + self.tlu.trigger_logic.set_pulse_delay_pack( + self.conf["trigger_inputs"]["trigger_signal_shape"]["delay"] + ) + self.log.info( + "Trigger input stretch: %s" + % self.conf["trigger_inputs"]["trigger_signal_shape"]["stretch"] + ) + self.log.info( + "Trigger input delay : %s" + % self.conf["trigger_inputs"]["trigger_signal_shape"]["delay"] + ) + + self.tlu.trigger_logic.set_internal_trigger_frequency( + self.conf["internal_trigger"]["internal_trigger_rate"] + ) + + def conf_trigger_inputs(self) -> None: """Configures the trigger inputs. Each input can have a different threshold. - The two trigger words mask_low and mask_high are generated with the use of two support functions. + The two trigger words mask_low and mask_high are generated with the use of two support functions. """ - [self.tlu.dac_controller.set_threshold(i+1, self.conf['trigger_inputs']['threshold']['threshold_%s' %(i+1)]) for i in range(6)] - - trigger_configuration = self.conf['trigger_inputs']['trigger_inputs_logic'] - self.log.info('Trigger Configuration: %s' %(trigger_configuration)) - - #Sets the Trigger Leds to green if the Input is enabled and to red if the input is set to VETO. - #TODO this breaks when there are multiple enabled and veto statements. + [ + self.tlu.dac_controller.set_threshold( + i + 1, + self.conf["trigger_inputs"]["threshold"]["threshold_%s" % (i + 1)], + ) + for i in range(6) + ] + + trigger_configuration = self.conf["trigger_inputs"]["trigger_inputs_logic"] + self.log.info("Trigger Configuration: %s" % (trigger_configuration)) + + # Sets the Trigger Leds to green if the Input is enabled and to red if the input is set to VETO. + # TODO this breaks when there are multiple enabled and veto statements. for trigger_led in range(6): - if '~CH%i' %(trigger_led +1) in trigger_configuration: - self.io_control.switch_led(trigger_led+6, 'r') - elif 'CH%i' %(trigger_led +1) in trigger_configuration: - self.io_control.switch_led(trigger_led+6, 'g') - + if "~CH%i" % (trigger_led + 1) in trigger_configuration: + self.io_control.switch_led(trigger_led + 6, "r") + elif "CH%i" % (trigger_led + 1) in trigger_configuration: + self.io_control.switch_led(trigger_led + 6, "g") + long_word = 0x0 # Goes through all possible trigger combinations and checks if the combination is valid with the trigger logic. # When the word is valid this is added to the longword. @@ -111,15 +163,17 @@ def conf_trigger_inputs(self)-> None: CCH2 = pattern_list[2] CCH1 = pattern_list[1] CCH0 = pattern_list[0] - valid = (lambda CH1, CH2, CH3, CH4, CH5, CH6: eval(trigger_configuration))(CCH0, CCH1, CCH2, CCH3, CCH4, CCH5) + valid = (lambda CH1, CH2, CH3, CH4, CH5, CH6: eval(trigger_configuration))( + CCH0, CCH1, CCH2, CCH3, CCH4, CCH5 + ) long_word = (valid << combination) | long_word mask_low, mask_high = self._mask_words(long_word) - self.log.info('mask high: %s, mask low: %s' %(hex(mask_high), hex(mask_low))) + self.log.info("mask high: %s, mask low: %s" % (hex(mask_high), hex(mask_low))) self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) def _mask_words(self, word: int) -> tuple: - """ Transforms the long word variant of the trigger word to the mask_low mask_high variant. + """Transforms the long word variant of the trigger word to the mask_low mask_high variant. Args: word (int): Long word variant of the trigger word. @@ -129,4 +183,4 @@ def _mask_words(self, word: int) -> tuple: """ mask_low = 0xFFFFFFFF & word mask_high = word >> 32 - return (mask_low, mask_high) \ No newline at end of file + return (mask_low, mask_high) diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 1271d36..8442616 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -3,24 +3,45 @@ import logger import logging + class DataParser(object): def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) - self.features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u8'), ('overflow', 'u8'), ('eventtype', 'u4'), ('input1', 'bool'), ('input2', 'bool'), ('input3', 'bool'), - ('input4', 'bool'), ('input5', 'bool'), ('input6', 'bool'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) + self.features = np.dtype( + [ + ("eventnumber", "u4"), + ("timestamp", "u8"), + ("overflow", "u8"), + ("eventtype", "u4"), + ("input1", "bool"), + ("input2", "bool"), + ("input3", "bool"), + ("input4", "bool"), + ("input5", "bool"), + ("input6", "bool"), + ("sc1", "u4"), + ("sc2", "u4"), + ("sc3", "u4"), + ("sc4", "u4"), + ("sc5", "u4"), + ("sc6", "u4"), + ] + ) - def parse(self, filepath_in: str, filepath_out: str) -> None: - """ Parse the data from filepath in readable form to filepath out + def parse(self, filepath_in: str, filepath_out: str) -> None: + """Parse the data from filepath in readable form to filepath out Args: filepath_in (str): Raw data file from TLU. filepath_out (str): New interpreted data file. """ table = self.read_file(filepath_in) - data = self.transform_data(table['w0'], table['w1'], table['w2'], table['w3'], table['w4'], table['w5']) + data = self.transform_data( + table["w0"], table["w1"], table["w2"], table["w3"], table["w4"], table["w5"] + ) self.write_data(filepath_out, data) - self.log.info('Data parsed from "%s" to "%s"' %(filepath_in, filepath_out)) + self.log.info('Data parsed from "%s" to "%s"' % (filepath_in, filepath_out)) def read_file(self, filepath: str) -> tb: """Reads raw data file of the TLU @@ -31,28 +52,46 @@ def read_file(self, filepath: str) -> tb: Returns: table: pytable of the raw data """ - data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) - with tb.open_file(filepath, 'r') as file: + data = np.dtype( + [ + ("w0", "u4"), + ("w1", "u4"), + ("w2", "u4"), + ("w3", "u4"), + ("w4", "u4"), + ("w5", "u4"), + ] + ) + with tb.open_file(filepath, "r") as file: table = file.root.raw_data raw_data = np.array(table[:], dtype=data) - self.config = str(file.root.configuration).split(' ', 2)[2] + self.config = str(file.root.configuration).split(" ", 2)[2] return raw_data - + def _create_table(self, out_file, name, title, dtype): - ''' Create hit table node for storage in out_file. - Copy configuration nodes from raw data file. - ''' - table = out_file.create_table(out_file.root, name=name, - description=dtype, - title=title, - # expectedrows=self.chunk_size, - filters=tb.Filters(complib='blosc', - complevel=5, - fletcher32=False)) + """Create hit table node for storage in out_file. + Copy configuration nodes from raw data file. + """ + table = out_file.create_table( + out_file.root, + name=name, + description=dtype, + title=title, + # expectedrows=self.chunk_size, + filters=tb.Filters(complib="blosc", complevel=5, fletcher32=False), + ) return table - - def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w4: np.array, w5: np.array) -> np.array: + + def transform_data( + self, + w0: np.array, + w1: np.array, + w2: np.array, + w3: np.array, + w4: np.array, + w5: np.array, + ) -> np.array: """Transforms raw data from the FIFO to a readable dataformat Args: @@ -60,32 +99,32 @@ def transform_data(self, w0: np.array, w1: np.array, w2: np.array, w3: np.array, w1 (np.array): contains timestamp information w2 (np.array): trigger input information w3 (np.array): eventnumber - w4 (np.array): trigger input information + w4 (np.array): trigger input information w5 (np.array): this should always be 0. Returns: - np.array: array with coloumns + np.array: array with coloumns """ out_array = np.zeros(len(w3), dtype=self.features) - out_array['eventnumber'] = w3 - out_array['timestamp'] = ((w0 & 0x0000FFFF << 32) + w1) - out_array['overflow'] = ((w0 & 0xFFFF)) - #TODO not sure what this is per. mode? - out_array['eventtype'] = (w0 >> 28) & 0xF - #Which trigger input produced the event. - out_array['input1'] = (w0 >> 16) & 0x1 - out_array['input2'] = (w0 >> 17) & 0x1 - out_array['input3'] = (w0 >> 18) & 0x1 - out_array['input4'] = (w0 >> 19) & 0x1 - out_array['input5'] = (w0 >> 20) & 0x1 - out_array['input6'] = (w0 >> 21) & 0x1 - #TODO not sure what these are prob. something from the DACs - out_array['sc1'] = (w2 >> 24) & 0xFF - out_array['sc2'] = (w2 >> 16) & 0xFF - out_array['sc3'] = (w2 >> 8) & 0xFF - out_array['sc4'] = w2 & 0xFF - out_array['sc5'] = (w4 >> 24) & 0xFF - out_array['sc6'] = (w4 >> 16) & 0xFF + out_array["eventnumber"] = w3 + out_array["timestamp"] = (w0 & 0x0000FFFF << 32) + w1 + out_array["overflow"] = w0 & 0xFFFF + # TODO not sure what this is per. mode? + out_array["eventtype"] = (w0 >> 28) & 0xF + # Which trigger input produced the event. + out_array["input1"] = (w0 >> 16) & 0x1 + out_array["input2"] = (w0 >> 17) & 0x1 + out_array["input3"] = (w0 >> 18) & 0x1 + out_array["input4"] = (w0 >> 19) & 0x1 + out_array["input5"] = (w0 >> 20) & 0x1 + out_array["input6"] = (w0 >> 21) & 0x1 + # TODO not sure what these are prob. something from the DACs + out_array["sc1"] = (w2 >> 24) & 0xFF + out_array["sc2"] = (w2 >> 16) & 0xFF + out_array["sc3"] = (w2 >> 8) & 0xFF + out_array["sc4"] = w2 & 0xFF + out_array["sc5"] = (w4 >> 24) & 0xFF + out_array["sc6"] = (w4 >> 16) & 0xFF return out_array def write_data(self, filepath: str, data: np.array) -> None: @@ -93,11 +132,13 @@ def write_data(self, filepath: str, data: np.array) -> None: Args: filepath (str): Path to the new .h5 file. - data (table): raw data + data (table): raw data """ - #filter_data = tb.Filters(complib='blosc', complevel=5) - with tb.open_file(filepath, mode='w', title='TLU_interpreted') as h5_file: - data_table = self._create_table(h5_file, name='interpreted_data', title='data', dtype=self.features) + # filter_data = tb.Filters(complib='blosc', complevel=5) + with tb.open_file(filepath, mode="w", title="TLU_interpreted") as h5_file: + data_table = self._create_table( + h5_file, name="interpreted_data", title="data", dtype=self.features + ) # data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) data_table.append(data) - h5_file.create_group(h5_file.root, 'configuration', self.config) \ No newline at end of file + h5_file.create_group(h5_file.root, "configuration", self.config) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index f3a5af4..bdfb96b 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -15,6 +15,7 @@ from main.config_parser import TLUConfigure from main.data_parser import DataParser + class AidaTLU(object): def __init__(self, hw, config_path, clock_config_path) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) @@ -25,7 +26,7 @@ def __init__(self, hw, config_path, clock_config_path) -> None: if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) - #TODO some configuration also sends out ~70 triggers. + # TODO some configuration also sends out ~70 triggers. self.io_controller = IOControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) self.clock_controller.write_clock_conf(clock_config_path) @@ -41,21 +42,21 @@ def __init__(self, hw, config_path, clock_config_path) -> None: # if present, init display def configure(self) -> None: - """loads the conf.yaml and configures the TLU accordingly. - """ + """loads the conf.yaml and configures the TLU accordingly.""" self.config_parser.configure() def reset_configuration(self) -> None: - """Switch off all outputs, reset all counters and set threshold to 1.2V - """ - #Disable all outputs + """Switch off all outputs, reset all counters and set threshold to 1.2V""" + # Disable all outputs self.io_controller.clock_lemo_output(False) - for i in range(4): self.io_controller.configure_hdmi(i+1, 0) + for i in range(4): + self.io_controller.configure_hdmi(i + 1, 0) self.dac_controller.set_all_voltage(0) self.io_controller.all_off() - #sets all thresholds to 1.2 V - for i in range(6): self.dac_controller.set_threshold(i+1, 1.2) - #Resets all internal counters and raise the trigger veto. + # sets all thresholds to 1.2 V + for i in range(6): + self.dac_controller.set_threshold(i + 1, 1.2) + # Resets all internal counters and raise the trigger veto. self.set_run_active(False) self.reset_status() self.reset_counters() @@ -78,7 +79,7 @@ def get_device_id(self) -> int: for addr in range(6): id.append(self.i2c.read(self.i2c.modules["eeprom"], 0xFA + addr) & 0xFF) return int("0x" + "".join(["{:x}".format(i) for i in id]), 16) & 0xFFFFFFFFFFFF - + def get_fw_version(self) -> int: return self.i2c.read_register("version") @@ -87,31 +88,27 @@ def get_fw_version(self) -> int: # self.i2c.write_register("logic_clocks.LogicRst", 1) def reset_timestamp(self) -> None: - """ Sets bit to 'ResetTimestampW' register to reset the time stamp. - """ + """Sets bit to 'ResetTimestampW' register to reset the time stamp.""" self.i2c.write_register("Event_Formatter.ResetTimestampW", 1) def reset_counters(self) -> None: - """ Resets the trigger counters. - """ + """Resets the trigger counters.""" self.write_status(0x2) self.write_status(0x0) def reset_status(self) -> None: - """ Resets the complete status and all counters. - """ + """Resets the complete status and all counters.""" self.write_status(0x3) self.write_status(0x0) self.write_status(0x4) self.write_status(0x0) def reset_fifo(self) -> None: - """ Sets 0 to 'EventFifoCSR' this resets the FIFO. - """ + """Sets 0 to 'EventFifoCSR' this resets the FIFO.""" self.set_event_fifo_csr(0x0) def set_event_fifo_csr(self, value: int) -> None: - """ Sets value to the EventFifoCSR register. + """Sets value to the EventFifoCSR register. Args: value (int): 0 resets the FIFO. #TODO can do other stuff that is not implemented @@ -120,7 +117,7 @@ def set_event_fifo_csr(self, value: int) -> None: self.i2c.write_register("eventBuffer.EventFifoCSR", value) def write_status(self, value: int) -> None: - """ Sets value to the 'SerdesRstW' register. + """Sets value to the 'SerdesRstW' register. Args: value (int): Bit 0 resets the status, bit 1 resets trigger counters and bit 2 calibrates IDELAY. @@ -128,7 +125,7 @@ def write_status(self, value: int) -> None: self.i2c.write_register("triggerInputs.SerdesRstW", value) def set_run_active(self, state: bool) -> None: - """ Raises internal run active signal. + """Raises internal run active signal. Args: state (bool): True sets run active, False disables it. @@ -136,7 +133,7 @@ def set_run_active(self, state: bool) -> None: if type(state) != bool: raise TypeError("State has to be bool") self.i2c.write_register("Shutter.RunActiveRW", int(state)) - self.log.info("Run active: %s" %self.get_run_active()) + self.log.info("Run active: %s" % self.get_run_active()) def get_run_active(self) -> bool: """Reads register 'RunActiveRW' @@ -147,40 +144,40 @@ def get_run_active(self) -> bool: return bool(self.i2c.read_register("Shutter.RunActiveRW")) def test_configuration(self) -> None: - """ Configure DUT 1 to run in a default test configuration. - Runs in EUDET mode with internal generated triggers. - This is just for testing and bugfixing. + """Configure DUT 1 to run in a default test configuration. + Runs in EUDET mode with internal generated triggers. + This is just for testing and bugfixing. """ self.log.info("Configure DUT 1 in EUDET test mode") - - test_stretch = [1,1,1,1,1,1] - test_delay = [0,0,0,0,0,0] - self.io_controller.configure_hdmi(1, '0111') - self.io_controller.clock_hdmi_output(1, 'off') + test_stretch = [1, 1, 1, 1, 1, 1] + test_delay = [0, 0, 0, 0, 0, 0] + + self.io_controller.configure_hdmi(1, "0111") + self.io_controller.clock_hdmi_output(1, "off") self.trigger_logic.set_pulse_stretch_pack(test_stretch) self.trigger_logic.set_pulse_delay_pack(test_delay) self.trigger_logic.set_trigger_mask(mask_high=0x00000000, mask_low=0x00000002) self.trigger_logic.set_trigger_polarity(1) - self.dut_logic.set_dut_mask('0001') - self.dut_logic.set_dut_mask_mode('00000000') + self.dut_logic.set_dut_mask("0001") + self.dut_logic.set_dut_mask_mode("00000000") self.trigger_logic.set_internal_trigger_frequency(500) def default_configuration(self) -> None: """Default configuration. Configures DUT 1 to run in EUDET mode. - This is just for testing and bugfixing. + This is just for testing and bugfixing. """ test_stretch = [1, 1, 1, 1, 1, 1] - test_delay = [0, 0, 0, 0, 0, 0] - - self.io_controller.configure_hdmi(1, '0111') - self.io_controller.configure_hdmi(2, '0111') - self.io_controller.configure_hdmi(3, '0111') - self.io_controller.configure_hdmi(4, '0111') - self.io_controller.clock_hdmi_output(1, 'off') - self.io_controller.clock_hdmi_output(2, 'off') - self.io_controller.clock_hdmi_output(3, 'off') - self.io_controller.clock_hdmi_output(4, 'off') + test_delay = [0, 0, 0, 0, 0, 0] + + self.io_controller.configure_hdmi(1, "0111") + self.io_controller.configure_hdmi(2, "0111") + self.io_controller.configure_hdmi(3, "0111") + self.io_controller.configure_hdmi(4, "0111") + self.io_controller.clock_hdmi_output(1, "off") + self.io_controller.clock_hdmi_output(2, "off") + self.io_controller.clock_hdmi_output(3, "off") + self.io_controller.clock_hdmi_output(4, "off") self.io_controller.clock_lemo_output(False) self.dac_controller.set_threshold(1, -0.04) self.dac_controller.set_threshold(2, -0.04) @@ -192,30 +189,28 @@ def default_configuration(self) -> None: self.trigger_logic.set_pulse_delay_pack(test_delay) self.trigger_logic.set_trigger_mask(mask_high=0, mask_low=2) self.trigger_logic.set_trigger_polarity(1) - self.dut_logic.set_dut_mask('0001') - self.dut_logic.set_dut_mask_mode('00000000') + self.dut_logic.set_dut_mask("0001") + self.dut_logic.set_dut_mask_mode("00000000") self.dut_logic.set_dut_mask_mode_modifier(0) self.dut_logic.set_dut_ignore_busy(0) self.dut_logic.set_dut_ignore_shutter(0x1) self.trigger_logic.set_internal_trigger_frequency(0) def start_run(self) -> None: - """ Start run configurations - """ + """Start run configurations""" self.reset_counters() self.reset_fifo() self.set_run_active(True) self.trigger_logic.set_trigger_veto(False) def stop_run(self) -> None: - """ Stop run configurations - """ + """Stop run configurations""" self.trigger_logic.set_trigger_veto(True) self.set_run_active(False) self.run_number += 1 def set_enable_record_data(self, value: int) -> None: - """ #TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. + """#TODO not sure what this does. Looks like a seperate internal event buffer to the FIFO. Args: value (int): #TODO I think this does not work @@ -223,7 +218,7 @@ def set_enable_record_data(self, value: int) -> None: self.i2c.write_register("Event_Formatter.Enable_Record_Data", value) def get_event_fifo_csr(self) -> int: - """ Reads value from 'EventFifoCSR' + """Reads value from 'EventFifoCSR' Returns: int: number of events @@ -239,12 +234,11 @@ def get_event_fifo_fill_level(self) -> int: return self.i2c.read_register("eventBuffer.EventFifoFillLevel") def reset_timestamp(self) -> None: - """ Resets the internal timestamp by asserting a bit in 'ResetTimestampW'. - """ + """Resets the internal timestamp by asserting a bit in 'ResetTimestampW'.""" self.i2c.write_register("Event_Formatter.ResetTimestampW", 1) def get_timestamp(self) -> int: - """ Get current time stamp. + """Get current time stamp. Returns: int: Time stamp is not formatted. @@ -255,7 +249,7 @@ def get_timestamp(self) -> int: return time def pull_fifo_event(self) -> list: - """ Pulls event from the FIFO. This is needed in the run loop to prevent the buffer to get stuck. + """Pulls event from the FIFO. This is needed in the run loop to prevent the buffer to get stuck. if this register is full the fifo needs to be reset or new triggers are generated but not sent out. #TODO check here if the FIFO is full and reset it if needed would prob. make sense. @@ -263,22 +257,40 @@ def pull_fifo_event(self) -> list: list: 6 element long vector containing bitwords of the data. """ event_numb = self.get_event_fifo_fill_level() - if event_numb*6 == 0xFEA: - self.log.warning('FIFO is full') + if event_numb * 6 == 0xFEA: + self.log.warning("FIFO is full") if event_numb and event_numb % 6 == 0: - fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock(event_numb) + fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock( + event_numb + ) self.i2c_hw.dispatch() return np.array(fifo_content) - pass + pass def init_raw_data_table(self): - """ Initializes the raw data table, where the raw FIFO data is found. - """ - self.data = np.dtype([('w0', 'u4'), ('w1', 'u4'), ('w2', 'u4'), ('w3', 'u4'), ('w4', 'u4'), ('w5', 'u4')]) - self.filter_data = tb.Filters(complib='blosc', complevel=5) - self.h5_file = tb.open_file(self.raw_data_path, mode='w', title='TLU') - self.data_table = self.h5_file.create_table(self.h5_file.root, name='raw_data', description=self.data , title='data', filters=self.filter_data) - self.h5_file.create_group(self.h5_file.root , 'configuration', self.config_parser.conf) + """Initializes the raw data table, where the raw FIFO data is found.""" + self.data = np.dtype( + [ + ("w0", "u4"), + ("w1", "u4"), + ("w2", "u4"), + ("w3", "u4"), + ("w4", "u4"), + ("w5", "u4"), + ] + ) + self.filter_data = tb.Filters(complib="blosc", complevel=5) + self.h5_file = tb.open_file(self.raw_data_path, mode="w", title="TLU") + self.data_table = self.h5_file.create_table( + self.h5_file.root, + name="raw_data", + description=self.data, + title="data", + filters=self.filter_data, + ) + self.h5_file.create_group( + self.h5_file.root, "configuration", self.config_parser.conf + ) def log_sent_status(self, time: int) -> None: """Logs the status of the TLU run with trigger number, runtime usw. @@ -287,19 +299,38 @@ def log_sent_status(self, time: int) -> None: Args: time (int): current runtime of the TLU """ - self.hit_rate = (self.trigger_logic.get_post_veto_trigger()-self.last_triggers_freq)/(time-self.last_time) + self.hit_rate = ( + self.trigger_logic.get_post_veto_trigger() - self.last_triggers_freq + ) / (time - self.last_time) self.run_time = time self.event_number = self.trigger_logic.get_post_veto_trigger() self.total_trigger_number = self.trigger_logic.get_pre_veto_trigger() - if self.zmq_address not in [None, 'off']: - self.socket.send_string(str([self.run_time, self.event_number, self.total_trigger_number,self.hit_rate]), flags=zmq.NOBLOCK) + if self.zmq_address not in [None, "off"]: + self.socket.send_string( + str( + [ + self.run_time, + self.event_number, + self.total_trigger_number, + self.hit_rate, + ] + ), + flags=zmq.NOBLOCK, + ) self.last_time = time self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() - self.log.info("Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" - %(self.run_time, self.event_number, self.total_trigger_number,self.hit_rate)) + self.log.info( + "Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" + % ( + self.run_time, + self.event_number, + self.total_trigger_number, + self.hit_rate, + ) + ) # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) @@ -320,83 +351,95 @@ def log_trigger_inputs(self, event_vector: list) -> None: input_4 = (w0 >> 19) & 0x1 input_5 = (w0 >> 20) & 0x1 input_6 = (w0 >> 21) & 0x1 - self.log.info('Event triggered:') - self.log.info('Input 1: %s, Input 2: %s, Input 3: %s, Input 4: %s, Input 5: %s, Input 6: %s' %(input_1, input_2, input_3, input_4, input_5, input_6)) + self.log.info("Event triggered:") + self.log.info( + "Input 1: %s, Input 2: %s, Input 3: %s, Input 4: %s, Input 5: %s, Input 6: %s" + % (input_1, input_2, input_3, input_4, input_5, input_6) + ) def setup_zmq(self) -> None: self.context = zmq.Context() self.socket = self.context.socket(zmq.PUB) self.socket.bind(self.zmq_address) - self.log.info('Connected ZMQ socket with address: %s' %self.zmq_address) + self.log.info("Connected ZMQ socket with address: %s" % self.zmq_address) def run(self) -> None: - """ Start run of the TLU. - """ + """Start run of the TLU.""" self.start_run() run_active = True - #reset starting parameter + # reset starting parameter start_time = self.get_timestamp() self.last_time = 0 self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() first_event = True - #prepare data handling and zmq connection + # prepare data handling and zmq connection save_data, interpret_data = self.config_parser.get_data_handling() self.zmq_address = self.config_parser.get_zmq_connection() if save_data: - self.raw_data_path = 'tlu_data/tlu_raw_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) - self.interpreted_data_path = 'tlu_data/tlu_interpreted_run%s_%s.h5' %(self.run_number, datetime.now().strftime('%Y_%m_%d_%H_%M_%S')) + self.raw_data_path = "tlu_data/tlu_raw_run%s_%s.h5" % ( + self.run_number, + datetime.now().strftime("%Y_%m_%d_%H_%M_%S"), + ) + self.interpreted_data_path = "tlu_data/tlu_interpreted_run%s_%s.h5" % ( + self.run_number, + datetime.now().strftime("%Y_%m_%d_%H_%M_%S"), + ) self.init_raw_data_table() - - if self.zmq_address not in [None, 'off']: + + if self.zmq_address not in [None, "off"]: self.setup_zmq() while run_active: try: last_time = self.get_timestamp() - current_time = (last_time-start_time)*25/1000000000 + current_time = (last_time - start_time) * 25 / 1000000000 current_event = self.pull_fifo_event() - try: + try: if np.size(current_event) > 1: - #This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - for event_vec in np.split(current_event,len(current_event)/6): + # This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + for event_vec in np.split( + current_event, len(current_event) / 6 + ): if save_data: self.data_table.append(event_vec) except: if KeyboardInterrupt: run_active = False else: - #If this happens: poss. Hitrate to high for FIFO and or Data handling. - self.log.warning('Incomplete Event handling...') - - #Logs and poss. sends status every 1s. + # If this happens: poss. Hitrate to high for FIFO and or Data handling. + self.log.warning("Incomplete Event handling...") + + # Logs and poss. sends status every 1s. if current_time - self.last_time > 1: self.log_sent_status(current_time) # self.log_trigger_inputs(current_event) # self.log.warning(str(current_event)) - #This loop sents which inputs produced the trigger signal for the first event. - if (np.size(current_event) > 1) and first_event: #TODO only first event? + # This loop sents which inputs produced the trigger signal for the first event. + if ( + np.size(current_event) > 1 + ) and first_event: # TODO only first event? self.log_trigger_inputs(current_event) first_event = False - #Stops the TLU after some time in seconds. + # Stops the TLU after some time in seconds. # if current_time*25/1000000000 > 600: # run_active = False except: KeyboardInterrupt run_active = False - + self.stop_run() - #Cleanup of FIFO + # Cleanup of FIFO try: - while np.size(current_event) > 1: + while np.size(current_event) > 1: current_event = self.pull_fifo_event() except: - KeyboardInterrupt - self.log.warning('Interupted FIFO cleanup') - - if self.zmq_address not in [None, 'off']: + KeyboardInterrupt + self.log.warning("Interupted FIFO cleanup") + + if self.zmq_address not in [None, "off"]: self.socket.close() if save_data: @@ -406,19 +449,19 @@ def run(self) -> None: self.data_parser.parse(self.raw_data_path, self.interpreted_data_path) except: self.log.warning("Cannot interpret data.") - self.log.success('Run finished') + self.log.success("Run finished") -if __name__ == "__main__": +if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - clock_path = 'misc/aida_tlu_clk_config.txt' - config_path = 'conf.yaml' + clock_path = "misc/aida_tlu_clk_config.txt" + config_path = "conf.yaml" tlu = AidaTLU(hw, config_path, clock_path) tlu.configure() - - tlu.run() \ No newline at end of file + + tlu.run() diff --git a/aidatlu/online_monitor/tlu_converter.py b/aidatlu/online_monitor/tlu_converter.py index cd50dbc..c404b89 100644 --- a/aidatlu/online_monitor/tlu_converter.py +++ b/aidatlu/online_monitor/tlu_converter.py @@ -2,27 +2,27 @@ import zmq from online_monitor.utils import utils -class AIDATLUConverter(Transceiver): +class AIDATLUConverter(Transceiver): def deserialize_data(self, data): m = data.decode() - m = ''.join([i for i in m if i not in ['[' ,']', ' ']]) - m = m.split(' ') + m = "".join([i for i in m if i not in ["[", "]", " "]]) + m = m.split(" ") m = list(filter(None, m)) for i in range(len(m)): - m[i] = m[i].replace(',', '') + m[i] = m[i].replace(",", "") m = [float(i) for i in m] return m - + def interpret_data(self, data): - interpreted_data ={ - 'Address': data[0][0], - 'Run Time': data[0][1][0], - 'Event Number': data[0][1][1], - 'Total trigger numb': data[0][1][2], - 'Trigger freq': data[0][1][3], + interpreted_data = { + "Address": data[0][0], + "Run Time": data[0][1][0], + "Event Number": data[0][1][1], + "Total trigger numb": data[0][1][2], + "Trigger freq": data[0][1][3], } return [interpreted_data] def serialize_data(self, data): - return utils.simple_enc(None, data) \ No newline at end of file + return utils.simple_enc(None, data) diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py index 464acfa..961da6d 100644 --- a/aidatlu/online_monitor/tlu_receiver.py +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -7,83 +7,91 @@ from pyqtgraph.dockarea import DockArea, Dock from online_monitor.utils import utils + class AIDATLUReciever(Receiver): - - def setup_receiver(self): - #self.set_bidirectional_communication() # We want to change converter settings - self.hitrate_data = [] - self.runtime = [] - - def setup_widgets(self, parent, name): - dock_area = DockArea() - parent.addTab(dock_area, name) - # Docks - dock_rate = Dock("Particle rate (Trigger rate)", size=(400, 400)) - dock_status = Dock("Status", size=(800, 40)) - dock_area.addDock(dock_rate, 'above') - dock_area.addDock(dock_status, 'top') - - # Status dock on top - cw = QtWidgets.QWidget() - cw.setStyleSheet("QWidget {background-color:white}") - layout = QtWidgets.QGridLayout() - cw.setLayout(layout) - - self.hit_rate_label = QtWidgets.QLabel("Trigger Frequency\n0 Hz") - self.timestamp_label = QtWidgets.QLabel("Run Time\n0 s") - self.event_numb_label = QtWidgets.QLabel("Event Number\n0") - self.total_trig_numb = QtWidgets.QLabel("Total Trigger Number\n0") - self.reset_button = QtWidgets.QPushButton('Reset') - layout.addWidget(self.timestamp_label, 0, 0, 0, 1) - layout.addWidget(self.event_numb_label, 0, 1, 0, 1) - layout.addWidget(self.hit_rate_label, 0, 6, 0, 1) - layout.addWidget(self.total_trig_numb, 0, 3, 0, 1) - layout.addWidget(self.reset_button, 0, 7, 0, 1) - dock_status.addWidget(cw) - - self.reset_button.clicked.connect(lambda: self._reset()) - - # # particle rate dock - trigger_rate_graphics = pg.GraphicsLayoutWidget() - trigger_rate_graphics.show() - plot_trigger_rate = pg.PlotItem(labels={'left': 'Trigger Rate / Hz', 'bottom': 'Run Time / s'}) - self.trigger_rate_acc_curve = pg.PlotCurveItem(pen='#B00B13') - - # # add legend - legend_acc = pg.LegendItem(offset=(80, 10)) - legend_acc.setParentItem(plot_trigger_rate) - legend_acc.addItem(self.trigger_rate_acc_curve, 'Trigger Rate') - - # # add items to plots and customize plots viewboxes - plot_trigger_rate.addItem(self.trigger_rate_acc_curve) - plot_trigger_rate.vb.setBackgroundColor('#E6E5F4') - #plot_trigger_rate.setXRange(0, 200) - plot_trigger_rate.getAxis('left').setZValue(0) - plot_trigger_rate.getAxis('left').setGrid(155) - - # # add plots to graphicslayout and layout to dock - trigger_rate_graphics.addItem(plot_trigger_rate, row=0, col=1, rowspan=1, colspan=2) - dock_rate.addWidget(trigger_rate_graphics) - - # # add dict of all used plotcurveitems for individual handling of each plot - self.plots = {'trigger_rate_acc': self.trigger_rate_acc_curve} - self.plot_delay = 0 - - def deserialize_data(self, data): - return utils.simple_dec(data)[1] - - def refresh_data(self): - if len(self.hitrate_data) > 0: - self.trigger_rate_acc_curve.setData(x=self.runtime, y=self.hitrate_data) - - def handle_data(self, data): - self.hitrate_data.append(data['Trigger freq']) - self.runtime.append(data['Run Time']) - self.timestamp_label.setText("Run Time\n%0.2f s" %data['Run Time']) - self.event_numb_label.setText("Event Number\n%i" %data['Event Number']) - self.total_trig_numb.setText("Total Trigger Number\n%i" %data['Total trigger numb']) - self.hit_rate_label.setText("Trigger Frequency\n%0.2f Hz" %data['Trigger freq']) - - def _reset(self): - self.hitrate_data = [] - self.runtime = [] \ No newline at end of file + def setup_receiver(self): + # self.set_bidirectional_communication() # We want to change converter settings + self.hitrate_data = [] + self.runtime = [] + + def setup_widgets(self, parent, name): + dock_area = DockArea() + parent.addTab(dock_area, name) + # Docks + dock_rate = Dock("Particle rate (Trigger rate)", size=(400, 400)) + dock_status = Dock("Status", size=(800, 40)) + dock_area.addDock(dock_rate, "above") + dock_area.addDock(dock_status, "top") + + # Status dock on top + cw = QtWidgets.QWidget() + cw.setStyleSheet("QWidget {background-color:white}") + layout = QtWidgets.QGridLayout() + cw.setLayout(layout) + + self.hit_rate_label = QtWidgets.QLabel("Trigger Frequency\n0 Hz") + self.timestamp_label = QtWidgets.QLabel("Run Time\n0 s") + self.event_numb_label = QtWidgets.QLabel("Event Number\n0") + self.total_trig_numb = QtWidgets.QLabel("Total Trigger Number\n0") + self.reset_button = QtWidgets.QPushButton("Reset") + layout.addWidget(self.timestamp_label, 0, 0, 0, 1) + layout.addWidget(self.event_numb_label, 0, 1, 0, 1) + layout.addWidget(self.hit_rate_label, 0, 6, 0, 1) + layout.addWidget(self.total_trig_numb, 0, 3, 0, 1) + layout.addWidget(self.reset_button, 0, 7, 0, 1) + dock_status.addWidget(cw) + + self.reset_button.clicked.connect(lambda: self._reset()) + + # # particle rate dock + trigger_rate_graphics = pg.GraphicsLayoutWidget() + trigger_rate_graphics.show() + plot_trigger_rate = pg.PlotItem( + labels={"left": "Trigger Rate / Hz", "bottom": "Run Time / s"} + ) + self.trigger_rate_acc_curve = pg.PlotCurveItem(pen="#B00B13") + + # # add legend + legend_acc = pg.LegendItem(offset=(80, 10)) + legend_acc.setParentItem(plot_trigger_rate) + legend_acc.addItem(self.trigger_rate_acc_curve, "Trigger Rate") + + # # add items to plots and customize plots viewboxes + plot_trigger_rate.addItem(self.trigger_rate_acc_curve) + plot_trigger_rate.vb.setBackgroundColor("#E6E5F4") + # plot_trigger_rate.setXRange(0, 200) + plot_trigger_rate.getAxis("left").setZValue(0) + plot_trigger_rate.getAxis("left").setGrid(155) + + # # add plots to graphicslayout and layout to dock + trigger_rate_graphics.addItem( + plot_trigger_rate, row=0, col=1, rowspan=1, colspan=2 + ) + dock_rate.addWidget(trigger_rate_graphics) + + # # add dict of all used plotcurveitems for individual handling of each plot + self.plots = {"trigger_rate_acc": self.trigger_rate_acc_curve} + self.plot_delay = 0 + + def deserialize_data(self, data): + return utils.simple_dec(data)[1] + + def refresh_data(self): + if len(self.hitrate_data) > 0: + self.trigger_rate_acc_curve.setData(x=self.runtime, y=self.hitrate_data) + + def handle_data(self, data): + self.hitrate_data.append(data["Trigger freq"]) + self.runtime.append(data["Run Time"]) + self.timestamp_label.setText("Run Time\n%0.2f s" % data["Run Time"]) + self.event_numb_label.setText("Event Number\n%i" % data["Event Number"]) + self.total_trig_numb.setText( + "Total Trigger Number\n%i" % data["Total trigger numb"] + ) + self.hit_rate_label.setText( + "Trigger Frequency\n%0.2f Hz" % data["Trigger freq"] + ) + + def _reset(self): + self.hitrate_data = [] + self.runtime = [] diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index 5ac5250..0208a52 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -1,7 +1,7 @@ - import sys -sys.path.insert(1, '..') -sys.path.insert(1, '../hardware') + +sys.path.insert(1, "..") +sys.path.insert(1, "../hardware") from main.tlu import AidaTLU from hardware.i2c import I2CCore @@ -17,11 +17,12 @@ import numpy as np import uhal -class Test_IOCControl(): + +class Test_IOCControl: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - + i2c = I2CCore(hw) i2c.init() ioexpander = IOControl(i2c) @@ -37,22 +38,23 @@ def test_ioexpander_led(self) -> None: def test_configure_hdmi(self) -> None: for i in range(4): - self.ioexpander.configure_hdmi(i+1, '1111') - self.ioexpander.clock_hdmi_output(i+1, 'chip') + self.ioexpander.configure_hdmi(i + 1, "1111") + self.ioexpander.clock_hdmi_output(i + 1, "chip") time.sleep(1) - self.ioexpander.configure_hdmi(i+1, '0000') - self.ioexpander.clock_hdmi_output(i+1, 'off') + self.ioexpander.configure_hdmi(i + 1, "0000") + self.ioexpander.clock_hdmi_output(i + 1, "off") def test_clock_lemo_output(self): self.ioexpander.clock_lemo_output(True) time.sleep(1) self.ioexpander.clock_lemo_output(False) -class Test_DacControl(): + +class Test_DacControl: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - + i2c = I2CCore(hw) i2c.init() dac_true = DacControl(i2c, True) @@ -61,24 +63,25 @@ class Test_DacControl(): def test_set_threshold(self) -> None: for i in range(7): for volts in np.arange(-1.3, 1.3, 1.3): - self.dac_true.set_threshold(i+1, volts) + self.dac_true.set_threshold(i + 1, volts) time.sleep(0.2) - self.dac_true.set_threshold(i+1, 0) + self.dac_true.set_threshold(i + 1, 0) time.sleep(0.5) for i in range(7): for volts in np.arange(-1.3, 1.3, 1.3): - self.dac_false.set_threshold(i+1, volts) + self.dac_false.set_threshold(i + 1, volts) time.sleep(0.2) - self.dac_false.set_threshold(i+1, 0) + self.dac_false.set_threshold(i + 1, 0) def test_set_voltage(self) -> None: for i in range(4): for volts in np.arange(0, 1, 0.5): - self.dac_true.set_voltage(i+1, volts) + self.dac_true.set_voltage(i + 1, volts) time.sleep(0.2) self.dac_true.set_all_voltage(0) -class Test_ClockControl(): + +class Test_ClockControl: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) @@ -88,49 +91,51 @@ class Test_ClockControl(): clock = ClockControl(i2c, ioexpander) def test_device_info(self) -> None: - self.clock.log.info('Device Version: %i' %self.clock.get_device_version()) - self.clock.log.info('Design ID: %s' %self.clock.check_design_id()) + self.clock.log.info("Device Version: %i" % self.clock.get_device_version()) + self.clock.log.info("Design ID: %s" % self.clock.check_design_id()) def test_write_clock_register(self): - self.clock.write_clock_conf('../misc/aida_tlu_clk_config.txt') + self.clock.write_clock_conf("../misc/aida_tlu_clk_config.txt") -class Test_DUTLogic(): + +class Test_DUTLogic: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - + i2c = I2CCore(hw) i2c.init() dut = DUTLogic(i2c) def test_set_dut_mask(self) -> None: time.sleep(1) - self.dut.set_dut_mask('1010') + self.dut.set_dut_mask("1010") time.sleep(1) - self.dut.set_dut_mask('0000') + self.dut.set_dut_mask("0000") def test_set_dut_mask_mode(self): - self.dut.set_dut_mask_mode('00000000') + self.dut.set_dut_mask_mode("00000000") time.sleep(1) - self.dut.set_dut_mask_mode('11111111') + self.dut.set_dut_mask_mode("11111111") time.sleep(1) - self.dut.set_dut_mask_mode('01010101') - + self.dut.set_dut_mask_mode("01010101") + def test_set_dut_mask_modifier(self) -> None: - #TODO What input here? + # TODO What input here? self.dut.set_dut_mask_mode_modifier(1) time.sleep(1) self.dut.set_dut_mask_mode_modifier(0) def test_set_dut_ignore_busy(self): - self.dut.set_dut_ignore_busy('1111') + self.dut.set_dut_ignore_busy("1111") time.sleep(1) - self.dut.set_dut_ignore_busy('0000') + self.dut.set_dut_ignore_busy("0000") def test_set_dut_ignore_busy(self) -> None: self.dut.set_dut_ignore_shutter(0) -class Test_TriggerLogic(): + +class Test_TriggerLogic: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) @@ -168,13 +173,14 @@ def test_set_pulse_delay_pack(self) -> None: time.sleep(1) self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) + def test_tlu(): uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - clock_path = '../misc/aida_tlu_clk_config.txt' - config_path = '../conf.yaml' + clock_path = "../misc/aida_tlu_clk_config.txt" + config_path = "../conf.yaml" tlu = AidaTLU(hw, config_path, clock_path) @@ -182,4 +188,3 @@ def test_tlu(): tlu.get_device_id() tlu.get_fw_version() - diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 0195b14..548a7c4 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -1,36 +1,58 @@ import sys -sys.path.insert(1, '..') + +sys.path.insert(1, "..") import yaml import numpy as np import tables as tb from main.data_parser import DataParser + def test_data_parser(): data_parser = DataParser() - data_parser.parse('raw_data_test.h5', 'interpreted_data_test.h5') - -def test_interpreted_data(): - features = np.dtype([('eventnumber', 'u4'), ('timestamp', 'u4'), ('overflow', 'u4'), ('eventtype', 'u4'), ('input1', 'u4'), ('input2', 'u4'), ('input3', 'u4'), - ('input4', 'u4'), ('inpu5', 'u4'), ('input6', 'u4'), ('sc1', 'u4'), ('sc2', 'u4'), ('sc3', 'u4'), ('sc4', 'u4'), ('sc5', 'u4'), ('sc6', 'u4')]) + data_parser.parse("raw_data_test.h5", "interpreted_data_test.h5") - interpreted_data_path = 'interpreted_data.h5' - interpreted_test_data_path = 'interpreted_data_test.h5' - with tb.open_file(interpreted_data_path, 'r') as file: +def test_interpreted_data(): + features = np.dtype( + [ + ("eventnumber", "u4"), + ("timestamp", "u4"), + ("overflow", "u4"), + ("eventtype", "u4"), + ("input1", "u4"), + ("input2", "u4"), + ("input3", "u4"), + ("input4", "u4"), + ("inpu5", "u4"), + ("input6", "u4"), + ("sc1", "u4"), + ("sc2", "u4"), + ("sc3", "u4"), + ("sc4", "u4"), + ("sc5", "u4"), + ("sc6", "u4"), + ] + ) + + interpreted_data_path = "interpreted_data.h5" + interpreted_test_data_path = "interpreted_data_test.h5" + + with tb.open_file(interpreted_data_path, "r") as file: table = file.root.interpreted_data interpreted_data = np.array(table[:], dtype=features) - with tb.open_file(interpreted_test_data_path, 'r') as file: + with tb.open_file(interpreted_test_data_path, "r") as file: table = file.root.interpreted_data - interpreted_test_data = np.array(table[:], dtype=features) + interpreted_test_data = np.array(table[:], dtype=features) # numpy equal should do everything. But this could help for debugging. assert np.array_equiv(interpreted_data, interpreted_test_data) assert np.array_equal(interpreted_data, interpreted_test_data) assert (interpreted_data == interpreted_test_data).all() + def test_load_config(): - config_path = '../conf.yaml' - with open(config_path, 'r') as file: - conf = yaml.full_load(file) \ No newline at end of file + config_path = "../conf.yaml" + with open(config_path, "r") as file: + conf = yaml.full_load(file) diff --git a/aidatlu/test/test.py b/aidatlu/test/test.py index 34edd71..e2f7f59 100644 --- a/aidatlu/test/test.py +++ b/aidatlu/test/test.py @@ -1,6 +1,7 @@ import sys -sys.path.insert(1, '..') -sys.path.insert(1, '../hardware') + +sys.path.insert(1, "..") +sys.path.insert(1, "../hardware") from main.tlu import AidaTLU from hardware.i2c import I2CCore @@ -17,21 +18,22 @@ import logger import logging + class Test_IOCControl(object): def __init__(self, i2c: I2CCore) -> None: self.i2c = i2c self.ioexpander = IOControl(i2c) def test_ioexpander(self): - log.info('Testing IO Expander') + log.info("Testing IO Expander") self.test_clock_lemo_output() self.test_configure_hdmi() self.test_ioexpander_led() - log.success('IO Expander tested') + log.success("IO Expander tested") def test_ioexpander_led(self) -> None: self.ioexpander.all_off() - self.ioexpander.test_leds(single = True) + self.ioexpander.test_leds(single=True) self.ioexpander.all_off() time.sleep(1) self.ioexpander.all_on() @@ -40,17 +42,18 @@ def test_ioexpander_led(self) -> None: def test_configure_hdmi(self) -> None: for i in range(4): - self.ioexpander.configure_hdmi(i+1, '1111') - self.ioexpander.clock_hdmi_output(i+1, 'chip') + self.ioexpander.configure_hdmi(i + 1, "1111") + self.ioexpander.clock_hdmi_output(i + 1, "chip") time.sleep(1) - self.ioexpander.configure_hdmi(i+1, '0000') - self.ioexpander.clock_hdmi_output(i+1, 'off') + self.ioexpander.configure_hdmi(i + 1, "0000") + self.ioexpander.clock_hdmi_output(i + 1, "off") def test_clock_lemo_output(self): self.ioexpander.clock_lemo_output(True) time.sleep(1) self.ioexpander.clock_lemo_output(False) + class Test_DacControl(object): def __init__(self, i2c: I2CCore) -> None: self.i2c = i2c @@ -58,31 +61,32 @@ def __init__(self, i2c: I2CCore) -> None: self.dac_false = DacControl(i2c, False) def test_dac(self): - log.info('Testing DAC') + log.info("Testing DAC") self.test_set_threshold() self.test_set_voltage() - log.success('DAC tested') + log.success("DAC tested") def test_set_threshold(self) -> None: for i in range(7): for volts in np.arange(-1.3, 1.3, 0.2): - self.dac_true.set_threshold(i+1, volts) + self.dac_true.set_threshold(i + 1, volts) time.sleep(0.2) - self.dac_true.set_threshold(i+1, 0) + self.dac_true.set_threshold(i + 1, 0) time.sleep(0.5) for i in range(7): for volts in np.arange(-1.3, 1.3, 0.2): - self.dac_false.set_threshold(i+1, volts) + self.dac_false.set_threshold(i + 1, volts) time.sleep(0.2) - self.dac_false.set_threshold(i+1, 0) + self.dac_false.set_threshold(i + 1, 0) def test_set_voltage(self) -> None: for i in range(4): for volts in np.arange(0, 1, 0.1): - self.dac_true.set_voltage(i+1, volts) + self.dac_true.set_voltage(i + 1, volts) time.sleep(0.2) self.dac_true.set_all_voltage(0) + class Test_ClockControl(object): def __init__(self, i2c: I2CCore) -> None: self.i2c = i2c @@ -90,18 +94,19 @@ def __init__(self, i2c: I2CCore) -> None: self.clock = ClockControl(i2c, self.ioexpander) def test_clock(self): - log.info('Testing Clock Chip') + log.info("Testing Clock Chip") clock.test_device_info() clock.test_write_clock_register() - log.success('Clock Chip tested') + log.success("Clock Chip tested") def test_device_info(self) -> None: - self.clock.log.info('Device Version: %i' %self.clock.get_device_version()) - self.clock.log.info('Design ID: %s' %self.clock.check_design_id()) + self.clock.log.info("Device Version: %i" % self.clock.get_device_version()) + self.clock.log.info("Design ID: %s" % self.clock.check_design_id()) def test_write_clock_register(self): - self.clock.write_clock_conf('misc/aida_tlu_clk_config.txt') + self.clock.write_clock_conf("misc/aida_tlu_clk_config.txt") + class Test_DUTLogic(object): def __init__(self, i2c: I2CCore) -> None: @@ -109,54 +114,55 @@ def __init__(self, i2c: I2CCore) -> None: self.dut = DUTLogic(i2c) def test_dut(self): - log.info('Testing DUT Logic') + log.info("Testing DUT Logic") time.sleep(1) self.test_set_dut_ignore_busy() self.test_set_dut_mask() self.test_set_dut_mask_mode() self.test_set_dut_mask_modifier() - log.success('DUT Logic tested') + log.success("DUT Logic tested") def test_set_dut_mask(self) -> None: - self.dut.set_dut_mask('1111') + self.dut.set_dut_mask("1111") time.sleep(1) - self.dut.set_dut_mask('0000') + self.dut.set_dut_mask("0000") def test_set_dut_mask_mode(self): - self.dut.set_dut_mask_mode('00000000') + self.dut.set_dut_mask_mode("00000000") time.sleep(1) - self.dut.set_dut_mask_mode('11111111') + self.dut.set_dut_mask_mode("11111111") time.sleep(1) - self.dut.set_dut_mask_mode('01010101') - + self.dut.set_dut_mask_mode("01010101") + def test_set_dut_mask_modifier(self) -> None: - #TODO What input here? + # TODO What input here? self.dut.set_dut_mask_mode_modifier(1) time.sleep(1) self.dut.set_dut_mask_mode_modifier(0) def test_set_dut_ignore_busy(self): - self.dut.set_dut_ignore_busy('1111') + self.dut.set_dut_ignore_busy("1111") time.sleep(1) - self.dut.set_dut_ignore_busy('0000') + self.dut.set_dut_ignore_busy("0000") def test_set_dut_ignore_busy(self) -> None: self.dut.set_dut_ignore_shutter(0) + class Test_TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: self.i2c = i2c self.trigger = TriggerLogic(i2c) def test_trigger(self): - log.info('Testing Trigger Logic') + log.info("Testing Trigger Logic") self.test_set_internal_trigger_frequency() self.test_set_pulse_delay_pack() self.test_set_pulse_stretch_pack() self.test_set_trigger_mask() self.test_set_trigger_polarity() self.test_set_trigger_veto() - log.success('Trigger Logic tested') + log.success("Trigger Logic tested") def test_set_internal_trigger_frequency(self) -> None: self.trigger.set_internal_trigger_frequency(0) @@ -186,14 +192,15 @@ def test_set_pulse_delay_pack(self) -> None: time.sleep(1) self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) + if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - - log = logger.setup_main_logger('Test AidaTLU', logging.DEBUG) - log.info('Init I2C Core') + log = logger.setup_main_logger("Test AidaTLU", logging.DEBUG) + + log.info("Init I2C Core") i2c = I2CCore(hw) i2c.init() @@ -212,11 +219,11 @@ def test_set_pulse_delay_pack(self) -> None: trigger = Test_TriggerLogic(i2c) trigger.test_trigger() - log.info('Testing TLU') + log.info("Testing TLU") tlu = AidaTLU(hw) - log.info('TLU Device ID: %s' %tlu.get_device_id()) - log.info('TLU FW Version: %s' %tlu.get_fw_version()) - log.success('TLU ID found') + log.info("TLU Device ID: %s" % tlu.get_device_id()) + log.info("TLU FW Version: %s" % tlu.get_fw_version()) + log.success("TLU ID found") tlu.test_configuration() tlu.default_configuration() - log.success('TLU Test Configured') \ No newline at end of file + log.success("TLU Test Configured") From 60cd82e60c78882bea8acab05251bc086889fd09 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 13:20:15 +0100 Subject: [PATCH 38/68] DOC: sphinx documentation + GIT: workflow --- .github/workflows/workflow.yml | 32 + .vscode/ltex.dictionary.en-US.txt | 1 + EUDETdummy/constraints/EUDET_dummy_constr.xdc | 140 - EUDETdummy/constraints/I2C_constr.xdc | 40 - EUDETdummy/constraints/enclustra_ax3_pm3.tcl | 52 - EUDETdummy/hdl/Dummy_DUT.vhd | 284 - EUDETdummy/hdl/Dummy_DUT_Toplevel.vhd | 627 -- EUDETdummy/hdl/Register_Controller.vhd | 601 -- EUDETdummy/hdl/TLU_Address_Map.vhd | 144 - EUDETdummy/hdl/TLU_address_map_v0-2.vhdl | 263 - .../hdl/Trigger_Number_Error_Checker.vhd | 116 - EUDETdummy/hdl/ZestSC1_Host.vhd | 652 -- EUDETdummy/hdl/ZestSC1_Interfaces.vhd | 329 - EUDETdummy/hdl/clocks_7s_extphy_se.vhd | 151 - EUDETdummy/hdl/delay.vhd | 52 - EUDETdummy/hdl/delay_word.vhd | 43 - EUDETdummy/hdl/dtype.vhdl | 34 - EUDETdummy/hdl/dummyEventBuffer_rtl.vhd | 168 - EUDETdummy/hdl/enclustra_ax3_pm3_infra.vhd | 131 - EUDETdummy/hdl/eth_7s_rgmii.vhd | 184 - EUDETdummy/hdl/fmcTLU_pkg.vhd | 27 - EUDETdummy/hdl/fmcTLU_pkg_body.vhd | 13 - EUDETdummy/hdl/i2c/i2c_master_bit_ctrl.vhd | 492 - EUDETdummy/hdl/i2c/i2c_master_byte_ctrl.vhd | 286 - EUDETdummy/hdl/i2c/i2c_master_registers.vhd | 196 - EUDETdummy/hdl/i2c/i2c_master_rtl.vhd | 97 - EUDETdummy/hdl/i2c/i2c_master_top.vhd | 344 - EUDETdummy/hdl/ipbus_addr_decode.vhd | 50 - EUDETdummy/hdl/ipbus_decode_ipbus_example.vhd | 69 - EUDETdummy/hdl/ipbus_decode_tlu.vhd | 73 - EUDETdummy/hdl/ipbus_example.vhd | 174 - EUDETdummy/hdl/ipbus_fabric_sel.vhd | 61 - EUDETdummy/hdl/ipbus_slaves.vhd | 170 - EUDETdummy/hdl/ipbus_ver.vhd | 46 - EUDETdummy/hdl/led_stretcher.vhd | 74 - EUDETdummy/hdl/logic_clocks_rtl.vhd | 344 - EUDETdummy/hdl/top_EUDET_dummy.vhd | 646 -- EUDETdummy/scripts/EUDETdummy.py | 588 -- EUDETdummy/scripts/EUDETdummyaddrmap.xml | 96 - EUDETdummy/scripts/EUDETdummyconnection.xml | 6 - EUDETdummy/scripts/EUDummy_testscript.py | 155 - EUDETdummy/scripts/initTLU.py | 184 - EUDETdummy/scripts/startDUMMY.sh | 24 - EUDETdummy/scripts/startEUDETdummy.py | 78 - EUDETdummy/scripts/testTLU_script.py | 79 - README.md | 42 +- TLU_v1c/scripts/500ns_23ns.txt | 8048 ----------------- TLU_v1c/scripts/500ns_24ns.txt | 665 -- TLU_v1c/scripts/AIDA_testScript.py | 183 - TLU_v1c/scripts/TLU.py | 749 -- TLU_v1c/scripts/TLUaddrmap.xml | 105 - TLU_v1c/scripts/TLUconnection.xml | 6 - TLU_v1c/scripts/initTLU.py | 184 - TLU_v1c/scripts/output_check.csv | 2727 ------ TLU_v1c/scripts/startTLU.sh | 25 - TLU_v1c/scripts/startTLU_v6.py | 232 - TLU_v1c/scripts/startTLU_v8.py | 72 - TLU_v1c/scripts/test.py | 34 - TLU_v1c/scripts/testTLU_script.py | 79 - TLU_v1c/scripts/test_T0.py | 92 - TLU_v1e/.svn/entries | 28 - TLU_v1e/__init__.py | 0 .../documents/FMC_TLU_TOPLEVEL_E.SCH.1.pdf | Bin 1476373 -> 0 bytes TLU_v1e/documents/TLU_v1E_TestPoints.pdf | Bin 358019 -> 0 bytes TLU_v1e/scripts/AIDA_testPower.py | 85 - TLU_v1e/scripts/AIDA_testScript.py | 193 - TLU_v1e/scripts/TLU_v1e.py | 993 -- TLU_v1e/scripts/TLUaddrmap.xml | 112 - TLU_v1e/scripts/TLUconnection.xml | 6 - TLU_v1e/scripts/__init__.py | 0 TLU_v1e/scripts/config_parser.py | 29 - TLU_v1e/scripts/initTLU.py | 184 - TLU_v1e/scripts/localClock.txt | 394 - TLU_v1e/scripts/localConf.conf | 93 - TLU_v1e/scripts/localIni.ini | 54 - TLU_v1e/scripts/run_tlu.py | 22 - TLU_v1e/scripts/startTLU_v1e.py | 246 - TLU_v1e/scripts/startTLU_v1e.sh | 25 - TLU_v1e/scripts/startTLU_v6.py | 232 - TLU_v1e/scripts/test.py | 34 - TLU_v1e/scripts/testTLU_script.py | 79 - TLU_v1e/scripts/test_T0.py | 92 - aidatlu.egg-info/PKG-INFO | 20 +- aidatlu.egg-info/SOURCES.txt | 37 +- aidatlu.egg-info/top_level.txt | 3 +- aidatlu/README.md | 52 +- aidatlu/__init__.py | 1 + aidatlu/aidatlu.py | 2 +- aidatlu/hardware/clock_controller.py | 1 + aidatlu/hardware/ioexpander_controller.py | 2 +- aidatlu/main/data_parser.py | 2 +- aidatlu/main/tlu.py | 2 +- aidatlu/scripts/full_start.sh | 11 - aidatlu/scripts/restart_infrastructure.sh | 8 - aidatlu/scripts/start_tlu.sh | 4 - aidatlu/test/README.md | 14 - aidatlu/{conf.yaml => tlu_configuration.yaml} | 36 +- aidatlu/tlu_data/README.md | 3 - docs/Makefile | 20 + docs/make.bat | 35 + docs/source/Configuration.rst | 7 + docs/source/Documentation.rst | 303 + docs/source/Introduction.rst | 8 + docs/source/conf.py | 56 + docs/source/hardware_code.rst | 40 + docs/source/img/4_pin_lemo.png | Bin 0 -> 26238 bytes docs/source/img/hdmi.png | Bin 0 -> 11286 bytes docs/source/img/structure.png | Bin 0 -> 54197 bytes docs/source/index.rst | 26 + docs/source/main_code.rst | 21 + miniTLU/.ftpconfig | 20 - miniTLU/FmcTluI2c.py | 132 - miniTLU/I2CuHal.py | 1000 -- miniTLU/I2cBusProperties.py | 122 - miniTLU/RawI2cAccess.py | 261 - miniTLU/aida_mini_tlu_addr_map.txt | 72 - miniTLU/connection.xml | 6 - miniTLU/initTLU.py | 184 - miniTLU/miniTLU.py | 462 - miniTLU/miniTLU.xml | 87 - miniTLU/miniTLU_old.xml | 74 - miniTLU/startTLU_v6.py | 232 - miniTLU/startTLU_v6.sh | 24 - miniTLU/startTLU_v8.py | 70 - miniTLU/testTLU_script.py | 79 - miniTLU/test_T0.py | 92 - packages/AD5665R.py | 45 - packages/ADN2814ACPZ.py | 144 - packages/ATSHA204A.py | 114 - packages/E24AA025E48T.py | 20 - packages/FmcTluI2c.py | 132 - packages/I2CDISP.py | 248 - packages/I2CuHal.py | 282 - packages/I2CuHal2.py | 282 - packages/I2cBusProperties.py | 122 - packages/NHDC0220Biz.py | 23 - packages/PCA9539PW.py | 94 - packages/PCA9548ADW.py | 51 - packages/RawI2cAccess.py | 261 - packages/SFPI2C.py | 91 - packages/TLU_powermodule.py | 339 - packages/TLU_v1e/__init__.py | 0 packages/TLU_v1e/output.csv | 0 packages/TLUaddrmap_BKP.xml | 105 - packages/TLUconnection_BKP.xml | 6 - packages/__init__.py | 0 packages/si5345.py | 152 - setup.py | 3 + 148 files changed, 671 insertions(+), 30034 deletions(-) create mode 100644 .github/workflows/workflow.yml create mode 100644 .vscode/ltex.dictionary.en-US.txt delete mode 100644 EUDETdummy/constraints/EUDET_dummy_constr.xdc delete mode 100644 EUDETdummy/constraints/I2C_constr.xdc delete mode 100644 EUDETdummy/constraints/enclustra_ax3_pm3.tcl delete mode 100644 EUDETdummy/hdl/Dummy_DUT.vhd delete mode 100755 EUDETdummy/hdl/Dummy_DUT_Toplevel.vhd delete mode 100644 EUDETdummy/hdl/Register_Controller.vhd delete mode 100644 EUDETdummy/hdl/TLU_Address_Map.vhd delete mode 100644 EUDETdummy/hdl/TLU_address_map_v0-2.vhdl delete mode 100755 EUDETdummy/hdl/Trigger_Number_Error_Checker.vhd delete mode 100644 EUDETdummy/hdl/ZestSC1_Host.vhd delete mode 100644 EUDETdummy/hdl/ZestSC1_Interfaces.vhd delete mode 100644 EUDETdummy/hdl/clocks_7s_extphy_se.vhd delete mode 100644 EUDETdummy/hdl/delay.vhd delete mode 100644 EUDETdummy/hdl/delay_word.vhd delete mode 100644 EUDETdummy/hdl/dtype.vhdl delete mode 100644 EUDETdummy/hdl/dummyEventBuffer_rtl.vhd delete mode 100644 EUDETdummy/hdl/enclustra_ax3_pm3_infra.vhd delete mode 100644 EUDETdummy/hdl/eth_7s_rgmii.vhd delete mode 100644 EUDETdummy/hdl/fmcTLU_pkg.vhd delete mode 100644 EUDETdummy/hdl/fmcTLU_pkg_body.vhd delete mode 100644 EUDETdummy/hdl/i2c/i2c_master_bit_ctrl.vhd delete mode 100644 EUDETdummy/hdl/i2c/i2c_master_byte_ctrl.vhd delete mode 100644 EUDETdummy/hdl/i2c/i2c_master_registers.vhd delete mode 100644 EUDETdummy/hdl/i2c/i2c_master_rtl.vhd delete mode 100644 EUDETdummy/hdl/i2c/i2c_master_top.vhd delete mode 100644 EUDETdummy/hdl/ipbus_addr_decode.vhd delete mode 100644 EUDETdummy/hdl/ipbus_decode_ipbus_example.vhd delete mode 100644 EUDETdummy/hdl/ipbus_decode_tlu.vhd delete mode 100644 EUDETdummy/hdl/ipbus_example.vhd delete mode 100644 EUDETdummy/hdl/ipbus_fabric_sel.vhd delete mode 100644 EUDETdummy/hdl/ipbus_slaves.vhd delete mode 100644 EUDETdummy/hdl/ipbus_ver.vhd delete mode 100644 EUDETdummy/hdl/led_stretcher.vhd delete mode 100644 EUDETdummy/hdl/logic_clocks_rtl.vhd delete mode 100644 EUDETdummy/hdl/top_EUDET_dummy.vhd delete mode 100644 EUDETdummy/scripts/EUDETdummy.py delete mode 100644 EUDETdummy/scripts/EUDETdummyaddrmap.xml delete mode 100644 EUDETdummy/scripts/EUDETdummyconnection.xml delete mode 100644 EUDETdummy/scripts/EUDummy_testscript.py delete mode 100644 EUDETdummy/scripts/initTLU.py delete mode 100644 EUDETdummy/scripts/startDUMMY.sh delete mode 100644 EUDETdummy/scripts/startEUDETdummy.py delete mode 100644 EUDETdummy/scripts/testTLU_script.py delete mode 100644 TLU_v1c/scripts/500ns_23ns.txt delete mode 100644 TLU_v1c/scripts/500ns_24ns.txt delete mode 100644 TLU_v1c/scripts/AIDA_testScript.py delete mode 100644 TLU_v1c/scripts/TLU.py delete mode 100644 TLU_v1c/scripts/TLUaddrmap.xml delete mode 100644 TLU_v1c/scripts/TLUconnection.xml delete mode 100644 TLU_v1c/scripts/initTLU.py delete mode 100644 TLU_v1c/scripts/output_check.csv delete mode 100644 TLU_v1c/scripts/startTLU.sh delete mode 100644 TLU_v1c/scripts/startTLU_v6.py delete mode 100644 TLU_v1c/scripts/startTLU_v8.py delete mode 100644 TLU_v1c/scripts/test.py delete mode 100644 TLU_v1c/scripts/testTLU_script.py delete mode 100644 TLU_v1c/scripts/test_T0.py delete mode 100644 TLU_v1e/.svn/entries delete mode 100644 TLU_v1e/__init__.py delete mode 100644 TLU_v1e/documents/FMC_TLU_TOPLEVEL_E.SCH.1.pdf delete mode 100644 TLU_v1e/documents/TLU_v1E_TestPoints.pdf delete mode 100644 TLU_v1e/scripts/AIDA_testPower.py delete mode 100644 TLU_v1e/scripts/AIDA_testScript.py delete mode 100644 TLU_v1e/scripts/TLU_v1e.py delete mode 100644 TLU_v1e/scripts/TLUaddrmap.xml delete mode 100644 TLU_v1e/scripts/TLUconnection.xml delete mode 100644 TLU_v1e/scripts/__init__.py delete mode 100644 TLU_v1e/scripts/config_parser.py delete mode 100644 TLU_v1e/scripts/initTLU.py delete mode 100644 TLU_v1e/scripts/localClock.txt delete mode 100644 TLU_v1e/scripts/localConf.conf delete mode 100644 TLU_v1e/scripts/localIni.ini delete mode 100644 TLU_v1e/scripts/run_tlu.py delete mode 100644 TLU_v1e/scripts/startTLU_v1e.py delete mode 100644 TLU_v1e/scripts/startTLU_v1e.sh delete mode 100644 TLU_v1e/scripts/startTLU_v6.py delete mode 100644 TLU_v1e/scripts/test.py delete mode 100644 TLU_v1e/scripts/testTLU_script.py delete mode 100644 TLU_v1e/scripts/test_T0.py delete mode 100755 aidatlu/scripts/full_start.sh delete mode 100755 aidatlu/scripts/restart_infrastructure.sh delete mode 100755 aidatlu/scripts/start_tlu.sh delete mode 100644 aidatlu/test/README.md rename aidatlu/{conf.yaml => tlu_configuration.yaml} (61%) delete mode 100644 aidatlu/tlu_data/README.md create mode 100644 docs/Makefile create mode 100644 docs/make.bat create mode 100644 docs/source/Configuration.rst create mode 100644 docs/source/Documentation.rst create mode 100644 docs/source/Introduction.rst create mode 100644 docs/source/conf.py create mode 100644 docs/source/hardware_code.rst create mode 100644 docs/source/img/4_pin_lemo.png create mode 100644 docs/source/img/hdmi.png create mode 100644 docs/source/img/structure.png create mode 100644 docs/source/index.rst create mode 100644 docs/source/main_code.rst delete mode 100644 miniTLU/.ftpconfig delete mode 100644 miniTLU/FmcTluI2c.py delete mode 100644 miniTLU/I2CuHal.py delete mode 100644 miniTLU/I2cBusProperties.py delete mode 100644 miniTLU/RawI2cAccess.py delete mode 100644 miniTLU/aida_mini_tlu_addr_map.txt delete mode 100644 miniTLU/connection.xml delete mode 100644 miniTLU/initTLU.py delete mode 100644 miniTLU/miniTLU.py delete mode 100644 miniTLU/miniTLU.xml delete mode 100644 miniTLU/miniTLU_old.xml delete mode 100644 miniTLU/startTLU_v6.py delete mode 100755 miniTLU/startTLU_v6.sh delete mode 100644 miniTLU/startTLU_v8.py delete mode 100644 miniTLU/testTLU_script.py delete mode 100644 miniTLU/test_T0.py delete mode 100644 packages/AD5665R.py delete mode 100644 packages/ADN2814ACPZ.py delete mode 100644 packages/ATSHA204A.py delete mode 100644 packages/E24AA025E48T.py delete mode 100644 packages/FmcTluI2c.py delete mode 100644 packages/I2CDISP.py delete mode 100644 packages/I2CuHal.py delete mode 100644 packages/I2CuHal2.py delete mode 100644 packages/I2cBusProperties.py delete mode 100644 packages/NHDC0220Biz.py delete mode 100644 packages/PCA9539PW.py delete mode 100644 packages/PCA9548ADW.py delete mode 100644 packages/RawI2cAccess.py delete mode 100644 packages/SFPI2C.py delete mode 100644 packages/TLU_powermodule.py delete mode 100644 packages/TLU_v1e/__init__.py delete mode 100644 packages/TLU_v1e/output.csv delete mode 100644 packages/TLUaddrmap_BKP.xml delete mode 100644 packages/TLUconnection_BKP.xml delete mode 100644 packages/__init__.py delete mode 100644 packages/si5345.py diff --git a/.github/workflows/workflow.yml b/.github/workflows/workflow.yml new file mode 100644 index 0000000..d9dbd7e --- /dev/null +++ b/.github/workflows/workflow.yml @@ -0,0 +1,32 @@ +name: Publish Sphinx Documentation + +on: + push: + +jobs: + publish_sphinx_docs: + runs-on: ubuntu-latest + permissions: + contents: write + steps: + - uses: actions/checkout@v3 + - uses: actions/setup-python@v3 + with: + python-version: "3.10" + - name: Install dependencies + run: | + pip install -e . + pip install sphinx furo + pip install sphinx_mdinclude + pip install sphinx-rtd-theme + - name: Sphinx build + run: | + sphinx-apidoc -o docs src/sphinxy/ --separate + sphinx-build docs docs/_build/html + - name: Deploy + uses: peaceiris/actions-gh-pages@v3 + with: + publish_branch: gh-pages + github_token: ${{ secrets.GITHUB_TOKEN }} + publish_dir: docs/_build/html + force_orphan: true \ No newline at end of file diff --git a/.vscode/ltex.dictionary.en-US.txt b/.vscode/ltex.dictionary.en-US.txt new file mode 100644 index 0000000..924c3f6 --- /dev/null +++ b/.vscode/ltex.dictionary.en-US.txt @@ -0,0 +1 @@ +literaral diff --git a/EUDETdummy/constraints/EUDET_dummy_constr.xdc b/EUDETdummy/constraints/EUDET_dummy_constr.xdc deleted file mode 100644 index 17c41b0..0000000 --- a/EUDETdummy/constraints/EUDET_dummy_constr.xdc +++ /dev/null @@ -1,140 +0,0 @@ -## Trigger inputs - -#set_property IOSTANDARD LVCMOS18 [get_ports {threshold_discr_p_i[*]}] -#set_property PACKAGE_PIN J4 [get_ports {threshold_discr_p_i[4]}] -#set_property PACKAGE_PIN H1 [get_ports {threshold_discr_p_i[5]}] - -#set_property IOSTANDARD LVCMOS33 [get_ports {threshold_discr_n_i[*]}] -#set property IOSTANDARD LVDS_25 [get_ports {threshold_discr_p_i[*]}] -#set_property PACKAGE_PIN B1 [get_ports {threshold_discr_p_i[0]}] -#set_property PACKAGE_PIN A1 [get_ports {threshold_discr_n_i[0]}] -#set_property PACKAGE_PIN C4 [get_ports {threshold_discr_p_i[1]}] -#set_property PACKAGE_PIN B4 [get_ports {threshold_discr_n_i[1]}] -#set_property PACKAGE_PIN K2 [get_ports {threshold_discr_p_i[2]}] -#set_property PACKAGE_PIN K1 [get_ports {threshold_discr_n_i[2]}] -#set_property PACKAGE_PIN C6 [get_ports {threshold_discr_p_i[3]}] -#set_property PACKAGE_PIN C5 [get_ports {threshold_discr_n_i[3]}] -#set_property PACKAGE_PIN J4 [get_ports {threshold_discr_p_i[4]}] -#set_property PACKAGE_PIN H4 [get_ports {threshold_discr_n_i[4]}] -#set_property PACKAGE_PIN H1 [get_ports {threshold_discr_p_i[5]}] -#set_property PACKAGE_PIN G1 [get_ports {threshold_discr_n_i[5]}] - -## Miscellaneous I/O -set_property IOSTANDARD LVCMOS33 [get_ports clk_gen_rst] -set_property PACKAGE_PIN C1 [get_ports clk_gen_rst] -set_property IOSTANDARD LVCMOS33 [get_ports gpio] -set_property PACKAGE_PIN F6 [get_ports gpio] - - -## Crystal clock -set_property IOSTANDARD LVDS_25 [get_ports sysclk_40_i_p] -set_property PACKAGE_PIN T5 [get_ports sysclk_40_i_p] -set_property PACKAGE_PIN T4 [get_ports sysclk_40_i_n] - -## Output clock (currently not working so set to 0) -set_property IOSTANDARD LVCMOS33 [get_ports sysclk_50_o_p] -set_property PACKAGE_PIN E3 [get_ports sysclk_50_o_p] -set_property IOSTANDARD LVCMOS33 [get_ports sysclk_50_o_n] -set_property PACKAGE_PIN D3 [get_ports sysclk_50_o_n] - -## Inputs/Outputs for DUTs -set_property IOSTANDARD LVCMOS33 [get_ports {busy_o[*]}] -set_property PACKAGE_PIN R7 [get_ports {busy_o[0]}] -set_property PACKAGE_PIN U4 [get_ports {busy_o[1]}] -set_property PACKAGE_PIN R8 [get_ports {busy_o[2]}] -set_property PACKAGE_PIN K5 [get_ports {busy_o[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {triggers_o[*]}] -set_property PACKAGE_PIN R6 [get_ports {triggers_o[0]}] -set_property PACKAGE_PIN P2 [get_ports {triggers_o[1]}] -set_property PACKAGE_PIN R1 [get_ports {triggers_o[2]}] -set_property PACKAGE_PIN U1 [get_ports {triggers_o[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {cont_o[*]}] -set_property PACKAGE_PIN N5 [get_ports {cont_o[0]}] -set_property PACKAGE_PIN P4 [get_ports {cont_o[1]}] -set_property PACKAGE_PIN M6 [get_ports {cont_o[2]}] -set_property PACKAGE_PIN L6 [get_ports {cont_o[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {spare_o[*]}] -set_property PACKAGE_PIN L1 [get_ports {spare_o[0]}] -set_property PACKAGE_PIN M4 [get_ports {spare_o[1]}] -set_property PACKAGE_PIN N2 [get_ports {spare_o[2]}] -set_property PACKAGE_PIN M3 [get_ports {spare_o[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {dut_clk_o[*]}] -set_property PACKAGE_PIN K3 [get_ports {dut_clk_o[0]}] -set_property PACKAGE_PIN F4 [get_ports {dut_clk_o[1]}] -set_property PACKAGE_PIN E2 [get_ports {dut_clk_o[2]}] -set_property PACKAGE_PIN G4 [get_ports {dut_clk_o[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {cont_i[*]}] -set_property PACKAGE_PIN P5 [get_ports {cont_i[0]}] -set_property PACKAGE_PIN P3 [get_ports {cont_i[1]}] -set_property PACKAGE_PIN N6 [get_ports {cont_i[2]}] -set_property PACKAGE_PIN L5 [get_ports {cont_i[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {spare_i[*]}] -set_property PACKAGE_PIN M1 [get_ports {spare_i[0]}] -set_property PACKAGE_PIN N4 [get_ports {spare_i[1]}] -set_property PACKAGE_PIN N1 [get_ports {spare_i[2]}] -set_property PACKAGE_PIN M2 [get_ports {spare_i[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {triggers_i[*]}] -set_property PACKAGE_PIN R5 [get_ports {triggers_i[0]}] -set_property PACKAGE_PIN R2 [get_ports {triggers_i[1]}] -set_property PACKAGE_PIN T1 [get_ports {triggers_i[2]}] -set_property PACKAGE_PIN V1 [get_ports {triggers_i[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {busy_i[*]}] -set_property PACKAGE_PIN T6 [get_ports {busy_i[0]}] -set_property PACKAGE_PIN U3 [get_ports {busy_i[1]}] -set_property PACKAGE_PIN T8 [get_ports {busy_i[2]}] -set_property PACKAGE_PIN L4 [get_ports {busy_i[3]}] - -set_property IOSTANDARD LVCMOS33 [get_ports {dut_clk_i[*]}] -set_property PACKAGE_PIN L3 [get_ports {dut_clk_i[0]}] -set_property PACKAGE_PIN F3 [get_ports {dut_clk_i[1]}] -set_property PACKAGE_PIN D2 [get_ports {dut_clk_i[2]}] -set_property PACKAGE_PIN G3 [get_ports {dut_clk_i[3]}] - -# ------------------------------------------------------------------------------------------------- - - - - - - -set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] - - -create_debug_core u_ila_0 ila -set_property ALL_PROBE_SAME_MU true [get_debug_cores u_ila_0] -set_property ALL_PROBE_SAME_MU_CNT 4 [get_debug_cores u_ila_0] -set_property C_ADV_TRIGGER true [get_debug_cores u_ila_0] -set_property C_DATA_DEPTH 8192 [get_debug_cores u_ila_0] -set_property C_EN_STRG_QUAL true [get_debug_cores u_ila_0] -set_property C_INPUT_PIPE_STAGES 0 [get_debug_cores u_ila_0] -set_property C_TRIGIN_EN false [get_debug_cores u_ila_0] -set_property C_TRIGOUT_EN false [get_debug_cores u_ila_0] -set_property port_width 1 [get_debug_ports u_ila_0/clk] -connect_debug_port u_ila_0/clk [get_nets [list sysclk_40_BUFG]] -set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe0] -set_property port_width 32 [get_debug_ports u_ila_0/probe0] -connect_debug_port u_ila_0/probe0 [get_nets [list {TrigNArray[0][0]} {TrigNArray[0][1]} {TrigNArray[0][2]} {TrigNArray[0][3]} {TrigNArray[0][4]} {TrigNArray[0][5]} {TrigNArray[0][6]} {TrigNArray[0][7]} {TrigNArray[0][8]} {TrigNArray[0][9]} {TrigNArray[0][10]} {TrigNArray[0][11]} {TrigNArray[0][12]} {TrigNArray[0][13]} {TrigNArray[0][14]} {TrigNArray[0][15]} {TrigNArray[0][16]} {TrigNArray[0][17]} {TrigNArray[0][18]} {TrigNArray[0][19]} {TrigNArray[0][20]} {TrigNArray[0][21]} {TrigNArray[0][22]} {TrigNArray[0][23]} {TrigNArray[0][24]} {TrigNArray[0][25]} {TrigNArray[0][26]} {TrigNArray[0][27]} {TrigNArray[0][28]} {TrigNArray[0][29]} {TrigNArray[0][30]} {TrigNArray[0][31]}]] -create_debug_port u_ila_0 probe -set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe1] -set_property port_width 1 [get_debug_ports u_ila_0/probe1] -connect_debug_port u_ila_0/probe1 [get_nets [list {busy_o_OBUF[0]}]] -create_debug_port u_ila_0 probe -set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe2] -set_property port_width 1 [get_debug_ports u_ila_0/probe2] -connect_debug_port u_ila_0/probe2 [get_nets [list {dut_clk_o_OBUF[0]}]] -create_debug_port u_ila_0 probe -set_property PROBE_TYPE DATA_AND_TRIGGER [get_debug_ports u_ila_0/probe3] -set_property port_width 1 [get_debug_ports u_ila_0/probe3] -connect_debug_port u_ila_0/probe3 [get_nets [list TriggerNumberStrobe6_out]] -set_property C_CLK_INPUT_FREQ_HZ 300000000 [get_debug_cores dbg_hub] -set_property C_ENABLE_CLK_DIVIDER false [get_debug_cores dbg_hub] -set_property C_USER_SCAN_CHAIN 1 [get_debug_cores dbg_hub] -connect_debug_port dbg_hub/clk [get_nets sysclk_40_BUFG] diff --git a/EUDETdummy/constraints/I2C_constr.xdc b/EUDETdummy/constraints/I2C_constr.xdc deleted file mode 100644 index eb95fff..0000000 --- a/EUDETdummy/constraints/I2C_constr.xdc +++ /dev/null @@ -1,40 +0,0 @@ -set_property IOSTANDARD LVCMOS33 [get_ports i2c_reset] -set_property PACKAGE_PIN C2 [get_ports i2c_reset] - -set_property IOSTANDARD LVCMOS33 [get_ports i2c_scl_b] -set_property PACKAGE_PIN N17 [get_ports i2c_scl_b] - -set_property IOSTANDARD LVCMOS33 [get_ports i2c_sda_b] -set_property PACKAGE_PIN P18 [get_ports i2c_sda_b] - - - -create_clock -period 25.000 -name sysclk_40_i_p -waveform {0.000 12.500} [get_ports sysclk_40_i_p] -#set_property ASYNC_REG true [get_cells I1/sync_registers/s_ring_d4_reg] -#set_property ASYNC_REG true [get_cells I1/sync_registers/s_ring_d3_reg] -#set_property ASYNC_REG true [get_cells I1/sync_ipbus/s_ring_d0_reg] -#set_property ASYNC_REG true [get_cells I1/sync_ipbus/s_ring_d1_reg] - -#set_clock_groups -asynchronous -group [get_clocks pll_base_inst_n_2] -group [get_clocks mmcm_n_8] -#set_property ASYNC_REG true [get_cells I1/sync_ipbus/s_ring_d3_reg] -#set_property ASYNC_REG true [get_cells I1/sync_ipbus/s_ring_d4_reg] -#set_property ASYNC_REG true [get_cells I6/s_logic_reset_d1_reg] -#set_property ASYNC_REG true [get_cells I6/s_logic_reset_d2_reg] -#set_property ASYNC_REG true [get_cells I1/sync_registers/s_ring_d1_reg] -#set_property ASYNC_REG true [get_cells I1/sync_registers/s_ring_d0_reg] -#set_clock_groups -asynchronous -group [get_clocks mmcm_n_8] -group [get_clocks pll_base_inst_n_2] - - -#Define clock groups and make them asynchronous with each other -set_clock_groups -asynchronous -group {clk_enclustra I_1 mmcm_n_10 mmcm_n_6 mmcm_n_8 clk_ipb_i} -group {sysclk_40_i_p I pll_base_inst_n_2 s_clk160} - -# ------------------------------------------------------------------------------------------------- - - - -#DEBUG PROBES - - - - - diff --git a/EUDETdummy/constraints/enclustra_ax3_pm3.tcl b/EUDETdummy/constraints/enclustra_ax3_pm3.tcl deleted file mode 100644 index 7fd31b4..0000000 --- a/EUDETdummy/constraints/enclustra_ax3_pm3.tcl +++ /dev/null @@ -1,52 +0,0 @@ -set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design] - -proc false_path {patt clk} { - set p [get_ports -quiet $patt -filter {direction != out}] - if {[llength $p] != 0} { - set_input_delay 0 -clock [get_clocks $clk] [get_ports $patt -filter {direction != out}] - set_false_path -from [get_ports $patt -filter {direction != out}] - } - set p [get_ports -quiet $patt -filter {direction != in}] - if {[llength $p] != 0} { - set_output_delay 0 -clock [get_clocks $clk] [get_ports $patt -filter {direction != in}] - set_false_path -to [get_ports $patt -filter {direction != in}] - } -} - -# System clock (50MHz) -#create_clock -period 25.000 -name sysclk [get_ports sysclk] -create_clock -period 20.000 -name clk_enclustra [get_ports clk_enclustra] - -set_false_path -through [get_pins infra/clocks/rst_reg/Q] -set_false_path -through [get_nets infra/clocks/nuke_i] - -set_property IOSTANDARD LVCMOS33 [get_ports clk_enclustra] -set_property PACKAGE_PIN P17 [get_ports clk_enclustra] - -set_property IOSTANDARD LVCMOS33 [get_ports {leds[*]}] -set_property SLEW SLOW [get_ports {leds[*]}] -set_property PACKAGE_PIN M16 [get_ports {leds[0]}] -set_property PACKAGE_PIN M17 [get_ports {leds[1]}] -set_property PACKAGE_PIN L18 [get_ports {leds[2]}] -set_property PACKAGE_PIN M18 [get_ports {leds[3]}] -false_path {leds[*]} clk_enclustra - -set_property IOSTANDARD LVCMOS33 [get_ports {rgmii_* phy_rstn}] -set_property PACKAGE_PIN R18 [get_ports {rgmii_txd[0]}] -set_property PACKAGE_PIN T18 [get_ports {rgmii_txd[1]}] -set_property PACKAGE_PIN U17 [get_ports {rgmii_txd[2]}] -set_property PACKAGE_PIN U18 [get_ports {rgmii_txd[3]}] -set_property PACKAGE_PIN T16 [get_ports {rgmii_tx_ctl}] -set_property PACKAGE_PIN N16 [get_ports {rgmii_txc}] -set_property PACKAGE_PIN U16 [get_ports {rgmii_rxd[0]}] -set_property PACKAGE_PIN V17 [get_ports {rgmii_rxd[1]}] -set_property PACKAGE_PIN V15 [get_ports {rgmii_rxd[2]}] -set_property PACKAGE_PIN V16 [get_ports {rgmii_rxd[3]}] -set_property PACKAGE_PIN R16 [get_ports {rgmii_rx_ctl}] -set_property PACKAGE_PIN T14 [get_ports {rgmii_rxc}] -set_property PACKAGE_PIN M13 [get_ports {phy_rstn}] -false_path {phy_rstn} clk_enclustra - -# ------------------------------------------------------------------------------------------------- - - diff --git a/EUDETdummy/hdl/Dummy_DUT.vhd b/EUDETdummy/hdl/Dummy_DUT.vhd deleted file mode 100644 index 311e87a..0000000 --- a/EUDETdummy/hdl/Dummy_DUT.vhd +++ /dev/null @@ -1,284 +0,0 @@ ----------------------------------------------------------------------------------- ---! @file --- --- Company: University of Bristol --- Engineer: David Cussans --- --- Create Date: 16:28:09 07/07/2006 --- Design Name: --- Module Name: Dummy_DUT - RTL --- Project Name: --- Target Devices: --- Tool versions: ---! @brief Pretends to be a device under test --- --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - --- constant definitions. - - - ----- Uncomment the following library declaration if instantiating ----- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity Dummy_DUT is - Port ( - CLK : in STD_LOGIC; --! this is the USB clock. - RST : in STD_LOGIC; --! Synchronous clock - Trigger : in STD_LOGIC; --! Trigger from TLU - stretchBusy: in STD_LOGIC; -- flag: if 1, then we want to extend the BUSY signal - Busy : out STD_LOGIC; --! Busy to TLU - DUTClk : out STD_LOGIC; --! clock from DUT - TriggerNumber : out STD_LOGIC_VECTOR(31 downto 0); - TriggerNumberStrobe : out STD_LOGIC; - FSM_Error : out STD_LOGIC - ); - -end entity Dummy_DUT; - -architecture RTL of Dummy_DUT is - - component delay is - generic ( - length : integer := 1); -- number of clock cycles to delay signal - port ( - clock : in std_logic; -- rising edge active - input : in std_logic; - output : out std_logic); - end component; - - ----------------------------------------------------------------------------- - - signal Registered_Trigger , Registered_RST : std_logic; -- trigger and reset signals after being registered to suppress meta-stability. - - signal TriggerShiftRegister : STD_LOGIC_VECTOR (31 downto 0); --! register - --to accept - --incoming - --trigger number - - type state_type is (IDLE , WAIT_FOR_TRIGGER_LOW , CLOCKING , OUTPUT_TRIGGER_NUMBER, BUSYDELAY); - signal state : state_type := IDLE; - signal next_state : state_type := IDLE; - - signal TriggerBitCounter : unsigned(4 downto 0) := ( others => '0'); --! stores bit being clocked - --in from TLU. - signal InternalDUTClk : std_logic := '0'; -- ! "can't read an output" bodge - - constant DUTClockDivider : unsigned(3 downto 0) := to_unsigned(14,4); - - constant TriggerBitCounterLimit : unsigned(4 downto 0) := to_unsigned(16,5); - - signal DUTClockCounter : unsigned(4 downto 0) := ( others => '0'); - - signal s_busySR : unsigned( 14 downto 0) := ( others => '0' ); -- --! Shift register to generate stretch - -begin - - trigger_register: delay - generic map ( - length => 2) - port map ( - clock => clk, - input => Trigger, - output => Registered_Trigger); - - reset_register: delay - generic map ( - length => 2) - port map ( - clock => clk, - input => RST, - output => Registered_RST); - - - busy_control: process (clk , state) - begin -- process busy_control - if rising_edge(clk) then - if state = IDLE then - busy <= '0'; - else - busy <= '1'; - end if; - end if; - end process busy_control; - --- busy_control: process (clk , state) --- begin -- process busy_control --- if rising_edge(clk) then --- if (stretchBusy ='1') then --- if ((state = IDLE) and (s_busySR=0)) then --- busy <= '0'; --- s_busySR <= ( others => '1' ); --- elsif ( (state = IDLE) and (s_busySR /= 0) ) then --- busy <= '1'; --- s_busySR <= s_busySR -1; --- else --- busy <= '1'; --- s_busySR <= s_busySR -1; --- end if; --- else --- if state = IDLE then --- busy <= '0'; --- else --- busy <= '1'; --- end if; --- end if; --- end if; --- end process busy_control; - - clock_control: process (clk , state , TriggerBitCounter ) - begin -- process busy_control - if rising_edge(clk) then - if state = CLOCKING then - if (InternalDUTClk = '0') and (DUTClockCounter = DUTClockDivider) then - TriggerBitCounter <= TriggerBitCounter +1; - else - TriggerBitCounter <= TriggerBitCounter; - end if; - else - TriggerBitCounter <= ( others => '0'); - end if; - end if; - end process clock_control; - - - InternalDUTClk_control: process (clk , state , InternalDUTClk) - begin -- process busy_control - if rising_edge(clk) then - if state = CLOCKING then - if DUTClockCounter = DUTClockDivider then - InternalDUTClk <= not InternalDUTClk ; - DUTClockCounter <= ( others => '0'); - else - DUTClockCounter <= DUTClockCounter + 1; - end if; - else - InternalDUTClk <= '0'; - DUTClockCounter <= ( others => '0'); - end if; - end if; - end process InternalDUTClk_control; - - shift_register_control: process (clk , state , TriggerShiftRegister) - begin -- process shift_register_control - if rising_edge(clk) then - if state = IDLE then - TriggerShiftRegister <= ( others => '0'); - elsif state = CLOCKING then - if (InternalDUTClk = '1') and (DUTClockCounter=to_unsigned(1,4 )) then - -- if (InternalDUTClk = '1') and (DUTClockCounter=to_unsigned(1,DUTClockCounter'length )) then - TriggerShiftRegister <= trigger & TriggerShiftRegister( 31 downto 1) ; - -- TriggerShiftRegister <= trigger & TriggerShiftRegister( TriggerShiftRegister'high downto 1) ; - else - TriggerShiftRegister <= TriggerShiftRegister; - end if; - end if; - end if; - end process shift_register_control; - - strobe_control: process (clk , state ) - begin -- process stobe_control - if rising_edge(clk) then - if state = OUTPUT_TRIGGER_NUMBER then - TriggerNumber <= TriggerShiftRegister; - TriggerNumberStrobe <= '1'; - else - TriggerNumberStrobe <= '0'; - end if; - end if; - end process strobe_control; - - busy_delay_control: process(clk, state) - begin - if rising_edge(clk) then - if state= BUSYDELAY then - s_busySR <= s_busySR -1; - elsif state= WAIT_FOR_TRIGGER_LOW then - s_busySR <= ( others => '1' ); - end if; - end if; - end process busy_delay_control; - ---! @brief controls the next state in the state machine --- type : combinational --- inputs : pattern_we, mask_we , beam_state_counter --- outputs: state , beam_state_counter - state_logic: process (state, TriggerBitCounter , registered_trigger ,InternalDUTClk, stretchBusy, s_busySR ) - begin -- process state_logic - case state is - - when IDLE => - if ( registered_trigger = '1') then - next_state <= WAIT_FOR_TRIGGER_LOW; - else - next_state <= IDLE; - end if; - - when WAIT_FOR_TRIGGER_LOW => - if ( registered_trigger = '0' ) then - next_state <= CLOCKING; - else - next_state <= WAIT_FOR_TRIGGER_LOW; - end if; - - when CLOCKING => - if (( TriggerBitCounter = TriggerBitCounterLimit ) and ( InternalDUTClk = '0')) then - next_state <= OUTPUT_TRIGGER_NUMBER; - else - next_state <= CLOCKING; - end if; - - when OUTPUT_TRIGGER_NUMBER => - if (stretchBusy ='1') then - next_state <= BUSYDELAY; - else - next_state <= IDLE; - end if; - - when BUSYDELAY => - if (s_busySR /= 0) then - next_state <= BUSYDELAY; - else - next_state <= IDLE; - end if; - - when others => - next_state <= IDLE; - - end case; - end process state_logic; - - --! @brief Register that holds the current state of the FSM - -- type : combinational - -- inputs : clk , next_state - -- outputs: state - state_register: process (clk ) - begin -- process state_register - if rising_edge(clk) then - if (registered_rst = '1') then - state <= IDLE; - else - state <= next_state; - end if; - end if; - end process state_register; - - DUTClk <= InternalDUTClk; - - fsm_error <= '0'; -- hardware to zero. - -end RTL; - diff --git a/EUDETdummy/hdl/Dummy_DUT_Toplevel.vhd b/EUDETdummy/hdl/Dummy_DUT_Toplevel.vhd deleted file mode 100755 index 9116dc5..0000000 --- a/EUDETdummy/hdl/Dummy_DUT_Toplevel.vhd +++ /dev/null @@ -1,627 +0,0 @@ -------------------------------------------------------------------------------- ---! @file ---! @brief Top level of firmware for dummy JRA1-TLU -------------------------------------------------------------------------------- --- File name: Dummy_DUT_Toplevel.vhd --- Version: 0.1 --- Date: 20/Oct/2009 --- David Cussans --- --- Changes --- -------------------------------------------------------------------------------- - -library IEEE; -use IEEE.STD_LOGIC_1164.all; - -use IEEE.NUMERIC_STD.all; - ---! Use library for instantiating Xilinx primitive components. ---library UNISIM; ---use UNISIM.vcomponents.all; - ---! include definition of TLU address map -use work.TLU_Address_Map_v02.all; - ---! Top level with all the hardware ports. -entity Dummy_DUT_Toplevel is - port ( - USB_StreamCLK : in std_logic; --! 48MHz clock from FX2 - USB_StreamFIFOADDR : out std_logic_vector(1 downto 0); - USB_StreamPKTEND_n : out std_logic; - USB_StreamFlags_n : in std_logic_vector(2 downto 0); - USB_StreamSLOE_n : out std_logic; - USB_StreamSLRD_n : out std_logic; - USB_StreamSLWR_n : out std_logic; - USB_StreamData : inout std_logic_vector(15 downto 0); - USB_StreamFX2Rdy : in std_logic; - - USB_RegCLK : in std_logic; --! 48MHz clock from FX2 - USB_RegAddr : in std_logic_vector(15 downto 0); - USB_RegData : inout std_logic_vector(7 downto 0); - USB_RegOE_n : in std_logic; - USB_RegRD_n : in std_logic; - USB_RegWR_n : in std_logic; - USB_RegCS_n : in std_logic; - - USB_Interrupt : out std_logic; - - User_Signals : inout std_logic_vector(7 downto 0); - - S_CLK : out std_logic; - S_A : out std_logic_vector(22 downto 0); - S_DA : inout std_logic_vector(8 downto 0); - S_DB : inout std_logic_vector(8 downto 0); - S_ADV_LD_N : out std_logic; - S_BWA_N : out std_logic; - S_BWB_N : out std_logic; - S_OE_N : out std_logic; - S_WE_N : out std_logic; - - IO_CLK_N : inout std_logic; --! Posive side of differential user clock - IO_CLK_P : inout std_logic; --! Posive side of differential user clock - IO : inout std_logic_vector(46 downto 0) --! The 47 I/O pins - ); -end Dummy_DUT_Toplevel; - -architecture arch of Dummy_DUT_Toplevel is - - --! Declare interfaces component - component ZestSC1_Interfaces - port ( - --! FPGA pin connections - USB_StreamCLK : in std_logic; - USB_StreamFIFOADDR : out std_logic_vector(1 downto 0); - USB_StreamPKTEND_n : out std_logic; - USB_StreamFlags_n : in std_logic_vector(2 downto 0); - USB_StreamSLOE_n : out std_logic; - USB_StreamSLRD_n : out std_logic; - USB_StreamSLWR_n : out std_logic; - USB_StreamData : inout std_logic_vector(15 downto 0); - USB_StreamFX2Rdy : in std_logic; - - USB_RegCLK : in std_logic; - USB_RegAddr : in std_logic_vector(15 downto 0); - USB_RegData : inout std_logic_vector(7 downto 0); - USB_RegOE_n : in std_logic; - USB_RegRD_n : in std_logic; - USB_RegWR_n : in std_logic; - USB_RegCS_n : in std_logic; - - USB_Interrupt : out std_logic; - - S_CLK : out std_logic; - S_A : out std_logic_vector(22 downto 0); - S_ADV_LD_N : out std_logic; - S_BWA_N : out std_logic; - S_BWB_N : out std_logic; - S_DA : inout std_logic_vector(8 downto 0); - S_DB : inout std_logic_vector(8 downto 0); - S_OE_N : out std_logic; - S_WE_N : out std_logic; - - --! User connections - --! Streaming interface - User_CLK : out std_logic; - User_RST : out std_logic; - - User_StreamBusGrantLength : in std_logic_vector(11 downto 0); - - User_StreamDataIn : out std_logic_vector(15 downto 0); - User_StreamDataInWE : out std_logic; - User_StreamDataInBusy : in std_logic; - - User_StreamDataOut : in std_logic_vector(15 downto 0); - User_StreamDataOutWE : in std_logic; - User_StreamDataOutBusy : out std_logic; - - --! Register interface - User_RegAddr : out std_logic_vector(15 downto 0); - User_RegDataIn : out std_logic_vector(7 downto 0); - User_RegDataOut : in std_logic_vector(7 downto 0); - User_RegWE : out std_logic; - User_RegRE : out std_logic; - - --! Signals and interrupts - User_Interrupt : in std_logic; - - --! SRAM interface - User_SRAM_A : in std_logic_vector(22 downto 0); - User_SRAM_W : in std_logic; - User_SRAM_R : in std_logic; - User_SRAM_DR_VALID : out std_logic; - User_SRAM_DW : in std_logic_vector(17 downto 0); - User_SRAM_DR : out std_logic_vector(17 downto 0) - ); - end component; - - component Register_Controller is - - port ( - - --! Take clock from Zest interface block - User_CLK : in std_logic; - - --! Register interface to USB - User_RegAddr : in std_logic_vector(15 downto 0); - User_RegDataIn : in std_logic_vector(7 downto 0); - User_RegDataOut : out std_logic_vector(7 downto 0); - User_RegWE : in std_logic; - User_RegRE : in std_logic; - - Logic_CLK : in std_logic; - - --! Signals to trigger logic - DUT_Reset : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); --separate bits for each DUT - - DUT_Trigger : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - DUT_Debug_Trigger : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - DUT_Busy : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state of DUT - DUT_Clock_Debug : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state of - -- DUT_CLOCK - - I2C_Select : out std_logic_vector(WIDTH_OF_I2C_SELECT_PORT-1 downto 0); -- output to mux/demux that selects I2C ports - - I2C_SCL_OUT : out std_logic; -- drives SCL - I2C_SCL_IN : in std_logic; -- state of SCL - - I2C_SDA_OUT : out std_logic; -- drives SDA - I2C_SDA_IN : in std_logic; -- state of SDA - - -- Mask for beam trigger inputs. - Beam_Trigger_AMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - Beam_Trigger_OMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - Beam_Trigger_VMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - - Trigger_pattern : out std_logic_vector (15 downto 0); - Aux_pattern : out std_logic_vector (15 downto 0); - - Beam_Trigger_Mask_WE : out std_logic; - Beam_Trigger_Pattern_WE : out std_logic; - - --Beam trigger input for debugging. - beam_trigger_in : in std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - calibration_trigger_interval : out std_logic_vector(7 downto 0); - -- send trigger to, and receive busy from only certain DUT.... - DUT_Mask : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - enable_DUT_veto : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- - --! controls if a DUT can halt triggers by - --! raising DUT_CLK line. - DUT_Mask_WE : out std_logic; - - -- because of 8-bit interface trigger a read of whole timestamp and then - -- read each byte separately - Timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); - - Trigger_Counter : in std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); - Particle_Counter : in std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); -- fsv - Auxiliary_Counter : in std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); - Trigger_Scalers : in TRIGGER_SCALER_ARRAY; - Buffer_Pointer : in std_logic_vector(BUFFER_COUNTER_WIDTH-1 downto 0); - - Trigger_Output_FSM_Status : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); - Trigger_FSM_State_Value : in std_logic_vector(( (NUMBER_OF_DUT*4)-1) downto 0); - beam_trigger_fsm_status : in std_logic_vector(2 downto 0); - DMA_Status : in std_logic; - Host_Trig_Inhibit : out std_logic; - Trig_Enable_Status : in std_logic; -- this is the overall status of the TLU ( incl. vetos from DUT) - Clock_Source_Select : out std_logic; - Clock_DCM_Locked : in std_logic; - Reset_Timestamp : out std_logic; - Reset_Buffer_Pointer : out std_logic; - Reset_DMA_Controller : out std_logic; - Reset_ClockGen : out std_logic; - Initiate_Readout : out std_logic; - Reset_Trigger_Counter : out std_logic; - Reset_Trigger_Scalers : out std_logic; - Reset_Trigger_Output_FSM : out std_logic; - Reset_Beam_Trigger_FSM : out std_logic; - Stop_if_Timestamp_Buffer_Full : out std_logic; - strobe_width : out std_logic_vector(STROBE_COUNTER_WIDTH-1 downto 0); - strobe_period : out std_logic_vector(STROBE_COUNTER_WIDTH-1 downto 0); - write_strobe_data : out std_logic; - enable_strobe : out std_logic; - strobe_running : in std_logic; - Write_Trigger_Bits_Mode : out std_logic; - Trigger_Handshake_Mode : out std_logic_vector(NUMBER_OF_DUT-1 downto 0) - ); - end component; - - ----------------------------------------------------------------------------- - - component Dummy_DUT - Port ( - CLK : in STD_LOGIC; --! this is the USB clock. - RST : in STD_LOGIC; --! Synchronous clock - Trigger : in STD_LOGIC; --! Trigger from TLU - Busy : out STD_LOGIC; --! Busy to TLU - DUTClk : out STD_LOGIC; --! clock from DUT - TriggerNumber : out STD_LOGIC_VECTOR(15 downto 0); - TriggerNumberStrobe : out STD_LOGIC; - FSM_Error : out STD_LOGIC - ); - end component; - - ----------------------------------------------------------------------------- - - component Trigger_Number_Error_Checker is - Port ( - CLK : in STD_LOGIC; --! this is the USB clock. - RST : in STD_LOGIC; --! Synchronous with clock - TriggerNumber : in STD_LOGIC_VECTOR(15 downto 0); --! should - --incremeent from - --0 - TriggerNumberStrobe : in STD_LOGIC; --! Active high - TriggerCounter : out STD_LOGIC_VECTOR(15 downto 0); --!internal counter - ErrorFlag : out STD_LOGIC --! goes high if internal number - --doesn't match - ); -end component; - - ----------------------------------------------------------------------------- - - -- declaration of chipscope core .... - - component dummy_dut_chipscope_ila - PORT ( - CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0); - CLK : IN STD_LOGIC; - TRIG0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - TRIG1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - TRIG2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0)); - end component; - - component dummy_dut_chipscope_icon - PORT ( - CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0)); - end component; - - -- Chipscope signals - signal CONTROL : STD_LOGIC_VECTOR(35 DOWNTO 0); - signal TRIG0 : STD_LOGIC_VECTOR(15 DOWNTO 0); - signal TRIG1 : STD_LOGIC_VECTOR(15 DOWNTO 0); - signal TRIG2 : STD_LOGIC_VECTOR(3 DOWNTO 0); - - - ----------------------------------------------------------------------------- - - - -- Declare signals - signal CLK : std_logic; - signal RST : std_logic; - - -- Register interface - signal Addr : std_logic_vector(15 downto 0); - signal DataIn : std_logic_vector(7 downto 0); - signal DataOut : std_logic_vector(7 downto 0); - signal WE : std_logic; - signal RE : std_logic; - - -- signals associated with streaming interface. - signal Host_Data : std_logic_vector(15 downto 0); - signal Host_Data_WE : std_logic; - signal Host_Busy : std_logic; - - -- Interrupt signal - -- not used in this design - signal Interrupt : std_logic; - - - -- Signals associated with DUT - signal DUT_Reset : std_logic_vector(NUMBER_OF_DUT-1 downto 0); --separate bits for each DUT - signal DUT_Busy : std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state of DUT - signal DUT_Clock : std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state of DUT_CLK - signal DUT_Trigger : std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- - - subtype TriggerNumberType is std_logic_vector(15 downto 0); - type TriggerNumberArray is array (NUMBER_OF_DUT-1 downto 0) of TriggerNumberType; - signal TriggerNumber : TriggerNumberArray; -- trigger number clocked out from TLU - - signal TriggerCounter : TriggerNumberArray; -- trigger number inside - -- error checker - - signal TriggerNumberStrobe : std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- strobes high - - signal ErrorFlag : std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- strobes high - - -- I2C signals - signal I2C_Select : std_logic_vector(WIDTH_OF_I2C_SELECT_PORT-1 downto 0); - signal I2C_SDA_OUT :std_logic; - signal I2C_SCL_OUT :std_logic; - signal I2C_SDA_IN :std_logic; - signal I2C_SCL_IN :std_logic; - - signal trigger_scalers : TRIGGER_SCALER_ARRAY; -- array of 16 bit registers - --- a bodge, since I can't figure out how to make it work with aggregates. - -- declare a constant for the unused IO => . - constant unused_io : std_logic_vector(7 downto 0) := "ZZZZZZZZ" ; - - for all : zestsc1_interfaces use entity work.zestsc1_interfaces(arch); - ------------------------------------------------------------------------ --- end of declarations start of instantiation ------------------------------------------------------------------------ - -begin - - - - -- let unused IO float for now - ( IO(7) , IO(10) , IO(19) , IO(24) , - IO(33) , IO(39) , IO(40) , IO(45) ) <= unused_io; - - -- Instantiate interfaces component - Interfaces : ZestSC1_Interfaces - port map ( - USB_StreamCLK => USB_StreamCLK, - USB_StreamFIFOADDR => USB_StreamFIFOADDR, - USB_StreamPKTEND_n => USB_StreamPKTEND_n, - USB_StreamFlags_n => USB_StreamFlags_n, - USB_StreamSLOE_n => USB_StreamSLOE_n, - USB_StreamSLRD_n => USB_StreamSLRD_n, - USB_StreamSLWR_n => USB_StreamSLWR_n, - USB_StreamData => USB_StreamData, - USB_StreamFX2Rdy => USB_StreamFX2Rdy, - - USB_RegCLK => USB_RegCLK, - USB_RegAddr => USB_RegAddr, - USB_RegData => USB_RegData, - USB_RegOE_n => USB_RegOE_n, - USB_RegRD_n => USB_RegRD_n, - USB_RegWR_n => USB_RegWR_n, - USB_RegCS_n => USB_RegCS_n, - - USB_Interrupt => USB_Interrupt, - - S_CLK => S_CLK, - S_A => S_A, - S_ADV_LD_N => S_ADV_LD_N, - S_BWA_N => S_BWA_N, - S_BWB_N => S_BWB_N, - S_DA => S_DA, - S_DB => S_DB, - S_OE_N => S_OE_N, - S_WE_N => S_WE_N, - - -- User connections - -- Streaming interface - User_CLK => CLK, - -- bodge for simulation - -- User_CLK => open, - User_RST => RST, - - User_StreamBusGrantLength => "100000000000", --! In clock cycles. --- User_StreamBusGrantLength => X"100", -- In clock cycles. Clutching at --- --straws, make this the same as --- --Example2.vhd ( i.e. 256 cycles not --- --2048 ) --- - User_StreamDataIn => open, - User_StreamDataInWE => open, - User_StreamDataInBusy => '1', - - User_StreamDataOut => Host_Data, - User_StreamDataOutWE => Host_Data_WE, - User_StreamDataOutBusy => Host_Busy, - - -- Register interface - User_RegAddr => Addr, - User_RegDataIn => DataIn, - User_RegDataOut => DataOut, - User_RegWE => WE, - User_RegRE => RE, - - -- Interrupts - User_Interrupt => Interrupt, - - -- SRAM interface - User_SRAM_A => "00000000000000000000000", - User_SRAM_W => '0', - User_SRAM_R => '0', - User_SRAM_DR_VALID => open, - User_SRAM_DW => "000000000000000000", - User_SRAM_DR => open - ); - - - reg_ctrl : Register_Controller - port map ( - - -- Take clock from Zest interface block - User_CLK => clk, - - -- Register interface - User_RegAddr => Addr, - User_RegDataIn => DataIn, - User_RegDataOut => DataOut, - User_RegWE => WE, - User_RegRE => RE, - - Logic_CLK => clk, - - -- Signals to trigger logic --- DUT_Reset => , --separate bits for each DUT - --- DUT_Trigger => Host_DUT_Trigger, - --- DUT_Debug_Trigger => Host_DUT_Debug_Trigger , - DUT_Busy => DUT_Busy, -- actual state of DUT - DUT_Clock_Debug => DUT_Clock, - - I2C_Select => I2C_Select , - I2C_SCL_OUT => I2C_SCL_OUT , - I2C_SCL_IN => I2C_SCL_IN , - I2C_SDA_OUT => I2C_SDA_OUT, - I2C_SDA_IN => I2C_SDA_IN , - - -- Mask for beam trigger inputs. --- Beam_Trigger_AMask => open, --- Beam_Trigger_OMask => open, --- Beam_Trigger_VMask => open, --- Beam_Trigger_Mask_WE => open, - - --- Trigger_pattern => open, --- Aux_pattern => open, --- Beam_Trigger_Pattern_WE => beam_trigger_pattern_we, - - - --Beam trigger input for debugging. - beam_trigger_in => ( others => '0'), - --- calibration_trigger_interval => Calibration_Trigger_Interval, - - -- send trigger to, and receive busy from only certain DUT.... --- DUT_Mask => DUT_Mask, --- DUT_Mask_WE => DUT_MAsk_WE, - - -- because of 8-bit interface trigger a read of whole timestamp and then - -- read each byte separately - Timestamp => ( others => '0'), - - Trigger_Counter => ( others => '0'), - Particle_Counter => ( others => '0'), -- fsv - Auxiliary_Counter => ( others => '0'), - Trigger_Scalers => trigger_scalers, - - Buffer_Pointer => ( others => '0'), - - Trigger_Output_FSM_Status => ( others => '0'), - Trigger_FSM_State_Value => ( others => '0'), - beam_trigger_fsm_status => ( others => '0'), - DMA_Status => '0', --- Host_Trig_Inhibit => host_veto, - Trig_Enable_Status => '0', - --- Clock_Source_Select => Clock_Source_Select, - Clock_DCM_Locked => '0' , ---- Reset_Timestamp => Reset_Timestamp, --- Reset_Buffer_Pointer => Reset_Buffer_Pointer, --- Reset_DMA_Controller => Reset_DMA_Controller, --- Reset_ClockGen => Reset_ClockGen , --- Initiate_Readout => Initiate_Readout, --- Reset_Trigger_Counter => Reset_Trigger_Counter, --- Reset_Trigger_Scalers => Reset_Trigger_Scalers, --- Reset_Trigger_Output_FSM => trigger_fsm_reset, --- Reset_Beam_Trigger_FSM => beam_trigger_fsm_reset, --- Stop_if_Timestamp_Buffer_Full => stop_if_buffer_full, --- strobe_width => strobe_width , --- strobe_period => strobe_period , --- write_strobe_data => write_strobe_data , --- enable_strobe => enable_strobe , - strobe_running => '0' --- Write_Trigger_Bits_Mode => write_trigger_bits_mode, --- Trigger_Handshake_Mode => dut_trigger_handshake_mode - ); - --- bodge for simulation: --- clk <= usb_streamclk ; - ----------------------------------------------- --- Use a generate statement to generate the required number of --- trigger outputs. - Trigger_Outputs : - for DUT in 0 to NUMBER_OF_DUT-1 generate - begin - - -- connect up the input pins to the internal signals. - -- odd numbered inputs are inverted to reduce ground bounce in LVDS-->TTL converters - -- the odd-numbered outputs are inverted to reduce ground bounce. - - -- Cross the TRIGGER-->BUSY and DUT_CLK-->Reset lines to allow connection - -- to TLU - - -- Trigger and Reset are inputs to the Dummy DUT, but wired to BUSY and - -- CLOCK lines. - inverted_inputs: if ( (DUT=1) or (DUT=3) or (DUT=5) ) generate - DUT_Trigger(DUT) <= not IO(DUT_BUSY_BIT(DUT)); - DUT_Reset(DUT) <= not IO(DUT_CLOCK_BIT(DUT)); - end generate inverted_inputs; - - noninverted_inputs: if ( (DUT=0) or (DUT=2) or (DUT=4) ) generate - DUT_Trigger(DUT) <= IO(DUT_BUSY_BIT(DUT)); - DUT_Reset(DUT) <= IO(DUT_CLOCK_BIT(DUT)); - end generate noninverted_inputs; - - --------------------------------------------------------------------------- - -- Busy and DUT_Clock are *outputs* from the Dummy DUT, but wired to - -- TRIGGER and RESET outputs. - inverted_outputs: if ( (DUT=1) or (DUT=3) or (DUT=5) ) generate - IO(TRIGGER_OUTPUT_BIT(DUT)) <= not DUT_Busy(DUT); - IO(DUT_RESET_BIT(DUT)) <= not DUT_Clock(DUT); - end generate inverted_outputs; - - noninverted_outputs: if ( (DUT=0) or (DUT=2) or (DUT=4) ) generate - IO(TRIGGER_OUTPUT_BIT(DUT)) <= DUT_Busy(DUT); - IO(DUT_RESET_BIT(DUT)) <= DUT_Clock(DUT); - end generate noninverted_outputs; - - -- generate an instance of the Dummy DUT behind each connector - DUT_Instance: Dummy_DUT - Port map ( - CLK => CLK, - RST => DUT_Reset(DUT), - Trigger => DUT_Trigger(DUT), - Busy => DUT_Busy(DUT), - DUTClk => DUT_Clock(DUT), - TriggerNumber => TriggerNumber(DUT), - TriggerNumberStrobe => TriggerNumberStrobe(DUT), - FSM_Error => open - ); - - -- generate an instance of an error checker for each DUT - error_checker_instance : Trigger_Number_Error_Checker - Port map ( - CLK => CLK, - RST => DUT_Reset(DUT), - TriggerNumber => TriggerNumber(DUT), - TriggerNumberStrobe => TriggerNumberStrobe(DUT), - TriggerCounter => TriggerCounter(DUT), - ErrorFlag => ErrorFlag(DUT) - ); - - end generate; - - -- chipscope instrumentation - icon0 : dummy_dut_chipscope_icon - port map ( - CONTROL0 => CONTROL ); - - ila0 : dummy_dut_chipscope_ila - port map ( - CONTROL => CONTROL, - CLK => CLK, - TRIG0 => TRIG0, - TRIG1 => TRIG1, - TRIG2 => TRIG2); - - -- copy signals to the Chipscope core ports... - TRIG0 <= TriggerNumber(0); - TRIG1 <= TriggerCounter(0); - TRIG2(0) <= ErrorFlag(0); - TRIG2(1) <= TriggerNumberStrobe(0); - TRIG2(2) <= DUT_Reset(0); - TRIG2(3) <= DUT_Trigger(0); - ---------------------------------------------------------------- - --- connect up I2C bus-select lines. - i2c_bus_select: for BUS_ID in 0 to WIDTH_OF_I2C_SELECT_PORT-1 generate - begin - IO(I2C_BUS_SELECT_IO_BITS(BUS_ID)) <= I2C_Select(BUS_ID); - end generate; - - -- conenct up I2C data lines - IO(I2C_SCL_OUT_IO_BIT) <= I2C_SCL_OUT; - IO(I2C_SDA_OUT_IO_BIT) <= I2C_SDA_OUT; - I2C_SCL_IN <= IO(I2C_SCL_IN_IO_BIT); - I2C_SDA_IN <= IO(I2C_SDA_IN_IO_BIT); - - -- connect up BEAM_TRIGGER and CLK to GPIO for debugging... - IO(GPIO_BIT(0)) <= DUT_Trigger(0); - IO(GPIO_BIT(1)) <= DUT_Reset(0); - IO(GPIO_BIT(2)) <= DUT_Busy(0); - IO(GPIO_BIT(3)) <= ErrorFlag(0); -- DUT_Clock(0); - -end arch; diff --git a/EUDETdummy/hdl/Register_Controller.vhd b/EUDETdummy/hdl/Register_Controller.vhd deleted file mode 100644 index d0def0d..0000000 --- a/EUDETdummy/hdl/Register_Controller.vhd +++ /dev/null @@ -1,601 +0,0 @@ -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use IEEE.STD_LOGIC_ARITH.all; -use IEEE.STD_LOGIC_UNSIGNED.all; - --- include address map declarations -use work.TLU_Address_Map.all; - -entity Register_Controller is - - port ( - - -- Take clock from Zest interface block - User_CLK : in std_logic; - - -- Register interface to USB - User_RegAddr : in std_logic_vector(15 downto 0); - User_RegDataIn : in std_logic_vector(7 downto 0); - User_RegDataOut : out std_logic_vector(7 downto 0); - User_RegWE : in std_logic; - User_RegRE : in std_logic; - - -- Take clock from trigger logic. - Logic_CLK : in std_logic; - - -- Signals to trigger logic - DUT_Reset : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); --separate bits for each DUT - - DUT_Trigger : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - --separate bits for each DUT. Fed via trigger controller, - -- so vetoed if DUT does not respond. - - DUT_Debug_Trigger : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - --separate bits for each DUT. Fed straight to output pins - - DUT_Busy : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state of DUT - DUT_Clock_Debug : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- actual state - -- of dut_clk - DUT_Leds : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); -- LED on each - -- RJ45 - - -- Mask for beam trigger inputs. - Beam_Trigger_AMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) := ( others => '1' ) ; - Beam_Trigger_OMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) := ( others => '0' ) ; - Beam_Trigger_VMask : out std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - Beam_Trigger_Mask_WE : out std_logic; - - --Beam trigger input for debugging. - beam_trigger_in : in std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - - calibration_trigger_interval : out std_logic_vector(7 downto 0); - - -- send trigger to, and receive busy from only certain DUT.... - DUT_Mask : out std_logic_vector(NUMBER_OF_DUT-1 downto 0); - DUT_Mask_WE : out std_logic; - - -- because of 8-bit interface trigger a read of whole timestamp and then - -- read each byte separately - -- this is the current value of the timestamp - Timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); - - Trigger_Counter : in std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); - Particle_Counter : in std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); -- fsv - - Trigger_Scalers : in TRIGGER_SCALER_ARRAY; - - Buffer_Pointer : in std_logic_vector(BUFFER_COUNTER_WIDTH-1 downto 0); - - Trigger_Output_FSM_Status : in std_logic_vector(NUMBER_OF_DUT-1 downto 0); - beam_trigger_fsm_status : in std_logic_vector(2 downto 0); - DMA_Status : in std_logic; - Host_Trig_Inhibit : out std_logic; -- this is the trigger inhibit controlled by the host - Trig_Enable_Status : in std_logic; -- this is the overall status of the TLU ( incl. vetos from DUT) - Clock_Source_Select : out std_logic; - Reset_Timestamp : out std_logic; - Reset_Buffer_Pointer : out std_logic; - Reset_DMA_Controller : out std_logic; - Initiate_Readout : out std_logic; - Reset_Trigger_Counter : out std_logic; - Reset_Trigger_Scalers : out std_logic; - Reset_Trigger_Output_FSM : out std_logic; - Reset_Beam_Trigger_FSM : out std_logic - ); - -end Register_Controller; - - -architecture rtl of Register_Controller is - - component Select_Scaler - port ( - trigger_scaler : in TRIGGER_SCALER; - -- 16-bit register holding scintillator counts - low_byte_out : out std_logic_vector(7 downto 0); -- output to USB i/face - high_byte_out : out std_logic_vector(7 downto 0) -- output to USB i/face - ); - end component; - - signal Output_Data : std_logic_vector(7 downto 0); - -- output data after Mux, before output reg - - -- signal Internal_Trig_Inhibit : std_logic; - -- -- can't read an output port, so declare a dummy signal - - signal Buffer_Pointer_Register : - std_logic_vector(BUFFER_POINTER_WIDTH-1 downto 0); - -- stores the buffer pointer after capture - signal Timestamp_Register : - std_logic_vector(TIMESTAMP_WIDTH-1 downto 0); - -- stores timestamp after capture - signal Trigger_Counter_Register : - std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); - -- stores the trigger counter after capture - - signal Particle_Counter_Register : - std_logic_vector(TRIGGER_COUNTER_WIDTH-1 downto 0); - -- fsv -- stores the Particle counter after capture - - signal Internal_DUT_Mask : std_logic_vector(NUMBER_OF_DUT-1 downto 0); - -- can't read output port, so declare a dummy signal.... - - signal Internal_DUT_Leds : std_logic_vector(NUMBER_OF_DUT-1 downto 0); - -- can't read output port, so declare a dummy signal.... - - signal Internal_Debug_Trigger : std_logic_vector(NUMBER_OF_DUT-1 downto 0); - -- can't read output port, so declare a dummy signal.... - - signal Internal_DUT_Reset : std_logic_vector(NUMBER_OF_DUT-1 downto 0); - -- can't read output port, so declare a dummy signal.... - - signal Internal_Calibration_Trigger_Interval : std_logic_vector(CALIBRATION_TRIGGER_COUNTER_WIDTH-1 downto 0) := "00000000"; - -- interval between calibration triggers, in units of milli-seconds. Zero turns off triggers - - signal Internal_Host_Trig_Inhibit : std_logic :='1'; - - signal Internal_Clock_Source_Select : std_logic :='1'; - - signal Internal_Beam_Trigger_AMask : std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - signal Internal_Beam_Trigger_OMask : std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - signal Internal_Beam_Trigger_VMask : std_logic_vector(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - - signal Registered_Trigger_Scalers : TRIGGER_SCALER_ARRAY; - -- register the scalers... - signal registered_scaler0 : TRIGGER_SCALER; - signal registered_scaler1 : TRIGGER_SCALER; - signal registered_scaler2 : TRIGGER_SCALER; - signal registered_scaler3 : TRIGGER_SCALER; - - signal Trigger_Scaler0_low : std_logic_vector(7 downto 0); - signal Trigger_Scaler0_high : std_logic_vector(7 downto 0); - signal Trigger_Scaler1_low : std_logic_vector(7 downto 0); - signal Trigger_Scaler1_high : std_logic_vector(7 downto 0); - signal Trigger_Scaler2_low : std_logic_vector(7 downto 0); - signal Trigger_Scaler2_high : std_logic_vector(7 downto 0); - signal Trigger_Scaler3_low : std_logic_vector(7 downto 0); - signal Trigger_Scaler3_high : std_logic_vector(7 downto 0); - -begin -- architecture rtl - - - -- purpose: selects which of inputs gets multiplexed to readout bus - -- type : combinational - -- inputs : clk,addr,rw - -- outputs: User_RegDataOut - -- fsv add Particle_Counter_Register - read_mux : process (User_RegAddr, User_CLK , DUT_Busy, DUT_Clock_Debug, Internal_Host_Trig_Inhibit, - Trig_Enable_Status, Buffer_Pointer_Register, Timestamp_Register, - Trigger_Counter_Register, Particle_Counter_Register, Timestamp, Trigger_Counter, Internal_DUT_Mask, - Internal_DUT_Leds, Trigger_Output_FSM_Status, DMA_Status) is - begin -- process read_mux - - if ( - -- don't clock in data - it doesn't seem to work! - -- User_CLK'event and User_CLK = '1' - -- and User_RegRE = '1' - -- and - User_RegAddr(15 downto 6) = BASE_ADDRESS(15 downto 6)) then - - case User_RegAddr(5 downto 0) is - - -- read firmware ID - when FIRMWARE_ID_ADDRESS => - Output_Data <= FIRMWARE_ID; - - -- read staus of DUT_BUSY lines. - when DUT_BUSY_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= DUT_Busy; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - -- read DUT_CLOCK ( aka DUT_TRIGGER_DATA ) lines - when DUT_CLOCK_DEBUG_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= DUT_Clock_Debug; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - - -- read state of trigger inhibit line - when TRIG_INHIBIT_ADDRESS => - Output_Data(0) <= Internal_Host_Trig_Inhibit; - Output_Data(1) <= Trig_Enable_Status; - Output_Data(7 downto 2) <= (others => '0'); - - when CLOCK_SOURCE_SELECT_ADDRESS => - Output_Data(0) <= Internal_Clock_Source_Select; - Output_Data(7 downto 1) <= (others => '0'); - - -- interval between internal triggersa - when INTERNAL_TRIGGER_INTERVAL => - Output_Data(7 downto 0) <= Internal_Calibration_Trigger_Interval; - - -- read buffer pointer - -- for now assume a 16-bit buffer pointer - when REGISTERED_BUFFER_POINTER_ADDRESS_0 => - Output_Data <= Buffer_Pointer_Register(7 downto 0); - when REGISTERED_BUFFER_POINTER_ADDRESS_1 => - Output_Data <= Buffer_Pointer_Register(15 downto 8); - - -- read buffer pointer - -- for now assume a 16-bit buffer pointer - when BUFFER_POINTER_ADDRESS_0 => - Output_Data <= Buffer_Pointer(7 downto 0); - when BUFFER_POINTER_ADDRESS_1 => - Output_Data(BUFFER_COUNTER_WIDTH-9 downto 0) <= Buffer_Pointer(BUFFER_COUNTER_WIDTH-1 downto 8); - Output_Data(7 downto BUFFER_COUNTER_WIDTH-8) <= (others => '0'); - - -- read timestamp - -- assume a 64-bit timestamp - when REGISTERED_TIMESTAMP_ADDRESS_0 => - Output_Data <= Timestamp_Register(7 downto 0); - when REGISTERED_TIMESTAMP_ADDRESS_1 => - Output_Data <= Timestamp_Register(15 downto 8); - when REGISTERED_TIMESTAMP_ADDRESS_2 => - Output_Data <= Timestamp_Register(23 downto 16); - when REGISTERED_TIMESTAMP_ADDRESS_3 => - Output_Data <= Timestamp_Register(31 downto 24); - when REGISTERED_TIMESTAMP_ADDRESS_4 => - Output_Data <= Timestamp_Register(39 downto 32); - when REGISTERED_TIMESTAMP_ADDRESS_5 => - Output_Data <= Timestamp_Register(47 downto 40); - when REGISTERED_TIMESTAMP_ADDRESS_6 => - Output_Data <= Timestamp_Register(55 downto 48); - when REGISTERED_TIMESTAMP_ADDRESS_7 => - Output_Data <= Timestamp_Register(63 downto 56); - - -- read registered trigger counter. - -- assume a 32-bit trigger counter - when REGISTERED_TRIGGER_COUNTER_ADDRESS_0 => - Output_Data <= Trigger_Counter_Register(7 downto 0); - when REGISTERED_TRIGGER_COUNTER_ADDRESS_1 => - Output_Data <= Trigger_Counter_Register(15 downto 8); - when REGISTERED_TRIGGER_COUNTER_ADDRESS_2 => - Output_Data <= Trigger_Counter_Register(23 downto 16); - when REGISTERED_TRIGGER_COUNTER_ADDRESS_3 => - Output_Data <= Trigger_Counter_Register(31 downto 24); - - -- read registered Particle counter. -- fsv -- - -- assume a 32-bit trigger counter - when REGISTERED_PARTICLE_COUNTER_ADDRESS_0 => - Output_Data <= Particle_Counter_Register(7 downto 0); - when REGISTERED_PARTICLE_COUNTER_ADDRESS_1 => - Output_Data <= Particle_Counter_Register(15 downto 8); - when REGISTERED_PARTICLE_COUNTER_ADDRESS_2 => - Output_Data <= Particle_Counter_Register(23 downto 16); - when REGISTERED_PARTICLE_COUNTER_ADDRESS_3 => - Output_Data <= Particle_Counter_Register(31 downto 24); - - -- read unregistered timestamp - -- assume a 64-bit timestamp - when TIMESTAMP_ADDRESS_0 => - Output_Data <= Timestamp(7 downto 0); - when TIMESTAMP_ADDRESS_1 => - Output_Data <= Timestamp(15 downto 8); - when TIMESTAMP_ADDRESS_2 => - Output_Data <= Timestamp(23 downto 16); - when TIMESTAMP_ADDRESS_3 => - Output_Data <= Timestamp(31 downto 24); - when TIMESTAMP_ADDRESS_4 => - Output_Data <= Timestamp(39 downto 32); - when TIMESTAMP_ADDRESS_5 => - Output_Data <= Timestamp(47 downto 40); - when TIMESTAMP_ADDRESS_6 => - Output_Data <= Timestamp(55 downto 48); - when TIMESTAMP_ADDRESS_7 => - Output_Data <= Timestamp(63 downto 56); - - -- read unregisteredtrigger counter. - -- assume a 32-bit trigger counter - when TRIGGER_COUNTER_ADDRESS_0 => - Output_Data <= Trigger_Counter(7 downto 0); - when TRIGGER_COUNTER_ADDRESS_1 => - Output_Data <= Trigger_Counter(15 downto 8); - when TRIGGER_COUNTER_ADDRESS_2 => - Output_Data <= Trigger_Counter(23 downto 16); - when TRIGGER_COUNTER_ADDRESS_3 => - Output_Data <= Trigger_Counter(31 downto 24); - - -- read status of DUT mask - when DUT_MASK_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= Internal_DUT_Mask; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - -- read status of LEDs - when DUT_LED_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= Internal_DUT_Leds; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - -- read status of debugging trigger (connected to dut trigger - -- outputs without trigger/busy handshake. - when DUT_DEBUG_TRIGGER_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= Internal_Debug_Trigger; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - -- read status of beam trigger inputs - -- (useful for debugging) - when BEAM_TRIGGER_IN_ADDRESS => - Output_Data(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) <= beam_trigger_in; - Output_Data(7 downto NUMBER_OF_BEAM_TRIGGERS) <= (others => '0'); - - - -- read status of beam_trigger_omask, amask , vmask - when BEAM_TRIGGER_OMASK_ADDRESS => - Output_Data(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) <= Internal_Beam_Trigger_OMask; - Output_Data(7 downto NUMBER_OF_BEAM_TRIGGERS) <= (others => '0'); - - when BEAM_TRIGGER_AMASK_ADDRESS => - Output_Data(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) <= Internal_Beam_Trigger_AMask; - Output_Data(7 downto NUMBER_OF_BEAM_TRIGGERS) <= (others => '0'); - - when BEAM_TRIGGER_VMASK_ADDRESS => - Output_Data(NUMBER_OF_BEAM_TRIGGERS-1 downto 0) <= Internal_Beam_Trigger_VMask; - Output_Data(7 downto NUMBER_OF_BEAM_TRIGGERS) <= (others => '0'); - - -- read status of reset lines - when DUT_RESET_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= Internal_DUT_Reset; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - when TRIGGER_FSM_STATUS_ADDRESS => - Output_Data(NUMBER_OF_DUT-1 downto 0) <= Trigger_Output_FSM_Status; - Output_Data(7 downto NUMBER_OF_DUT) <= (others => '0'); - - when BEAM_TRIGGER_FSM_STATUS_ADDRESS => - Output_Data(2 downto 0) <= beam_trigger_fsm_status; - Output_Data(7 downto 3) <= (others => '0'); - - when DMA_STATUS_ADDRESS => - Output_Data(0) <= DMA_Status; - Output_Data(7 downto 1) <= (others => '0'); - --- trigger_scaler_read_mux: --- for BEAM_TRIGGER_IDX in 0 to NUMBER_OF_BEAM_TRIGGERS-1 generate --- high_low: --- for HIGH_LOW_BYTE in 0 to 1 generate --- when (TRIGGER_IN0_COUNTER_0 + BEAM_TRIGGER_IDX*2 + HIGH_LOW_BYTE) => --- register_scaler: Select_Scaler --- port map ( --- trigger_scaler => Trigger_Scalers(BEAM_TRIGGER_IDX1), --- byte_select => HIGH_LOW_BYTE1, --- byte_out => Output_Data --- ); --- end generate; -- HIGH_LOW --- end generate; -- BEAM_TRIGGER_IDX --- Output_Data <= Registered_Trigger_Scaler_Byte(BEAM_TRIGGER_IDX*2 + HIGH_LOW_BYTE); - - when TRIGGER_IN0_COUNTER_0 => - Output_Data <= Trigger_Scaler0_low; - - when TRIGGER_IN0_COUNTER_1 => - Output_Data <= Trigger_Scaler0_high; - - when TRIGGER_IN1_COUNTER_0 => - Output_Data <= Trigger_Scaler1_low; - - when TRIGGER_IN1_COUNTER_1 => - Output_Data <= Trigger_Scaler1_high; - - when TRIGGER_IN2_COUNTER_0 => - Output_Data <= Trigger_Scaler2_low; - - when TRIGGER_IN2_COUNTER_1 => - Output_Data <= Trigger_Scaler2_high; - - when TRIGGER_IN3_COUNTER_0 => - Output_Data <= Trigger_Scaler3_low; - - when TRIGGER_IN3_COUNTER_1 => - Output_Data <= Trigger_Scaler3_high; - - - when others => - -- if the address is out of range return zero - --Output_Data(7 downto 0) <= (others => '0'); - null; - - end case; - - --else - -- -- if the address is out of range return zero - -- Output_Data(7 downto 0) <= (others => '0'); - end if; - - end process read_mux; - --- trigger_scaler_register_mux: --- for BEAM_TRIGGER_IDX1 in 0 to NUMBER_OF_BEAM_TRIGGERS-1 generate --- high_low1: --- for HIGH_LOW_BYTE1 in 0 to 1 generate --- register_scaler: Select_Scaler --- port map ( --- trigger_scaler => Trigger_Scalers(BEAM_TRIGGER_IDX1), --- byte_select => HIGH_LOW_BYTE1, --- byte_out => Registered_Scaler_Byte(BEAM_TRIGGER_IDX1*2 + HIGH_LOW_BYTE1) --- ); --- end generate; -- HIGH_LOW --- end generate; -- BEAM_TRIGGER_IDX - --- Registered_Scaler <= Registered_Trigger_Scalers(BEAM_TRIGGER_IDX); --- Registered_Trigger_Scaler(8*(HIGH_LOW_BYTE+1)-1 downto 7*HIGH_LOW_BYTE); - - - -- purpose: Writing to STATE_CAPTURE_ADDRESS registers - -- Timestamp, Trigger_Counter, Buffer_Pointer - -- type : combinational - -- inputs : User_CLK - -- outputs: Timestamp_Register, Trigger_Counter_Register, - -- Buffer_Pointer_Register - - write_mux : process (User_CLK, Timestamp, - Trigger_Counter, Buffer_Pointer) is - begin -- process capture_state - -- clock the data to be written on the *falling* edge of user clock. - if (User_CLK'event and User_CLK = '0') then - if ( User_RegWE = '1' and User_RegAddr(15 downto 6) = BASE_ADDRESS(15 downto 6)) then - - -- Capture timestamp, trigger_counter and buffer_pointer - -- into registers. - if (User_RegAddr(5 downto 0) = STATE_CAPTURE_ADDRESS) then - Timestamp_Register <= Timestamp; - Trigger_Counter_Register <= Trigger_Counter; - Particle_Counter_Register <= Particle_Counter; -- fsv - Buffer_Pointer_Register(BUFFER_COUNTER_WIDTH-1 downto 0) <= Buffer_Pointer; - Buffer_Pointer_Register(BUFFER_POINTER_WIDTH-1 downto BUFFER_COUNTER_WIDTH) <= (others => '0'); - - Registered_Trigger_Scalers <= Trigger_Scalers; - - end if; - - -- output DUT reset signals. - if (User_RegAddr(5 downto 0) = DUT_RESET_ADDRESS ) then - Internal_DUT_Reset <= User_RegDataIn(NUMBER_OF_DUT-1 downto 0); - end if; - - -- output DUT trigger signals for one clock cycle... - if (User_RegAddr(5 downto 0) = DUT_TRIGGER_ADDRESS - ) then - DUT_Trigger <= User_RegDataIn(NUMBER_OF_DUT-1 downto 0); - end if; - - -- output trigger inhibit signal - if (User_RegAddr(5 downto 0) = TRIG_INHIBIT_ADDRESS ) then - Internal_Host_Trig_Inhibit <= User_RegDataIn(0); - end if; - - -- set the frequency of the internal (calibration) triggers - if (User_RegAddr(5 downto 0) = INTERNAL_TRIGGER_INTERVAL ) then - Internal_Calibration_Trigger_Interval <= User_RegDataIn; - end if; - - -- output DUT_mask - if ( User_RegAddr(5 downto 0) = DUT_MASK_ADDRESS ) then - Internal_DUT_Mask <= User_RegDataIn(NUMBER_OF_DUT-1 downto 0); - DUT_Mask_WE <= '1'; - end if; - - -- write to LEDs - if ( User_RegAddr(5 downto 0) = DUT_LED_ADDRESS ) then - Internal_DUT_Leds <= User_RegDataIn(NUMBER_OF_DUT-1 downto 0); - end if; - - - -- Select which clock source drives the trigger logic - -- 0 = external clock - -- 1 = USB ( 48MHz ) clock - if (User_RegAddr(5 downto 0) = CLOCK_SOURCE_SELECT_ADDRESS ) then - Internal_Clock_Source_Select <= User_RegDataIn(0); - end if; - - -- Write to the trigger output. - if ( User_RegAddr(5 downto 0) = DUT_DEBUG_TRIGGER_ADDRESS ) then - Internal_Debug_Trigger <= User_RegDataIn(NUMBER_OF_DUT-1 downto 0); - end if; - - -- output pointer/counter reset signals for one clock cycle. - if (User_RegAddr(5 downto 0) = RESET_REGISTER_ADDRESS - ) then - Reset_Timestamp <= - User_RegDataIn(TIMESTAMP_RESET_BIT); - Reset_Trigger_Counter <= - User_RegDataIn(TRIGGER_COUNTER_RESET_BIT); - Reset_Buffer_Pointer <= - User_RegDataIn(BUFFER_POINTER_RESET_BIT); - Reset_DMA_Controller <= - User_RegDataIn(DMA_CONTROLLER_RESET_BIT); - Reset_Trigger_Output_FSM <= User_RegDataIn(TRIGGER_FSM_RESET_BIT); - --Reset_Beam_Trigger_FSM <= User_RegDataIn(BEAM_TRIGGER_FSM_RESET_BIT); - Reset_Trigger_Scalers <= User_RegDataIn(TRIGGER_SCALERS_RESET_BIT); - end if; - - - - -- Initiate readout block readout of trigger info - if (User_RegAddr(5 downto 0) = INITIATE_READOUT_ADDRESS - ) then - Initiate_Readout <= '1'; - end if; - - -- set beam trigger output masks. - if (User_RegAddr(5 downto 0) = BEAM_TRIGGER_AMASK_ADDRESS or - User_RegAddr(5 downto 0) = BEAM_TRIGGER_OMASK_ADDRESS or - User_RegAddr(5 downto 0) = BEAM_TRIGGER_VMASK_ADDRESS - ) then - Beam_Trigger_Mask_WE <= '1'; - if (User_RegAddr(5 downto 0) = BEAM_TRIGGER_AMASK_ADDRESS) then - Internal_Beam_Trigger_AMask <= User_RegDataIn(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - elsif (User_RegAddr(5 downto 0) = BEAM_TRIGGER_OMASK_ADDRESS) then - Internal_Beam_Trigger_OMask <= User_RegDataIn(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - else - Internal_Beam_Trigger_VMask <= User_RegDataIn(NUMBER_OF_BEAM_TRIGGERS-1 downto 0); - end if; - end if; - - - else - initiate_readout <= '0'; - DUT_Mask_WE <= '0'; - Beam_Trigger_Mask_WE <= '0'; - Reset_Timestamp <= '0'; - Reset_Trigger_Counter <= '0'; - Reset_Buffer_Pointer <= '0'; - Reset_DMA_Controller <= '0'; - Reset_Trigger_Output_FSM <= '0'; - Reset_Beam_Trigger_FSM <= '0'; - - end if; - - end if; -- end of clk'falling - end process write_mux; - - - registered_scaler0 <= Registered_Trigger_Scalers(0); - Trigger_Scaler0_low <= registered_scaler0(7 downto 0); - Trigger_Scaler0_high <= registered_scaler0(15 downto 8); - - registered_scaler1 <= Registered_Trigger_Scalers(1); - Trigger_Scaler1_low <= registered_scaler1(7 downto 0); - Trigger_Scaler1_high <= registered_scaler1(15 downto 8); - - registered_scaler2 <= Registered_Trigger_Scalers(2); - Trigger_Scaler2_low <= registered_scaler2(7 downto 0); - Trigger_Scaler2_high <= registered_scaler2(15 downto 8); - - registered_scaler3 <= Registered_Trigger_Scalers(3); - Trigger_Scaler3_low <= registered_scaler3(7 downto 0); - Trigger_Scaler3_high <= registered_scaler3(15 downto 8); - - - -- purpose: output register for data output to USB - -- type : combinational - -- inputs : clk, User_RegDataOut - -- outputs: User_RegDataOut --- output_register: process (User_CLK, Output_Data) is --- begin -- process output_register --- if (User_CLK'event and User_CLK='1') then --- User_RegDataOut <= Output_Data; --- end if; --- end process output_register; - - User_RegDataOut <= Output_Data; - - DUT_Mask <= Internal_DUT_Mask; - - DUT_Leds <= Internal_DUT_Leds; - - DUT_Debug_Trigger <= Internal_Debug_Trigger; - - DUT_Reset <= Internal_DUT_Reset; - - Clock_Source_Select <= Internal_Clock_Source_Select; - - Host_Trig_Inhibit <= Internal_Host_Trig_Inhibit; - - calibration_trigger_interval <= Internal_Calibration_Trigger_Interval; - - Beam_Trigger_OMask <= Internal_Beam_Trigger_OMask; - Beam_Trigger_AMask <= Internal_Beam_Trigger_AMask; - Beam_Trigger_VMask <= Internal_Beam_Trigger_VMask; - - -end architecture rtl; - - - diff --git a/EUDETdummy/hdl/TLU_Address_Map.vhd b/EUDETdummy/hdl/TLU_Address_Map.vhd deleted file mode 100644 index c3b75a3..0000000 --- a/EUDETdummy/hdl/TLU_Address_Map.vhd +++ /dev/null @@ -1,144 +0,0 @@ --- --- TLU_address_map.vhdl --- --- package containg address map and type definitions for JRA1 TLU --- --- Generated by script make_tlu_address_map.pl --- --- Do not edit by hand! --- Edit TLU_address_map.dat instead --- --- Generated on Sun Aug 31 21:05:20 2008 --- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -package TLU_Address_Map is - - constant FIRMWARE_ID : std_logic_vector(7 downto 0) := "11111010" ; - constant NUMBER_OF_DUT : integer := 6; -- how many devices - -- (including telescope devices) - -- in system - - constant BEAM_TRIGGER_MASK_WIDTH : integer := 12; -- should be three times the # trigger - constant NUMBER_OF_BEAM_TRIGGERS : integer := 4; - - constant TIMESTAMP_WIDTH : integer := 64; - constant NUMBER_WORDS_IN_TIMESTAMP : integer := 4; - constant TRIGGER_COUNTER_WIDTH : integer := 32; - constant TRIGGER_DATA_WIDTH : integer := 32; - constant BUFFER_POINTER_WIDTH : integer := 16; -- width of pointer - constant BUFFER_COUNTER_WIDTH : integer := 12; -- this is the width of - -- the counter, - -- *NOT* the pointer - -- ( which has to be - -- an integer number - -- of bytes ) - constant BUFFER_DEPTH : integer := 4096; -- 2^BUFFER_COUNTER_WIDTH - constant BUFFER_HEADROOM : integer := 8; -- leave this many entries in - -- buffer when siganlling full - ----------------------------------------------------------------------------- - -- define which bits in RESET_REGISTER reset which counters/pointers... - constant TIMESTAMP_RESET_BIT : integer := 0; - constant TRIGGER_COUNTER_RESET_BIT : integer := 1; - constant BUFFER_POINTER_RESET_BIT : integer := 2; - constant TRIGGER_FSM_RESET_BIT : integer := 3; - constant BEAM_TRIGGER_FSM_RESET_BIT : integer := 4; - constant DMA_CONTROLLER_RESET_BIT : integer := 5; - constant TRIGGER_SCALERS_RESET_BIT : integer := 6; - - ----------------------------------------------------------------------------- - -- Constants for internal trigger generation - constant CALIBRATION_TRIGGER_COUNTER_WIDTH : integer := 8; - constant SLOW_CLOCK_COUNTER_WIDTH : integer := 16; -- needs to store 48000 - constant SLOW_CLOCK_RATIO : std_logic_vector (SLOW_CLOCK_COUNTER_WIDTH-1 downto 0) := "1011101110000000"; -- ratio between 48MHz and 1kHz - - ----------------------------------------------------------------------------- - constant SCALER_NUMBER_OF_BYTES : integer := 2; - subtype TRIGGER_SCALER is std_logic_vector(8*SCALER_NUMBER_OF_BYTES - 1 downto 0); - type TRIGGER_SCALER_ARRAY is array ( NUMBER_OF_BEAM_TRIGGERS-1 downto 0) of TRIGGER_SCALER; - - ----------------------------------------------------------------------------- - -- mapping of IO pins onto signals in design. - ----------------------------------------------------------------------------- - type beam_trigger_inputs is array ( 0 to 3 ) of integer; - -- this beam trigger mapping assumes use of Bonn discriminator board. - -- i.e. 1,0,3,2 - constant BEAM_TRIG_IN_BIT : beam_trigger_inputs := (9,6,11,8); - - type dut_io is array ( 0 to NUMBER_OF_DUT-1 ) of integer; - constant TRIGGER_OUTPUT_BIT : dut_io := (1,0,3,2,5,4); - constant DUT_RESET_BIT : dut_io := (13,12,15,14,17,16); - constant DUT_BUSY_BIT : dut_io := (27,26,29,28,31,30); - constant DUT_CLOCK_BIT : dut_io := (38,41,43,42,44,46); - constant DUT_LED_BIT : dut_io := (18,21,20,23,22,25); - - type gpio is array (0 to 4) of integer; -- mapping for gpio bits - constant GPIO_BIT : gpio := (37,36,35,34,32); - ----------------------------------------------------------------------------- - - constant BASE_ADDRESS : std_logic_vector(15 downto 0) := "0010000000000000" ; - - constant FIRMWARE_ID_ADDRESS : std_logic_vector(5 downto 0) := "000001" ; - constant DUT_BUSY_ADDRESS : std_logic_vector(5 downto 0) := "000010" ; - constant DUT_RESET_ADDRESS : std_logic_vector(5 downto 0) := "000011" ; - constant DUT_TRIGGER_ADDRESS : std_logic_vector(5 downto 0) := "000100" ; - constant DUT_MASK_ADDRESS : std_logic_vector(5 downto 0) := "000101" ; - constant TRIG_INHIBIT_ADDRESS : std_logic_vector(5 downto 0) := "000110" ; - constant RESET_REGISTER_ADDRESS : std_logic_vector(5 downto 0) := "000111" ; - constant INITIATE_READOUT_ADDRESS : std_logic_vector(5 downto 0) := "001000" ; - constant STATE_CAPTURE_ADDRESS : std_logic_vector(5 downto 0) := "001001" ; - constant TRIGGER_FSM_STATUS_ADDRESS : std_logic_vector(5 downto 0) := "001010" ; - constant BEAM_TRIGGER_FSM_STATUS_ADDRESS : std_logic_vector(5 downto 0) := "001011" ; - constant DMA_STATUS_ADDRESS : std_logic_vector(5 downto 0) := "001100" ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_0 : std_logic_vector(5 downto 0) := "001101" ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_1 : std_logic_vector(5 downto 0) := "001110" ; - constant REGISTERED_TIMESTAMP_ADDRESS_0 : std_logic_vector(5 downto 0) := "001111" ; - constant REGISTERED_TIMESTAMP_ADDRESS_1 : std_logic_vector(5 downto 0) := "010000" ; - constant REGISTERED_TIMESTAMP_ADDRESS_2 : std_logic_vector(5 downto 0) := "010001" ; - constant REGISTERED_TIMESTAMP_ADDRESS_3 : std_logic_vector(5 downto 0) := "010010" ; - constant REGISTERED_TIMESTAMP_ADDRESS_4 : std_logic_vector(5 downto 0) := "010011" ; - constant REGISTERED_TIMESTAMP_ADDRESS_5 : std_logic_vector(5 downto 0) := "010100" ; - constant REGISTERED_TIMESTAMP_ADDRESS_6 : std_logic_vector(5 downto 0) := "010101" ; - constant REGISTERED_TIMESTAMP_ADDRESS_7 : std_logic_vector(5 downto 0) := "010110" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_0 : std_logic_vector(5 downto 0) := "010111" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_1 : std_logic_vector(5 downto 0) := "011000" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_2 : std_logic_vector(5 downto 0) := "011001" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_3 : std_logic_vector(5 downto 0) := "011010" ; - constant BUFFER_POINTER_ADDRESS_0 : std_logic_vector(5 downto 0) := "011011" ; - constant BUFFER_POINTER_ADDRESS_1 : std_logic_vector(5 downto 0) := "011100" ; - constant TIMESTAMP_ADDRESS_0 : std_logic_vector(5 downto 0) := "011101" ; - constant TIMESTAMP_ADDRESS_1 : std_logic_vector(5 downto 0) := "011110" ; - constant TIMESTAMP_ADDRESS_2 : std_logic_vector(5 downto 0) := "011111" ; - constant TIMESTAMP_ADDRESS_3 : std_logic_vector(5 downto 0) := "100000" ; - constant TIMESTAMP_ADDRESS_4 : std_logic_vector(5 downto 0) := "100001" ; - constant TIMESTAMP_ADDRESS_5 : std_logic_vector(5 downto 0) := "100010" ; - constant TIMESTAMP_ADDRESS_6 : std_logic_vector(5 downto 0) := "100011" ; - constant TIMESTAMP_ADDRESS_7 : std_logic_vector(5 downto 0) := "100100" ; - constant TRIGGER_COUNTER_ADDRESS_0 : std_logic_vector(5 downto 0) := "100101" ; - constant TRIGGER_COUNTER_ADDRESS_1 : std_logic_vector(5 downto 0) := "100110" ; - constant TRIGGER_COUNTER_ADDRESS_2 : std_logic_vector(5 downto 0) := "100111" ; - constant TRIGGER_COUNTER_ADDRESS_3 : std_logic_vector(5 downto 0) := "101000" ; - constant BEAM_TRIGGER_AMASK_ADDRESS : std_logic_vector(5 downto 0) := "101001" ; - constant BEAM_TRIGGER_OMASK_ADDRESS : std_logic_vector(5 downto 0) := "101010" ; - constant BEAM_TRIGGER_VMASK_ADDRESS : std_logic_vector(5 downto 0) := "101011" ; - constant INTERNAL_TRIGGER_INTERVAL : std_logic_vector(5 downto 0) := "101100" ; - constant BEAM_TRIGGER_IN_ADDRESS : std_logic_vector(5 downto 0) := "101101" ; - constant DUT_RESET_DEBUG_ADDRESS : std_logic_vector(5 downto 0) := "101110" ; - constant DUT_DEBUG_TRIGGER_ADDRESS : std_logic_vector(5 downto 0) := "101111" ; - constant DUT_CLOCK_DEBUG_ADDRESS : std_logic_vector(5 downto 0) := "110000" ; - constant DUT_LED_ADDRESS : std_logic_vector(5 downto 0) := "110001" ; - constant CLOCK_SOURCE_SELECT_ADDRESS : std_logic_vector(5 downto 0) := "110010" ; - constant TRIGGER_IN0_COUNTER_0 : std_logic_vector(5 downto 0) := "110011" ; - constant TRIGGER_IN0_COUNTER_1 : std_logic_vector(5 downto 0) := "110100" ; - constant TRIGGER_IN1_COUNTER_0 : std_logic_vector(5 downto 0) := "110101" ; - constant TRIGGER_IN1_COUNTER_1 : std_logic_vector(5 downto 0) := "110110" ; - constant TRIGGER_IN2_COUNTER_0 : std_logic_vector(5 downto 0) := "110111" ; - constant TRIGGER_IN2_COUNTER_1 : std_logic_vector(5 downto 0) := "111000" ; - constant TRIGGER_IN3_COUNTER_0 : std_logic_vector(5 downto 0) := "111001" ; - constant TRIGGER_IN3_COUNTER_1 : std_logic_vector(5 downto 0) := "111010" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_0 : std_logic_vector(5 downto 0) := "111011" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_1 : std_logic_vector(5 downto 0) := "111100" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_2 : std_logic_vector(5 downto 0) := "111101" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_3 : std_logic_vector(5 downto 0) := "111110" ; -end package TLU_Address_Map; diff --git a/EUDETdummy/hdl/TLU_address_map_v0-2.vhdl b/EUDETdummy/hdl/TLU_address_map_v0-2.vhdl deleted file mode 100644 index 1d2515d..0000000 --- a/EUDETdummy/hdl/TLU_address_map_v0-2.vhdl +++ /dev/null @@ -1,263 +0,0 @@ --- --- TLU_address_map_v0-2.vhdl --- --- --- Generated on Mon Feb 8 18:18:05 2010 --- --- --- package containg address map and type definitions for JRA1 TLU --- --- Generated by script make_tlu_address_map.pl --- --- Do not edit by hand! --- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -package TLU_Address_Map_v02 is - - constant NUMBER_OF_DUT : integer := 6; -- how many devices - -- (including telescope devices) - -- in system - - constant BEAM_TRIGGER_MASK_WIDTH : integer := 12; -- should be three times the # trigger - constant NUMBER_OF_BEAM_TRIGGERS : integer := 4; - - constant TIMESTAMP_WIDTH : integer := 64; - constant TIMESTAMP_OUTPUT_WIDTH : integer := 16; - constant NUMBER_WORDS_IN_TIMESTAMP : integer := 4; - constant TRIGGER_COUNTER_WIDTH : integer := 32; - constant TRIGGER_DATA_WIDTH : integer := 32; - constant STROBE_COUNTER_WIDTH : integer := 32; -- width for recurring strobe pulses. - constant BUFFER_POINTER_WIDTH : integer := 16; -- width of pointer - constant BUFFER_COUNTER_WIDTH : integer := 12; -- this is the width of - -- the counter, - -- *NOT* the pointer - -- ( which has to be - -- an integer number - -- of bytes ) - constant OUTPUT_BUFFER_COUNTER_WIDTH : integer := BUFFER_COUNTER_WIDTH+2; -- pointer into 16-bit output of DPR - constant BUFFER_DEPTH : integer := 4096; -- 2^BUFFER_COUNTER_WIDTH - constant BUFFER_HEADROOM : integer := 16; -- leave this many entries in - -- buffer when siganlling full - constant NUM_WORDS_IN_LONGLONG : integer := 4; -- Number of 16-bit words in a 64-bit word - - ----------------------------------------------------------------------------- - -- define which bits in RESET_REGISTER reset which counters/pointers... - ----------------------------------------------------------------------------- - constant TIMESTAMP_RESET_BIT : integer := 0; - constant TRIGGER_COUNTER_RESET_BIT : integer := 1; - constant BUFFER_POINTER_RESET_BIT : integer := 2; - constant TRIGGER_FSM_RESET_BIT : integer := 3; - constant BEAM_TRIGGER_FSM_RESET_BIT : integer := 4; - constant DMA_CONTROLLER_RESET_BIT : integer := 5; - constant TRIGGER_SCALERS_RESET_BIT : integer := 6; - constant CLOCK_GEN_RESET_BIT : integer := 7; - - constant ENABLE_DMA_BIT : integer := 0; - constant RESET_DMA_COUNTER_BIT : integer := 1; - - ----------------------------------------------------------------------------- - -- Constants for internal trigger generation - ----------------------------------------------------------------------------- - constant CALIBRATION_TRIGGER_COUNTER_WIDTH : integer := 8; - constant SLOW_CLOCK_COUNTER_WIDTH : integer := 16; -- needs to store 48000 - --constant SLOW_CLOCK_RATIO : std_logic_vector (SLOW_CLOCK_COUNTER_WIDTH-1 downto 0) := "1011101110000000"; -- ratio between 48MHz and 1kHz - -- hack for Santos... - constant SLOW_CLOCK_RATIO : std_logic_vector (SLOW_CLOCK_COUNTER_WIDTH-1 downto 0) := "0000000111100000"; -- ratio between 48MHz and 100kHz - - ----------------------------------------------------------------------------- - -- define sub-types for internal trigger scalers. - ----------------------------------------------------------------------------- - constant SCALER_NUMBER_OF_BYTES : integer := 2; - subtype TRIGGER_SCALER is std_logic_vector(8*SCALER_NUMBER_OF_BYTES - 1 downto 0); - type TRIGGER_SCALER_ARRAY is array ( NUMBER_OF_BEAM_TRIGGERS-1 downto 0) of TRIGGER_SCALER; - - ----------------------------------------------------------------------------- - -- define which bits for I2C lines - ----------------------------------------------------------------------------- - constant I2C_SDA_OUT_BIT : integer := 0; - constant I2C_SDA_IN_BIT : integer := 1; - constant I2C_SCL_OUT_BIT : integer := 2; - constant I2C_SCL_IN_BIT : integer := 3; - constant WIDTH_OF_I2C_SELECT_PORT : integer := 2; - - -- I2C bus numbers ( write to register to select ) - constant I2C_BUS_MOTHERBOARD : integer := 3; - constant I2C_BUS_HDMI : integer := 2; - constant I2C_BUS_LEMO : integer := 1; - constant I2C_BUS_DISPLAY : integer := 0; - - -- List I2C PCA9555 devices. - constant I2C_BUS_MOTHERBOARD_LED_IO : integer := 0; - constant I2C_BUS_MOTHERBOARD_TRIGGER_ENABLE_IPSEL_IO : integer := 1; - constant I2C_BUS_MOTHERBOARD_RESET_ENABLE_IO : integer := 2; - constant I2C_BUS_MOTHERBOARD_FRONT_PANEL_IO : integer := 3; - constant I2C_BUS_MOTHERBOARD_LCD_IO : integer := 4; - - constant I2C_BUS_LEMO_RELAY_IO : integer := 0; - - -- This is a bit of a cock-up. The PCA9555 attached to the LEDs changed address between version "b" ( = 1 ) and version "c" (= 0) - constant I2C_BUS_LEMO_LED_IO_VB : integer := 1; - - constant I2C_BUS_LEMO_LED_IO : integer := 0; - constant I2C_BUS_LEMO_ADC : integer := 2; - - ----------------------------------------------------------------------------- - -- mapping of IO pins onto signals in design. - ----------------------------------------------------------------------------- - type beam_trigger_inputs is array ( 0 to 3 ) of integer; - -- Assumes Bonn discriminator board ( ie 1,0,3,2) - constant BEAM_TRIG_IN_BIT : beam_trigger_inputs := (9,6,11,8); - - type dut_io is array ( 0 to NUMBER_OF_DUT-1 ) of integer; - constant TRIGGER_OUTPUT_BIT : dut_io := (1,0,3,2,5,4); - constant DUT_RESET_BIT : dut_io := (13,12,15,14,17,16); - constant DUT_BUSY_BIT : dut_io := (27,26,29,28,31,30); - constant DUT_CLOCK_BIT : dut_io := (38,41,43,42,44,46); - - -- constant DUT_LED_BIT : dut_io := (18,21,20,23,22,25); - type i2c_select_array is array ( 0 to WIDTH_OF_I2C_SELECT_PORT-1)of integer ; - constant I2C_BUS_SELECT_IO_BITS : i2c_select_array := ( 25 , 22 ); - constant I2C_SCL_OUT_IO_BIT : integer := 18; - constant I2C_SDA_OUT_IO_BIT : integer := 21; - constant I2C_SCL_IN_IO_BIT : integer := 20; - constant I2C_SDA_IN_IO_BIT : integer := 23; - - constant I2C_FRONT_PANEL_INTERRUPT : integer := 32; - - type gpio is array (0 to 3) of integer; -- mapping for gpio bits - constant GPIO_BIT : gpio := (37,36,35,34); - ----------------------------------------------------------------------------- - constant FIRMWARE_ID : std_logic_vector(7 downto 0) := "01000100" ; --- FIRMWARE_ID = 68 - - constant BASE_ADDRESS : std_logic_vector(15 downto 0) := "0010000000000000" ; --- BASE_ADDRESS = 8192 - - constant NUMBER_OF_BITS_TO_DECODE : integer := 7 ; -- how many bits of the address should be decoded? - constant FIRMWARE_ID_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000000" ; - constant DUT_BUSY_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000001" ; - constant DUT_RESET_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000010" ; - constant DUT_TRIGGER_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000011" ; - constant DUT_MASK_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000100" ; - constant TRIG_INHIBIT_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000101" ; - constant RESET_REGISTER_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000110" ; - constant INITIATE_READOUT_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0000111" ; - constant STATE_CAPTURE_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001000" ; - constant TRIGGER_FSM_STATUS_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001001" ; - constant BEAM_TRIGGER_FSM_STATUS_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001010" ; - constant DMA_STATUS_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001011" ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001100" ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_BYTES : integer := 2 ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001100" ; - constant REGISTERED_BUFFER_POINTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001101" ; - constant REGISTERED_TIMESTAMP_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001110" ; - constant REGISTERED_TIMESTAMP_ADDRESS_BYTES : integer := 8 ; - constant REGISTERED_TIMESTAMP_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001110" ; - constant REGISTERED_TIMESTAMP_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0001111" ; - constant REGISTERED_TIMESTAMP_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010000" ; - constant REGISTERED_TIMESTAMP_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010001" ; - constant REGISTERED_TIMESTAMP_ADDRESS_4 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010010" ; - constant REGISTERED_TIMESTAMP_ADDRESS_5 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010011" ; - constant REGISTERED_TIMESTAMP_ADDRESS_6 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010100" ; - constant REGISTERED_TIMESTAMP_ADDRESS_7 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010101" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010110" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_BYTES : integer := 4 ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010110" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0010111" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011000" ; - constant REGISTERED_TRIGGER_COUNTER_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011001" ; - constant BUFFER_POINTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011010" ; - constant BUFFER_POINTER_ADDRESS_BYTES : integer := 2 ; - constant BUFFER_POINTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011010" ; - constant BUFFER_POINTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011011" ; - constant TIMESTAMP_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011100" ; - constant TIMESTAMP_ADDRESS_BYTES : integer := 8 ; - constant TIMESTAMP_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011100" ; - constant TIMESTAMP_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011101" ; - constant TIMESTAMP_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011110" ; - constant TIMESTAMP_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0011111" ; - constant TIMESTAMP_ADDRESS_4 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100000" ; - constant TIMESTAMP_ADDRESS_5 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100001" ; - constant TIMESTAMP_ADDRESS_6 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100010" ; - constant TIMESTAMP_ADDRESS_7 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100011" ; - constant TRIGGER_COUNTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100100" ; - constant TRIGGER_COUNTER_ADDRESS_BYTES : integer := 4 ; - constant TRIGGER_COUNTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100100" ; - constant TRIGGER_COUNTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100101" ; - constant TRIGGER_COUNTER_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100110" ; - constant TRIGGER_COUNTER_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0100111" ; - constant BEAM_TRIGGER_AMASK_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101000" ; - constant BEAM_TRIGGER_OMASK_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101001" ; - constant BEAM_TRIGGER_VMASK_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101010" ; - constant INTERNAL_TRIGGER_INTERVAL : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101011" ; - constant BEAM_TRIGGER_IN_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101100" ; - constant DUT_RESET_DEBUG_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101101" ; - constant DUT_DEBUG_TRIGGER_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101110" ; - constant DUT_CLOCK_DEBUG_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0101111" ; - constant DUT_I2C_BUS_SELECT_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110000" ; - constant DUT_I2C_BUS_DATA_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110001" ; - constant CLOCK_SOURCE_SELECT_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110010" ; - constant TRIGGER_IN0_COUNTER_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110011" ; - constant TRIGGER_IN0_COUNTER_BYTES : integer := 2 ; - constant TRIGGER_IN0_COUNTER_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110011" ; - constant TRIGGER_IN0_COUNTER_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110100" ; - constant TRIGGER_IN1_COUNTER_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110101" ; - constant TRIGGER_IN1_COUNTER_BYTES : integer := 2 ; - constant TRIGGER_IN1_COUNTER_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110101" ; - constant TRIGGER_IN1_COUNTER_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110110" ; - constant TRIGGER_IN2_COUNTER_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110111" ; - constant TRIGGER_IN2_COUNTER_BYTES : integer := 2 ; - constant TRIGGER_IN2_COUNTER_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0110111" ; - constant TRIGGER_IN2_COUNTER_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111000" ; - constant TRIGGER_IN3_COUNTER_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111001" ; - constant TRIGGER_IN3_COUNTER_BYTES : integer := 2 ; - constant TRIGGER_IN3_COUNTER_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111001" ; - constant TRIGGER_IN3_COUNTER_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111010" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111011" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_BYTES : integer := 4 ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111011" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111100" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111101" ; - constant REGISTERED_PARTICLE_COUNTER_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111110" ; - constant REGISTERED_AUX_COUNTER_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111111" ; - constant REGISTERED_AUX_COUNTER_ADDRESS_BYTES : integer := 4 ; - constant REGISTERED_AUX_COUNTER_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "0111111" ; - constant REGISTERED_AUX_COUNTER_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000000" ; - constant REGISTERED_AUX_COUNTER_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000001" ; - constant REGISTERED_AUX_COUNTER_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000010" ; - constant HANDSHAKE_MODE_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000011" ; - constant BUFFER_STOP_MODE_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000100" ; - constant WRITE_TRIGGER_BITS_MODE_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000101" ; - constant TRIGGER_PATTERN_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000110" ; - constant TRIGGER_PATTERN_ADDRESS_BYTES : integer := 2 ; - constant TRIGGER_PATTERN_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000110" ; - constant TRIGGER_PATTERN_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1000111" ; - constant AUX_PATTERN_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001000" ; - constant AUX_PATTERN_ADDRESS_BYTES : integer := 2 ; - constant AUX_PATTERN_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001000" ; - constant AUX_PATTERN_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001001" ; - constant STROBE_WIDTH_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001010" ; - constant STROBE_WIDTH_ADDRESS_BYTES : integer := 4 ; - constant STROBE_WIDTH_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001010" ; - constant STROBE_WIDTH_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001011" ; - constant STROBE_WIDTH_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001100" ; - constant STROBE_WIDTH_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001101" ; - constant STROBE_PERIOD_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001110" ; - constant STROBE_PERIOD_ADDRESS_BYTES : integer := 4 ; - constant STROBE_PERIOD_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001110" ; - constant STROBE_PERIOD_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1001111" ; - constant STROBE_PERIOD_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010000" ; - constant STROBE_PERIOD_ADDRESS_3 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010001" ; - constant STROBE_ENABLE_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010010" ; - constant TRIGGER_FSM_STATUS_VALUE_ADDRESS_BASE : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010011" ; - constant TRIGGER_FSM_STATUS_VALUE_ADDRESS_BYTES : integer := 3 ; - constant TRIGGER_FSM_STATUS_VALUE_ADDRESS_0 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010011" ; - constant TRIGGER_FSM_STATUS_VALUE_ADDRESS_1 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010100" ; - constant TRIGGER_FSM_STATUS_VALUE_ADDRESS_2 : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010101" ; - constant ENABLE_DUT_VETO_ADDRESS : std_logic_vector(NUMBER_OF_BITS_TO_DECODE-1 downto 0) := "1010110" ; - - constant ADDRESS_MAP_SIZE : integer := 87 ; - -end package TLU_Address_Map_v02 ; diff --git a/EUDETdummy/hdl/Trigger_Number_Error_Checker.vhd b/EUDETdummy/hdl/Trigger_Number_Error_Checker.vhd deleted file mode 100755 index 8c361f4..0000000 --- a/EUDETdummy/hdl/Trigger_Number_Error_Checker.vhd +++ /dev/null @@ -1,116 +0,0 @@ ----------------------------------------------------------------------------------- ---! @file --- --- Company: University of Bristol --- Engineer: David Cussans --- --- Create Date: 11/11/09 --- Design Name: --- Module Name: Trigger_Number_Error_Checker - RTL --- Project Name: --- Target Devices: --- Tool versions: ---! @brief Checks the trigger numbers being returned by the dummy dut. --- --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.NUMERIC_STD.ALL; - - --- constant definitions. - - - ----- Uncomment the following library declaration if instantiating ----- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity Trigger_Number_Error_Checker is - Port ( - CLK : in STD_LOGIC; --! this is the USB clock. - RST : in STD_LOGIC; --! Synchronous with clock - TriggerNumber : in STD_LOGIC_VECTOR(15 downto 0); --! should - --incremeent from - --0 - TriggerNumberStrobe : in STD_LOGIC; --! Active high - TriggerCounter : out STD_LOGIC_VECTOR(15 downto 0); --!internal counter - ErrorFlag : out STD_LOGIC --! goes high if internal number - --doesn't match - ); - -end entity Trigger_Number_Error_Checker; - -architecture RTL of Trigger_Number_Error_Checker is - - - - signal InternalTriggerCounter : std_logic_vector(TriggerNumber'high downto 0); -- internal - -- store - -- to compare with output from TLU - - signal InternalErrorFlag : std_logic := '0'; -- VHDL can't read an out-port bodge - - signal Registered_TriggerNumberStrobe0 ,Registered_TriggerNumberStrobe1 ,Registered_TriggerNumberStrobe2 : std_logic := '0'; - -- bodge 'cos I don't understand RTL... - signal Registered_TriggerNumber : std_logic_vector(15 downto 0); - -begin - - delay_triggerstrobe: process (clk , TriggerNumberStrobe ,Registered_TriggerNumberStrobe0 ,Registered_TriggerNumberStrobe1 , Registered_TriggerNumberStrobe2 ) - begin -- process delay_triggerstrobe - if rising_edge(clk) then - Registered_TriggerNumberStrobe0 <= TriggerNumberStrobe; - Registered_TriggerNumberStrobe1 <= Registered_TriggerNumberStrobe0; - Registered_TriggerNumberStrobe2 <= Registered_TriggerNumberStrobe1; - end if; - end process delay_triggerstrobe; - - register_trigger_number: process (clk , TriggerNumber ) - begin -- process register_trigger_number - if rising_edge(clk) then - Registered_TriggerNumber <= TriggerNumber; - end if; - end process register_trigger_number; - - - check_error: process (clk ,Registered_TriggerNumberStrobe0 , Registered_TriggerNumber , InternalTriggerCounter) - begin -- process busy_control - if (rising_edge(clk) and (Registered_TriggerNumberStrobe0 = '1')) then - if ( unsigned(Registered_TriggerNumber) /= (unsigned(InternalTriggerCounter)+2) )then -- - -- temporary fix to check that checker is working. --- if ( unsigned(Registered_TriggerNumber) /= (unsigned(InternalTriggerCounter)+1) )then - InternalErrorFlag <= '1'; - else - InternalErrorFlag <= '0'; - end if; - end if; - end process check_error; - - output_error: process (clk , Registered_TriggerNumberStrobe1 , InternalErrorFlag) - begin -- process output_error - if (rising_edge(clk)and (Registered_TriggerNumberStrobe1 = '1')) then - ErrorFlag <= InternalErrorFlag; - end if; - end process output_error; - - - register_trigger_number: process (clk , Registered_TriggerNumberStrobe2 , TriggerNumber ) - begin -- process output_error - if (rising_edge(clk)and (Registered_TriggerNumberStrobe2 = '1')) then - InternalTriggerCounter <= TriggerNumber; - end if; - end process output_error; - - TriggerCounter <= InternalTriggerCounter; - -end RTL; - diff --git a/EUDETdummy/hdl/ZestSC1_Host.vhd b/EUDETdummy/hdl/ZestSC1_Host.vhd deleted file mode 100644 index f7b1bf9..0000000 --- a/EUDETdummy/hdl/ZestSC1_Host.vhd +++ /dev/null @@ -1,652 +0,0 @@ --- ZestSC1 Host Interface Code --- File name: ZestSC1_Host.vhd --- Version: 1.10 --- Date: 14/3/2006 - --- Copyright (C) 2005 Orange Tree Technologies Ltd. All rights reserved. --- Orange Tree Technologies grants the purchaser of a ZestSC1 the right to use and --- modify this logic core in any form including but not limited to VHDL source code or --- EDIF netlist in FPGA designs that target the ZestSC1. --- Orange Tree Technologies prohibits the use of this logic core or any modification of --- it in any form including but not limited to VHDL source code or EDIF netlist in --- FPGA or ASIC designs that target any other hardware unless the purchaser of the --- ZestSC1 has purchased the appropriate licence from Orange Tree Technologies. --- Contact Orange Tree Technologies if you want to purchase such a licence. - ---***************************************************************************************** ---** ---** Disclaimer: LIMITED WARRANTY AND DISCLAIMER. These designs are ---** provided to you "as is". Orange Tree Technologies and its licensors ---** make and you receive no warranties or conditions, express, implied, ---** statutory or otherwise, and Orange Tree Technologies specifically ---** disclaims any implied warranties of merchantability, non-infringement, ---** or fitness for a particular purpose. Orange Tree Technologies does not ---** warrant that the functions contained in these designs will meet your ---** requirements, or that the operation of these designs will be ---** uninterrupted or error free, or that defects in the Designs will be ---** corrected. Furthermore, Orange Tree Technologies does not warrant or ---** make any representations regarding use or the results of the use of the ---** designs in terms of correctness, accuracy, reliability, or otherwise. ---** ---** LIMITATION OF LIABILITY. In no event will Orange Tree Technologies ---** or its licensors be liable for any loss of data, lost profits, cost or ---** procurement of substitute goods or services, or for any special, ---** incidental, consequential, or indirect damages arising from the use or ---** operation of the designs or accompanying documentation, however caused ---** and on any theory of liability. This limitation will apply even if ---** Orange Tree Technologies has been advised of the possibility of such ---** damage. This limitation shall apply notwithstanding the failure of the ---** essential purpose of any limited remedies herein. ---** ---***************************************************************************************** - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -library UNISIM; -use UNISIM.VComponents.all; - -entity ZestSC1_Host is - port ( - -- FPGA pin connections - USB_StreamCLK : in std_logic; - USB_StreamFIFOADDR : out std_logic_vector(1 downto 0); - USB_StreamPKTEND_n : out std_logic; - USB_StreamFlags_n : in std_logic_vector(2 downto 0); - USB_StreamSLOE_n : out std_logic; - USB_StreamSLRD_n : out std_logic; - USB_StreamSLWR_n : out std_logic; - USB_StreamFX2Rdy : in std_logic; --- USB_StreamData : inout std_logic_vector(15 downto 0); - USB_StreamData : out std_logic_vector(15 downto 0); - - USB_RegCLK : in std_logic; - USB_RegAddr : in std_logic_vector(15 downto 0); - USB_RegData : inout std_logic_vector(7 downto 0); - USB_RegOE_n : in std_logic; - USB_RegRD_n : in std_logic; - USB_RegWR_n : in std_logic; - USB_RegCS_n : in std_logic; - - USB_Interrupt : out std_logic; - - -- User connections - -- Streaming interface - User_CLK : out std_logic; - User_RST : out std_logic; - DCMLocked : out std_logic; - - User_StreamBusGrantLength : in std_logic_vector(11 downto 0); - - User_StreamDataIn : out std_logic_vector(15 downto 0); - User_StreamDataInWE : out std_logic; - User_StreamDataInBusy : in std_logic; - - User_StreamDataOut : in std_logic_vector(15 downto 0); - User_StreamDataOutWE : in std_logic; - User_StreamDataOutBusy : out std_logic; - - -- Register interface - User_RegAddr : out std_logic_vector(15 downto 0); - User_RegDataIn : out std_logic_vector(7 downto 0); - User_RegDataOut : in std_logic_vector(7 downto 0); - User_RegWE : out std_logic; - User_RegRE : out std_logic; - - -- Interrupts - User_Interrupt : in std_logic - ); -end ZestSC1_Host; - -architecture arch of ZestSC1_Host is - - -- Reset block - component ROC - port - ( - O : out std_logic - ); - end component; - attribute box_type : string; - attribute box_type of ROC: component is "black_box"; - - -- DCMs - component DCM - -- synthesis translate_off - generic (CLK_FEEDBACK : string := "1X"; - CLKDV_DIVIDE : real := 2.0; - CLKFX_DIVIDE : integer := 1; - CLKFX_MULTIPLY : integer := 4; - CLKIN_DIVIDE_BY_2 : boolean := FALSE; - CLKIN_PERIOD : real := 20.0; - CLKOUT_PHASE_SHIFT : string := "NONE"; - DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; - DFS_FREQUENCY_MODE : string := "LOW"; - DLL_FREQUENCY_MODE : string := "LOW"; - DSS_MODE : string := "NONE"; - DUTY_CYCLE_CORRECTION : boolean := TRUE; - PHASE_SHIFT : integer := 0; - STARTUP_WAIT : boolean := FALSE); - -- synthesis translate_on - port (CLK0 : out std_ulogic; - CLK180 : out std_ulogic; - CLK270 : out std_ulogic; - CLK2X : out std_ulogic; - CLK2X180 : out std_ulogic; - CLK90 : out std_ulogic; - CLKDV : out std_ulogic; - CLKFX : out std_ulogic; - CLKFX180 : out std_ulogic; - LOCKED : out std_ulogic; - PSDONE : out std_ulogic; - STATUS : out std_logic_vector(7 downto 0); - CLKFB : in std_ulogic; - CLKIN : in std_ulogic; - DSSEN : in std_ulogic; - PSCLK : in std_ulogic; - PSEN : in std_ulogic; - PSINCDEC : in std_ulogic; - RST : in std_ulogic); - end component; - attribute box_type of DCM: component is "black_box"; - - attribute CLK_FEEDBACK : string; - attribute CLKDV_DIVIDE : real; - attribute CLKFX_DIVIDE : integer; - attribute CLKFX_MULTIPLY : integer; - attribute CLKIN_DIVIDE_BY_2 : boolean; - attribute CLKOUT_PHASE_SHIFT : string; - attribute DESKEW_ADJUST : string; - attribute DFS_FREQUENCY_MODE : string; - attribute DLL_FREQUENCY_MODE : string; - attribute DSS_MODE : string; - attribute DUTY_CYCLE_CORRECTION : boolean; - attribute PHASE_SHIFT : integer; - attribute STARTUP_WAIT : boolean; --- attribute FACTORY_JF : integer; - - -- Component Attribute specification for Stream clock DCM - attribute CLK_FEEDBACK of StreamDCM: label is "1X"; - attribute CLKDV_DIVIDE of StreamDCM: label is 2.0; - attribute CLKFX_DIVIDE of StreamDCM: label is 1; - attribute CLKFX_MULTIPLY of StreamDCM: label is 2; - attribute CLKIN_DIVIDE_BY_2 of StreamDCM: label is FALSE; - attribute CLKOUT_PHASE_SHIFT of StreamDCM: label is "NONE"; --- attribute CLKOUT_PHASE_SHIFT of StreamDCM: label is "FIXED"; - attribute DESKEW_ADJUST of StreamDCM : label is "SYSTEM_SYNCHRONOUS"; - attribute DFS_FREQUENCY_MODE of StreamDCM: label is "LOW"; - attribute DLL_FREQUENCY_MODE of StreamDCM: label is "LOW"; - attribute DSS_MODE of StreamDCM: label is "NONE"; - attribute DUTY_CYCLE_CORRECTION of StreamDCM: label is TRUE; - attribute PHASE_SHIFT of StreamDCM: label is 0; --- attribute PHASE_SHIFT of StreamDCM: label is -13; - attribute STARTUP_WAIT of StreamDCM: label is FALSE; --- attribute FACTORY_JF of StreamDCM: label is x"8080"; - - -- Component Attribute specification for Register clock DCM - attribute CLK_FEEDBACK of RegDCM: label is "1X"; - attribute CLKDV_DIVIDE of RegDCM: label is 2.0; - attribute CLKFX_DIVIDE of RegDCM: label is 1; - attribute CLKFX_MULTIPLY of RegDCM: label is 2; - attribute CLKIN_DIVIDE_BY_2 of RegDCM: label is FALSE; - attribute CLKOUT_PHASE_SHIFT of RegDCM: label is "NONE"; - attribute DESKEW_ADJUST of RegDCM : label is "SYSTEM_SYNCHRONOUS"; - attribute DFS_FREQUENCY_MODE of RegDCM: label is "LOW"; - attribute DLL_FREQUENCY_MODE of RegDCM: label is "LOW"; - attribute DSS_MODE of RegDCM: label is "NONE"; - attribute DUTY_CYCLE_CORRECTION of RegDCM: label is TRUE; - attribute PHASE_SHIFT of RegDCM: label is 0; - attribute STARTUP_WAIT of RegDCM: label is FALSE; - - -- Declare global clock buffer - component BUFG - port (I : in std_logic; - O : out std_logic - ); - end component; - attribute box_type of BUFG: component is "black_box"; - - -- General signals - signal RST : std_logic; - signal StreamCLK : std_logic; - signal StreamCLKFB : std_logic; - signal RegCLK : std_logic; - signal RegCLKFB : std_logic; - - -- Streaming interface --- signal StreamRead : std_logic; - signal StreamWrite : std_logic; - signal StreamDataIn : std_logic_vector(15 downto 0); - signal StreamDataOut : std_logic_vector(15 downto 0); - signal DataOutRegFull : std_logic; - signal StreamBusy : std_logic; - signal StreamDataAvailable : std_logic; - signal StreamReadStrobe : std_logic; - signal WriteToFIFOIn : std_logic; - signal StreamWriteStrobe : std_logic; - signal ReadFromFIFOOut : std_logic; - signal ReadOK : std_logic; - signal WriteOK : std_logic; --- signal ReadCycle : std_logic; - signal WriteCycle : std_logic; - signal User_DataInStrobe : std_logic; - signal GrantPeriod : std_logic_vector(11 downto 0); - signal Granted : std_logic; - signal LastDir : std_logic; - - signal FX2FIFOFull : std_logic; - signal FX2FIFOEmpty : std_logic; - - type FIFO_ARRAY_TYPE is array(15 downto 0) of std_logic_vector(15 downto 0); - signal FIFOOut : FIFO_ARRAY_TYPE; - signal FIFOIn : FIFO_ARRAY_TYPE; - signal FIFOInEmpty : std_logic; - - signal FIFOOutWriteCount : std_logic_vector(3 downto 0); - signal FIFOOutWriteCountG : std_logic_vector(3 downto 0); - signal RegFIFOOutWriteCountG : std_logic_vector(3 downto 0); - signal FIFOOutWriteCountInUSB : std_logic_vector(3 downto 0); - - signal FIFOOutReadCount : std_logic_vector(3 downto 0); - signal FIFOOutReadCountG : std_logic_vector(3 downto 0); - signal RegFIFOOutReadCountG : std_logic_vector(3 downto 0); - signal FIFOOutReadCountInUser : std_logic_vector(3 downto 0); - - signal FIFOInWriteCount : std_logic_vector(3 downto 0); - signal FIFOInWriteCountG : std_logic_vector(3 downto 0); - signal RegFIFOInWriteCountG : std_logic_vector(3 downto 0); - signal FIFOInWriteCountInUser : std_logic_vector(3 downto 0); - - signal FIFOInReadCount : std_logic_vector(3 downto 0); - signal FIFOInReadCountG : std_logic_vector(3 downto 0); - signal RegFIFOInReadCountG : std_logic_vector(3 downto 0); - signal FIFOInReadCountInUSB : std_logic_vector(3 downto 0); - - signal FIFOOutDataCount : std_logic_vector(3 downto 0); - signal FIFOInDataCount : std_logic_vector(3 downto 0); - - -- Memory mapped interface - signal RegCS : std_logic; - signal RegLastCS : std_logic; - signal RegOE : std_logic; - signal RegLastOE : std_logic; - signal RegWR : std_logic; - signal RegLastWR : std_logic; - signal RegRD : std_logic; - signal RegLastRD : std_logic; - signal RegOutput : std_logic_vector(7 downto 0); - - -- Counter for interrupt generation - signal IntCounter : std_logic_vector(2 downto 0); - -begin - - -- Generate resets and clocks - ROC_1 : ROC port map ( O => RST ); - User_RST <= RST; - User_CLK <= RegCLK; - - ------------------------- - -- Streaming interface -- - ------------------------- - - -- Control signals - USB_StreamPKTEND_n <= '1'; - USB_StreamSLRD_n <= not StreamReadStrobe; - USB_StreamSLWR_n <= not StreamWriteStrobe; - USB_StreamSLOE_n <= '1'; -- modify for write stream data only - - USB_StreamData <= StreamDataOut; -- modify for output only - --- USB_StreamFIFOADDR <= "00" when (ReadCycle='1' or StreamRead='1') else "10"; - USB_StreamFIFOADDR <= "10"; - - - -- Generate FIFO full and empty flags for the FX2 - -- This must be done internally because the timing of the FX2 flags - -- and read/write strobes is such that we cannot respond to the flags - -- in one clock cycle. The flags from the FX2 are therefore set to - -- one empty place (full flag) and one available word (empty flag). - process (RST, StreamCLK) - begin - if (RST='1') then - FX2FIFOFull <= '1'; - FX2FIFOEmpty <= '1'; - elsif (StreamCLK'event and StreamCLK='1') then - if (USB_StreamFlags_n(0)='1' and USB_StreamFX2Rdy='1') then - FX2FIFOEmpty <= '0'; - elsif (StreamReadStrobe='1') then - FX2FIFOEmpty <= '1'; - end if; - - if (USB_StreamFlags_n(1)='1' and USB_StreamFX2Rdy='1') then - FX2FIFOFull <= '0'; - elsif (StreamWriteStrobe='1') then - FX2FIFOFull <= '1'; - end if; - end if; - end process; - - -- Read and write strobe generation and registering of input and output data - StreamReadStrobe <= '0'; -- modify for write only - - WriteToFIFOIn <= '0'; -- modify for write only - - StreamWriteStrobe <= '1' when (WriteCycle='1') else '0'; - ReadFromFIFOOut <= '1' when (WriteCycle='0' and DataOutRegFull='0' and - StreamDataAvailable='1') else '0'; - WriteOK <= '1' when FX2FIFOFull='0' and (StreamDataAvailable='1' or DataOutRegFull='1') and - USB_StreamFX2Rdy='1' and StreamWrite='1' else '0'; - process (RST, StreamCLK) - begin - if (RST='1') then - DataOutRegFull <= '0'; - elsif (StreamCLK'event and StreamCLK='1') then - if (ReadFromFIFOOut='1') then - StreamDataOut <= FIFOOut(conv_integer(FIFOOutReadCount)); - DataOutRegFull <= '1'; - elsif (StreamWriteStrobe='1') then - DataOutRegFull <= '0'; - end if; - end if; - end process; - - -- Control individual reads and writes from/to the FX2 - process (RST, StreamCLK) - begin - if (RST='1') then --- ReadCycle <= '0'; - WriteCycle <= '0'; - elsif (StreamCLK'event and StreamCLK='1') then - --- ReadCycle <= '0'; -- modify for write only. - - if (WriteCycle='0') then - WriteCycle <= WriteOK; - else - WriteCycle <= '0'; - end if; - - end if; - end process; - - -- Manage transfers - -- Checks whether a transfer is needed and possible - -- Use round robin to alternate between reads and writes - -- - -- GrantLength limits the length of time the streaming bus is granted to - -- reads or writes. Set it low for rapid bus turn arounds at the expense - -- of overall bandwidth. Set high for larger maximum bandwidth at the - -- expense of slower bus turnarounds - -- DGC, Dec 09. - process (RST, StreamCLK) - begin - if (RST='1') then --- StreamRead <= '0'; - StreamWrite <= '0'; - GrantPeriod <= X"000"; - Granted <= '0'; - FIFOInWriteCount <= X"0"; - FIFOOutReadCount <= X"0"; - LastDir <= '0'; - - elsif (StreamCLK'event and StreamCLK='1') then - --- StreamRead <= '0'; - - if (Granted='0') then - - -- modify for output data only - if (FX2FIFOFull='0' and (StreamDataAvailable='1' or DataOutRegFull='1')) then - -- EP6 full flag is clear and we have data - StreamWrite <= '1'; --- GrantPeriod <= User_StreamBusGrantLength; -- no point in --- loading grant period - since don't do anything with it. - LastDir <= '1'; - Granted <= '1'; - end if; - - end if; - - if (WriteToFIFOIn='1') then - FIFOInWriteCount <= FIFOInWriteCount + 1; - end if; - if (ReadFromFIFOOut='1') then - FIFOOutReadCount <= FIFOOutReadCount + 1; - end if; - - end if; - end process; - - -- Short FIFOs for crossing clock domains - -- User domain -> USB - process (RST, RegCLK) - begin - if (RST='1') then - FIFOOutWriteCount <= "0000"; - elsif (RegCLK'event and RegCLK='1') then - if (User_StreamDataOutWE='1') then - FIFOOutWriteCount <= FIFOOutWriteCount + 1; - end if; - end if; - end process; - process (RegCLK) - begin - if (RegCLK'event and RegCLK='1') then - if (User_StreamDataOutWE='1') then - FIFOOut(conv_integer(FIFOOutWriteCount)) <= User_StreamDataOut; - end if; - end if; - end process; - - -- Note fixup for behavioural simulation - holds the data during write strobe - User_StreamDataIn <= ( others => '0' ); - User_StreamDataInWE <= User_DataInStrobe; - User_DataInStrobe <= not FIFOInEmpty and not User_StreamDataInBusy; - - -- Generate busy flag to User - -- Grey code the DataOut read counter, cross clock domain and decode - FIFOOutReadCountG <= FIFOOutReadCount(3) & - (FIFOOutReadCount(3) xor FIFOOutReadCount(2)) & - (FIFOOutReadCount(2) xor FIFOOutReadCount(1)) & - (FIFOOutReadCount(1) xor FIFOOutReadCount(0)); - process (RST, RegCLK) - begin - if (RST='1') then - RegFIFOOutReadCountG <= "0000"; - elsif (RegCLK'event and RegClk='1') then - RegFIFOOutReadCountG <= FIFOOutReadCountG; - end if; - end process; - FIFOOutReadCountInUser <= RegFIFOOutReadCountG(3) & - (RegFIFOOutReadCountG(3) xor RegFIFOOutReadCountG(2)) & - (RegFIFOOutReadCountG(3) xor RegFIFOOutReadCountG(2) xor RegFIFOOutReadCountG(1)) & - (RegFIFOOutReadCountG(3) xor RegFIFOOutReadCountG(2) xor RegFIFOOutReadCountG(1) xor RegFIFOOutReadCountG(0)); - FIFOOutDataCount <= FIFOOutWriteCount-FIFOOutReadCountInUser; - User_StreamDataOutBusy <= '1' when (FIFOOutDataCount(3)='1') else '0'; - - -- Generate write enable strobe to the User - -- Grey code the DataIn write counter, cross clock domain and decode - FIFOInWriteCountG <= FIFOInWriteCount(3) & - (FIFOInWriteCount(3) xor FIFOInWriteCount(2)) & - (FIFOInWriteCount(2) xor FIFOInWriteCount(1)) & - (FIFOInWriteCount(1) xor FIFOInWriteCount(0)); - process (RST, RegCLK) - begin - if (RST='1') then - RegFIFOInWriteCountG <= "0000"; - elsif (RegCLK'event and RegClk='1') then - RegFIFOInWriteCountG <= FIFOInWriteCountG; - end if; - end process; - FIFOInWriteCountInUser <= RegFIFOInWriteCountG(3) & - (RegFIFOInWriteCountG(3) xor RegFIFOInWriteCountG(2)) & - (RegFIFOInWriteCountG(3) xor RegFIFOInWriteCountG(2) xor RegFIFOInWriteCountG(1)) & - (RegFIFOInWriteCountG(3) xor RegFIFOInWriteCountG(2) xor RegFIFOInWriteCountG(1) xor RegFIFOInWriteCountG(0)); - FIFOInEmpty <= '1' when FIFOInWriteCountInUser=FIFOInReadCount else '0'; - - -- Generate 'data available' flag to the USB chip - FIFOOutWriteCountG <= FIFOOutWriteCount(3) & - (FIFOOutWriteCount(3) xor FIFOOutWriteCount(2)) & - (FIFOOutWriteCount(2) xor FIFOOutWriteCount(1)) & - (FIFOOutWriteCount(1) xor FIFOOutWriteCount(0)); - process (RST, StreamCLK) - begin - if (RST='1') then - RegFIFOOutWriteCountG <= "0000"; - elsif (StreamCLK'event and StreamCLK='1') then - RegFIFOOutWriteCountG <= FIFOOutWriteCountG; - end if; - end process; - FIFOOutWriteCountInUSB <= RegFIFOOutWriteCountG(3) & - (RegFIFOOutWriteCountG(3) xor RegFIFOOutWriteCountG(2)) & - (RegFIFOOutWriteCountG(3) xor RegFIFOOutWriteCountG(2) xor RegFIFOOutWriteCountG(1)) & - (RegFIFOOutWriteCountG(3) xor RegFIFOOutWriteCountG(2) xor RegFIFOOutWriteCountG(1) xor RegFIFOOutWriteCountG(0)); - StreamDataAvailable <= '1' when (FIFOOutWriteCountInUSB/=FIFOOutReadCount) else '0'; - - -- Generate 'space available' flag to the USB chip - FIFOInReadCountG <= FIFOInReadCount(3) & - (FIFOInReadCount(3) xor FIFOInReadCount(2)) & - (FIFOInReadCount(2) xor FIFOInReadCount(1)) & - (FIFOInReadCount(1) xor FIFOInReadCount(0)); - process (RST, StreamCLK) - begin - if (RST='1') then - RegFIFOInReadCountG <= "0000"; - elsif (StreamCLK'event and StreamCLK='1') then - RegFIFOInReadCountG <= FIFOInReadCountG; - end if; - end process; - FIFOInReadCountInUSB <= RegFIFOInReadCountG(3) & - (RegFIFOInReadCountG(3) xor RegFIFOInReadCountG(2)) & - (RegFIFOInReadCountG(3) xor RegFIFOInReadCountG(2) xor RegFIFOInReadCountG(1)) & - (RegFIFOInReadCountG(3) xor RegFIFOInReadCountG(2) xor RegFIFOInReadCountG(1) xor RegFIFOInReadCountG(0)); - FIFOInDataCount <= FIFOInWriteCount-FIFOInReadCountInUSB; - StreamBusy <= '1' when (FIFOInDataCount(3)='1') else '0'; - - - ----------------------------- - -- Memory mapped interface -- - ----------------------------- - User_RegAddr <= USB_RegAddr - X"2000"; -- 8051 external memory starts at 0x2000 - User_RegWE <= RegCS and RegLastCS and RegWR and RegLastWR; - User_RegRE <= RegCS and not RegLastCS and RegRD and not RegLastRD; - User_RegDataIn <= USB_RegData; - - RegCS <= not USB_RegCS_n; - RegOE <= not USB_RegOE_n; - RegWR <= not USB_RegWR_n; - RegRD <= not USB_RegRD_n; - process (RegCLK) - begin - if (RegCLK'event and RegCLK='1') then - RegLastCS <= RegCS; - RegLastRD <= RegRD; - RegLastWR <= RegWR; - RegLastOE <= RegOE; - end if; - end process; - - -- Register read interface - process (RegCLK) - begin - if (RegCLK'event and RegCLK='1') then - RegOutput <= User_RegDataOut; - end if; - end process; - - USB_RegData <= RegOutput when (RegRD='1' and RegCS='1' and RegOE='1') else (others=>'Z'); - - - --------------------------- - -- Generate an interrupt -- - --------------------------- - -- Interrupt is active low, edge triggered and must be held for - -- 4 cycles of the register interface clock - process (RST, RegCLK) - begin - if (RST='1') then - IntCounter <= "000"; - elsif (RegCLK'event and RegCLK='1') then - if (User_Interrupt='1') then - IntCounter <= "100"; - elsif (IntCounter/="000") then - IntCounter <= IntCounter + 1; - end if; - end if; - end process; - USB_Interrupt <= not IntCounter(2); - - - ------------------------------- - -- Instatiate DCMs on clocks -- - ------------------------------- - StreamDCM : DCM - -- synthesis translate_off - generic map(CLK_FEEDBACK => "1X", - CLKDV_DIVIDE => 2.0, - CLKFX_DIVIDE => 1, - CLKFX_MULTIPLY => 2, - CLKIN_DIVIDE_BY_2 => false, - CLKOUT_PHASE_SHIFT => "NONE", --- CLKOUT_PHASE_SHIFT => "NONE", - CLKIN_PERIOD => 20.833, - CLKOUT_PHASE_SHIFT => "FIXED", - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", - DFS_FREQUENCY_MODE => "LOW", - DLL_FREQUENCY_MODE => "LOW", - DSS_MODE => "NONE", - DUTY_CYCLE_CORRECTION => true, --- PHASE_SHIFT => -13, - PHASE_SHIFT => 0, --- FACTORY_JF => x"8080", - STARTUP_WAIT => false) - -- synthesis translate_on - port map (CLK0 => StreamCLKFB, - CLKFB => StreamCLK, - CLKIN => USB_StreamCLK, - DSSEN => '0', - PSCLK => '0', - PSEN => '0', - PSINCDEC => '0', - RST => RST); - StreamCLK_BUFG: BUFG - port map ( - I => StreamCLKFB, - O => StreamCLK - ); - - RegDCM : DCM - -- synthesis translate_off - generic map(CLK_FEEDBACK => "1X", - CLKDV_DIVIDE => 2.0, - CLKFX_DIVIDE => 1, - CLKFX_MULTIPLY => 2, - CLKIN_DIVIDE_BY_2 => false, - CLKOUT_PHASE_SHIFT => "NONE", - DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", - DFS_FREQUENCY_MODE => "LOW", - DLL_FREQUENCY_MODE => "LOW", - DSS_MODE => "NONE", - DUTY_CYCLE_CORRECTION => true, - PHASE_SHIFT => 0, - STARTUP_WAIT => false) - -- synthesis translate_on - port map (CLK0 => RegCLKFB, - CLKFB => RegCLK, - CLKIN => USB_RegCLK, - DSSEN => '0', - PSCLK => '0', - PSEN => '0', - PSINCDEC => '0', - RST => RST, - LOCKED => DCMLocked); - RegCLK_BUFG: BUFG - port map ( - I => RegCLKFB, - O => RegCLK - ); - -end arch; diff --git a/EUDETdummy/hdl/ZestSC1_Interfaces.vhd b/EUDETdummy/hdl/ZestSC1_Interfaces.vhd deleted file mode 100644 index 6f9909e..0000000 --- a/EUDETdummy/hdl/ZestSC1_Interfaces.vhd +++ /dev/null @@ -1,329 +0,0 @@ --- ZestSC1 Top Level Code --- File name: ZestSC1_Interfaces.vhd --- Version: 1.00 --- Date: 9/2/2005 - --- Copyright (C) 2005 Orange Tree Technologies Ltd. All rights reserved. --- Orange Tree Technologies grants the purchaser of a ZestSC1 the right to use and --- modify this logic core in any form including but not limited to VHDL source code or --- EDIF netlist in FPGA designs that target the ZestSC1. --- Orange Tree Technologies prohibits the use of this logic core or any modification of --- it in any form including but not limited to VHDL source code or EDIF netlist in --- FPGA or ASIC designs that target any other hardware unless the purchaser of the --- ZestSC1 has purchased the appropriate licence from Orange Tree Technologies. --- Contact Orange Tree Technologies if you want to purchase such a licence. - ---***************************************************************************************** ---** ---** Disclaimer: LIMITED WARRANTY AND DISCLAIMER. These designs are ---** provided to you "as is". Orange Tree Technologies and its licensors ---** make and you receive no warranties or conditions, express, implied, ---** statutory or otherwise, and Orange Tree Technologies specifically ---** disclaims any implied warranties of merchantability, non-infringement, ---** or fitness for a particular purpose. Orange Tree Technologies does not ---** warrant that the functions contained in these designs will meet your ---** requirements, or that the operation of these designs will be ---** uninterrupted or error free, or that defects in the Designs will be ---** corrected. Furthermore, Orange Tree Technologies does not warrant or ---** make any representations regarding use or the results of the use of the ---** designs in terms of correctness, accuracy, reliability, or otherwise. ---** ---** LIMITATION OF LIABILITY. In no event will Orange Tree Technologies ---** or its licensors be liable for any loss of data, lost profits, cost or ---** procurement of substitute goods or services, or for any special, ---** incidental, consequential, or indirect damages arising from the use or ---** operation of the designs or accompanying documentation, however caused ---** and on any theory of liability. This limitation will apply even if ---** Orange Tree Technologies has been advised of the possibility of such ---** damage. This limitation shall apply notwithstanding the failure of the ---** essential purpose of any limited remedies herein. ---** ---***************************************************************************************** - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - --- Uncomment the following lines to use the declarations that are --- provided for instantiating Xilinx primitive components. ---library UNISIM; ---use UNISIM.VComponents.all; - -entity ZestSC1_Interfaces is - port ( - -- User connections - -- General connections - User_CLK : out std_logic; -- User logic clock - User_RST : out std_logic; -- User logic reset - - -- USB Streaming interface - User_StreamBusGrantLength : in std_logic_vector(11 downto 0); -- Round robin grant length - -- Controls read and write grant times - -- on the streaming bus - - User_StreamDataIn : out std_logic_vector(15 downto 0); -- Stream data from host - User_StreamDataInWE : out std_logic; -- Stream write strobe from host - User_StreamDataInBusy : in std_logic; -- Busy for stream from host - - User_StreamDataOut : in std_logic_vector(15 downto 0); -- Stream data to host - User_StreamDataOutWE : in std_logic; -- Stream write strobe to host - User_StreamDataOutBusy : out std_logic; -- Busy for stream to host - - -- USB Register interface - User_RegAddr : out std_logic_vector(15 downto 0); -- Register interface address - User_RegDataIn : out std_logic_vector(7 downto 0); -- Register write data - User_RegDataOut : in std_logic_vector(7 downto 0); -- Register read data - User_RegWE : out std_logic; -- Write strobe for register - User_RegRE : out std_logic; -- Read strobe for register - - -- USB Interrupts - User_Interrupt : in std_logic; -- Interrupt to host PC - - -- SRAM interface - User_SRAM_A: in std_logic_vector(22 downto 0); -- 23-bit address - User_SRAM_W: in std_logic; -- write strobe active high - User_SRAM_R: in std_logic; -- read strobe active high - User_SRAM_DR_VALID: out std_logic; -- read data valid strobe active high - User_SRAM_DW: in std_logic_vector(17 downto 0); -- 18-bit data bus for writing to SRAM - User_SRAM_DR: out std_logic_vector(17 downto 0); -- 18-bit data bus for reading from SRAM - - -- FPGA pin connections - -- External USB Controller interface - USB_StreamCLK : in std_logic; - USB_StreamFIFOADDR : out std_logic_vector(1 downto 0); - USB_StreamPKTEND_n : out std_logic; - USB_StreamFlags_n : in std_logic_vector(2 downto 0); - USB_StreamSLOE_n : out std_logic; - USB_StreamSLRD_n : out std_logic; - USB_StreamSLWR_n : out std_logic; - USB_StreamFX2Rdy : in std_logic; - USB_StreamData : inout std_logic_vector(15 downto 0); - - USB_RegCLK : in std_logic; - USB_RegAddr : in std_logic_vector(15 downto 0); - USB_RegData : inout std_logic_vector(7 downto 0); - USB_RegOE_n : in std_logic; - USB_RegRD_n : in std_logic; - USB_RegWR_n : in std_logic; - USB_RegCS_n : in std_logic; - - USB_Interrupt : out std_logic; - - -- External SRAM interface - S_CLK: out std_logic; - S_A: out std_logic_vector(22 downto 0); - S_ADV_LD_N: out std_logic; - S_BWA_N: out std_logic; - S_BWB_N: out std_logic; - S_DA: inout std_logic_vector(8 downto 0); - S_DB: inout std_logic_vector(8 downto 0); - S_OE_N: out std_logic; - S_WE_N: out std_logic - ); - -end ZestSC1_Interfaces; - -architecture arch of ZestSC1_Interfaces is - -component ZestSC1_Host is - port ( - -- FPGA pin connections - USB_StreamCLK : in std_logic; - USB_StreamFIFOADDR : out std_logic_vector(1 downto 0); - USB_StreamPKTEND_n : out std_logic; - USB_StreamFlags_n : in std_logic_vector(2 downto 0); - USB_StreamSLOE_n : out std_logic; - USB_StreamSLRD_n : out std_logic; - USB_StreamSLWR_n : out std_logic; - USB_StreamFX2Rdy : in std_logic; - USB_StreamData : inout std_logic_vector(15 downto 0); - - USB_RegCLK : in std_logic; - USB_RegAddr : in std_logic_vector(15 downto 0); - USB_RegData : inout std_logic_vector(7 downto 0); - USB_RegOE_n : in std_logic; - USB_RegRD_n : in std_logic; - USB_RegWR_n : in std_logic; - USB_RegCS_n : in std_logic; - - USB_Interrupt : out std_logic; - - -- User connections - -- General connections - User_CLK : out std_logic; - User_RST : out std_logic; - DCMLocked : out std_logic; - - -- Streaming interface - User_StreamBusGrantLength : in std_logic_vector(11 downto 0); - - User_StreamDataIn : out std_logic_vector(15 downto 0); - User_StreamDataInWE : out std_logic; - User_StreamDataInBusy : in std_logic; - - User_StreamDataOut : in std_logic_vector(15 downto 0); - User_StreamDataOutWE : in std_logic; - User_StreamDataOutBusy : out std_logic; - - -- Register interface - User_RegAddr : out std_logic_vector(15 downto 0); - User_RegDataIn : out std_logic_vector(7 downto 0); - User_RegDataOut : in std_logic_vector(7 downto 0); - User_RegWE : out std_logic; - User_RegRE : out std_logic; - - -- Interrupts - User_Interrupt : in std_logic - ); -end component; - - --- comment out unused SRAM ---component ZestSC1_SRAM is --- port ( --- -- User interface --- USER_CLK: in std_logic; -- clock from user logic --- USER_RESET: in std_logic; -- reset --- USER_A: in std_logic_vector(22 downto 0); -- 23-bit address --- USER_W: in std_logic; -- write strobe active high --- USER_R: in std_logic; -- read strobe active high --- USER_DR_VALID: out std_logic; -- read data valid strobe active high --- USER_DW: in std_logic_vector(17 downto 0); -- 18-bit data bus for writing to SRAM --- USER_DR: out std_logic_vector(17 downto 0); -- 18-bit data bus for reading from SRAM --- --- -- ZBT SRAM interface --- CLK_SRAM: out std_logic; --- S_A: out std_logic_vector(22 downto 0); --- S_ADV_LD_N: out std_logic; --- S_BWA_N: out std_logic; --- S_BWB_N: out std_logic; --- S_DA: inout std_logic_vector(8 downto 0); --- S_DB: inout std_logic_vector(8 downto 0); --- S_OE_N: out std_logic; --- S_WE_N: out std_logic --- ); ---end component; - -signal Clk: std_logic; -signal Reset: std_logic; -signal SRAMReset : std_logic; -signal DCMLocked : std_logic; - --- Preserve IO signals to prevent errors from the UCF file --- Comment out all keep attributes in an attempt to see if it --- screws ISE 9.1 ---attribute keep : string; ---attribute keep of USB_StreamCLK: signal is "true"; ---attribute keep of USB_StreamSLRD_n: signal is "true"; ---attribute keep of USB_StreamSLWR_n: signal is "true"; ---attribute keep of USB_StreamSLOE_n: signal is "true"; ---attribute keep of USB_StreamFX2Rdy: signal is "true"; ---attribute keep of USB_StreamFIFOADDR: signal is "true"; ---attribute keep of USB_StreamPKTEND_n: signal is "true"; ---attribute keep of USB_StreamData: signal is "true"; ---attribute keep of USB_StreamFlags_n: signal is "true"; --- ---attribute keep of USB_RegCLK: signal is "true"; ---attribute keep of USB_RegAddr: signal is "true"; ---attribute keep of USB_RegOE_n: signal is "true"; ---attribute keep of USB_RegRD_n: signal is "true"; ---attribute keep of USB_RegWR_n: signal is "true"; ---attribute keep of USB_RegCS_n: signal is "true"; ---attribute keep of USB_RegData: signal is "true"; --- ---attribute keep of USB_Interrupt: signal is "true"; --- ---attribute keep of S_CLK: signal is "true"; ---attribute keep of S_A: signal is "true"; ---attribute keep of S_BWA_N: signal is "true"; ---attribute keep of S_BWB_N: signal is "true"; ---attribute keep of S_DA: signal is "true"; ---attribute keep of S_DB: signal is "true"; ---attribute keep of S_OE_N: signal is "true"; ---attribute keep of S_WE_N: signal is "true"; ---attribute keep of S_ADV_LD_N: signal is "true"; - -begin - -User_CLK <= Clk; -User_RST <= Reset; -SRAMReset <= Reset or not DCMLocked; - -INST_ZestSC1_Host: ZestSC1_Host - port map ( - -- FPGA pin connections - USB_StreamCLK => USB_StreamCLK, - USB_StreamFIFOADDR => USB_StreamFIFOADDR, - USB_StreamPKTEND_n => USB_StreamPKTEND_n, - USB_StreamFlags_n => USB_StreamFlags_n, - USB_StreamSLOE_n => USB_StreamSLOE_n, - USB_StreamSLRD_n => USB_StreamSLRD_n, - USB_StreamSLWR_n => USB_StreamSLWR_n, - USB_StreamFX2Rdy => USB_StreamFX2Rdy, - USB_StreamData => USB_StreamData, - - USB_RegCLK => USB_RegCLK, - USB_RegAddr => USB_RegAddr, - USB_RegData => USB_RegData, - USB_RegOE_n => USB_RegOE_n, - USB_RegRD_n => USB_RegRD_n, - USB_RegWR_n => USB_RegWR_n, - USB_RegCS_n => USB_RegCS_n, - - USB_Interrupt => USB_Interrupt, - - -- User connections - -- General connections - User_CLK => Clk, - User_RST => Reset, - DCMLocked => DCMLocked, - - -- Streaming interface - User_StreamBusGrantLength => User_StreamBusGrantLength, - - User_StreamDataIn => User_StreamDataIn, - User_StreamDataInWE => User_StreamDataInWE, - User_StreamDataInBusy => User_StreamDataInBusy, - - User_StreamDataOut => User_StreamDataOut, - User_StreamDataOutWE => User_StreamDataOutWE, - User_StreamDataOutBusy => User_StreamDataOutBusy, - - -- Register interface - User_RegAddr => User_RegAddr, - User_RegDataIn => User_RegDataIn, - User_RegDataOut => User_RegDataOut, - User_RegWE => User_RegWE, - User_RegRE => User_RegRE, - - -- Interrupts - User_Interrupt => User_Interrupt - ); - --- Comment out SRAM since it isn't needed. ---INST_SRAM: ZestSC1_SRAM --- port map( --- -- User interface --- USER_CLK => Clk, --- USER_RESET => SRAMReset, --- USER_A => USER_SRAM_A, --- USER_W => USER_SRAM_W, --- USER_R => USER_SRAM_R, --- USER_DR_VALID => USER_SRAM_DR_VALID, --- USER_DW => USER_SRAM_DW, --- USER_DR => USER_SRAM_DR, --- --- -- ZBT SRAM interface --- CLK_SRAM => S_CLK, --- S_A => S_A, --- S_ADV_LD_N => S_ADV_LD_N, --- S_BWA_N => S_BWA_N, --- S_BWB_N => S_BWB_N, --- S_DA => S_DA, --- S_DB => S_DB, --- S_OE_N => S_OE_N, --- S_WE_N => S_WE_N --- ); - -end arch; diff --git a/EUDETdummy/hdl/clocks_7s_extphy_se.vhd b/EUDETdummy/hdl/clocks_7s_extphy_se.vhd deleted file mode 100644 index 90c77ff..0000000 --- a/EUDETdummy/hdl/clocks_7s_extphy_se.vhd +++ /dev/null @@ -1,151 +0,0 @@ --- clocks_7s_extphy_se --- --- Generates a 125MHz ethernet clock and 31MHz ipbus clock from the 50MHz reference --- Also an unbuffered 200MHz clock for IO delay calibration block --- Includes reset logic for ipbus --- --- Dave Newbold, April 2011 --- --- $Id$ - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -library unisim; -use unisim.VComponents.all; - -entity clocks_7s_extphy_Se is - port( - sysclk: in std_logic; - clko_125: out std_logic; - clko_125_90: out std_logic; - clko_200: out std_logic; - clko_ipb: out std_logic; - locked: out std_logic; - nuke: in std_logic; - soft_rst: in std_logic; - rsto_125: out std_logic; - rsto_ipb: out std_logic; - rsto_ipb_ctrl: out std_logic; - onehz: out std_logic - ); - -end clocks_7s_extphy_se; - -architecture rtl of clocks_7s_extphy_se is - - signal dcm_locked, sysclk_i, clk_ipb_i, clk_125_i, clk_125_90_i, clkfb, clk_ipb_b, clk_125_b, clk_200_i: std_logic; - signal d17, d17_d: std_logic; - signal nuke_i, nuke_d, nuke_d2: std_logic := '0'; - signal rst, srst, rst_ipb, rst_125, rst_ipb_ctrl: std_logic := '1'; - signal rctr: unsigned(3 downto 0) := "0000"; - -begin - - ibufgds0: IBUFG port map( - i => sysclk, - o => sysclk_i - ); - - bufg125: BUFG port map( - i => clk_125_i, - o => clk_125_b - ); - - clko_125 <= clk_125_b; - - bufg125_90: BUFG port map( - i => clk_125_90_i, - o => clko_125_90 - ); - - bufgipb: BUFG port map( - i => clk_ipb_i, - o => clk_ipb_b - ); - - clko_ipb <= clk_ipb_b; - - bufg200: BUFG port map( - i => clk_200_i, - o => clko_200 - ); - - mmcm: MMCME2_BASE - generic map( - clkfbout_mult_f => 20.0, - clkout1_divide => 8, - clkout2_divide => 8, - clkout2_phase => 90.0, - clkout3_divide => 32, - clkout4_divide => 5, - clkin1_period => 20.0 - ) - port map( - clkin1 => sysclk_i, - clkfbin => clkfb, - clkfbout => clkfb, - clkout1 => clk_125_i, - clkout2 => clk_125_90_i, - clkout3 => clk_ipb_i, - clkout4 => clk_200_i, - locked => dcm_locked, - rst => '0', - pwrdwn => '0' - ); - - clkdiv: entity work.ipbus_clock_div - port map( - clk => sysclk_i, - d17 => d17, - d28 => onehz - ); - - process(sysclk_i) - begin - if rising_edge(sysclk_i) then - d17_d <= d17; - if d17='1' and d17_d='0' then - rst <= nuke_d2 or not dcm_locked; - nuke_d <= nuke_i; -- Time bomb (allows return packet to be sent) - nuke_d2 <= nuke_d; - end if; - end if; - end process; - - locked <= dcm_locked; - srst <= '1' when rctr /= "0000" else '0'; - - process(clk_ipb_b) - begin - if rising_edge(clk_ipb_b) then - rst_ipb <= rst or srst; - nuke_i <= nuke; - if srst = '1' or soft_rst = '1' then - rctr <= rctr + 1; - end if; - end if; - end process; - - rsto_ipb <= rst_ipb; - - process(clk_ipb_b) - begin - if rising_edge(clk_ipb_b) then - rst_ipb_ctrl <= rst; - end if; - end process; - - rsto_ipb_ctrl <= rst_ipb_ctrl; - - process(clk_125_b) - begin - if rising_edge(clk_125_b) then - rst_125 <= rst; - end if; - end process; - - rsto_125 <= rst_125; - -end rtl; diff --git a/EUDETdummy/hdl/delay.vhd b/EUDETdummy/hdl/delay.vhd deleted file mode 100644 index d819105..0000000 --- a/EUDETdummy/hdl/delay.vhd +++ /dev/null @@ -1,52 +0,0 @@ -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; -use IEEE.std_logic_arith.all; -USE ieee.std_logic_unsigned.all; - -entity delay is - - generic ( - length : integer := 1); -- number of clock cycles to delay signal - port ( - clock : in std_logic; -- rising edge active - input : in std_logic; - output : out std_logic); - -end delay; - -architecture rtl of delay is - - component dtype - port ( - Q : out std_logic; - C : in std_logic; - CLR : in std_logic; - D : in std_logic; - CE : in std_logic; - PRE : in std_logic - ); - end component; - - signal internal_signal : std_logic_vector( length downto 0); -- signals along the pipe-line - -begin -- rtl - - internal_signal(0) <= input; - - pipeline: for N in 1 to length generate - - pipelinestage: dtype - port map ( - q => internal_signal(N), - c => clock , - clr => '0' , - d => internal_signal(N-1), - ce => '1' , - pre => '0' - ); - - end generate pipeline; - - output <= internal_signal(length); - -end rtl; diff --git a/EUDETdummy/hdl/delay_word.vhd b/EUDETdummy/hdl/delay_word.vhd deleted file mode 100644 index e520714..0000000 --- a/EUDETdummy/hdl/delay_word.vhd +++ /dev/null @@ -1,43 +0,0 @@ -LIBRARY ieee; -USE ieee.std_logic_1164.ALL; -use IEEE.std_logic_arith.all; -USE ieee.std_logic_unsigned.all; - -entity delay_word is - - generic ( - length : integer := 1 ; -- number of clock cycles to delay signal - width : integer := 1 ); -- width of bus - port ( - clock : in std_logic; -- rising edge active - input : in std_logic_vector(width-1 downto 0); - output : out std_logic_vector(width-1 downto 0) - ); - -end delay_word; - -architecture rtl of delay_word is - - - subtype DataWord is std_logic_vector( width-1 downto 0 ); - type WordArray is array (length downto 0) of DataWord; - signal InternalSignal : WordArray; -- signals along the pipe-line - -begin -- rtl - - InternalSignal(0) <= input; - - pipeline: for N in 1 to length generate - - pipelinestage: process (clock , InternalSignal(N-1)) - begin -- process pipelinestage - if rising_edge(clock) then - InternalSignal(N) <= InternalSignal(N-1); - end if; - end process pipelinestage; - - end generate pipeline; - - output <= InternalSignal(length); - -end rtl; diff --git a/EUDETdummy/hdl/dtype.vhdl b/EUDETdummy/hdl/dtype.vhdl deleted file mode 100644 index d2dd171..0000000 --- a/EUDETdummy/hdl/dtype.vhdl +++ /dev/null @@ -1,34 +0,0 @@ ------ CELL dtype ----- -library IEEE; -use IEEE.STD_LOGIC_1164.all; --- use IEEE.VITAL_Timing.all; - -entity dtype is - - port( - Q : out std_logic; - C : in std_logic; - CLR : in std_logic; - D : in std_logic; - CE : in std_logic; - PRE : in std_logic - ); - -end dtype; - -architecture dtype_V of dtype is -begin - - VITALBehavior : process(C, CLR, PRE) - begin - - if (CLR = '1') then - Q <= '0'; - elsif (PRE = '1') then - Q <= '1'; - elsif (rising_edge(C) and CE='1') then - Q <= D ; - end if; - end process; -end dtype_V; - diff --git a/EUDETdummy/hdl/dummyEventBuffer_rtl.vhd b/EUDETdummy/hdl/dummyEventBuffer_rtl.vhd deleted file mode 100644 index 4c0e8fa..0000000 --- a/EUDETdummy/hdl/dummyEventBuffer_rtl.vhd +++ /dev/null @@ -1,168 +0,0 @@ ---============================================================================= ---! @file eventBuffer_rtl.vhd ---============================================================================= --- -------------------------------------------------------------------------------- --- -- --- University of Bristol, High Energy Physics Group. --- -- -------------------------------------------------------------------------------- -- --- VHDL Architecture fmc_mTLU_lib.eventBuffer.rtl --- --- --- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) --- -LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.numeric_std.all; - -USE work.ipbus.all; - ---! @brief Stores input words (64bits) for readout over IPBus. ---! Uses a FIFO ( 64bits at input, 32 bits at output ) --- --- ---! @author David Cussans , David.Cussans@bristol.ac.uk --- ---! @date 15:24:50 11/13/12 --- ---! @version v0.1 --- ---! @details ---! \n\nIPBus Address map: ---! \li 0x0000 - FIFO data ---! \li 0x0001 - FIFO fill level ---! \li 0x0010 - FIFO status/control: (Writing Bit-0 resets pointers, Reading bit-1 returns "prog_full" flag) ---! ---! Modified by: Alvaro Dosil , alvaro.dosil@usc.es \n --------------------------------------------------------------------------------- - -ENTITY eventBuffer IS - GENERIC( - g_EVENT_DATA_WIDTH : positive := 32; - g_IPBUS_WIDTH : positive := 32; - g_READ_COUNTER_WIDTH : positive := 13 - ); - PORT( - clk_4x_logic_i : IN std_logic; - data_strobe_i : IN std_logic; -- Indicates data to transfer - event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; - ipbus_reset_i : IN std_logic; - strobe_4x_logic_i : IN std_logic; - --trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not used yet. - rst_fifo_o : OUT std_logic; --! rst signal to first level fifos - buffer_full_o : OUT std_logic; --! Goes high when event buffer almost full - ipbus_o : OUT ipb_rbus; - logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic - ); - --- Declarations - -END ENTITY eventBuffer ; - --- -ARCHITECTURE rtl OF eventBuffer IS - signal s_rd_data_count : std_logic_vector(g_READ_COUNTER_WIDTH-1 downto 0) := (others =>'0'); - signal s_fifo_fill_level : std_logic_vector(g_IPBUS_WIDTH-1 downto 0) := (others =>'0'); -- read-counter - 2*write_count - signal s_write_strobe : std_logic := '0'; - signal s_rst_fifo, s_rst_fifo_ipb : std_logic := '0'; -- ! Take high to reset FIFO pointers. - signal s_fifo_prog_full : std_logic := '0'; -- ! Controlled by programmable-full flag of FIFO core - signal s_fifo_rd_en : std_logic := '0'; -- ! Take high to clock data out of FIFO - signal s_fifo_dout : std_logic_vector(g_IPBUS_WIDTH-1 downto 0); -- ! Output from FIFO ( fall-through mode) - signal s_fifo_valid : std_logic := '1'; -- ! High when data in FIFO - signal s_fifo_full, s_fifo_almost_full, s_fifo_empty, s_fifo_almost_empty : std_logic := '0'; -- ! full and empty FIFO flags - signal s_fifo_status_ipb , s_fifo_fill_level_d1 : std_logic_vector(ipbus_o.ipb_rdata'range) := (others => '0'); -- data registered onto IPBus clock - signal s_ack : std_logic := '0'; -- -- IPBus ACK signal - COMPONENT dummy_event_fifo - PORT ( - rst : IN STD_LOGIC; - wr_clk : IN STD_LOGIC; - rd_clk : IN STD_LOGIC; - din : IN STD_LOGIC_VECTOR(g_EVENT_DATA_WIDTH-1 DOWNTO 0); - wr_en : IN STD_LOGIC; - rd_en : IN STD_LOGIC; - dout : OUT STD_LOGIC_VECTOR(g_EVENT_DATA_WIDTH-1 DOWNTO 0); - full : OUT STD_LOGIC; - almost_full : OUT STD_LOGIC; - empty : OUT STD_LOGIC; - almost_empty : OUT STD_LOGIC; - rd_data_count : OUT STD_LOGIC_VECTOR(12 DOWNTO 0); - prog_full : OUT STD_LOGIC - ); - END COMPONENT; - -BEGIN - - ----------------------------------------------------------------------------- - -- IPBus IO - ----------------------------------------------------------------------------- - - --! Generate IPBus ACK - ipbus_ack: process(ipbus_clk_i) - begin - if rising_edge(ipbus_clk_i) then - s_ack <= ipbus_i.ipb_strobe and not s_ack; - end if; - end process ipbus_ack; - ipbus_o.ipb_ack <= s_ack; - - --! Generate FIFO read enable - --! take high for one cycle ( when ipb_strobe goes high but before ACK goes - --high to follow it - s_fifo_rd_en <= '1' when - ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_write = '0' and ipbus_i.ipb_addr(1 downto 0) = "00" and s_ack = '0' - else '0'; - ipbus_o.ipb_err <= '0'; - - --! Multiplex output data. - with ipbus_i.ipb_addr(1 downto 0) select ipbus_o.ipb_rdata <= - s_fifo_dout when "00", - s_fifo_fill_level when "01", - s_fifo_status_ipb when "10", - (others => '1') when others; - - ipbus_write: process (ipbus_clk_i) - begin -- process ipbus_write - if rising_edge(ipbus_clk_i) then - s_rst_fifo_ipb <= '0'; - if ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_addr(1 downto 0) = "10" and ipbus_i.ipb_write = '1' then - s_rst_fifo_ipb <= '1'; - end if; - -- Register data onto IPBus clock domain to ease timing closure. - s_fifo_status_ipb <= X"000000" & "000" & s_fifo_prog_full & s_fifo_full & s_fifo_almost_full & s_fifo_almost_empty & s_fifo_empty; - s_fifo_fill_level <= X"0000" & "000" & s_rd_data_count; - end if; - end process ipbus_write; - - rst_fifo_o <= s_rst_fifo_ipb; - s_rst_fifo <= s_rst_fifo_ipb or logic_reset_i; - - ----------------------------------------------------------------------------- - -- FIFO and fill-level calculation - ----------------------------------------------------------------------------- - - -- Instantiate a buffer to store the data. 64-bit on input, 32-bit on output. - --event_fifo : entity work.tlu_event_fifo - event_fifo : dummy_event_fifo - PORT MAP ( - rst => s_rst_fifo, - wr_clk => clk_4x_logic_i, - rd_clk => ipbus_clk_i, - --din => event_data_i, - din => event_data_i, - wr_en => data_strobe_i, - rd_en => s_fifo_rd_en, - dout => s_fifo_dout, - full => s_fifo_full, - almost_full => s_fifo_almost_full, - empty => s_fifo_empty, - almost_empty => s_fifo_almost_empty, - rd_data_count => s_rd_data_count, - prog_full => s_fifo_prog_full - ); - buffer_full_o <= s_fifo_prog_full; - -END ARCHITECTURE rtl; - diff --git a/EUDETdummy/hdl/enclustra_ax3_pm3_infra.vhd b/EUDETdummy/hdl/enclustra_ax3_pm3_infra.vhd deleted file mode 100644 index df07ba1..0000000 --- a/EUDETdummy/hdl/enclustra_ax3_pm3_infra.vhd +++ /dev/null @@ -1,131 +0,0 @@ --- enclustra_ax3_pm3_infra --- --- All board-specific stuff goes here --- --- Dave Newbold, June 2013--- - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -use work.ipbus.all; - -entity enclustra_ax3_pm3_infra is - port( - sysclk: in std_logic; -- ??? board crystal clock - clk_ipb_o: out std_logic; -- IPbus clock - rst_ipb_o: out std_logic; - rst_125_o: out std_logic; - clk_200_o: out std_logic; - --clk_aux_o: out std_logic; -- 40MHz generated clock - --rst_aux_o: out std_logic; - nuke: in std_logic; -- The signal of doom - soft_rst: in std_logic; -- The signal of lesser doom - leds: out std_logic_vector(1 downto 0); -- status LEDs - rgmii_txd: out std_logic_vector(3 downto 0); - rgmii_tx_ctl: out std_logic; - rgmii_txc: out std_logic; - rgmii_rxd: in std_logic_vector(3 downto 0); - rgmii_rx_ctl: in std_logic; - rgmii_rxc: in std_logic; - mac_addr: in std_logic_vector(47 downto 0); -- MAC address - ip_addr: in std_logic_vector(31 downto 0); -- IP address - ipb_in: in ipb_rbus; -- ipbus - ipb_out: out ipb_wbus - ); - -end enclustra_ax3_pm3_infra; - -architecture rtl of enclustra_ax3_pm3_infra is - - signal clk125_fr, clk125, clk125_90, clk200, clk_ipb, clk_ipb_i, locked, rst125, rst_ipb, rst_ipb_ctrl, rst_eth, onehz, pkt: std_logic; - signal mac_tx_data, mac_rx_data: std_logic_vector(7 downto 0); - signal mac_tx_valid, mac_tx_last, mac_tx_error, mac_tx_ready, mac_rx_valid, mac_rx_last, mac_rx_error: std_logic; - signal led_p: std_logic_vector(0 downto 0); - -begin - --- DCM clock generation for internal bus, ethernet - - clocks: entity work.clocks_7s_extphy_se - port map( - sysclk => sysclk, - clko_125 => clk125, - clko_125_90 => clk125_90, - clko_200 => clk200, - clko_ipb => clk_ipb_i, - locked => locked, - nuke => nuke, - soft_rst => soft_rst, - rsto_125 => rst125, - rsto_ipb => rst_ipb, - rsto_ipb_ctrl => rst_ipb_ctrl, - onehz => onehz - ); - - clk_ipb <= clk_ipb_i; -- Best to align delta delays on all clocks for simulation - clk_ipb_o <= clk_ipb_i; - rst_ipb_o <= rst_ipb; - rst_125_o <= rst125; - clk_200_o <= clk200; - - stretch: entity work.led_stretcher - generic map( - WIDTH => 1 - ) - port map( - clk => clk125, - d(0) => pkt, - q => led_p - ); - leds <= (led_p(0), locked and onehz); - --- Ethernet MAC core and PHY interface - - eth: entity work.eth_7s_rgmii - port map( - clk125 => clk125, - clk125_90 => clk125_90, - clk200 => clk200, - rst => rst125, - rgmii_txd => rgmii_txd, - rgmii_tx_ctl => rgmii_tx_ctl, - rgmii_txc => rgmii_txc, - rgmii_rxd => rgmii_rxd, - rgmii_rx_ctl => rgmii_rx_ctl, - rgmii_rxc => rgmii_rxc, - tx_data => mac_tx_data, - tx_valid => mac_tx_valid, - tx_last => mac_tx_last, - tx_error => mac_tx_error, - tx_ready => mac_tx_ready, - rx_data => mac_rx_data, - rx_valid => mac_rx_valid, - rx_last => mac_rx_last, - rx_error => mac_rx_error - ); - --- ipbus control logic - - ipbus: entity work.ipbus_ctrl - port map( - mac_clk => clk125, - rst_macclk => rst125, - ipb_clk => clk_ipb, - rst_ipb => rst_ipb_ctrl, - mac_rx_data => mac_rx_data, - mac_rx_valid => mac_rx_valid, - mac_rx_last => mac_rx_last, - mac_rx_error => mac_rx_error, - mac_tx_data => mac_tx_data, - mac_tx_valid => mac_tx_valid, - mac_tx_last => mac_tx_last, - mac_tx_error => mac_tx_error, - mac_tx_ready => mac_tx_ready, - ipb_out => ipb_out, - ipb_in => ipb_in, - mac_addr => mac_addr, - ip_addr => ip_addr, - pkt => pkt - ); - -end rtl; diff --git a/EUDETdummy/hdl/eth_7s_rgmii.vhd b/EUDETdummy/hdl/eth_7s_rgmii.vhd deleted file mode 100644 index 3e2e167..0000000 --- a/EUDETdummy/hdl/eth_7s_rgmii.vhd +++ /dev/null @@ -1,184 +0,0 @@ --- Contains the instantiation of the Xilinx MAC & PHY interface for RGMII --- --- Do not change signal names in here without corresponding alteration to the timing contraints file --- --- Dave Newbold, October 2016 - -library ieee; -use ieee.std_logic_1164.all; - -library unisim; -use unisim.VComponents.all; -use work.emac_hostbus_decl.all; - -entity eth_7s_rgmii is - port( - clk125: in std_logic; - clk125_90: in std_logic; - clk200: in std_logic; - rst: in std_logic; - rgmii_txd: out std_logic_vector(3 downto 0); - rgmii_tx_ctl: out std_logic; - rgmii_txc: out std_logic; - rgmii_rxd: in std_logic_vector(3 downto 0); - rgmii_rx_ctl: in std_logic; - rgmii_rxc: in std_logic; - tx_data: in std_logic_vector(7 downto 0); - tx_valid: in std_logic; - tx_last: in std_logic; - tx_error: in std_logic; - tx_ready: out std_logic; - rx_data: out std_logic_vector(7 downto 0); - rx_valid: out std_logic; - rx_last: out std_logic; - rx_error: out std_logic; - hostbus_in: in emac_hostbus_in := ('0', "00", "0000000000", X"00000000", '0', '0', '0'); - hostbus_out: out emac_hostbus_out; - status: out std_logic_vector(3 downto 0) - ); - -end eth_7s_rgmii; - -architecture rtl of eth_7s_rgmii is - - COMPONENT temac_gbe_v9_rgmii - PORT ( - gtx_clk : IN STD_LOGIC; - gtx_clk90 : IN STD_LOGIC; - glbl_rstn : IN STD_LOGIC; - rx_axi_rstn : IN STD_LOGIC; - tx_axi_rstn : IN STD_LOGIC; - rx_statistics_vector : OUT STD_LOGIC_VECTOR(27 DOWNTO 0); - rx_statistics_valid : OUT STD_LOGIC; - rx_mac_aclk : OUT STD_LOGIC; - rx_reset : OUT STD_LOGIC; - rx_axis_mac_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); - rx_axis_mac_tvalid : OUT STD_LOGIC; - rx_axis_mac_tlast : OUT STD_LOGIC; - rx_axis_mac_tuser : OUT STD_LOGIC; - tx_ifg_delay : IN STD_LOGIC_VECTOR(7 DOWNTO 0); - tx_statistics_vector : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); - tx_statistics_valid : OUT STD_LOGIC; - tx_mac_aclk : OUT STD_LOGIC; - tx_reset : OUT STD_LOGIC; - tx_axis_mac_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); - tx_axis_mac_tvalid : IN STD_LOGIC; - tx_axis_mac_tlast : IN STD_LOGIC; - tx_axis_mac_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); - tx_axis_mac_tready : OUT STD_LOGIC; - pause_req : IN STD_LOGIC; - pause_val : IN STD_LOGIC_VECTOR(15 DOWNTO 0); - speedis100 : OUT STD_LOGIC; - speedis10100 : OUT STD_LOGIC; - rgmii_txd : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); - rgmii_tx_ctl : OUT STD_LOGIC; - rgmii_txc : OUT STD_LOGIC; - rgmii_rxd : IN STD_LOGIC_VECTOR(3 DOWNTO 0); - rgmii_rx_ctl : IN STD_LOGIC; - rgmii_rxc : IN STD_LOGIC; - inband_link_status : OUT STD_LOGIC; - inband_clock_speed : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); - inband_duplex_status : OUT STD_LOGIC; - rx_configuration_vector : IN STD_LOGIC_VECTOR(79 DOWNTO 0); - tx_configuration_vector : IN STD_LOGIC_VECTOR(79 DOWNTO 0) - ); - END COMPONENT; - - COMPONENT mac_fifo_axi4 - PORT ( - m_aclk : IN STD_LOGIC; - s_aclk : IN STD_LOGIC; - s_aresetn : IN STD_LOGIC; - s_axis_tvalid : IN STD_LOGIC; - s_axis_tready : OUT STD_LOGIC; - s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); - s_axis_tlast : IN STD_LOGIC; - s_axis_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); - m_axis_tvalid : OUT STD_LOGIC; - m_axis_tready : IN STD_LOGIC; - m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); - m_axis_tlast : OUT STD_LOGIC; - m_axis_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) - ); - END COMPONENT; - - signal rx_data_e: std_logic_vector(7 downto 0); - signal rx_clk_e, rx_valid_e, rx_last_e, rx_user_e, rx_rst_e, rx_rst_en, rstn: std_logic; - signal rx_user_f, rx_user_ef: std_logic_vector(0 downto 0); - -begin - - idelayctrl0: idelayctrl port map( - refclk => clk200, - rst => rst - ); - - rstn <= not rst; - - emac0: temac_gbe_v9_rgmii - port map( - gtx_clk => clk125, - gtx_clk90 => clk125_90, - glbl_rstn => rstn, - rx_axi_rstn => '1', - tx_axi_rstn => '1', - rx_statistics_vector => open, - rx_statistics_valid => open, - rx_mac_aclk => rx_clk_e, - rx_reset => rx_rst_e, - rx_axis_mac_tdata => rx_data_e, - rx_axis_mac_tvalid => rx_valid_e, - rx_axis_mac_tlast => rx_last_e, - rx_axis_mac_tuser => rx_user_e, - tx_ifg_delay => X"00", - tx_statistics_vector => open, - tx_statistics_valid => open, - tx_mac_aclk => open, -- Internally connected to gtx_clk inside core - tx_reset => open, - tx_axis_mac_tdata => tx_data, - tx_axis_mac_tvalid => tx_valid, - tx_axis_mac_tlast => tx_last, - tx_axis_mac_tuser(0) => tx_error, - tx_axis_mac_tready => tx_ready, - pause_req => '0', - pause_val => X"0000", - speedis100 => open, - speedis10100 => open, - rgmii_txd => rgmii_txd, - rgmii_tx_ctl => rgmii_tx_ctl, - rgmii_txc => rgmii_txc, - rgmii_rxd => rgmii_rxd, - rgmii_rx_ctl => rgmii_rx_ctl, - rgmii_rxc => rgmii_rxc, - inband_link_status => status(0), - inband_clock_speed => status(3 downto 2), - inband_duplex_status => status(1), - rx_configuration_vector => X"0000_0000_0000_0000_0812", - tx_configuration_vector => X"0000_0000_0000_0000_0012" - ); - - rx_user_ef(0) <= rx_user_e; - rx_error <= rx_user_f(0); - rx_rst_en <= not rx_rst_e; - - fifo: mac_fifo_axi4 - port map( - m_aclk => clk125, - s_aclk => rx_clk_e, - s_aresetn => rx_rst_en, - s_axis_tvalid => rx_valid_e, - s_axis_tready => open, - s_axis_tdata => rx_data_e, - s_axis_tlast => rx_last_e, - s_axis_tuser => rx_user_ef, - m_axis_tvalid => rx_valid, - m_axis_tready => '1', - m_axis_tdata => rx_data, - m_axis_tlast => rx_last, - m_axis_tuser => rx_user_f - ); -- Clock domain crossing FIFO - - hostbus_out.hostrddata <= (others => '0'); - hostbus_out.hostmiimrdy <= '0'; - -end rtl; diff --git a/EUDETdummy/hdl/fmcTLU_pkg.vhd b/EUDETdummy/hdl/fmcTLU_pkg.vhd deleted file mode 100644 index cb6b101..0000000 --- a/EUDETdummy/hdl/fmcTLU_pkg.vhd +++ /dev/null @@ -1,27 +0,0 @@ ---============================================================================= ---! @file fmcTLU_pkg.vhd ---============================================================================= ---- ---! @brief VHDL Package Header fmc_mTLU_lib.fmcTLU --- ---! @author phdgc ---! @date 16:44:31 11/08/12 --- --- using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) --- -LIBRARY ieee; -USE ieee.std_logic_1164.all; -PACKAGE fmcTLU IS - - constant c_NUM_TIME_BITS : natural := 5; - constant c_NUM_TRIG_INPUTS : natural := 4; - constant c_EVENT_DATA_WIDTH : natural := 32; - constant c_DATA_WIDTH : natural := 32; - - subtype t_triggerTime is std_logic_vector(c_NUM_TIME_BITS-1 downto 0); - --type t_triggerTimeArray is array(natural range <>) of t_triggerTime; - type t_triggerTimeArray is array(natural range <>) of std_logic_vector(c_NUM_TIME_BITS-1 downto 0) ; - - type t_registerArray is array(natural range <>) of std_logic_vector(c_DATA_WIDTH-1 downto 0) ; - -END fmcTLU; diff --git a/EUDETdummy/hdl/fmcTLU_pkg_body.vhd b/EUDETdummy/hdl/fmcTLU_pkg_body.vhd deleted file mode 100644 index 9437776..0000000 --- a/EUDETdummy/hdl/fmcTLU_pkg_body.vhd +++ /dev/null @@ -1,13 +0,0 @@ ---============================================================================= ---! @file fmcTLU_pkg_body.vhd ---============================================================================= ---- ---! @brief VHDL Package Body fmc_mTLU_lib.fmcTLU --- ---! @author phdgc ---! @date 16:45:08 11/08/12 --- --- using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) --- -PACKAGE BODY fmcTLU IS -END fmcTLU; diff --git a/EUDETdummy/hdl/i2c/i2c_master_bit_ctrl.vhd b/EUDETdummy/hdl/i2c/i2c_master_bit_ctrl.vhd deleted file mode 100644 index d0dc4e9..0000000 --- a/EUDETdummy/hdl/i2c/i2c_master_bit_ctrl.vhd +++ /dev/null @@ -1,492 +0,0 @@ ----------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<< ----------------------------------------------------------------------- ---/////////////////////////////////////////////////////////////////// ---// //// ---// WISHBONE rev.B2 compliant I2C Master bit-controller //// ---// //// ---// //// ---// Author: Richard Herveille //// ---// richard@asics.ws //// ---// www.asics.ws //// ---// //// ---// Downloaded from: http://www.opencores.org/projects/i2c/ //// ---// //// ---/////////////////////////////////////////////////////////////////// ---// //// ---// Copyright (C) 2001 Richard Herveille //// ---// richard@asics.ws //// ---// //// ---// This source file may be used and distributed without //// ---// restriction provided that this copyright statement is not //// ---// removed from the file and that any derivative work contains //// ---// the original copyright notice and the associated disclaimer.//// ---// //// ---// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// ---// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// ---// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// ---// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// ---// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// ---// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// ---// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// ---// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// ---// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// ---// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// ---// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// ---// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// ---// POSSIBILITY OF SUCH DAMAGE. //// ---// //// ---/////////////////////////////////////////////////////////////////// --- -------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<< --- -------------------------------------------------------------------- --- Copyright (c) 2008 - 2010 by Lattice Semiconductor Corporation --- -------------------------------------------------------------------- --- --- Disclaimer: --- --- This VHDL or Verilog source code is intended as a design reference --- which illustrates how these types of functions can be implemented. --- It is the user's responsibility to verify their design for --- consistency and functionality through the use of formal --- verification methods. Lattice Semiconductor provides no warranty --- regarding the use or functionality of this code. --- --- -------------------------------------------------------------------- --- --- Lattice Semiconductor Corporation --- 5555 NE Moore Court --- Hillsboro, OR 97214 --- U.S.A --- --- TEL: 1-800-Lattice (USA and Canada) --- 503-268-8001 (other locations) --- --- web: http://www.latticesemi.com/ --- email: techsupport@latticesemi.com --- --- -------------------------------------------------------------------- --- Code Revision History : --- -------------------------------------------------------------------- --- Ver: | Author |Mod. Date |Changes Made: --- V1.0 |K.P. | 7/09 | Initial ver for VHDL --- | converted from LSC ref design RD1046 --- -------------------------------------------------------------------- - - ---///////////////////////////////////// ---// Bit controller section ---///////////////////////////////////// ---// ---// Translate simple commands into SCL/SDA transitions ---// Each command has 5 states, A/B/C/D/idle ---// ---// start: SCL ~~~~~~~~~~\____ ---// SDA ~~~~~~~~\______ ---// x | A | B | C | D | i ---// ---// repstart SCL ____/~~~~\___ ---// SDA __/~~~\______ ---// x | A | B | C | D | i ---// ---// stop SCL ____/~~~~~~~~ ---// SDA ==\____/~~~~~ ---// x | A | B | C | D | i ---// ---//- write SCL ____/~~~~\____ ---// SDA ==X=========X= ---// x | A | B | C | D | i ---// ---//- read SCL ____/~~~~\____ ---// SDA XXXX=====XXXX ---// x | A | B | C | D | i ---// --- ---// Timing: Normal mode Fast mode ---/////////////////////////////////////////////////////////////////////// ---// Fscl 100KHz 400KHz ---// Th_scl 4.0us 0.6us High period of SCL ---// Tl_scl 4.7us 1.3us Low period of SCL ---// Tsu:sta 4.7us 0.6us setup time for a repeated start condition ---// Tsu:sto 4.0us 0.6us setup time for a stop conditon ---// Tbuf 4.7us 1.3us Bus free time between a stop and start condition ---// --- --- -------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; - -entity i2c_master_bit_ctrl is - port ( - clk : in std_logic; - rst : in std_logic; - nReset : in std_logic; - clk_cnt : in std_logic_vector(15 downto 0); -- clock prescale value - ena : in std_logic; -- core enable signal - cmd : in std_logic_vector(3 downto 0); - cmd_ack : out std_logic; -- command complete acknowledge - busy : out std_logic; -- i2c bus busy - al : out std_logic; -- i2c bus arbitration lost - din : in std_logic; - dout : out std_logic; - scl_i : in std_logic; -- i2c clock line input - scl_o : out std_logic; -- i2c clock line output - scl_oen : out std_logic; -- i2c clock line output enable (active low) - sda_i : in std_logic; -- i2c data line input - sda_o : out std_logic; -- i2c data line output - sda_oen : out std_logic -- i2c data line output enable (active low) - ); - - -end; - -architecture arch of i2c_master_bit_ctrl is - ---attribute UGROUP:string; ---attribute UGROUP of arch : label is "bit_group"; - - -signal sSCL, sSDA : std_logic; -- synchronized SCL and SDA inputs -signal dscl_oen : std_logic; -- delayed scl_oen -signal sda_chk : std_logic; -- check SDA output (Multi-master arbitration) -signal clk_en : std_logic; -- clock generation signals -signal slave_wait : std_logic; - --- bus status controller signals -signal dSCL,dSDA : std_logic; -signal sta_condition : std_logic; -signal sto_condition : std_logic; -signal cmd_stop : std_logic; - -signal cnt : std_logic_vector(15 downto 0); -- clock divider counter - -signal scl_oen_int : std_logic; -signal sda_oen_int : std_logic; -signal busy_int : std_logic; -signal al_int : std_logic; - --- state machine variable -signal c_state : std_logic_vector(16 downto 0); - -constant idle : std_logic_vector(16 downto 0) := "00000000000000000"; -constant start_a : std_logic_vector(16 downto 0) := "00000000000000001"; -constant start_b : std_logic_vector(16 downto 0) := "00000000000000010"; -constant start_c : std_logic_vector(16 downto 0) := "00000000000000100"; -constant start_d : std_logic_vector(16 downto 0) := "00000000000001000"; -constant start_e : std_logic_vector(16 downto 0) := "00000000000010000"; -constant stop_a : std_logic_vector(16 downto 0) := "00000000000100000"; -constant stop_b : std_logic_vector(16 downto 0) := "00000000001000000"; -constant stop_c : std_logic_vector(16 downto 0) := "00000000010000000"; -constant stop_d : std_logic_vector(16 downto 0) := "00000000100000000"; -constant rd_a : std_logic_vector(16 downto 0) := "00000001000000000"; -constant rd_b : std_logic_vector(16 downto 0) := "00000010000000000"; -constant rd_c : std_logic_vector(16 downto 0) := "00000100000000000"; -constant rd_d : std_logic_vector(16 downto 0) := "00001000000000000"; -constant wr_a : std_logic_vector(16 downto 0) := "00010000000000000"; -constant wr_b : std_logic_vector(16 downto 0) := "00100000000000000"; -constant wr_c : std_logic_vector(16 downto 0) := "01000000000000000"; -constant wr_d : std_logic_vector(16 downto 0) := "10000000000000000"; - -constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000"; -constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001"; -constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010"; -constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "0100"; -constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "1000"; - -begin - -scl_oen <= scl_oen_int; -sda_oen <= sda_oen_int; - --- whenever the slave is not ready it can delay the cycle by pulling SCL low --- delay scl_oen -process(clk) -begin - if rising_edge(clk) then - dscl_oen <= scl_oen_int; - end if; -end process; - -slave_wait <= '1' when ((dscl_oen = '1') AND (sSCL = '0')) else '0'; - --- generate clk enable signal -process(clk,nReset) -begin - if (nReset = '0') then - cnt <= (others => '0'); - clk_en <= '1'; - elsif rising_edge(clk) then - if (rst = '1') then - cnt <= (others => '0'); - clk_en <= '1'; - elsif ((cnt = "0000000000000000") OR (ena = '0')) then - cnt <= clk_cnt; - clk_en <= '1'; - elsif (slave_wait = '1') then - cnt <= cnt; - clk_en <= '0'; - else - cnt <= cnt - '1'; - clk_en <= '0'; - end if; - end if; -end process; - --- synchronize SCL and SDA inputs --- reduce metastability risc -process(clk,nReset) -begin - if (nReset = '0') then - sSCL <= '1'; - sSDA <= '1'; - dSCL <= '1'; - dSDA <= '1'; - elsif rising_edge(clk) then - if (rst = '1') then - sSCL <= '1'; - sSDA <= '1'; - dSCL <= '1'; - dSDA <= '1'; - else - dSCL <= sSCL; - dSDA <= sSDA; - -- Don't need to treat 'H' if separate I and O - -- if ((scl_i = '1') OR (scl_i = 'H')) then - if (scl_i = '1') then - sSCL <= '1'; - else - sSCL <= '0'; - end if; - -- if ((sda_i = '1') OR (sda_i = 'H')) then - if (sda_i = '1') then - sSDA <= '1'; - else - sSDA <= '0'; - end if; - end if; - end if; -end process; - --- detect start condition => detect falling edge on SDA while SCL is high --- detect stop condition => detect rising edge on SDA while SCL is high -process(clk,nReset) -begin - if (nReset = '0') then - sta_condition <= '0'; - sto_condition <= '0'; - elsif rising_edge(clk) then - if (rst = '1') then - sta_condition <= '0'; - sto_condition <= '0'; - else - sta_condition <= NOT(sSDA) AND dSDA AND sSCL; - sto_condition <= sSDA AND NOT(dSDA) AND sSCL; - end if; - end if; -end process; - --- generate i2c bus busy signal -process(clk,nReset) -begin - if (nReset = '0') then - busy_int <= '0'; - elsif rising_edge(clk) then - if (rst = '1') then - busy_int <= '0'; - else - busy_int <= (sta_condition OR busy_int) AND NOT(sto_condition); - end if; - end if; -end process; - -busy <= busy_int; - --- generate arbitration lost signal --- aribitration lost when: --- 1) master drives SDA high, but the i2c bus is low --- 2) stop detected while not requested -process(clk,nReset) -begin - if (nReset = '0') then - cmd_stop <= '0'; - elsif rising_edge(clk) then - if (rst = '1') then - cmd_stop <= '0'; - elsif (clk_en = '1') then - if (cmd = I2C_CMD_STOP) then - cmd_stop <= '1'; - else - cmd_stop <= '0'; - end if; - end if; - end if; -end process; - -process(clk,nReset) -begin - if (nReset = '0') then - al_int <= '0'; - elsif rising_edge(clk) then - if (rst = '1') then - al_int <= '0'; - else - if (((sda_chk = '1') AND (sSDA = '0') AND (sda_oen_int = '1')) OR ((c_state /= idle) AND (sto_condition = '1') AND (cmd_stop = '0'))) then - al_int <= '1'; - else - al_int <= '0'; - end if; - end if; - end if; -end process; - -al <= al_int; - - --- generate dout signal (store SDA on rising edge of SCL) -process(clk) -begin - if rising_edge(clk) then - if ((sSCL = '1') AND (dSCL = '0')) then - dout <= sSDA; - end if; - end if; -end process; - - ---generate state machine -process(clk,nReset) -begin - if (nReset = '0') then - c_state <= idle; - cmd_ack <= '0'; - scl_oen_int <= '1'; - sda_oen_int <= '1'; - sda_chk <= '0'; - elsif rising_edge(clk) then - if ((rst = '1') OR (al_int = '1')) then - c_state <= idle; - cmd_ack <= '0'; - scl_oen_int <= '1'; - sda_oen_int <= '1'; - sda_chk <= '0'; - else - cmd_ack <= '0'; --default no command acknowledge + assert cmd_ack only 1clk cycle - if (clk_en = '1') then - case (c_state) is - when idle => - case (cmd) is - when I2C_CMD_START => c_state <= start_a; - when I2C_CMD_STOP => c_state <= stop_a; - when I2C_CMD_WRITE => c_state <= wr_a; - when I2C_CMD_READ => c_state <= rd_a; - when others => c_state <= idle; - end case; - - scl_oen_int <= scl_oen_int; -- keep SCL in same state - sda_oen_int <= sda_oen_int; -- keep SDA in same state - sda_chk <= '0'; -- don't check SDA output - when start_a => -- start - c_state <= start_b; - scl_oen_int <= scl_oen_int; -- keep SCL in same state - sda_oen_int <= '1'; -- set SDA high - sda_chk <= '0'; -- don't check SDA output - when start_b => - c_state <= start_c; - scl_oen_int <= '1'; -- set SCL high - sda_oen_int <= '1'; -- keep SDA high - sda_chk <= '0'; -- don't check SDA output - when start_c => - c_state <= start_d; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= '0'; -- set SDA low - sda_chk <= '0'; -- don't check SDA output - when start_d => - c_state <= start_e; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= '0'; -- keep SDA low - sda_chk <= '0'; -- don't check SDA output - when start_e => - c_state <= idle; - cmd_ack <= '1'; - scl_oen_int <= '0'; -- set SCL low - sda_oen_int <= '0'; -- keep SDA low - sda_chk <= '0'; -- don't check SDA output - when stop_a => -- stop - c_state <= stop_b; - scl_oen_int <= '0'; -- keep SCL low - sda_oen_int <= '0'; -- set SDA low - sda_chk <= '0'; -- don't check SDA output - when stop_b => - c_state <= stop_c; - scl_oen_int <= '1'; -- set SCL high - sda_oen_int <= '0'; -- keep SDA low - sda_chk <= '0'; -- don't check SDA output - when stop_c => - c_state <= stop_d; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= '0'; -- keep SDA low - sda_chk <= '0'; -- don't check SDA output - when stop_d => - c_state <= idle; - cmd_ack <= '1'; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= '1'; -- set SDA high - sda_chk <= '0'; -- don't check SDA output - when rd_a => -- read - c_state <= rd_b; - scl_oen_int <= '0'; -- keep SCL low - sda_oen_int <= '1'; -- tri-state SDA - sda_chk <= '0'; -- don't check SDA output - when rd_b => - c_state <= rd_c; - scl_oen_int <= '1'; -- set SCL high - sda_oen_int <= '1'; -- keep SDA tri-stated - sda_chk <= '0'; -- don't check SDA output - when rd_c => - c_state <= rd_d; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= '1'; -- keep SDA tri-stated - sda_chk <= '0'; -- don't check SDA output - when rd_d => - c_state <= idle; - cmd_ack <= '1'; - scl_oen_int <= '0'; -- set SCL low - sda_oen_int <= '1'; -- keep SDA tri-stated - sda_chk <= '0'; -- don't check SDA output - when wr_a => -- write - c_state <= wr_b; - scl_oen_int <= '0'; -- keep SCL low - sda_oen_int <= din; -- set SDA - sda_chk <= '0'; -- don't check SDA output (SCL low) - when wr_b => - c_state <= wr_c; - scl_oen_int <= '1'; -- set SCL high - sda_oen_int <= din; -- keep SDA - sda_chk <= '1'; -- check SDA output - when wr_c => - c_state <= wr_d; - scl_oen_int <= '1'; -- keep SCL high - sda_oen_int <= din; - sda_chk <= '1'; -- check SDA output - when wr_d => - c_state <= idle; - cmd_ack <= '1'; - scl_oen_int <= '0'; -- set SCL low - sda_oen_int <= din; - sda_chk <= '0'; -- don't check SDA output (SCL low) - when others => NULL; - end case; - end if; - end if; - end if; -end process; - - --- assign scl and sda output (always gnd) -scl_o <= '0'; -sda_o <= '0'; - -end arch; diff --git a/EUDETdummy/hdl/i2c/i2c_master_byte_ctrl.vhd b/EUDETdummy/hdl/i2c/i2c_master_byte_ctrl.vhd deleted file mode 100644 index f521957..0000000 --- a/EUDETdummy/hdl/i2c/i2c_master_byte_ctrl.vhd +++ /dev/null @@ -1,286 +0,0 @@ ----------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<< ----------------------------------------------------------------------- ---/////////////////////////////////////////////////////////////////// ---// //// ---// WISHBONE rev.B2 compliant I2C Master byte-controller //// ---// //// ---// //// ---// Author: Richard Herveille //// ---// richard@asics.ws //// ---// www.asics.ws //// ---// //// ---// Downloaded from: http://www.opencores.org/projects/i2c/ //// ---// //// ---/////////////////////////////////////////////////////////////////// ---// //// ---// Copyright (C) 2001 Richard Herveille //// ---// richard@asics.ws //// ---// //// ---// This source file may be used and distributed without //// ---// restriction provided that this copyright statement is not //// ---// removed from the file and that any derivative work contains //// ---// the original copyright notice and the associated disclaimer.//// ---// //// ---// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// ---// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// ---// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// ---// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// ---// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// ---// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// ---// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// ---// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// ---// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// ---// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// ---// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// ---// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// ---// POSSIBILITY OF SUCH DAMAGE. //// ---// //// ---/////////////////////////////////////////////////////////////////// ------------------------------------------------------------------------ --- Copyright (c) 2008 - 2010 by Lattice Semiconductor Corporation --- -------------------------------------------------------------------- --- --- Disclaimer: --- --- This VHDL or Verilog source code is intended as a design reference --- which illustrates how these types of functions can be implemented. --- It is the user's responsibility to verify their design for --- consistency and functionality through the use of formal --- verification methods. Lattice Semiconductor provides no warranty --- regarding the use or functionality of this code. --- --- -------------------------------------------------------------------- --- --- Lattice Semiconductor Corporation --- 5555 NE Moore Court --- Hillsboro, OR 97214 --- U.S.A --- --- TEL: 1-800-Lattice (USA and Canada) --- 503-268-8001 (other locations) --- --- web: http://www.latticesemi.com/ --- email: techsupport@latticesemi.com --- --- -------------------------------------------------------------------- --- Code Revision History : --- -------------------------------------------------------------------- --- Ver: | Author |Mod. Date |Changes Made: --- V1.0 |K.P. | 7/09 | Initial ver for VHDL --- | converted from LSC ref design RD1046 --- -------------------------------------------------------------------- - - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; - -entity i2c_master_byte_ctrl is - port ( - clk : in std_logic; -- master clock - rst : in std_logic; -- synchronous active high reset - nReset : in std_logic; -- asynchronous active low reset - clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL - -- control inputs - start : in std_logic; - stop : in std_logic; - read : in std_logic; - write : in std_logic; - ack_in : in std_logic; - din : in std_logic_vector(7 downto 0); - -- status outputs - cmd_ack : out std_logic; - ack_out : out std_logic; -- i2c clock line input - dout : out std_logic_vector(7 downto 0); - i2c_al : in std_logic; - -- signals for bit_controller - core_cmd : out std_logic_vector(3 downto 0); - core_txd : out std_logic; - core_rxd : in std_logic; - core_ack : in std_logic - ); -end; - -architecture arch of i2c_master_byte_ctrl is - -constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000"; -constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001"; -constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010"; -constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "0100"; -constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "1000"; - - -constant ST_IDLE : std_logic_vector(4 downto 0) := "00000"; -constant ST_START : std_logic_vector(4 downto 0) := "00001"; -constant ST_READ : std_logic_vector(4 downto 0) := "00010"; -constant ST_WRITE : std_logic_vector(4 downto 0) := "00100"; -constant ST_ACK : std_logic_vector(4 downto 0) := "01000"; -constant ST_STOP : std_logic_vector(4 downto 0) := "10000"; - -signal c_state : std_logic_vector(4 downto 0); - - -signal go : std_logic; -signal dcnt : std_logic_vector(2 downto 0); -signal cnt_done : std_logic; - -signal sr : std_logic_vector(7 downto 0); --8bit shift register -signal shift, ld : std_logic; - -signal cmd_ack_int : std_logic; - - -begin - -go <= '1' when (((read = '1') OR (write = '1') OR (stop = '1')) AND (cmd_ack_int = '0')) else '0'; -dout <= sr; - --- generate shift register -process(clk,nReset) -begin - if (nReset = '0') then - sr <= (others => '0'); - elsif rising_edge(clk) then - if (rst = '1') then - sr <= (others => '0'); - elsif (ld = '1') then - sr <= din; - elsif (shift = '1') then - sr <= sr(6 downto 0) & core_rxd; - end if; - end if; -end process; - --- generate counter -process(clk,nReset) -begin - if (nReset = '0') then - dcnt <= (others => '0'); - elsif rising_edge(clk) then - if (rst = '1') then - dcnt <= (others => '0'); - elsif (ld = '1') then - dcnt <= "111"; - elsif (shift = '1') then - dcnt <= dcnt - '1'; - end if; - end if; -end process; - -cnt_done <= '1' when (dcnt = "000") else '0'; - --- state machine -process(clk,nReset) -begin - if (nReset = '0') then - core_cmd <= I2C_CMD_NOP; - core_txd <= '0'; - shift <= '0'; - ld <= '0'; - cmd_ack_int <= '0'; - c_state <= ST_IDLE; - ack_out <= '0'; - elsif rising_edge(clk) then - if ((rst = '1') OR (i2c_al = '1')) then - core_cmd <= I2C_CMD_NOP; - core_txd <= '0'; - shift <= '0'; - ld <= '0'; - cmd_ack_int <= '0'; - c_state <= ST_IDLE; - ack_out <= '0'; - else - -- initially reset all signals - core_txd <= sr(7); - shift <= '0'; - ld <= '0'; - cmd_ack_int <= '0'; - - case (c_state) is - when ST_IDLE => - if (go = '1') then - if (start = '1') then - c_state <= ST_START; - core_cmd <= I2C_CMD_START; - elsif (read = '1') then - c_state <= ST_READ; - core_cmd <= I2C_CMD_READ; - elsif (write = '1') then - c_state <= ST_WRITE; - core_cmd <= I2C_CMD_WRITE; - else - c_state <= ST_STOP; - core_cmd <= I2C_CMD_STOP; - end if; - ld <= '1'; - end if; - when ST_START => - if (core_ack = '1') then - if (read = '1') then - c_state <= ST_READ; - core_cmd <= I2C_CMD_READ; - else - c_state <= ST_WRITE; - core_cmd <= I2C_CMD_WRITE; - end if; - ld <= '1'; - end if; - when ST_WRITE => - if (core_ack = '1') then - if (cnt_done = '1') then - c_state <= ST_ACK; - core_cmd <= I2C_CMD_READ; - else - c_state <= ST_WRITE; -- stay in same state - core_cmd <= I2C_CMD_WRITE; -- write next bit - shift <= '1'; - end if; - end if; - when ST_READ => - if (core_ack = '1') then - if (cnt_done = '1') then - c_state <= ST_ACK; - core_cmd <= I2C_CMD_WRITE; - else - c_state <= ST_READ; -- stay in same state - core_cmd <= I2C_CMD_READ; -- read next bit - shift <= '1'; - end if; - shift <= '1'; - core_txd <= ack_in; - end if; - when ST_ACK => - if (core_ack = '1') then - if (stop = '1') then - c_state <= ST_STOP; - core_cmd <= I2C_CMD_STOP; - else - c_state <= ST_IDLE; - core_cmd <= I2C_CMD_NOP; - -- generate command acknowledge signal - cmd_ack_int <= '1'; - end if; - -- assign ack_out output to bit_controller_rxd (contains last received bit) - ack_out <= core_rxd; - core_txd <= '1'; - else - core_txd <= ack_in; - end if; - when ST_STOP => - if (core_ack = '1') then - c_state <= ST_IDLE; - core_cmd <= I2C_CMD_NOP; - -- generate command acknowledge signal - cmd_ack_int <= '1'; - end if; - when others => NULL; - end case; - end if; - end if; -end process; - -cmd_ack <= cmd_ack_int; - -end arch; diff --git a/EUDETdummy/hdl/i2c/i2c_master_registers.vhd b/EUDETdummy/hdl/i2c/i2c_master_registers.vhd deleted file mode 100644 index 620e226..0000000 --- a/EUDETdummy/hdl/i2c/i2c_master_registers.vhd +++ /dev/null @@ -1,196 +0,0 @@ ----------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<< ----------------------------------------------------------------------- ---/////////////////////////////////////////////////////////////////// ---// //// ---// WISHBONE rev.B2 compliant I2C Master registers //// ---// //// ---// //// ---// Author: Richard Herveille //// ---// richard@asics.ws //// ---// www.asics.ws //// ---// //// ---// Downloaded from: http://www.opencores.org/projects/i2c/ //// ---// //// ---/////////////////////////////////////////////////////////////////// ---// //// ---// Copyright (C) 2001 Richard Herveille //// ---// richard@asics.ws //// ---// //// ---// This source file may be used and distributed without //// ---// restriction provided that this copyright statement is not //// ---// removed from the file and that any derivative work contains //// ---// the original copyright notice and the associated disclaimer.//// ---// //// ---// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// ---// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// ---// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// ---// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// ---// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// ---// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// ---// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// ---// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// ---// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// ---// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// ---// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// ---// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// ---// POSSIBILITY OF SUCH DAMAGE. //// ---// //// ---/////////////////////////////////////////////////////////////////// --- -------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<< --- -------------------------------------------------------------------- --- Copyright (c) 2008 - 2010 by Lattice Semiconductor Corporation --- -------------------------------------------------------------------- --- --- Disclaimer: --- --- This VHDL or Verilog source code is intended as a design reference --- which illustrates how these types of functions can be implemented. --- It is the user's responsibility to verify their design for --- consistency and functionality through the use of formal --- verification methods. Lattice Semiconductor provides no warranty --- regarding the use or functionality of this code. --- --- -------------------------------------------------------------------- --- --- Lattice Semiconductor Corporation --- 5555 NE Moore Court --- Hillsboro, OR 97214 --- U.S.A --- --- TEL: 1-800-Lattice (USA and Canada) --- 503-268-8001 (other locations) --- --- web: http://www.latticesemi.com/ --- email: techsupport@latticesemi.com --- --- -------------------------------------------------------------------- --- Code Revision History : --- -------------------------------------------------------------------- --- Ver: | Author |Mod. Date |Changes Made: --- V1.0 |K.P. | 7/09 | Initial ver for VHDL --- | converted from LSC ref design RD1046 --- -------------------------------------------------------------------- --- -------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; - -entity i2c_master_registers is - port ( - wb_clk_i : in std_logic; - rst_i : in std_logic; - wb_rst_i : in std_logic; - wb_dat_i : in std_logic_vector(7 downto 0); - wb_adr_i : in std_logic_vector(2 downto 0); - wb_wacc : in std_logic; - i2c_al : in std_logic; - i2c_busy : in std_logic; - done : in std_logic; - irxack : in std_logic; - prer : out std_logic_vector(15 downto 0); -- clock prescale register - ctr : out std_logic_vector(7 downto 0); -- control register - txr : out std_logic_vector(7 downto 0); -- transmit register - cr : out std_logic_vector(7 downto 0); -- command register - sr : out std_logic_vector(7 downto 0) -- status register - ); -end; - -architecture arch of i2c_master_registers is - - -signal ctr_int : std_logic_vector(7 downto 0); -signal cr_int : std_logic_vector(7 downto 0); - -signal al : std_logic; -- status register arbitration lost bit -signal rxack : std_logic; -- received aknowledge from slave -signal tip : std_logic; -- transfer in progress -signal irq_flag : std_logic; -- interrupt pending flag - -begin - --- generate prescale regisres, control registers, and transmit register -process(wb_clk_i,rst_i) -begin - if (rst_i = '0') then - prer <= (others => '1'); - ctr_int <= (others => '0'); - txr <= (others => '0'); - elsif rising_edge(wb_clk_i) then - if (wb_rst_i = '1') then - prer <= (others => '1'); - ctr_int <= (others => '0'); - txr <= (others => '0'); - elsif (wb_wacc = '1') then - case (wb_adr_i) is - when "000" => prer(7 downto 0) <= wb_dat_i; - when "001" => prer(15 downto 8) <= wb_dat_i; - when "010" => ctr_int <= wb_dat_i; - when "011" => txr <= wb_dat_i; - when others => NULL; - end case; - end if; - end if; -end process; - -ctr <= ctr_int; - --- generate command register (special case) -process(wb_clk_i,rst_i) -begin - if (rst_i = '0') then - cr_int <= (others => '0'); - elsif rising_edge(wb_clk_i) then - if (wb_rst_i = '1') then - cr_int <= (others => '0'); - elsif (wb_wacc = '1') then - if ((ctr_int(7) = '1') AND (wb_adr_i = "100")) then - cr_int <= wb_dat_i; - end if; - else - if ((done = '1') OR (i2c_al = '1')) then - cr_int(7 downto 4) <= "0000"; -- clear command b - end if; -- or when aribitr - cr_int(2 downto 1) <= "00"; -- reserved bits - cr_int(0) <= '0'; -- clear IRQ_ACK b - end if; - end if; -end process; - -cr <= cr_int; - --- generate status register block + interrupt request signal --- each output will be assigned to corresponding sr register locations on top level -process(wb_clk_i,rst_i) -begin - if (rst_i = '0') then - al <= '0'; - rxack <= '0'; - tip <= '0'; - irq_flag <= '0'; - elsif rising_edge(wb_clk_i) then - if (wb_rst_i = '1') then - al <= '0'; - rxack <= '0'; - tip <= '0'; - irq_flag <= '0'; - else - al <= i2c_al OR (al AND NOT(cr_int(7))); - rxack <= irxack; - tip <= (cr_int(5) OR cr_int(4)); - irq_flag <= (done OR i2c_al OR irq_flag) AND NOT(cr_int(0)); -- interrupt request flag is always generated - end if; - end if; -end process; - -sr(7) <= rxack; -sr(6) <= i2c_busy; -sr(5) <= al; -sr(4 downto 2) <= "000"; -- reserved -sr(1) <= tip; -sr(0) <= irq_flag; - - -end arch; diff --git a/EUDETdummy/hdl/i2c/i2c_master_rtl.vhd b/EUDETdummy/hdl/i2c/i2c_master_rtl.vhd deleted file mode 100644 index 6d0bb97..0000000 --- a/EUDETdummy/hdl/i2c/i2c_master_rtl.vhd +++ /dev/null @@ -1,97 +0,0 @@ ---============================================================================= ---! @file i2c_master_rtl.vhd ---============================================================================= --- -------------------------------------------------------------------------------- --- -- --- University of Bristol, High Energy Physics Group. --- -- -------------------------------------------------------------------------------- -- --- VHDL Architecture work.i2c_master.rtl --- ---! @brief Wraps the Wishbone I2C master in a wrapper where the IPBus signals\n ---! are bundled together in a record\n --- ---! @author David Cussans , David.Cussans@bristol.ac.uk --- ---! @date 17:22:12 11/30/12 --- ---! @version v0.1 --- ---! @details ---! ---! ---! Dependencies:\n ---! ---! References:\n ---! ---! Modified by:\n ---! Author: -------------------------------------------------------------------------------- ---! \n\nLast changes:\n -------------------------------------------------------------------------------- ---! @todo \n ---! \n --- --------------------------------------------------------------------------------- --- --- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) --- -LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.numeric_std.all; - -USE work.ipbus.all; - -ENTITY i2c_master IS - PORT( - i2c_scl_i : IN std_logic; - i2c_sda_i : IN std_logic; - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; -- Signals from IPBus core to slave - ipbus_reset_i : IN std_logic; - i2c_scl_enb_o : OUT std_logic; - i2c_sda_enb_o : OUT std_logic; - ipbus_o : OUT ipb_rbus -- signals from slave to IPBus core - ); - --- Declarations - -END ENTITY i2c_master ; - --- -ARCHITECTURE rtl OF i2c_master IS - - --signal s_i2c_scl, s_i2c_scl_o, s_i2c_scl_enb, s_i2c_sda, s_i2c_sda_enb : std_logic ; - -BEGIN - - --i2c_scl_b <= s_i2c_scl when (s_i2c_scl_enb = '0') else 'Z'; - --i2c_sda_b <= s_i2c_sda when (s_i2c_sda_enb = '0') else 'Z'; - - i2c_interface: entity work.i2c_master_top port map( - wb_clk_i => ipbus_clk_i, - wb_rst_i => ipbus_reset_i, - arst_i => '1', - wb_adr_i => ipbus_i.ipb_addr(2 downto 0), - wb_dat_i => ipbus_i.ipb_wdata(7 downto 0), - wb_dat_o => ipbus_o.ipb_rdata(7 downto 0), - wb_we_i => ipbus_i.ipb_write, - wb_stb_i => ipbus_i.ipb_strobe, - wb_cyc_i => '1', - wb_ack_o => ipbus_o.ipb_ack, - wb_inta_o => open, - scl_pad_i => i2c_scl_i, - scl_pad_o => open, - scl_padoen_o => i2c_scl_enb_o, - sda_pad_i => i2c_sda_i, - sda_pad_o => open, - sda_padoen_o => i2c_sda_enb_o - ); - - - ipbus_o.ipb_rdata(31 downto 8) <= ( others => '0'); - ipbus_o.ipb_err <= '0'; -- never return an error. - -END ARCHITECTURE rtl; - diff --git a/EUDETdummy/hdl/i2c/i2c_master_top.vhd b/EUDETdummy/hdl/i2c/i2c_master_top.vhd deleted file mode 100644 index a6f0aab..0000000 --- a/EUDETdummy/hdl/i2c/i2c_master_top.vhd +++ /dev/null @@ -1,344 +0,0 @@ ----------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<<< ----------------------------------------------------------------------- ---/////////////////////////////////////////////////////////////////// ---// //// ---// WISHBONE rev.B2 compliant I2C Master bit-controller //// ---// //// ---// //// ---// Author: Richard Herveille //// ---// richard@asics.ws //// ---// www.asics.ws //// ---// //// ---// Downloaded from: http://www.opencores.org/projects/i2c/ //// ---// //// ---/////////////////////////////////////////////////////////////////// ---// //// ---// Copyright (C) 2001 Richard Herveille //// ---// richard@asics.ws //// ---// //// ---// This source file may be used and distributed without //// ---// restriction provided that this copyright statement is not //// ---// removed from the file and that any derivative work contains //// ---// the original copyright notice and the associated disclaimer.//// ---// //// ---// THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY //// ---// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED //// ---// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS //// ---// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR //// ---// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, //// ---// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES //// ---// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE //// ---// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR //// ---// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF //// ---// LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT //// ---// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT //// ---// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE //// ---// POSSIBILITY OF SUCH DAMAGE. //// ---// //// ---/////////////////////////////////////////////////////////////////// --- -------------------------------------------------------------------- --- >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<< --- -------------------------------------------------------------------- --- Copyright (c) 2008 - 2010 by Lattice Semiconductor Corporation --- -------------------------------------------------------------------- --- --- Disclaimer: --- --- This VHDL or Verilog source code is intended as a design reference --- which illustrates how these types of functions can be implemented. --- It is the user's responsibility to verify their design for --- consistency and functionality through the use of formal --- verification methods. Lattice Semiconductor provides no warranty --- regarding the use or functionality of this code. --- --- -------------------------------------------------------------------- --- --- Lattice Semiconductor Corporation --- 5555 NE Moore Court --- Hillsboro, OR 97214 --- U.S.A --- --- TEL: 1-800-Lattice (USA and Canada) --- 503-268-8001 (other locations) --- --- web: http://www.latticesemi.com/ --- email: techsupport@latticesemi.com --- --- -------------------------------------------------------------------- --- Code Revision History : --- -------------------------------------------------------------------- --- Ver: | Author |Mod. Date |Changes Made: --- V1.0 |K.P. | 7/09 | Initial ver for VHDL --- | converted from LSC ref design RD1046 -------------------------------------------------------------------------------- --- Changes at University of bristol: --- V1.0A|D.G.C | 5/11 | Changed name and ports to fit OC original --- -------------------------------------------------------------------- - -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_arith.all; -use ieee.std_logic_unsigned.all; - -entity i2c_master_top is - generic ( - ARST_LVL : integer := 0 - ); - port ( - wb_clk_i : in std_logic; - wb_rst_i : in std_logic; - arst_i : in std_logic; - wb_adr_i : in std_logic_vector(2 downto 0); - wb_dat_i : in std_logic_vector(7 downto 0); - wb_dat_o : out std_logic_vector(7 downto 0); - wb_we_i : in std_logic; - wb_stb_i : in std_logic; - wb_cyc_i : in std_logic; - wb_ack_o : out std_logic; - wb_inta_o: out std_logic; - scl_pad_i: in std_logic; - scl_pad_o: out std_logic; - scl_padoen_o: out std_logic; - sda_pad_i: in std_logic; - sda_pad_o: out std_logic; - sda_padoen_o: out std_logic --- scl : inout std_logic; --- sda : inout std_logic - ); -end; - -architecture arch of i2c_master_top is - -component i2c_master_bit_ctrl - port ( - clk : in std_logic; - rst : in std_logic; - nReset : in std_logic; - clk_cnt : in std_logic_vector(15 downto 0); -- clock prescale value - ena : in std_logic; -- core enable signal - cmd : in std_logic_vector(3 downto 0); - cmd_ack : out std_logic; -- command complete acknowledge - busy : out std_logic; -- i2c bus busy - al : out std_logic; -- i2c bus arbitration lost - din : in std_logic; - dout : out std_logic; - scl_i : in std_logic; -- i2c clock line input - scl_o : out std_logic; -- i2c clock line output - scl_oen : out std_logic; -- i2c clock line output enable (active low) - sda_i : in std_logic; -- i2c data line input - sda_o : out std_logic; -- i2c data line output - sda_oen : out std_logic -- i2c data line output enable (active low) - ); -end component; - -component i2c_master_byte_ctrl - port ( - clk : in std_logic; -- master clock - rst : in std_logic; -- synchronous active high reset - nReset : in std_logic; -- asynchronous active low reset - clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL - -- control inputs - start : in std_logic; - stop : in std_logic; - read : in std_logic; - write : in std_logic; - ack_in : in std_logic; - din : in std_logic_vector(7 downto 0); - -- status outputs - cmd_ack : out std_logic; - ack_out : out std_logic; -- i2c clock line input - dout : out std_logic_vector(7 downto 0); - i2c_al : in std_logic; - -- signals for bit_controller - core_cmd : out std_logic_vector(3 downto 0); - core_txd : out std_logic; - core_rxd : in std_logic; - core_ack : in std_logic - ); -end component; - -component i2c_master_registers - port ( - wb_clk_i : in std_logic; - rst_i : in std_logic; - wb_rst_i : in std_logic; - wb_dat_i : in std_logic_vector(7 downto 0); - wb_adr_i : in std_logic_vector(2 downto 0); - wb_wacc : in std_logic; - i2c_al : in std_logic; - i2c_busy : in std_logic; - done : in std_logic; - irxack : in std_logic; - prer : out std_logic_vector(15 downto 0); -- clock prescale register - ctr : out std_logic_vector(7 downto 0); -- control register - txr : out std_logic_vector(7 downto 0); -- transmit register - cr : out std_logic_vector(7 downto 0); -- command register - sr : out std_logic_vector(7 downto 0) -- status register - ); -end component; - - -signal prer : std_logic_vector(15 downto 0); -signal ctr : std_logic_vector(7 downto 0); -signal txr : std_logic_vector(7 downto 0); -signal rxr : std_logic_vector(7 downto 0); -signal cr : std_logic_vector(7 downto 0); -signal sr : std_logic_vector(7 downto 0); - -signal done : std_logic; -signal core_en : std_logic; -signal ien : std_logic; -signal irxack : std_logic; -signal irq_flag : std_logic; -signal i2c_busy : std_logic; -signal i2c_al : std_logic; - -signal core_cmd : std_logic_vector(3 downto 0); -signal core_txd : std_logic; -signal core_ack, core_rxd : std_logic; - --- Don't need these signals, since passing them through --- component interface ---signal scl_pad_i : std_logic; ---signal scl_pad_o : std_logic; ---signal scl_padoen_o : std_logic; --- ---signal sda_pad_i : std_logic; ---signal sda_pad_o : std_logic; ---signal sda_padoen_o : std_logic; - -signal rst_i : std_logic; - -signal sta : std_logic; -signal sto : std_logic; -signal rd : std_logic; -signal wr : std_logic; -signal ack : std_logic; -signal iack : std_logic; - -signal wb_ack_o_int : std_logic; - -signal wb_wacc : std_logic; -signal acki : std_logic; - -begin - - -- Don't need to copy these signal - passing through - -- component interface ---scl_pad_i <= scl; ---sda_pad_i <= sda; - -rst_i <= arst_i when (ARST_LVL = 0) else NOT(arst_i); - -wb_wacc <= wb_cyc_i AND wb_stb_i AND wb_we_i; - -sta <= cr(7); -sto <= cr(6); -rd <= cr(5); -wr <= cr(4); -ack <= cr(3); -acki <= cr(0); - -core_en <= ctr(7); -ien <= ctr(6); - -process(wb_clk_i) -begin - if rising_edge(wb_clk_i) then - wb_ack_o_int <= wb_cyc_i AND wb_stb_i AND NOT(wb_ack_o_int); - end if; -end process; - -wb_ack_o <= wb_ack_o_int; - -process(wb_clk_i) -begin - if rising_edge(wb_clk_i) then - case (wb_adr_i) is - when "000" => wb_dat_o <= prer(7 downto 0); - when "001" => wb_dat_o <= prer(15 downto 8); - when "010" => wb_dat_o <= ctr; - when "011" => wb_dat_o <= rxr; - when "100" => wb_dat_o <= sr; - when "101" => wb_dat_o <= txr; - when "110" => wb_dat_o <= cr; - when "111" => wb_dat_o <= "00000000"; - when others => NULL; - end case; - end if; -end process; - -process(wb_clk_i,rst_i) -begin - if (rst_i = '0') then - wb_inta_o <= '0'; - elsif rising_edge(wb_clk_i) then - wb_inta_o <= sr(0) AND ien; - end if; -end process; - - - -byte_controller: i2c_master_byte_ctrl port map( - clk => wb_clk_i, - rst => wb_rst_i, - nReset => rst_i, - clk_cnt => prer, - start => sta, - stop => sto, - read => rd, - write => wr, - ack_in => ack, - din => txr, - cmd_ack => done, - ack_out => irxack, - dout => rxr, - i2c_al => i2c_al, - core_cmd => core_cmd, - core_ack => core_ack, - core_txd => core_txd, - core_rxd => core_rxd); - -bit_controller: i2c_master_bit_ctrl port map( - clk => wb_clk_i, - rst => wb_rst_i, - nReset => rst_i, - ena => core_en, - clk_cnt => prer, - cmd => core_cmd, - cmd_ack => core_ack, - busy => i2c_busy, - al => i2c_al, - din => core_txd, - dout => core_rxd, - scl_i => scl_pad_i, - scl_o => scl_pad_o, - scl_oen => scl_padoen_o, - sda_i => sda_pad_i, - sda_o => sda_pad_o, - sda_oen => sda_padoen_o); - -registers: i2c_master_registers port map( - wb_clk_i => wb_clk_i, - rst_i => rst_i, - wb_rst_i => wb_rst_i, - wb_dat_i => wb_dat_i, - wb_wacc => wb_wacc, - wb_adr_i => wb_adr_i, - i2c_al => i2c_al, - i2c_busy => i2c_busy, - done => done, - irxack => irxack, - prer => prer, - ctr => ctr, - txr => txr, - cr => cr, - sr => sr); - - --- edited from Lattice original to pass uni-directional signals ---scl <= scl_pad_o when (scl_padoen_o = '0') else 'Z'; ---sda <= sda_pad_o when (sda_padoen_o = '0') else 'Z'; - -end arch; diff --git a/EUDETdummy/hdl/ipbus_addr_decode.vhd b/EUDETdummy/hdl/ipbus_addr_decode.vhd deleted file mode 100644 index dc630e8..0000000 --- a/EUDETdummy/hdl/ipbus_addr_decode.vhd +++ /dev/null @@ -1,50 +0,0 @@ --- Address decode logic for ipbus fabric --- --- This file has been AUTOGENERATED from the address table - do not hand edit --- --- We assume the synthesis tool is clever enough to recognise exclusive conditions --- in the if statement. --- --- Dave Newbold, February 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use ieee.numeric_std.all; -use work.ipbus.all; - -package ipbus_addr_decode is - - function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer; - -end ipbus_addr_decode; - -package body ipbus_addr_decode is - - function ipbus_addr_sel(signal addr : in std_logic_vector(31 downto 0)) return integer is - variable sel : integer; - begin - if std_match(addr, "-----------------------0001-----") then - sel := 0; -- DUTInterfaces / base 00000020 / mask 0000001f - elsif std_match(addr, "-----------------------0010-----") then - sel := 1; -- triggerInputs / base 00000040 / mask 0000001f - elsif std_match(addr, "-----------------------0011-----") then - sel := 2; -- triggerLogic / base 00000060 / mask 0000001f - elsif std_match(addr, "-----------------------0100-----") then - sel := 3; -- eventBuffer / base 00000080 / mask 0000001f - elsif std_match(addr, "-----------------------0101-----") then - sel := 4; -- logic_clocks / base 000000a0 / mask 0000001f - elsif std_match(addr, "-----------------------0110-----") then - sel := 5; -- i2c_master / base 000000c0 / mask 00000007 - elsif std_match(addr, "-----------------------1010-----") then - sel := 6; -- Event_Formatter / base 00000140 / mask 0000001f - elsif std_match(addr, "-----------------------1011-----") then - sel := 7; -- TPix3_iface / base 00000160 / mask 0000001f - elsif std_match(addr, "-----------------------0000-----") then - sel := 8; -- version / base 00000000 / mask 00000000 - else - sel := 99; - end if; - return sel; - end ipbus_addr_sel; - -end ipbus_addr_decode; diff --git a/EUDETdummy/hdl/ipbus_decode_ipbus_example.vhd b/EUDETdummy/hdl/ipbus_decode_ipbus_example.vhd deleted file mode 100644 index a315ed0..0000000 --- a/EUDETdummy/hdl/ipbus_decode_ipbus_example.vhd +++ /dev/null @@ -1,69 +0,0 @@ --- Address decode logic for ipbus fabric --- --- --- We assume the synthesis tool is clever enough to recognise exclusive conditions --- in the if statement. --- --- Dave Newbold, February 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use ieee.numeric_std.all; - -package ipbus_decode_ipbus_example is - - constant IPBUS_SEL_WIDTH: positive := 5; -- Should be enough for now? - subtype ipbus_sel_t is std_logic_vector(IPBUS_SEL_WIDTH - 1 downto 0); - function ipbus_sel_ipbus_example(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t; - --- START automatically generated VHDL the Fri Oct 7 12:10:31 2016 - constant N_SLV_CTRL_REG: integer := 0; --for tests - constant N_SLV_REG: integer := 1; -- for tests - constant N_SLV_I2C_0: integer := 2; --I2C core for the TLU - constant N_SLV_DUT: integer :=3; - constant N_SLV_SHUT: integer :=4; - constant N_SLV_EVBUF: integer :=5; - constant N_SLV_EVFMT: integer :=6; - constant N_SLV_TRGIN: integer :=7; - constant N_SLV_TRGLGC: integer :=8; - constant N_SLV_LGCCLK: integer :=9; - - constant N_SLAVES: integer := 10; --Total number of slaves --- END automatically generated VHDL - --constant N_I2C_CORES: integer := 3; --How many I2C cores - -end ipbus_decode_ipbus_example; - -package body ipbus_decode_ipbus_example is - - function ipbus_sel_ipbus_example(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t is - variable sel: ipbus_sel_t; - begin - --- START automatically generated VHDL the Fri Oct 7 12:10:31 2016 - if std_match(addr, "-----------------000----------0-") then - sel := ipbus_sel_t(to_unsigned(N_SLV_CTRL_REG, IPBUS_SEL_WIDTH)); -- ctrl_reg / base 0x00000000 / mask 0x00003002 - elsif std_match(addr, "-----------------000----------1-") then - sel := ipbus_sel_t(to_unsigned(N_SLV_REG, IPBUS_SEL_WIDTH)); -- reg / base 0x00000002 / mask 0x00003002 - --elsif std_match(addr, "-----------------001------------") then - --sel := ipbus_sel_t(to_unsigned(N_SLV_RAM, IPBUS_SEL_WIDTH)); -- ram / base 0x00001000 / mask 0x00003000 - --elsif std_match(addr, "-----------------010----------0-") then - -- sel := ipbus_sel_t(to_unsigned(N_SLV_PRAM, IPBUS_SEL_WIDTH)); -- pram / base 0x00002000 / mask 0x00003002 - elsif std_match(addr, "-----------------011------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_I2C_0, IPBUS_SEL_WIDTH)); -- i2c / base 0x00003000 / mask 0x00003002 - elsif std_match(addr, "-----------------100------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_DUT, IPBUS_SEL_WIDTH)); -- i2c / base 0x00004000 / mask 0x00003002 - elsif std_match(addr, "-----------------101------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_TRGIN, IPBUS_SEL_WIDTH)); -- i2c / base 0x00005000 / mask 0x00003002 --- END automatically generated VHDL - - else - sel := ipbus_sel_t(to_unsigned(N_SLAVES, IPBUS_SEL_WIDTH)); - end if; - - return sel; - - end function ipbus_sel_ipbus_example; - -end ipbus_decode_ipbus_example; - diff --git a/EUDETdummy/hdl/ipbus_decode_tlu.vhd b/EUDETdummy/hdl/ipbus_decode_tlu.vhd deleted file mode 100644 index 114da40..0000000 --- a/EUDETdummy/hdl/ipbus_decode_tlu.vhd +++ /dev/null @@ -1,73 +0,0 @@ --- Address decode logic for ipbus fabric --- --- --- --- Paolo Baesso, February 2017 - -library IEEE; -use IEEE.STD_LOGIC_1164.all; -use ieee.numeric_std.all; - -package ipbus_decode_tlu is - - constant IPBUS_SEL_WIDTH: positive := 5; -- Should be enough for now? - subtype ipbus_sel_t is std_logic_vector(IPBUS_SEL_WIDTH - 1 downto 0); - function ipbus_sel_ipbus_example(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t; - --- START automatically generated VHDL the Fri Oct 7 12:10:31 2016 - constant N_SLV_CTRL_REG: integer := 0; --for tests - constant N_SLV_REG: integer := 1; -- for tests - constant N_SLV_I2C_0: integer := 2; --I2C core for the TLU - constant N_SLV_DUT: integer :=3; - constant N_SLV_SHUT: integer :=4; - constant N_SLV_EVBUF: integer :=5; - constant N_SLV_EVFMT: integer :=6; - constant N_SLV_TRGIN: integer :=7; - constant N_SLV_TRGLGC: integer :=8; - constant N_SLV_LGCCLK: integer :=9; - - constant N_SLAVES: integer := 10; --Total number of IPBus slaves --- END automatically generated VHDL - --constant N_I2C_CORES: integer := 3; --How many I2C cores - -end ipbus_decode_tlu; - -package body ipbus_decode_tlu is - - function ipbus_sel_ipbus_example(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t is - variable sel: ipbus_sel_t; - begin - --- START automatically generated VHDL the Fri Oct 7 12:10:31 2016 - if std_match(addr, "----------------0000----------0-") then - sel := ipbus_sel_t(to_unsigned(N_SLV_CTRL_REG, IPBUS_SEL_WIDTH)); -- ctrl_reg / base 0x00000000 / mask 0x00003002 - elsif std_match(addr, "----------------0000----------1-") then - sel := ipbus_sel_t(to_unsigned(N_SLV_REG, IPBUS_SEL_WIDTH)); -- reg / base 0x00000002 / mask 0x00003002 - elsif std_match(addr, "----------------0001------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_DUT, IPBUS_SEL_WIDTH)); -- ram / base 0x00001000 / mask 0x00003000 - elsif std_match(addr, "----------------0010----------0-") then - sel := ipbus_sel_t(to_unsigned(N_SLV_SHUT, IPBUS_SEL_WIDTH)); -- shutter / base 0x00002000 / mask 0x00003002 - elsif std_match(addr, "----------------0011------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_I2C_0, IPBUS_SEL_WIDTH)); -- i2c / base 0x00003000 / mask 0x00003002 - elsif std_match(addr, "----------------0100------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_EVBUF, IPBUS_SEL_WIDTH)); -- event buffer / base 0x00004000 / mask 0x00003002 - elsif std_match(addr, "----------------0101------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_EVFMT, IPBUS_SEL_WIDTH)); -- event formatter / base 0x00005000 / mask 0x00003002 - elsif std_match(addr, "----------------0110------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_TRGIN, IPBUS_SEL_WIDTH)); -- trigger inputs / base 0x00006000 / mask 0x00003002 - elsif std_match(addr, "----------------0111------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_TRGLGC, IPBUS_SEL_WIDTH)); -- trigger logic / base 0x00007000 / mask 0x00003002 - elsif std_match(addr, "----------------1000------------") then - sel := ipbus_sel_t(to_unsigned(N_SLV_LGCCLK, IPBUS_SEL_WIDTH)); -- logic clocks / base 0x00008000 / mask 0x00003002 --- END automatically generated VHDL - - else - sel := ipbus_sel_t(to_unsigned(N_SLAVES, IPBUS_SEL_WIDTH)); - end if; - - return sel; - - end function ipbus_sel_ipbus_example; - -end ipbus_decode_tlu; - diff --git a/EUDETdummy/hdl/ipbus_example.vhd b/EUDETdummy/hdl/ipbus_example.vhd deleted file mode 100644 index 5cc5f12..0000000 --- a/EUDETdummy/hdl/ipbus_example.vhd +++ /dev/null @@ -1,174 +0,0 @@ --- ipbus_example --- --- selection of different IPBus slaves without actual function, --- just for performance evaluation of the IPbus/uhal system --- --- Kristian Harder, March 2014 --- based on code by Dave Newbold, February 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use work.ipbus.all; -use work.ipbus_reg_types.all; -use work.ipbus_decode_ipbus_example.all; - -entity ipbus_example is - port( - ipb_clk: in std_logic; - ipb_rst: in std_logic; - ipb_in: in ipb_wbus; - ipb_out: out ipb_rbus; - nuke: out std_logic; - soft_rst: out std_logic; - --i2c_scl_b: INOUT std_logic; - --i2c_sda_b: INOUT std_logic; - - --i2c_sda_i: IN std_logic; - --i2c_scl_i: IN std_logic; - --i2c_scl_enb_o: OUT std_logic; - --i2c_sda_enb_o: OUT std_logic; - - i2c_sda_i: IN std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_scl_i: IN std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_scl_enb_o: OUT std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_sda_enb_o: OUT std_logic_vector(N_I2C_CORES - 1 downto 0); - userled: out std_logic - ); - -end ipbus_example; - -architecture rtl of ipbus_example is - - signal ipbw: ipb_wbus_array(N_SLAVES - 1 downto 0); - signal ipbr: ipb_rbus_array(N_SLAVES - 1 downto 0); - signal ctrl, stat: ipb_reg_v(0 downto 0); - --SIGNAL s_i2c_scl_enb : std_logic; - --SIGNAL s_i2c_sda_enb : std_logic; - - -->P - COMPONENT i2c_master - PORT ( - i2c_scl_i : IN std_logic; - i2c_sda_i : IN std_logic; - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; - ipbus_reset_i : IN std_logic; - i2c_scl_enb_o : OUT std_logic; - i2c_sda_enb_o : OUT std_logic; - ipbus_o : OUT ipb_rbus - ); - END COMPONENT i2c_master; - FOR ALL : i2c_master USE ENTITY work.i2c_master;--

N_SLAVES, - SEL_WIDTH => IPBUS_SEL_WIDTH) - port map( - ipb_in => ipb_in, - ipb_out => ipb_out, - sel => ipbus_sel_ipbus_example(ipb_in.ipb_addr), - ipb_to_slaves => ipbw, - ipb_from_slaves => ipbr - ); - --- Slave 0: id / rst reg - - slave0: entity work.ipbus_ctrlreg_v - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_CTRL_REG), - ipbus_out => ipbr(N_SLV_CTRL_REG), - d => stat, - q => ctrl - ); - - stat(0) <= X"abcdfedc"; - soft_rst <= ctrl(0)(0); - nuke <= ctrl(0)(1); - --- Slave 1: register - - slave1: entity work.ipbus_reg_v - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_REG), - ipbus_out => ipbr(N_SLV_REG), - q => open - ); - --- Slave 2: 1kword RAM - - slave4: entity work.ipbus_ram - generic map(ADDR_WIDTH => 10) - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_RAM), - ipbus_out => ipbr(N_SLV_RAM) - ); - --- Slave 3: peephole RAM - - slave5: entity work.ipbus_peephole_ram - generic map(ADDR_WIDTH => 10) - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_PRAM), - ipbus_out => ipbr(N_SLV_PRAM) - ); --- slave6 : i2c_master --- PORT MAP ( --- i2c_scl_i => i2c_scl_b, --- i2c_sda_i => i2c_sda_b, --- ipbus_clk_i => ipb_clk, --- ipbus_i => ipbw(N_SLV_I2C), --- ipbus_reset_i => ipb_rst, --- i2c_scl_enb_o => s_i2c_scl_enb, --- i2c_sda_enb_o => s_i2c_sda_enb, --- ipbus_o => ipbr(N_SLV_I2C) --- ); - - -- Instantiate a I2C core for the EEPROM - slave6 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(0), - i2c_sda_i => i2c_sda_i(0), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_0), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(0), - i2c_sda_enb_o => i2c_sda_enb_o(0), - ipbus_o => ipbr(N_SLV_I2C_0) - ); - slave7 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(1), - i2c_sda_i => i2c_sda_i(1), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_1), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(1), - i2c_sda_enb_o => i2c_sda_enb_o(1), - ipbus_o => ipbr(N_SLV_I2C_1) - ); - slave8 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(2), - i2c_sda_i => i2c_sda_i(2), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_2), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(2), - i2c_sda_enb_o => i2c_sda_enb_o(2), - ipbus_o => ipbr(N_SLV_I2C_2) - ); -end rtl; diff --git a/EUDETdummy/hdl/ipbus_fabric_sel.vhd b/EUDETdummy/hdl/ipbus_fabric_sel.vhd deleted file mode 100644 index 86d2fa7..0000000 --- a/EUDETdummy/hdl/ipbus_fabric_sel.vhd +++ /dev/null @@ -1,61 +0,0 @@ --- The ipbus bus fabric, address select logic, data multiplexers --- --- This version selects the addressed slave depending on the state --- of incoming control lines --- --- Dave Newbold, February 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use ieee.numeric_std.all; -use work.ipbus.ALL; - -entity ipbus_fabric_sel is - generic( - NSLV: positive; - STROBE_GAP: boolean := false; - SEL_WIDTH: positive - ); - port( - sel: in std_logic_vector(SEL_WIDTH - 1 downto 0); - ipb_in: in ipb_wbus; - ipb_out: out ipb_rbus; - ipb_to_slaves: out ipb_wbus_array(NSLV - 1 downto 0); - ipb_from_slaves: in ipb_rbus_array(NSLV - 1 downto 0) := (others => IPB_RBUS_NULL) - ); - -end ipbus_fabric_sel; - -architecture rtl of ipbus_fabric_sel is - - signal sel_i: integer range 0 to NSLV := 0; - signal ored_ack, ored_err: std_logic_vector(NSLV downto 0); - signal qstrobe: std_logic; - -begin - - sel_i <= to_integer(unsigned(sel)); - - ored_ack(NSLV) <= '0'; - ored_err(NSLV) <= '0'; - - qstrobe <= ipb_in.ipb_strobe when STROBE_GAP = false else - ipb_in.ipb_strobe and not (ored_ack(0) or ored_err(0)); - - busgen: for i in NSLV-1 downto 0 generate - begin - - ipb_to_slaves(i).ipb_addr <= ipb_in.ipb_addr; - ipb_to_slaves(i).ipb_wdata <= ipb_in.ipb_wdata; - ipb_to_slaves(i).ipb_strobe <= qstrobe when sel_i = i else '0'; - ipb_to_slaves(i).ipb_write <= ipb_in.ipb_write; - ored_ack(i) <= ored_ack(i+1) or ipb_from_slaves(i).ipb_ack; - ored_err(i) <= ored_err(i+1) or ipb_from_slaves(i).ipb_err; - - end generate; - - ipb_out.ipb_rdata <= ipb_from_slaves(sel_i).ipb_rdata when sel_i /= NSLV else (others => '0'); - ipb_out.ipb_ack <= ored_ack(0); - ipb_out.ipb_err <= ored_err(0); - -end rtl; diff --git a/EUDETdummy/hdl/ipbus_slaves.vhd b/EUDETdummy/hdl/ipbus_slaves.vhd deleted file mode 100644 index e0ee08f..0000000 --- a/EUDETdummy/hdl/ipbus_slaves.vhd +++ /dev/null @@ -1,170 +0,0 @@ --- ipbus_example --- --- selection of different IPBus slaves without actual function, --- just for performance evaluation of the IPbus/uhal system --- --- Kristian Harder, March 2014 --- based on code by Dave Newbold, February 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use work.ipbus.all; -use work.ipbus_reg_types.all; -use work.ipbus_decode_ipbus_example.all; - -entity ipbus_slaves is - port( - ipb_clk: in std_logic; - ipb_rst: in std_logic; - ipb_in: in ipb_wbus; - ipb_out: out ipb_rbus; - nuke: out std_logic; - soft_rst: out std_logic; - --i2c_scl_b: INOUT std_logic; - --i2c_sda_b: INOUT std_logic; - - --i2c_sda_i: IN std_logic; - --i2c_scl_i: IN std_logic; - --i2c_scl_enb_o: OUT std_logic; - --i2c_sda_enb_o: OUT std_logic; - - i2c_sda_i: IN std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_scl_i: IN std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_scl_enb_o: OUT std_logic_vector(N_I2C_CORES - 1 downto 0); - i2c_sda_enb_o: OUT std_logic_vector(N_I2C_CORES - 1 downto 0); - userled: out std_logic - ); - -end ipbus_slaves; - -architecture rtl of ipbus_slaves is - - signal ipbw: ipb_wbus_array(N_SLAVES - 1 downto 0); - signal ipbr: ipb_rbus_array(N_SLAVES - 1 downto 0); - signal ctrl, stat: ipb_reg_v(0 downto 0); - --SIGNAL s_i2c_scl_enb : std_logic; - --SIGNAL s_i2c_sda_enb : std_logic; - - -->P - COMPONENT i2c_master - PORT ( - i2c_scl_i : IN std_logic; - i2c_sda_i : IN std_logic; - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; - ipbus_reset_i : IN std_logic; - i2c_scl_enb_o : OUT std_logic; - i2c_sda_enb_o : OUT std_logic; - ipbus_o : OUT ipb_rbus - ); - END COMPONENT i2c_master; - FOR ALL : i2c_master USE ENTITY work.i2c_master;--

N_SLAVES, - SEL_WIDTH => IPBUS_SEL_WIDTH) - port map( - ipb_in => ipb_in, - ipb_out => ipb_out, - sel => ipbus_sel_ipbus_example(ipb_in.ipb_addr), - ipb_to_slaves => ipbw, - ipb_from_slaves => ipbr - ); - --- Slave 0: id / rst reg - - slave0: entity work.ipbus_ctrlreg_v - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_CTRL_REG), - ipbus_out => ipbr(N_SLV_CTRL_REG), - d => stat, - q => ctrl - ); - stat(0) <= X"abcdfedc"; - soft_rst <= ctrl(0)(0); - nuke <= ctrl(0)(1); - --- Slave 1: register - slave1: entity work.ipbus_reg_v - port map( - clk => ipb_clk, - reset => ipb_rst, - ipbus_in => ipbw(N_SLV_REG), - ipbus_out => ipbr(N_SLV_REG), - q => open - ); - --- Slave 2: 1kword RAM --- slave4: entity work.ipbus_ram --- generic map(ADDR_WIDTH => 10) --- port map( --- clk => ipb_clk, --- reset => ipb_rst, --- ipbus_in => ipbw(N_SLV_RAM), --- ipbus_out => ipbr(N_SLV_RAM) --- ); - --- Slave 3: peephole RAM --- slave5: entity work.ipbus_peephole_ram --- generic map(ADDR_WIDTH => 10) --- port map( --- clk => ipb_clk, --- reset => ipb_rst, --- ipbus_in => ipbw(N_SLV_PRAM), --- ipbus_out => ipbr(N_SLV_PRAM) --- ); --- slave6 : i2c_master --- PORT MAP ( --- i2c_scl_i => i2c_scl_b, --- i2c_sda_i => i2c_sda_b, --- ipbus_clk_i => ipb_clk, --- ipbus_i => ipbw(N_SLV_I2C), --- ipbus_reset_i => ipb_rst, --- i2c_scl_enb_o => s_i2c_scl_enb, --- i2c_sda_enb_o => s_i2c_sda_enb, --- ipbus_o => ipbr(N_SLV_I2C) --- ); - - -- Instantiate a I2C core for the EEPROM - slave6 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(0), - i2c_sda_i => i2c_sda_i(0), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_0), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(0), - i2c_sda_enb_o => i2c_sda_enb_o(0), - ipbus_o => ipbr(N_SLV_I2C_0) - ); - slave7 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(1), - i2c_sda_i => i2c_sda_i(1), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_1), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(1), - i2c_sda_enb_o => i2c_sda_enb_o(1), - ipbus_o => ipbr(N_SLV_I2C_1) - ); - slave8 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_i(2), - i2c_sda_i => i2c_sda_i(2), - ipbus_clk_i => ipb_clk, - ipbus_i => ipbw(N_SLV_I2C_2), - ipbus_reset_i => ipb_rst, - i2c_scl_enb_o => i2c_scl_enb_o(2), - i2c_sda_enb_o => i2c_sda_enb_o(2), - ipbus_o => ipbr(N_SLV_I2C_2) - ); -end rtl; diff --git a/EUDETdummy/hdl/ipbus_ver.vhd b/EUDETdummy/hdl/ipbus_ver.vhd deleted file mode 100644 index 068f126..0000000 --- a/EUDETdummy/hdl/ipbus_ver.vhd +++ /dev/null @@ -1,46 +0,0 @@ ---============================================================================= ---! @file ipbus_ver.vhd ---============================================================================= - --- Version register, returns a fixed value --- --- To be replaced by a more coherent versioning mechanism later --- --- Dave Newbold, August 2011 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use work.ipbus.all; - ---! @brief IPBus fixed register returning Firmware version number -entity ipbus_ver is - port( - ipbus_in: in ipb_wbus; - ipbus_out: out ipb_rbus - ); - -end ipbus_ver; - -architecture rtl of ipbus_ver is - -begin - - ipbus_out.ipb_rdata <= X"a622" & X"1008"; -- Lower 16b are ipbus firmware build ID (temporary arrangement). - ipbus_out.ipb_ack <= ipbus_in.ipb_strobe; - ipbus_out.ipb_err <= '0'; - -end rtl; - --- Build log --- --- build 0x1000 : 22/08/11 : Starting build ID --- build 0x1001 : 29/08/11 : Version for SPI testing --- build 0x1002 : 27/09/11 : Bug fixes, new transactor code; v1.3 candidate --- build 0x1003 : buggy --- build 0x1004 : 18/10/11 : New mini-t top level, bug fixes, 1.3 codebase --- build 0x1005 : 20/10/11 : ipbus address config testing in mini-t --- build 0x1006 : 26/10/11 : trying with jumbo frames --- build 0x1007 : 27/10/11 : new slaves / address map + rhino frames --- build 0x1008 : 31/10/11 : rhino frames + multibus demo - - diff --git a/EUDETdummy/hdl/led_stretcher.vhd b/EUDETdummy/hdl/led_stretcher.vhd deleted file mode 100644 index c8af6c6..0000000 --- a/EUDETdummy/hdl/led_stretcher.vhd +++ /dev/null @@ -1,74 +0,0 @@ --- stretcher --- --- Stretches a single clock pulse so it's visible on an LED --- --- Dave Newbold, January 2013 --- --- $Id$ - -library ieee; -use ieee.std_logic_1164.all; -use ieee.numeric_std.all; - -entity led_stretcher is - generic( - WIDTH: positive := 1 - ); - port( - clk: in std_logic; -- Assumed to be 125MHz ipbus clock - d: in std_logic_vector(WIDTH - 1 downto 0); -- Input (edge detected) - q: out std_logic_vector(WIDTH - 1 downto 0) -- LED output, ~250ms pulse - ); - -end led_stretcher; - -architecture rtl of led_stretcher is - - signal d17, d17_d: std_logic; - -begin - - clkdiv: entity work.ipbus_clock_div - port map( - clk => clk, - d17 => d17 - ); - - process(clk) - begin - if rising_edge(clk) then - d17_d <= d17; - end if; - end process; - - lgen: for i in WIDTH - 1 downto 0 generate - - signal s, sd, e, e_d, sl: std_logic; - signal scnt: unsigned(6 downto 0); - - begin - - process(clk) - begin - if rising_edge(clk) then - s <= d(i); -- Possible clock domain crossing from slower clock (sync not important) - sd <= s; - e <= (e or (s and not sd)) and not e_d; - if d17 = '1' and d17_d = '0' then - e_d <= e; - if e = '1' then - scnt <= "0000001"; - elsif sl = '0' then - scnt <= scnt + 1; - end if; - end if; - end if; - end process; - - sl <= '1' when scnt = "0000000" else '0'; - - q(i) <= not sl; - - end generate; - -end rtl; diff --git a/EUDETdummy/hdl/logic_clocks_rtl.vhd b/EUDETdummy/hdl/logic_clocks_rtl.vhd deleted file mode 100644 index 631007a..0000000 --- a/EUDETdummy/hdl/logic_clocks_rtl.vhd +++ /dev/null @@ -1,344 +0,0 @@ ---============================================================================= ---! @file logic_clocks_rtl.vhd ---============================================================================= --- -------------------------------------------------------------------------------- --- -- --- University of Bristol, High Energy Physics Group. --- -- -------------------------------------------------------------------------------- -- --- VHDL Architecture fmc_mTLU_lib.logic_clocks.rtl --- --------------------------------------------------------------------------------- --- --- Created using using Mentor Graphics HDL Designer(TM) 2010.3 (Build 21) --- --- Based on output of Xilinx Coregen and Alvro Dosil TLU code. ------------------------------------------------------------------------------- --- "Output Output Phase Duty Pk-to-Pk Phase" --- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------- --- CLK_OUT1___640.000______0.000______50.0______175.916____213.982 --- CLK_OUT2___160.000______0.000______50.0______223.480____213.982 --- CLK_OUT3____40.000______0.000______50.0______306.416____213.982 --- ------------------------------------------------------------------------------- --- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------- --- __primary__________40.000____________0.010 - -LIBRARY ieee; -USE ieee.std_logic_1164.all; -USE ieee.numeric_std.all; - -USE work.ipbus.all; - -library unisim; -use unisim.vcomponents.all; - ---! @brief Generates 160MHz , 640MHz clocks from an incoming 40MHz clock. ---! Can switch between clock generated from on-board Xtal ( clk_logic_xtal ) and external clock. ---! Can also output clock to external clock pins. ---! ---! @author David Cussans , David.Cussans@bristol.ac.uk --- ---! @date 14:20:26 11/14/12 --- ---! @version v0.1 --- ---! @details ---! \br IPBus Address map: ---! \br (decode 2 bits) ---! \li 0x00000000 - control/status register: ---! \li bit-0 - PLL locked ( 1 = locked ) ---! \li bit-1 - buff-PLL locked ( 1 = locked ) ---! \li bit-2 - use xtal for logic ( 1 = xtal , 0= external) ---! \li bit-3 - clock connector is an input ( 1=input , 0 = output) ---! \li 0x00000001 - reset logic. Write to bit-zero to send reset. ---! ---! -ENTITY logic_clocks IS - GENERIC( - g_USE_EXTERNAL_CLK : integer := 1 - ); - PORT( - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; - ipbus_reset_i : IN std_logic; - Reset_i : IN std_logic; - clk_logic_xtal_i : IN std_logic; --! 40MHz clock derived from onboard xtal - clk_8x_logic_o : OUT std_logic; --! 640MHz clock - clk_4x_logic_o : OUT std_logic; --! 160MHz clock - ipbus_o : OUT ipb_rbus; - strobe_8x_logic_o : OUT std_logic; --! strobes once every 4 cycles of clk_16x - strobe_4x_logic_o : OUT std_logic; --! one pulse every 4 cycles of clk_4x - DUT_clk_o : OUT std_logic; --! 40MHz to DUTs - logic_clocks_locked_o : OUT std_logic; --! Goes high if clocks locked. - logic_reset_o : OUT std_logic --! Goes high to reset counters etc. Sync with clk_4x_logic - ); - --- Declarations -END ENTITY logic_clocks ; - --- -ARCHITECTURE rtl OF logic_clocks IS - signal s_clk40 , s_clk40_internal : std_logic; - signal s_clk160 ,s_clk160_internal : std_logic; - signal ryanclock : std_logic; - signal s_clk320 , s_clk320_internal : std_logic; - signal s_clk40_out : std_logic; -- Clock generated by DDR register to feed out of chip. - signal s_clk_is_xtal, s_clk_is_ext_buf : std_logic := '0'; -- default to - -- input from ext - -- signal s_logic_clk_rst : std_logic := '0'; - signal s_locked_pll, s_locked_bufpll : std_logic; - - signal s_clk : std_logic; - signal s_DUT_Clk, s_DUT_Clk_o, s_DUT_ClkG : std_logic; - signal s_extclk, s_extclkG : std_logic; - -- signal s_clk_d1 , s_strobe_4x_p1 , s_strobe_4x_logic : std_logic; - signal s_clkfbout_buf , s_clkfbout : std_logic; - - signal s_strobe_generator : std_logic_vector(3 downto 0) := "1000"; -- ! Store state of ring buffer to generate strobe - signal s_logic_clk_generator : std_logic_vector(3 downto 0) := "1100"; --! Stores state of 40MHz "clock" - --signal s_strobe_generator : std_logic_vector(15 downto 0) := "1111000000000000"; -- ! Store state of ring buffer to generate strobe - --signal s_logic_clk_generator : std_logic_vector(15 downto 0) := "1111111100000000"; --! Stores state of 40MHz "clock" - signal s_strobe160 :std_logic_vector(15 downto 0) := "1000000000000000"; -- 160 strobe ring - signal s_strobe_fb : std_logic := '0'; - - signal s_logic_reset_ipb, s_logic_reset_ipb_d1 : std_logic := '0'; - -- ! Reset signal in IPBus clock domain - signal s_logic_reset , s_logic_reset_d1 , s_logic_reset_d2 , s_logic_reset_d3 , s_logic_reset_d4 : std_logic := '0'; - -- ! reset signal clocked onto logic-clock domain. - attribute SHREG_EXTRACT: string; - attribute SHREG_EXTRACT of s_logic_reset_d1: signal is "no"; -- Synchroniser not to be optimised into shre - attribute SHREG_EXTRACT of s_logic_reset_d2: signal is "no"; -- Synchroniser not to be optimised into shreg - attribute SHREG_EXTRACT of s_logic_reset_d3: signal is "no"; -- Synchroniser not to be optimised into shreg - attribute SHREG_EXTRACT of s_logic_reset_d4: signal is "no"; -- Synchroniser not to be optimised into shreg - signal s_ipbus_ack : std_logic := '0'; - signal s_reset_pll : std_logic := '0'; - - - -- ! Global Reset signal - signal s_extclk_internal : std_logic := '0'; - signal s_clock_status_ipb : std_logic_vector( ipbus_o.ipb_rdata'range ); --! Hold status of clocks - -BEGIN - ----------------------------------------------------------------------------- - -- IPBus write - ----------------------------------------------------------------------------- - ipbus_write: process (ipbus_clk_i) - begin -- process ipb_clk_i - if rising_edge(ipbus_clk_i) then - s_logic_reset_ipb <= '0'; - if (ipbus_i.ipb_strobe = '1' and ipbus_i.ipb_write = '1') then - case ipbus_i.ipb_addr(1 downto 0) is - when "00" => - s_clk_is_xtal <= ipbus_i.ipb_wdata(2) ; -- select clock source - - when "01" => - s_logic_reset_ipb <= ipbus_i.ipb_wdata(0) ; -- write to reset - when others => null; - end case; - end if; - - -- register reset signal to aid timing. - s_logic_reset_ipb_d1 <= s_logic_reset_ipb; - s_ipbus_ack <= ipbus_i.ipb_strobe and not s_ipbus_ack; - -- register the clock status signals onto IPBus domain. - --s_clock_status_ipb <= x"0000000" & '0' & s_clk_is_xtal & s_locked_bufpll & s_locked_pll; - s_clock_status_ipb <= x"0000000" & '0' & '0' & '0' & s_locked_pll; -- The only useful bit is not the PLL lock status. - end if; - end process ipbus_write; - - ipbus_o.ipb_ack <= s_ipbus_ack; - ipbus_o.ipb_err <= '0'; - - ----------------------------------------------------------------------------- - -- IPBUS read - ----------------------------------------------------------------------------- - with ipbus_i.ipb_addr(1 downto 0) select - ipbus_o.ipb_rdata <= - s_clock_status_ipb when "00", - (others => '1') when others; - - - ----------------------------------------------------------------------------- - -- Generate reset signal on logic-clock domain - -- This relies on the IPBus clock being much slower than the 4x logic clock. - ----------------------------------------------------------------------------- - p_reset: process (s_clk160_internal) - begin -- process p_reset - if rising_edge(s_clk160_internal) then - s_logic_reset_d1 <= s_logic_reset_ipb_d1; - s_logic_reset_d2 <= s_logic_reset_d1; - s_logic_reset_d3 <= s_logic_reset_d2; - s_logic_reset_d4 <= s_logic_reset_d2 and ( not s_logic_reset_d3); - s_logic_reset <= s_logic_reset_d4; - end if; - end process p_reset; - - logic_reset_o <= s_logic_reset; - logic_clocks_locked_o <= s_locked_bufpll and s_locked_pll; - - - -- Use Generate, since can't figure out how BUFGMUX works - -- gen_extclk_input: if ( g_USE_EXTERNAL_CLK = 1) generate - -- s_DUT_Clk <= s_extclkG; -- Hard wire for now. - -- end generate gen_extclk_input; - -- gen_intclk_input: if ( g_USE_EXTERNAL_CLK = 0) generate - s_DUT_Clk <= clk_logic_xtal_i; - -- end generate gen_intclk_input; - - - - --! Clocking primitive - ------------------------------------- - --! Instantiation of the PLL primitive - pll_base_inst : PLL_BASE - generic map - (BANDWIDTH => "OPTIMIZED", - --CLK_FEEDBACK => "CLKOUT0", --"CLKFBOUT", - CLK_FEEDBACK => "CLKFBOUT", - COMPENSATION => "SYSTEM_SYNCHRONOUS", - DIVCLK_DIVIDE => 1, - CLKFBOUT_MULT => 16, - CLKFBOUT_PHASE => 0.000, - CLKOUT0_DIVIDE => 2, -- 1-->2 move from 640 to 320 - CLKOUT0_PHASE => 0.000, - CLKOUT0_DUTY_CYCLE => 0.500, - CLKOUT1_DIVIDE => 4, -- 4-->8 move from 160 to 80 - CLKOUT1_PHASE => 0.000, - CLKOUT1_DUTY_CYCLE => 0.500, - CLKOUT2_DIVIDE => 16, -- 16--> 32 move from 40 to 20 - CLKOUT2_PHASE => 0.000, - CLKOUT2_DUTY_CYCLE => 0.500, - CLKIN_PERIOD => 25.000, - REF_JITTER => 0.010) - port map( - -- Output clocks - CLKFBOUT => s_clkfbout, - CLKOUT0 => s_clk320, - CLKOUT1 => s_clk160, - CLKOUT2 => s_clk40, - CLKOUT3 => open, - CLKOUT4 => open, - CLKOUT5 => open, - -- Status and control signals - LOCKED => s_locked_pll, - -- RST => s_logic_clk_rst, - RST => s_reset_pll, - -- Input clock control - -- CLKFBIN => s_clkfbout_buf, - CLKFBIN => s_clkfbout, - CLKIN => s_DUT_clk); - -- CLKIN => clk_logic_xtal_i); - - s_reset_pll <= Reset_i or s_logic_reset; - ------------------------------------------------ ---BUFPLL not supported by 7 Series. We need to replace it with BUFIO+BUFR - -- Buffer the 16x clock and generate the ISERDES strobe signal --- BUFPLL_inst : BUFPLL --- generic map ( --- DIVIDE => 4) --- port map ( --- IOCLK => s_clk640_internal, -- 1-bit output: Output I/O clock --- LOCK => s_locked_bufpll, -- 1-bit output: Synchronized LOCK output --- SERDESSTROBE => strobe_16x_logic_O, -- 1-bit output: Output SERDES strobe (connect to ISERDES2/OSERDES2) --- GCLK => s_clk160_internal, -- 1-bit input: BUFG clock input --- LOCKED => s_locked_pll, -- 1-bit input: LOCKED input from PLL --- PLLIN => s_clk640 -- 1-bit input: Clock input from PLL --- ); - - BUFG_inst: BUFG - port map ( - I => s_clk320, - O => s_clk320_internal - ); - --- BUFR_inst: BUFR --- generic map ( --- BUFR_DIVIDE => "4" --- ) --- port map ( --- I => s_clk160_internal, --- CE => '1', --- CLR => '0', --- O => ryanclock --- ); - --- BUFG_inst2: BUFG --- port map ( --- I => ryanclock, --- O => strobe_16x_logic_O -- Not sure this is actually a strobe... Check --- ); ------------------------------------------------ - - clk_8x_logic_o <= s_clk320_internal; - DUT_clk_o <= s_DUT_clk; - - - - -- Generate a strobe signal every 4 clocks. - -- Can't use a clock signal as a combinatorial signal. Hence the baroque - -- method of generating a strobe. Add a mechanism to restart if the '1' gets - -- lost .... - - ------------------ - generate_4x_strobe: process (s_clk160_internal)-- , s_clk40_out) - begin -- process generate_4x_strobe - if rising_edge(s_clk160_internal) then - if s_logic_reset = '1' then - s_strobe_generator <= "1000"; - s_logic_clk_generator <= "1100"; - --s_strobe160 <= "1000000000000000"; - elsif (s_locked_pll ='1') then - s_strobe_generator <= s_strobe_generator(2 downto 0) & s_strobe_generator(3); -- <- bit shift left - s_logic_clk_generator <= s_logic_clk_generator(2 downto 0) & s_logic_clk_generator(3); -- <- bit shift left - --s_strobe160 <= s_strobe160(14 downto 0) & s_strobe160(15); - end if; - end if; - end process generate_4x_strobe; - strobe_4x_logic_o <= s_strobe_generator(3); -- Every 4 clocks this gets to 1 for one pulse - s_clk40_out <= s_logic_clk_generator(3); -- Every 4 clocks this gets to 1 for two pulses (so half F of the original clock? But then it is a clk80 not clk40.) Not used it seems. - --------------- - - generate_8x_strobe: process (s_clk320_internal) - begin - if rising_edge(s_clk320_internal) then - if s_logic_reset = '1' then - s_strobe160 <= "1000000000000000"; - --s_strobe_generator <= "1111000000000000";-- - --s_logic_clk_generator <= "1111111100000000";-- - elsif (s_locked_pll ='1') then - s_strobe160 <= s_strobe160(14 downto 0) & s_strobe160(15); - --s_strobe_generator <= s_strobe_generator(14 downto 0) & s_strobe_generator(15); -- - --s_logic_clk_generator <= s_logic_clk_generator(14 downto 0) & s_logic_clk_generator(15); -- <- bit shift left - end if; - end if; - end process generate_8x_strobe; - strobe_8x_logic_O <= s_strobe160(15); - --strobe_4x_logic_o <= s_strobe_generator(15); -- - --s_clk40_out <= s_logic_clk_generator(15); -- - - - -- buffer 160MHz (4x) clock - -------------------------------------- - clk160_o_buf : BUFG - port map( - O => s_clk160_internal, - I => s_clk160); - - clk_4x_logic_o <= s_clk160_internal; - --- -- buffer 40MHz (1x) clock --- -------------------------------------- --- clk40_o_buf : BUFG --- port map( --- O => s_clk40_internal, --- I => s_clk40); - --- clk_logic_o <= s_clk40_out; - -END ARCHITECTURE rtl; - diff --git a/EUDETdummy/hdl/top_EUDET_dummy.vhd b/EUDETdummy/hdl/top_EUDET_dummy.vhd deleted file mode 100644 index 66b0ab9..0000000 --- a/EUDETdummy/hdl/top_EUDET_dummy.vhd +++ /dev/null @@ -1,646 +0,0 @@ --- Top-level design for TLU v1E --- --- This version is for Enclustra AX3 module, using the RGMII PHY on the PM3 baseboard --- --- You must edit this file to set the IP and MAC addresses --- --- Dave Newbold, 4/10/16-- - -library IEEE; -library UNISIM; -use IEEE.STD_LOGIC_1164.ALL; -use ieee.numeric_std.all; -use work.fmcTLU.all; -use work.ipbus_decode_tlu.all; -use work.ipbus.all; -use work.ipbus_reg_types.all; -use UNISIM.vcomponents.all; - ---Library UNISIM; ---use UNISIM.vcomponents.all; - -use work.ipbus.ALL; - -entity top_EUDET_dummy is - generic( - constant FW_VERSION : unsigned(31 downto 0):= X"ffff0006"; -- Firmware revision. Remember to change this as needed. - g_NUM_DUTS : positive := 4; -- <- was 3 - g_NUM_TRIG_INPUTS :positive := 6;-- <- was 4 - g_NUM_EDGE_INPUTS :positive := 6;-- <-- was 4 - g_NUM_EXT_SLAVES :positive :=8;-- <-- ?? - g_EVENT_DATA_WIDTH :positive := 32;-- <-- ?? - g_IPBUS_WIDTH :positive := 32;-- <-- was 32 - g_SPILL_COUNTER_WIDTH :positive := 12;-- <-- ?? - g_BUILD_SIMULATED_MAC :integer := 0 - ); - port( - --Clock - --sysclk: in std_logic; --50 MHz clock input from FPGA - clk_enclustra: in std_logic; --Enclustra onboard oscillator 40 MHz. Used for the IPBus block - sysclk_50_o_p : out std_logic; --50 MHz clock output to FMC pins - sysclk_50_o_n : out std_logic; --50 MHz clock output to FMC pins - sysclk_40_i_p: in std_logic; - sysclk_40_i_n: in std_logic; - --Misc - leds: out std_logic_vector(3 downto 0); -- status LEDs - dip_sw: in std_logic_vector(3 downto 0); -- switches - gpio: out std_logic; -- gpio pin on J1 (eventually make it inout) - --RGMII interface signals - rgmii_txd: out std_logic_vector(3 downto 0); - rgmii_tx_ctl: out std_logic; - rgmii_txc: out std_logic; - rgmii_rxd: in std_logic_vector(3 downto 0); - rgmii_rx_ctl: in std_logic; - rgmii_rxc: in std_logic; - phy_rstn: out std_logic; - --I2C bus - i2c_scl_b: inout std_logic; - i2c_sda_b: inout std_logic; - i2c_reset: out std_logic; --Reset line for the expander serial lines - --Clock generator controls - clk_gen_rst: out std_logic; --Reset line for the Si5345 clock generator (active low) - --clk_gen_lol: in std_logic; --LOL signal. Do not use for now as it is connected to CONT_FROM_FPGA<0> - --TLU signals for DUTs - busy_i: in std_logic_vector(g_NUM_DUTS-1 downto 0);-- Busy lines from DUTs (active high) (busy to FPGA) - busy_o: out std_logic_vector(g_NUM_DUTS-1 downto 0);-- Busy lines to DUTs (active high) (busy from FPGA) - cont_i: in std_logic_vector(g_NUM_DUTS-1 downto 0); --Control lines from DUTs - cont_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); --Control lines to DUTs - spare_i: in std_logic_vector(g_NUM_DUTS-1 downto 0); --Spare lines from DUTs - spare_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); --Spare lines to DUTs - triggers_i: in std_logic_vector(g_NUM_DUTS-1 downto 0); --Trigger lines from DUTs - triggers_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); --Trigger lines to DUTs - dut_clk_i: in std_logic_vector(g_NUM_DUTS-1 downto 0); --Clock from DUTs - dut_clk_o: out std_logic_vector(g_NUM_DUTS-1 downto 0) --Clock to DUTs - - - --reset_or_clk_n_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); --T0 synchronization signal - --reset_or_clk_p_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); - --shutter_to_dut_n_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); --Shutter output - --shutter_to_dut_p_o: out std_logic_vector(g_NUM_DUTS-1 downto 0); - - ); - -end top_EUDET_dummy; - -architecture rtl of top_EUDET_dummy is - - signal clk_ipb, rst_ipb, nuke, soft_rst, phy_rst_e, clk_200, sysclk_40, clk_encl_buf, userled: std_logic; - signal mac_addr: std_logic_vector(47 downto 0); - signal ip_addr: std_logic_vector(31 downto 0); - signal ipb_out: ipb_wbus; - signal ipb_in: ipb_rbus; - signal inf_leds: std_logic_vector(1 downto 0); - signal s_i2c_scl_enb : std_logic; - signal s_i2c_sda_enb : std_logic; - signal encl_clock50: std_logic; -- This is a 50 MHz clock generated from the Enclustra onboard oscillator (rather than the clock input) - - --signal s_i2c_sda_i : std_logic; - --signal s_i2c_scl_i : std_logic; - ------------------------------------------ - -- Internal signal declarations - SIGNAL T0_o : std_logic; - SIGNAL buffer_full_o : std_logic; --! Goes high when event buffer almost full - SIGNAL clk_8x_logic : std_logic; -- 320MHz clock - SIGNAL clk_4x_logic : std_logic; --! normally 160MHz - SIGNAL clk_logic_xtal : std_logic; -- ! 40MHz clock from onboard xtal - SIGNAL data_strobe : std_logic; -- goes high when data ready to load into event buffer - SIGNAL dout : std_logic; - SIGNAL dout1 : std_logic; - SIGNAL event_data : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0); - signal ipbww: ipb_wbus_array(N_SLAVES - 1 downto 0); - signal ipbrr: ipb_rbus_array(N_SLAVES - 1 downto 0); - SIGNAL logic_clocks_reset : std_logic; -- Goes high to reset counters etc. Sync with clk_4x_logic - SIGNAL logic_reset : std_logic; - SIGNAL overall_trigger : std_logic; --! goes high to load trigger data - SIGNAL overall_veto : std_logic; --! Halts triggers when high - SIGNAL postVetoTrigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) - SIGNAL postVetotrigger : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! High when trigger from input connector active and enabled - --trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not used yet. - SIGNAL rst_fifo_o : std_logic; --! rst signal to first level fifos - SIGNAL s_edge_fall_times : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) - SIGNAL s_edge_falling : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when falling edge - SIGNAL s_edge_rise_times : t_triggerTimeArray(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- Array of edge times ( w.r.t. logic_strobe) - SIGNAL s_edge_rising : std_logic_vector(g_NUM_EDGE_INPUTS-1 DOWNTO 0); -- ! High when rising edge - --SIGNAL s_i2c_scl_enb : std_logic; - --SIGNAL s_i2c_sda_enb : std_logic; - SIGNAL s_shutter : std_logic; --! shutter signal from TimePix, retimed onto local clock - SIGNAL s_triggerLogic_reset : std_logic; - SIGNAL shutter_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); - SIGNAL shutter_i : std_logic; - SIGNAL spill_cnt_i : std_logic_vector(g_SPILL_COUNTER_WIDTH-1 DOWNTO 0); - SIGNAL spill_i : std_logic; - SIGNAL strobe_8x_logic : std_logic; --! Pulses one cycle every 4 of 16x clock. - SIGNAL strobe_4x_logic : std_logic; -- one pulse every 4 cycles of clk_4x - SIGNAL trigger_count : std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); - type myTrigArray is array (g_NUM_DUTS-1 downto 0) of std_logic_vector(g_IPBUS_WIDTH-1 DOWNTO 0); - signal TrigNArray : myTrigArray; - SIGNAL TriggerNumber : std_logic_vector(g_EVENT_DATA_WIDTH-1 DOWNTO 0); - SIGNAL TriggerNumberStrobe : std_logic_vector(g_NUM_DUTS-1 downto 0); - SIGNAL stretchFlags : std_logic_vector(g_NUM_DUTS-1 downto 0) := "0011"; -- ! define which dummyDUT have their busy line stretched - - SIGNAL trigger_times : t_triggerTimeArray(g_NUM_TRIG_INPUTS-1 DOWNTO 0); -- ! trigger arrival time ( w.r.t. logic_strobe) - SIGNAL triggers : std_logic_vector(g_NUM_TRIG_INPUTS-1 DOWNTO 0); - SIGNAL veto_o : std_logic; --! goes high when one or more DUT are busy - signal ctrl, stat: ipb_reg_v(0 downto 0); - --My signals - --SIGNAL busy_toggle_o : std_logic_vector(g_NUM_DUTS-1 downto 0); - ----------------------------------------------- ----------------------------------------------- - component DUTInterfaces - generic( - g_NUM_DUTS : positive := 4;-- <- was 3 - g_IPBUS_WIDTH : positive := 32 - ); - port ( - clk_4x_logic_i : IN std_logic ; - strobe_4x_logic_i : IN std_logic ; --! goes high every 4th clock cycle - trigger_counter_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); --! Number of trigger events since last reset - trigger_i : IN std_logic ; --! goes high when trigger logic issues a trigger - reset_or_clk_to_dut_i : IN std_logic ; --! Synchronization signal. Passed TO DUT pins - shutter_to_dut_i : IN std_logic ; --! Goes high TO indicate data-taking active. DUTs report busy unless ignoreShutterVeto IPBus flag is set high - -- IPBus signals. - ipbus_clk_i : IN std_logic ; - ipbus_i : IN ipb_wbus ; --! Signals from IPBus core TO slave - ipbus_reset_i : IN std_logic ; - ipbus_o : OUT ipb_rbus ; --! signals from slave TO IPBus core - -- Signals to/from DUT - busy_from_dut : IN std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! BUSY input from DUTs - busy_to_dut : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! BUSY input to DUTs (single ended) - clk_from_dut : IN std_logic_vector(g_NUM_DUTS-1 DOWNTO 0); --new signal for TLU, replace differential I/O - clk_to_dut : OUT std_logic_vector(g_NUM_DUTS-1 DOWNTO 0); --new signal for TLU, replace differential I/O - reset_to_dut: OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Replaces reset_or_clk_to_dut - trigger_to_dut : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Trigger output - shutter_to_dut : OUT std_logic_vector (g_NUM_DUTS-1 DOWNTO 0); --! Shutter output - veto_o : OUT std_logic - ); - end component DUTInterfaces; ----------------------------------------------- ----------------------------------------------- - component Dummy_DUT - Port ( - CLK : in STD_LOGIC; --! this is the USB clock. - RST : in STD_LOGIC; --! Synchronous clock - Trigger : in STD_LOGIC; --! Trigger from TLU - stretchBusy: in STD_LOGIC; --! flag: if 1 extend the BUSY signal - Busy : out STD_LOGIC; --! Busy to TLU - DUTClk : out STD_LOGIC; --! clock from DUT - TriggerNumber : out STD_LOGIC_VECTOR(31 downto 0); - TriggerNumberStrobe : out STD_LOGIC; - FSM_Error : out STD_LOGIC - ); -end component; ----------------------------------------------- ----------------------------------------------- - - COMPONENT eventBuffer - GENERIC ( - g_EVENT_DATA_WIDTH : positive := 32; - g_IPBUS_WIDTH : positive := 32; - g_READ_COUNTER_WIDTH : positive := 16 - ); - PORT ( - clk_4x_logic_i : IN std_logic ; - data_strobe_i : IN std_logic ; -- Indicates data TO transfer - event_data_i : IN std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); - ipbus_clk_i : IN std_logic ; - ipbus_i : IN ipb_wbus ; - ipbus_reset_i : IN std_logic ; - strobe_4x_logic_i : IN std_logic ; - --trigger_count_i : IN std_logic_vector (g_IPBUS_WIDTH-1 DOWNTO 0); --! Not used yet. - rst_fifo_o : OUT std_logic ; --! rst signal TO first level fifos - buffer_full_o : OUT std_logic ; --! Goes high when event buffer almost full - ipbus_o : OUT ipb_rbus ; - logic_reset_i : IN std_logic -- reset buffers when high. Synch withclk_4x_logic - ); - END COMPONENT eventBuffer; ----------------------------------------------- ----------------------------------------------- --- COMPONENT eventFormatter --- GENERIC ( --- g_EVENT_DATA_WIDTH : positive := 64; --- g_IPBUS_WIDTH : positive := 32; --- g_COUNTER_TRIG_WIDTH : positive := 32; --- g_COUNTER_WIDTH : positive := 12; --- g_EVTTYPE_WIDTH : positive := 4; --! Width of the event type word --- --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) --- g_NUM_EDGE_INPUTS : positive := 4; --! Number of edge inputs --- g_NUM_TRIG_INPUTS : positive := 6 --! Number of trigger inputs (POSSIBLY WRONG!) --- ); --- PORT ( --- clk_4x_logic_i : IN std_logic ; --! Rising edge active --- ipbus_clk_i : IN std_logic ; --- logic_strobe_i : IN std_logic ; --! Pulses high once every 4 cycles of clk_4x_logic --- logic_reset_i : IN std_logic ; --! goes high TO reset counters. Synchronous with clk_4x_logic --- rst_fifo_i : IN std_logic ; --! Goes high TO reset FIFOs --- buffer_full_i : IN std_logic ; --! Goes high when output fifo full --- trigger_i : IN std_logic ; --! goes high TO load trigger data. One cycle of clk_4x_logic --- trigger_times_i : IN t_triggerTimeArray (g_NUM_TRIG_INPUTS-1 DOWNTO 0); --! Array of trigger times ( w.r.t. logic_strobe) --- trigger_inputs_fired_i : IN std_logic_vector (g_NUM_TRIG_INPUTS-1 DOWNTO 0); --! high for each input that "fired" --- trigger_cnt_i : IN std_logic_vector (g_COUNTER_TRIG_WIDTH-1 DOWNTO 0); --! Trigger count --- shutter_i : IN std_logic ; --- shutter_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); --- spill_i : IN std_logic ; --- spill_cnt_i : IN std_logic_vector (g_COUNTER_WIDTH-1 DOWNTO 0); --- edge_rise_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! High when rising edge --- edge_fall_i : IN std_logic_vector (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! High when falling edge --- edge_rise_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! Array of edge times ( w.r.t. logic_strobe) --- edge_fall_time_i : IN t_triggerTimeArray (g_NUM_EDGE_INPUTS-1 DOWNTO 0); --! Array of edge times ( w.r.t. logic_strobe) --- ipbus_i : IN ipb_wbus ; --- ipbus_o : OUT ipb_rbus ; --- data_strobe_o : OUT std_logic ; --! goes high when data ready TO load into event buffer --- event_data_o : OUT std_logic_vector (g_EVENT_DATA_WIDTH-1 DOWNTO 0); --- reset_timestamp_i : IN std_logic ; --! Taking high causes timestamp TO be reset. Combined with internal timestmap reset and written to reset_timestamp_o --- reset_timestamp_o : OUT std_logic --! Goes high for one clock cycle of clk_4x_logic when timestamp reset --- ); --- END COMPONENT eventFormatter; ----------------------------------------------- ----------------------------------------------- - COMPONENT logic_clocks - GENERIC ( - g_USE_EXTERNAL_CLK : integer := 1 - ); - PORT ( - ipbus_clk_i : IN std_logic ; - ipbus_i : IN ipb_wbus ; - ipbus_reset_i : IN std_logic ; - Reset_i : IN std_logic ; - clk_logic_xtal_i : IN std_logic ; -- ! 40MHz clock from onboard xtal - clk_8x_logic_o : OUT std_logic ; -- 640MHz clock - clk_4x_logic_o : OUT std_logic ; -- 160MHz clock - ipbus_o : OUT ipb_rbus ; - strobe_8x_logic_o : OUT std_logic ; -- strobes once every 4 cycles of clk_16x - strobe_4x_logic_o : OUT std_logic ; -- one pulse every 4 cycles of clk_4x - --extclk_p_b : INOUT std_logic ; -- either external clock in, or a clock being driven out - --extclk_n_b : INOUT std_logic ; - DUT_clk_o : OUT std_logic ; - logic_clocks_locked_o : OUT std_logic ; - logic_reset_o : OUT std_logic -- Goes high TO reset counters etc. Sync with clk_4x_logic - ); - END COMPONENT logic_clocks; ----------------------------------------------- - - - COMPONENT i2c_master - PORT ( - i2c_scl_i : IN std_logic; - i2c_sda_i : IN std_logic; - ipbus_clk_i : IN std_logic; - ipbus_i : IN ipb_wbus; - ipbus_reset_i : IN std_logic; - i2c_scl_enb_o : OUT std_logic; - i2c_sda_enb_o : OUT std_logic; - ipbus_o : OUT ipb_rbus - ); - END COMPONENT i2c_master; - --- component clk_wiz_0 --- port --- (-- Clock in ports --- clk_in1 : in std_logic; --- -- Clock out ports --- clk_out1 : out std_logic; --- -- Status and control signals --- reset : in std_logic; --- locked : out std_logic --- ); --- end component; - - - -- Optional embedded configurations - -- pragma synthesis_off - FOR ALL : DUTInterfaces USE ENTITY work.DUTInterfaces; - --FOR ALL : IPBusInterface USE ENTITY work.IPBusInterface; - FOR ALL : T0_Shutter_Iface USE ENTITY work.T0_Shutter_Iface; - FOR ALL : eventBuffer USE ENTITY work.eventBuffer; - FOR ALL : eventFormatter USE ENTITY work.eventFormatter; - FOR ALL : i2c_master USE ENTITY work.i2c_master;--

E$8Gnf0^2P_v3-i+@eYyw~`UUpwFc_=x7j zph=ygr#6Ar>2fnuAq>A8-F(J$=;+^p-<4-TcG&(ws?PD0Jv0ZJ+ADqB_fVuJZq63k7hyFCt@V0KaTm&W>N)$T=xGtaMomI88!p` zk?yee#boq>7#pj>ZHHNw_XRe124*%=GRDe&Yl4WaM}0fVfUye(5UXJKN{K-pF35kn zT&e;YWVQ2H*io;7;?e#PJmHyk&W3as2i-UO2^38rUsPvNQ2==}s*{L3gR_flT1j z4>t-v>3~W3)Hxn6=Be2MH-s&~2p$J)ICNze%6h95>Zn?^=F>lE&%MZ-I>Zu>QvcDF zmqEh{xlrcX@|N}<5RlQn|A-rZsNO=6`gn03vi}E&3=9-JKWF>VCzl=Ya)VJX92FRh z-^(tW7|F|YGzu`M{Mf8f zXw>1}O#YrPKD}G^c}4wVrH8p@%glE|`masja(!HYFW!j+If2-3)w;=`d4yK3YL;!A zx6DKEn9qcUfI}Sw>Q>Y>3&FIopExrfC*Z(}KSQ1laOT{Hs^4={x?B;vcH2mOf zaPMtZ(Dx(@9jdM66KUreg^KhPILtor%LzdjtQZ&E*FH-}2|^h-8o z-AZNo_D()%-tXC^R%5B!39qg4ehn;%JzuGe|2Nv8?h7aG8p|q z>Q^Ilq8&b`l2Chj_>+63|M^1J-gYQDN7YI`#&fBF$b7tw7E}0_7P`6jljcWG+JoeN zES=!TZ|i}|xT900bVzfd%)@3&LWXqn@>*$nd-jbfieTf|;GB0h)MrUB=BNYd*(gvZ ztm^gwr=K+0acA$(L|?&eXVdJ&$6p?$`9Hf8kuJi{pC+x?Or6pa%}-nxYK~1*80ZPL z5EJ{}&oC`;e{QQ+b7VsFiG%oo(-~(cn{g^<+K)pj(fp*8aEI03y#@hlrTrfCR)PTn z-$w-s#D~kw^oA@wC67Gf4U^GwzZ!>snX142u9=Z(G~>*rHCLsl{xxZIW>~YR-_%K= zIB6pKbLAvsl4zA9*ZqXe(o)lvhS$50$!8mW$+|H`J@&0-;nc~G2YV|TSaQZKiwlX#)N8H~~ZSHhmkSu=+iKG7q$*#&MUwy{=9(&m* zjiIsReu97)G6`Hp@aTP2u20|IrbCZ;xRsBjGXc%!&*D2)k1BcBvX%tNKiIYvU5#kjS;!|9B6dKZ@VAjH=nzE<3)2+MKf~Z^iWyYH&B^33xw8NR}kokzHZnt|z z)sa^lk;3V`ZfKqOTFFU%|;uHywpae);5GE{6ykF3rjkPgFhJ9ELYC{rTBwYw3)NIGyE>A9s8Y_2CgvQ z92@5cAfe`9`Z>zQ=}X;$V4nv|NZsS@CaDm1iZ8pv`oSOY9|b->d`%&%{P>y7!&kR& zm@(sgYas18W+Zo9!7@Ajm(U|R%iSYEIie}zsIPs)7?Y2Tr;(2_gZkoXx3Lj1?Gm5+ zmiReWyAd3=U*&YhQzmogulOQ(Psj7iF)(JK5xjiuiZ0y<%8+V0&SnQ^|ZLj z*AeO=&3+KSvlgIl4+Wle>b-kv!7cp;)@uW5+GT>?Kl8MQuiJxGDBe1pagdzig-K4r zAc|zf?`HlSBFYj=XkFCA*^TBYn&GgY&LLmr9^skol**g@1YbZi0>ET)B7lnuc z?s(yN_9o*??ZQO3b56nyW8zklq4bBzPIsx7F3eKXZ+u7!Gz+nBr|BlZR+;MYF--Q! zz+IQR57w1k{A3=POl|i-Ub5x$CBXd_fj{gAB$YK_+~PO87ThIzme4fKw43vC=orM%i=r5?jc;hb~e zaTdhXMOmXYN=c#hxS}hNTuE##8SGAhhMa-+XiDj*@8wKVUcH~=Ls|>vs}_bRO(eVV zNE?s>KXOb{#yV~bAhZ7LVI`Glw`u8UR8^%Az%0e}P*@<6$AF`+q zakUKTE|vM6c!1Z$d0g)N0d9C|bLXDa+v3g7OtMBHOQF$%uEClL+W!jp@F404hbY%S zFVQ^an^XByObX9I->D10-?b6dq4miH04&u^wNk(%er!f=P-~ZUfZuP zcvSmk&rR)ZvpLY8;5F+4I$hc}OesMQmifngTgF^k`_!$%68|I)%YmGoq>;KN;M4H* zm7QOon*!`~Qu2&WxJfn>;{0%v@=xW!t(7(|g;rMU(zk7d*t@V>5-U$Gy0vD#LV_~s zwlfy2<-YyeFNMgpw!nT-5<3QYDDJ@IwWaRyM$>Im70_)5#k^^eG5bRGYA#D&%Qxn+ zXCU|CjxDj3r|LNAuJng=bm$e?4|h11hqYh1ctAdS_ejZuF-ohz{Nyg;_R2%AL4-MO zZ5;z<@X;kvby4mOkZvigQ%qZ}9hb1$X6~2XS!tVSf5S73rP%Yn)J!ASx)_;-KBPR- zgnHm;Y>-IM?ap``aaK`Fu)&B`xNQEj~;HIzY^Xkg&doT!|=O7)sDOT#A5ZA=m;IqUzFIjP2@jP!;WO3c``f&1k7vt-1d_8TtC+VNF+b@ zDFP=TwBLh_iK2^5&@Boa-Raq#h7W3VkD(hmg^Jo_F5*#l>4I+JN)JQJl4H)#j>Ru; zRt|4!s1wF~f<_fCK7SWs*0OXEpYx>;B7aYdPt40s+P{TkxY_H+*>Y6ZQabPyXYI1N30e^+|L%q zJsQU7kgm)LyaBy>08h7hpthr3|+VO2sEHjMP|Mo4pfpe4wly(pGu$%XVDyI@^_a~YUHv2bq0 z;9`CwE$ayG1pSO=J=}`M>rr9*pTdxrA9xnC{gPlyz$C;yDk_5kA+1UQarz zfbDMjE)B!tB%!+$p(k5sLQMfU9N-4OZW0`Pgb#BPXO=1!-nXrQucYwb5do#G5av6U z6^?0=EoYoyR>b#AYMj%L$4Gw&R+69Me`xAR4fm05p*)v3kPj;9L&-1Oz(PPYEhXRr zKs`Tr^(f-vHa;-a)^W${>(bm>r$>ubT-5&3!6uN-NO9Zo>b{vVsi3;v66h*_ai}E_ zXcPldLCUh30O=`9CZlE@Zjo7g95BK`#>R-|yX3&5s~TW<83KEGTYrkAdR!^xz{Vgq zGgQhlyYDm8mH&9#Veg=mV{WKJ`&gg3rWky45X$YJi+bBV1LYc?JJIPVAq$O;mQe&t z#YWQbN?v*VE)Iwib3S?X-g@LyYYC0s4 z(}TESX+f+>C!CmoUIy3|;LSk4pi)GVcuST2j3@wZ0_zi@r|-KRx&(l_Dj>37HlDb#nKkWh1nH6;^;x&?)3Uy0Va5j zl5!gbl-J5ETbg1uF&!|4o^>og57engc;g4+qm8^NDSj+UwG_F$sY?5M^WYD{t@TBY zmJc~lbDa>VSvSjuW4r4g2m(&inZb|jCY^}_6Jk@?@ufcYvb`?9D?NVRSe~>RnN(xNhH1a%+!n-BEm)k;^w4v+BuFQL}ZpY93zLDNdZ(RAD{hjO@ zKj`&L#xR)FsNNu~`p*}bYrL!UD1nitlhRfr9X%zD57wkHLzM4sVXxK#jL)LWu2 zs;rzA7-%OI^%o%db%)+uYRGD@`vy7yz6Eo3bCRZj>^8crGfDHkj-cO+;W1b5L_^{3_@PH& zM`Kc5xnlw`UZ*a8q0em^q>GC>R;_9?yPmX($WMI|&EO-I7DzPwO?DG4psL*~`x?b& zw?5-r#Ku(*{r$)2w}W%QEW$+kSckfitPr`?`gRT}bEzKc%sAfS>A4Z|7BdxGqE8IK zeM}&9xS}$Cr&PbMjI&TM{!C24UM@wMZNE*)S@uEBvO7V?(a(>55x$>LdoFp-wxEXH z-9~1nl3Li#cDl0=_s2gGWdJn&w z;(+#Og6$NwaWrXQFcG*r{T&Y<+%YCFaCs7gJ*$U!>M)?(M#35-83AUz3*tTLm=3YP zHVnO)Jko4KOB-7Et-CU7oiR^kd<*RN;&BYNx}7+b&o}$V6!ZR4xC4ql-xw7>|LXCZ z{t;tA$Nn@dzm#*vA!Ij*6uog)eQAIdviCb(xG?UEFVu=go;fq4;ZZTLmBr zSF$DOMO{cYek6NQBj!nCQcK7>cIfhk^1^%|SsuiU^M7Vceu8a7Yi7;DIe!(YXqtPX zolln%K}oAv&DM<+p)(JtG(Nu(qC=fYQa3*Pl?lm*)ddb7xx75f+~8p}#~-$9!=?oT z%Q9hG9cwVC^v1hpjPOwV#>QYx602`R$~HUM(GrLMtD>nIG{dl zm`QgK_*@;n-B}Zx2!}7NTy=)&8~KF|F@kKZFX(yrdy8>5dg(>$^0esd?Yke3-(}rQ zBFP+EEyc{x5FZ}F5B^oi!75c6dnZ+;LS(=Pw7r*!))e)qJ9+c8|h znWHMW5D z-kT-APYyh0b-R?h>=?q@rf-PgpW4L(w<72Rb^E-qBJ zU#-nZ8|L2$i7zBZsTC=+iubnP|C(fuc8+Jb-V=@6v9Eyy&Qf`Qq1cJ4Hs#cfQj1=@ zl<`347c=JbMVf13hjRnZ*AEkT!)Oh5k2~3&6Rt)r@d4l#GSeZ6Y*K7P2qr?$_O_EFx0 zTn&43z2;%D&vF>=wCCEIKy7bKH7(z3E>ljS96eepWz}p5pI}^><@j8g#(mFi^tJ}C zw-5`jGtI_!x9!4+ABa2pKE+viqVMSKHSIN#`B&~6dL0IeI{EJhWI#Riy|w|xlg|U< z|IhKULkkPfc3^KNR?oHW!gz<)zyiaHoQ(=PZ%(jd7k2)U|L-d&T#4mYC-=TX!)BHW3Rf@ zRq2iRtSY`Jov3}m*IUglSs_5r5n%(2E9LpPhRq(TFeOR)4`USwzpR+TTx3^BD#6_T z#RIxwO*~cI`U}CEeXDm@iyXbzfBloKHL2S8y*8nCQK|AuDB~l}yEaTuB1BX-Z}tiaCIB5i!l?NzU+k`drh}5< ze?QS&4Qu{KW!;^vymvh{)&E*4*2opzJr6_2KimuoH)XR0jBV!J*eZCRzFQ?Vb_cDl zsf+86HdOeJvx{7v1J>@WzA~}Anfn)KjRh-LjYrkqDSVw)mwD`9q@3FGgZH(OvTKw? zQHAdOW(kYr(&!%NyA#TjJm(26^PCpZwx?}cM&q^ukwUbNMM)#dvf`@d9{DR?_P){M z7uIC{D0G=5hd$3Jkqo$Q&SaXvoyLD$(xigZ^{+;~^k;CA`Ye1j{*~jOBnKwmbF)f> z5QQA%Z+m{`c`!D!nBMNj{x*>Qv!;V)QT$B{+qaGi8P(D9vihd%z{?mVl>_#M4jEfN z21Eb;5{=3(h`f!H{``Y;bvk-p*6VMnJTZHcrb8v+huCmF@bmJJP%n&s8S|#f>&aYz zEuXqm81O<#joo`;HO&iP-Q-G%P>K5Ql&NYVjm{pdzA2{OnmozVqhSxftz8N^QXE*w z*Be-<2As^on39Ifs^X6th`OL8W}<7}DE%L+ynD&NwVu!}j_y8lMRgSVA>Rv~=>9Z& z{z-oKp`xAL#VXMD$nw_qV(HbEXo&!eydp*(Y*bu*Qcp=SPKT zosz1mBIKPW%>LC@J&2Cg)C-kR@_s4ywp#ylk<`6MU+Wd6X75QDuWzy@CIjy7b>mf8 zS5i2w|D1lohG zh`*PcRy|r}#IEJcrNQr>v>S(-eMzLd{jdnezHEclQOt+kmzAT{x_A`IlyOOb{xVk4 zC5>yBjj6DD^UXc**C)72-LH0@jWFrIo@66;{cN-UTMNiVJf*NfeL%6~nP+RUQ?+g- z)ABv;3=U#a$h~vww?le#w$WkGpI*f8y{%@i4^XsD=`|I9>f&nP3G&7~c@PNXOiY ziEV+jy_SZcPM(nVKmJ~Nh4nvRTk6eVp2xlCF88>|$&y_&*}>#OsE}0iMFY{yd}NYd z&xb;n+iweL-Ye*24TX^Ig=UyfeYqebT43~%d6PR$yqFf-ATxvwdfqI{C#ED4oDAkS z2$eB}Qf~Za=uhG+tW90dx~Si?`HcoX&yuyNazb6=nfPpWmczJ6_g9tC!{c6Yn)>!@ z4~rFFaHy4j*62jB?(<KLw|TH*F{aP*=7nPFPQgiK-v$OD=G>dutib;-3yiMzT~VhqOq)9|E>7LD2ZMEJb-u!r)->~!m$!gL?K ztWj3ayprr(!x5!yh=WXVU)Rl*-Dj0ru+8X+ljPbKP!nEKP^oD5 zh{MMwF6L$v#0qD1Wi|?8L1V77x;lK-u{M(Ph{LZZ$mW`4SWrKH_=3=Vq?1;KsM6Xf zWY$|{ZsYH#tI$hsEQ-jqkDeKMU_(F z=nebMOZom)^;H;N3e8E)cEOc34<{+HOYY)z)0R~?qCQ4QIXOuj-5YDh%8Fj9yz7K3 zl}2}Ih7QWqLA=~?K4pdnrgzE=56{Jcsl>VPE;IBsHEfoj$FL+FCe?CY$tF#&%v{wl z5i)9P-Pf`WwXm7OGzT@x!o zRL2Z6qMFcs`Csfq2ROl*(ML{BNxvr^;_qbJF#4#t*9wT*yqH))`&G$udF)uygNzg} z;H(s$h|6ye#Nb!uvJ)+Ng>K0AUwhRbu<(Fc=7p$iR|$htd=P6&ImC_+kp`ymkG?Ks z-)qd3_smmEX07NedX6o{4U3aZf^1jD8?jT`uT}*Kt7YzT{mfxb73W=ANlw8viT>ve@S#9q_za$@p5>d0+nW8LS_ZB}I zU)QFp$2mOc){u-*c?OLSTFB+H%D3O0%%uxZx?Kr#hePxoqK&l~gWPSVfS;9J{{X{D!7Nh$H||Y3kP$BSqf(gxi`obmr~ha)Q+6C ze=wGE>GK8WLwHRNogmyo^5L7z!E{f0KW@tm<@3~2hrHmj0_SC3R{C>%*RIe$k&OrU zEa?sH+0PdiRWwz4v@f_cMOj;?`&m2uD$;T*6)fbWkxILeH3M1T)!U@sXwv{0G08_Y zyh;*zmB(sB%sQh#RSk14=;>l-aA;EdGO)S)dvSy#=jVfc=lwASS*dN zP#-^xj|^DsXH|aUX1^}LD)daY1aiBt>Y4X=C9tiH`uGZ6{Nt-aw{z2PPx^Usc&h${ ze!^tm{)pvybQ1FaQ1up2QFUM3@c$7N0Rd@Am5}aE$pMCtp&3eQ7&?XygYF(0q(xF- zXc$E#W*AaJS`dcrazuRI>+`O6t?ygwa_<>#?fpCF?6dbi`<~5F<#$PwrcC9~nfE+( zw!4d?urv{c3Zdz#oJkxKKNS>O)%cvwG+?Kf;grEP%C#JkxfGjeMV4zz>5_q*Kef&% zJv-)Niayg*TJ>*{J)J3N{SkloZKHGh#q6NfCq)rih^I%4Q!e> zTP0yx1W0MiQqGM6Pa`a9OYc0yUG<%*ow-#z%vk2&iS6817T

zhZZT!4xPDk$SIB z^6iN%rQQoKVIKT~#m&nVGcnbZBi_}mTLbPMr+J(WM9a8&7rOMu!bs6qL#m=-9{A7x zGxbvR;%Epj#VBT{ZnKs8{nn`}$17W*bg_Q2mOSftsJ~o0TSr5_;$d0qNk_oI-=*{G zHU;qCr=X9rPNi(kq)LQOPXLv~>F{U7WGQc?dVJjgc(G%W+b7u4Ro_{aY&deAJrdb= zIVNWogr%P^+Q_%It?rbQ=m=?Wbl^tp^jGRtJMZ`nTxHoSYH;RcbUY<75u0bAor2aS z0KFBAS7Y*xVo6{8l`xZMCggmV4GAr#R3L4ge1l44RI0#F;-_lFBRLbdI2NDO4plDW zG@C<|#8O_oe|5_&9*FDQW&CwEG2rX}o#|8$ipE;iWV*`%L7Jm|n~S}s18ycgrlWs% z18y__?Rrg@T`G$yv4O^8X4b5XTLtvNR#$tObYEtLrh4oVq@q}hG#1#^okT+K#)YUA zLl@-dq7(uzY<_Iq^fD`h?GGsX$XS&#c?`%XJhZlqY}i*A&PHU%Rq6> zo-mfjywyGS@i8!8&o7LZt?hY!w%wE&E89FqS)8$iqksR9mGAIuD~U-bnRsrZ21xk$ zy=pY+!+od0wsn~P?_?m+(}$Mo8U0P9mtT3+nSDwW%&Hy*A)}AaI3g;tyxr+P*5+y{ z$IO?rOHu25qDR5ss18p4(wZKdsNra=U6LB)CRbb=zR}5`z^!D)exYHnMah@yLsi4s zAomg`tP`{w`d7G;_G`Bx&8DhpEnBsF;O!=h|2s=x3b8|7te=HJAE zWk8&`EcVNZFQ|Z(mG?KEt!$h;B5u;cKl!h~xWmGPdDWA5!f8tqsnA-Wz_vbGSZYE_ zVKU@#C}gXUZMM-ws*3z#^fHlAJdX=&FdBy<-5^?lS{z5Q{C@LqQ2Ozs#v~q(msU_@ zQXYX_R1;bz@)61j!|OCxj-~=SB+TF!-S=lJi~~cPZnXpK<4^nOayjo`%bJPkN=%k( zKN(O@W#e^yF2)MG9Xhjb5S$h}m9oz{vV$Z>tE9EaN~%&oJyXL$Qt z?Sn7#_uZuO3e-3jYcWfhd=E&BD`+U+0~2Jdr)}O+(h!Jf;v;cuB*Bw|L9qzw=r5kP@*uQHsuHUrI9I63vdjXx`7;68KB;!x6l_*@}i@OT-24K=!PI zi{b52r#D>OY^41wO|!hM)$vEI%$`$nAzR#ANe+eL_On)+h`svdUGVK!`(QFk#Kaky zjAV;}LIGL}$34g2+tlKy0PQv?paCaJ+O7&f9^0-uQf%z$_&!5C4Dz(<0)0V*=Fcj; z(H07&=tx573>t+MFm58tgP(`olN4Sb8#Z7xV#AF_s5>i8<_wo|mz#;GZDCm4v%B*W zg}vUL`o^EC{lQqX?;i?bqOT(e+|r0@AzL?Q-tBr9-Q2+POa|&HjJ*i!*wbIk? z?hKVDa8n`zpc)&@{Jap*=bGbQN*RiRp`$Zh$9p z`uD+r*uu3F$NXU=h%Eonw>w`vVT++m(GBZMoG{Pk&Ot&APnOn74HxDVC90i0afV6q zCT#0)Qc+T!1}9#JqkJvHmfV0d?%>yn+1nj^>*;jPSFbo zJBqx`Pl~%Sr*m^@ZBSj$PYSAXH>um?$5kM~Qbn3Rgp|*Ostto+K0JG6=g&Fx1el1MUz_S`{lw#Cm!0Qns7nlcT-IqnN2f>{q5XzQ4 z-XJ0Si}ImMuEh9|J7;RPA1Filx+v4Om?3DviM58C`(c5r+f9lkyJsdCp7cwO%(|Ol zPMx8qd&x7Y)#~F}RvyxN+I6p-+NUXytgw~-15_;+qsbzW!eEyU*VZpz=olW|7eI-` zDZBj>k9ukWs5lA_^;|NQ?xmm-)zOKaOf{ve)%;v!VPZ@VQ^InpGmorD7#1$Ral(=i z7y6;MV+kcUyr@0VJfb5i0ovzj9(ZwZ0HNgDg%(qDs&%R!`|3cFTB+~i$Ab`e>ObGu z!q9xATp0yD7QPo5mLPedAoNImHWty z+(fKRaL9M!6`XQGI#WI8b7Vhdj{&Yh`i!^HugkIfe@%&jWK`V%!S>vg@8P%4_MS9p ze#jME<&u58I!q9vCRA;ojSAWJ)_-Q7r$q4 z&#>+smfo@D9O+XAPCxAcIJ1)NPsV1e=PHy%sT8LNe;k+5jjm4BBGUYgD`RUqjoT0%{UnhAJzp)x9^uCCN^}$iqh?w&SVCYNv9ij| zBB|>W6!jA2YfyLQ*%9{K)Yh+())68(254DNL^7z3S=<6~WIZ zD)qP4J5Q6#{`JJ~yZ)%#rbKwR+Ew$#C%!PHGW2fsDGiEp7Jp{N9K?PjV!K&yWy7B? z=8)W?QMopO-|d85(YXe$R?K1Jt#R>toeP=SiSw=I zBZ2Aj=*Gi%^LXlEBb%SU0^%d~cw>Yx%KpdAvDsMyvuctD-akqRqrWW*C8yTXTcYXD zZ$^{4z+r~Y>6{iIxez6Bx_3w*_|L+tgDD*{}=i;B69$Ub6! zv#T`(w=eQPW;X`OsT!?^*x+Qg8#%1Qf%$p3_vTD`3V6CpO(zh3@S83R*q$Dho0{X27&7tH310rUs#=T51u=hXN-QY-Z#DTM zpu8xCY1mlvijHSB)l1Lfir&trZS!VL7 z_-5;9&&y9<6UgR;w7bNi}H% z0;)KcfPd39wa#3lIDI&pSu?`X-RND~>Z4dNhAm8N`4k|%!`D~}U0Db5hk`xho8`sn z^gOe^luH-Lc2i0H7^A-V73GS~?cCuWxxC#PcEdbS&sfmutGLAtsP%-H&2BB`c_#s# z8E}?z@u~fsL*{ZTzZ&4Rssk(QLb9)qiR=?N6WlU_PAv{UI2dbp7f3kUNm-h))-cW& zRj-%yXBqGoC~EdH;$NuRRq-17xJ}~y9_AC)St|G&YHVm{6|s3@@A&gBIO|x!L%CRK z_Jn_hzAOA;f3%eGehb9bRb=E!oN6b8kC_h;q(J6??s;U;BinN!YE5ME79|Se%9!B_wl90TTmUmdq#a(wbX}g!!@W zW-}SHYh3?8F%7pnzeBL<^K%^(8#%RE8ob}thi(+)+qjWPcep<+LVOJo6&%xhKIXnv zWn?+Wz;4}q*)~;F8sLjfUyKz+%=0_P>dFdHF-A5TAVhS1pUvf0US=^MJY5+T?lMfT zM-_h#=7S-m%+RqTTf23OgQ}PSw58K}*wsc-06NqMG<#>O8`r7 zqXV3=%YHi?#jMNwbJ)1bPL(mctmw#Q=hnM^f@eL+XTxUkr5eVuz*^eRhN!dUJ=6aM zxzE=BFODT{Wvk8e&g*B+)uX$I@0b)@j@v_Vtjs zIV_V!sJ<)!~pMMbu{{h6qaun#L z^=S8eqTbl%f~i2%=0wKx5(hvnB|Q9YASBAn*YBZ1OUYklXxL_pY9t9?m$fXB!B%ib z5bLQ=vJ6ux_-f}&kCG+cNwAnG^R^MJdk2?Y&buQxdXE`)a22iZj6`E1W`+OZ52D!< zxxOx{^BQLC^mbnajWc~0dF$Jtlb2%aVmt2_W>|oVB_aAa-P-8>xg{ZS|0&?@GNA=m zIB#hAzSnPNNgxXvaZZoJGluYk6`LMA^u8oRfvxVWk8NU2KuC4k$q7qwZ>}xszkZC& zK^-yu3IXaZ&^a}F>nDqJ{28B)*ci1JEOp%%Ia;W_mGW>^kA2K0_h2?j?@~ohUSgt% ziHl1S)wAa+)XA06_&=oh?nPK%#`%2&5#J4C)3kVfT!PZUPLoD#ZA4BGJ=2q5QEsh6 zYO!xDA*Mg&In*?gLrjY`0){BX!G5Ke=NTDQEg}p{AKLTEg}v5JZMd+!&10RaTh3LZ z9n}U~0wF7|``-RM4;HfB!Nuc1~XzczW}7gaeqCkou~D0{)jem`OO}9geprBW^~_iEk=%s|rCEIg zl()0BK#;OVa#DiVyN-FDMa}pVghqk*^{6 z&jv=itjQG}Rl{8?neAR=^fe!ntClSiILk+Cwqig!2f7#UCiY3a0hnQ?`kV)DWLKz~ z$y8y(b)aob`CX=3vnK;}#${@QYWP#@ly~M6EZ)7r{Kw zD%0Mgzj>Iyu+EAQl57&Pf2GS%S(50h%7C=*a(t%~(ACjAXDIs-`kWl?N!sv$z(@Xv zw302XT(;`*T{zGFHULi?W&$Mjzb0m**r zoi8NVQe2LBS#I?5*JI8P)aHLtuo|)J%8K=ekaqEK`$2f;(Smzr072ASX26y?AFpB8 z0v}FxeCW3s!^C9j>}1B|$BpoX>!Ippp`|KjTvReGeI>Q_qT52Gjrv%-XZO1jRcTAH zU*ydazuJAHwk%{dZ&3-{jJ;=ojQC)z=WO0^&c2+b8Cu6TAcFa)ai;QOp>IdzJuCR? zCjWpzZ_LM`t^Qr%Q==aJbkVREqi>{`u1jw-pDKTPFW&o%=6VWj(PblBq#*zL#=Y{v zb#OJzQ$gK{!1iy)gXsJ%q97j7C4JRLRO2 ztWqUlrwa?0!(`FPRDM;1(My}U(3SN)Y$KiD7C}w&srR$XdR(}~KDR8e?URTn9oV-y zZ24d*#n$`#mh%oneE8m|`(D9aLjw}gx^EP=71}lgsT|=k6hGA47Na`e`^=KO@VON#c#g^1Jqo zIT;KIn!3_0!c;NsqweXPedVm2u2a~>AKleEJ-LrSZ`UVccZ2cQ6VkhnQ^!)tpOlH0 zVs%@oln)npylwVTP3fjdHKxFa1MdR#+qx3TYpLeob_JVjgYJtKvL5XPpvlpA#bF7S z%iPV(I5lQo2UE9@Y^8bk4V!!;ImLoR54}=3>>P1Usvs&#&G%*G8UOQ^^kB&F^M--F zWxKTZ!VygLE~a7(sS;SN|M8AwQ8n7l3l)-&gfpfZ#7N5hqqA(6?w?Mas0&NX^2{fm z(%|h-@ymLn+XG!1`GqJv@aaLoRSk`fap-K@>~*uQ>1&EkF0%#`&@RFv}ze zuTgscU@bsUpD2TucDFJ``J!w`;3G)I?)#s=wyO=R-Si}qk;$-unp$Dx#Zn5Jv1+1*=FQm2jD2>~;P{4*j z&G&rhn~tZ>>5s4Zrt1`--?3tgtbTm+o_ULoIO9y;_F5gc?JiRx@dbW2r1$5*^0xRg zhK_ky%tj(JLCT`TnyZk?l1KlIAV!9xN^g^Ox-FVs-0EL!Qt$dG&La|HLL`p?EbvV$ z*)d%YiKp)n9}alJ+1ur(U7JHBq|DQ3Y3Vu2bv%VYt>8p}~89M!vI#aW-=e)DK=XN}5l{1id+E%H~+yiC|zhS+%9&G-y~X=NM-R2h@2+B8bKT`0Xdzh1O!-tpna5}ilW7^i zXy-~%ox0%x=4!%Gl2(RkN;>ID;Ls(ynx&$F87f z*ksV$RisC+PAf-SK>8a{@>54Ym&j|kGjS zIRSes>76|Si6=`~LQ7`S(==tl$1g-%OnqpJF)Y;EFA8YZ5>tGRwR<0S7YZqyCG$LI z6qoy1VWS)Jigo2#b{sO$V86u!-@=|wBNfo)of%Z5@;tTKG+Liwuv*hC-OK&WnKjSp zGA>O(W7z!Zd(%!!bchlyRD1IQUzztyZ=JTdaJp#4Pa5ljRB^f-yZ?Ud3f!4Lmudc@oUH}PQnv2W^lbkp zvKvBaFcbXIojk|^Hz;@jwV8a#3~G8a`LFHI_&6L5_evuV=D5b(D(s|qcsOp@(ore+ z#FF+rS@WaDTH4PA{_BPt`e=3rrRxLo*ovaLm+`kbf3&=_S=1r$?GVNoCsv4RD%>R9 zCTb2!OcqsYEh0_%u&w!%%m^Pe*Ie2W1!3XNoh$u#wUtJdO)K|x7wKhb!~L-bJ-*al zD!ixrv_+zm#68}PBPu5*zeLn(vC;`FQ(S>)+sZGN1_=t9!x@{ZFP8Rv4d$@9r8Dcy zl5dNjAkYi72I!HQpFv$z<8xZOj4*YAP(J&Wf#`yv^2IvS&-vV@K--jWKYN8VJRdts zq`rW-^_9`-lVB2f%f)?oAI6JKNyVKl&l<`Vg+KH|FXq#Y1zMK(cb=-1c|JT-+z(La zn%1^%zYIg3hi%=jM$xJw{pw-l&{kHIt2`WF7Oc@}Djwn>G-kH=c(Yp#z4by8M9vj{ zwGHnnK2x6scDl{MikdcZB^QOif+A-T73VGCN$S0c+?g}?h{@AGRIlB|}XiC1%*n4x($ z#&1Ls^$x}}$Ku2ZU1N$TT?wV;ymB~nrrZg1!Y+o}S$*@asx6rqS1&D|dxGU)0Guv% z4V<}D+@UI(WVgFSvUB8EN(5JGY0D9p$Nqs+{`rn%ryKZ7$w;*~StXJ^GYQFFKaOs& zhm&2@e0^W?#Z4vVS8y~V#3!ZkP9D=?GJym|YzsN^(4ES% zk5r$4(?h1r5^$er!F|BNzlr=8IQ{(^oXkxH7V#EjNW`(ruMs={d`s5`;FNxLel8;E zT&g+)v27AoIXv;LD;%H=070zH)|S_B>nFgWY!L83lnnvk%+KEG7@W?e^_Su*0??1XG1WEFGBV!myQzRK zC!UMR1y>FmJhOJj)zQ_{?ywA*CEQk0{;ZGu4Igh4dTTMl+&JPq8}p0N z$pMYvU(AaMZci^ZMgK8^-l((aKQlsu?9r>1X?2TBVIVI~&JjkZY^t_Ghxu{fl2#&jC-0uq3~WvjOA_uwf#kj0yD zn0ut(S5`l8ARGnVDW`QzUH>dg&(TEV0ls7N%`!0ejS%2L#jt((n&z}|s=*XXf$&B@O$eLW z!AGivglC7Iw%G321X!6P#pWX;BhGv8!|k4fj#2>ka*&A z*7qdmS{7eh_9A^H^Ld75mV)?ln77u)cc7F=r;op@xYOSGAMMZGGU0ynOGACIP>okc zP7R7E(wQA$1`FJ&P{c8!U0=j-pVZ_7*oSW(J+9{VNg2}`H_I!XNiS4o3`W<-hMB25 zjXWy_cbT4YO1o6%m~@ybhN$IP^Jm_#pS?$D=p!6%lkcSStkz4reuwgN+@N{hGmi=f zW^_&d#=qpZ!S<4N#oeooX)~X%Q%Q*O?O35?DhL0C>J;!$-AFr@Ht9XZ8 zA1wG`%6L3Wc%o?Q6l3}n>+h+!u^2KOs9_9FGvKnWMMjt!GHTVb^)?y)CkNf4!sfn* z1)j&ul8>A56WP3YR`KzH>l~1)NNVIj4$WbyzxvhYNNvUc^N4~_P1$cj{c!P<1`jsh zo(*|5bkGq-sH>;jmNG%wMvuH_-Idc*R~9D@k2bR!*GZ9tZ)OOtekb3*XiNGqcbztJ zb}_n~V7jn(gEL_2ZF}E%hY*_YTUTha7?vBVjQnC*t8ruqLO;bqM(+iFqU{x6I+~{K zw5Ip6XTvDsL(P6ro_S+RZwsz=GriKvDBNxxv*Th^&^`vGigq$#j5lB6}9utOZB6Fb{J@Snmsm(PMmI%qT6A< zGb5P{6SMHaK&_r^%L`t+o~h8>H~Pi>_?m)8$Kb|N)@^r*oUj_lN@?3>mahCP~3EO#6~klcy1;vtV>( z!+{rWr^@b?r10KL+jFxK?UFyP#iHfp*>TyqZN!*U>ZSfdqFtBJECKN)^2Gf$k!f=d z#d^;VS2=&q1w|SUz#-^1=8x)_iQucWqr*b4`hSpm_mcQ_BsKBZ4ahJQSAEiXTM2Gr zS4&7)bGSVv&FdU=AiE(i#&Z3G>&8i~dxrX^C1WKKy9c8?l4nj3HGU+(&wNv%%f^4W zEjE3m1!DM z|42uB;tBbVS4dRzq40z@|K6XfVu`{-(SG04vi{X+aoDu8i)n}RURtr~IA0J{k%G!* zuRJXIi~Pa@R;$^#;!xOZjD@q2l~bqG@70B`I_9%3p~i78STiwTE6fdNwg*TPx`(Th zNi4Z~wAOFFIJIfak@)D;86)2*cnR=HUS<&2chsMVt>#sI;Y&)%4ba5+RyuyRkOLwW zC^9yIru(~G!MW|j#?MJDFhBCcy83h^J@l<{#wi_a3czW&Ju1wNgn-2xV%ta48kN3B zTUxlcUZT&<#*8S?+;(5d$lBV8Ct>kNO5Xxl)j5D4!WIt`+L?vK1LTcgs`Y7QEDS_-b2V9mDJ zd6ls8@`spo3H$C!<}1$C918bG91R9-;Ym@uI$WVKVNFI5jkV+gwRL@i)_Z4n7W3l> z5eE(|lkA$wva$;<`hjgm4Xji65@dN5olQWR=I!4Fuo0tYuUA@1!L#yHjEI3&>fq-h z|H1>WudgPgfyu>kMVjNQEq+{<@OvMwABTg=HuXI9n+0VX^#1yjD~W7GMp;QH>J773 zI$E&XbnV2<2{{xL2-H0WKNgsI1%tb67wNDYMDVcohMrv5+*(q}27ml(MslsZH=?h) zX3fjjN$I+TQnyE?^vtdyDVxe5EO3_isq0BQneVM&f1xH%8CTp!GU;hZ`Gk&IG@9a( z?6Q>vk@0AkC>3~|#8k<`4AMTLK)A1VA%-6hoHqjs6U z$gwN;2v0ZBZM&Ja5~{;3UL8g=Tq{2NFjYet+1dS;_^qV`UMWI4B#0}`fa65}SH{TK z*<~P%EPLzehhh%0{Tx~p={%8Kld2Q0qv@Kkr*f3jL45G|S#i>F#*cmlB0i|yyLC+U z0E#@LHm&kb{b5Qo2jOsEY--NIjD}0}(KIS5fdmb8gOfrD%zNNpR)$}H^;n)A1obWt z-?5En3d-Cok%ULnNK>A@jBHc1s7+}VcJegOl*L81vKvps&CNuo{a?y$#9Mn49~Ag| zl3((jx&Pz;ye%&K7BxMDG5nTCpG{Afe{PfMt6V#;cz7Z`iTL5IXD%(4oD4@!-)bGn z(KY7c(qgkLAO@`x4iv{1*i+IgSIf=DpN0e~%T6)NPv)Cw8PssIcIn_OV&-4z(0s8!4o5l=7OgISDPjWogM`<|9-#Y*B@y3nbI4T3+%D41>Q*qw-#)7e;cHzI0 zCGzrg>U2(B?^5C(^7q}N8u0i1AvWNj5Mt$wi`)B!W0_0tk@5YSq|op+G?av{UZJ5S z396gep)cf9)}jA(ozSFaudpkRm=4U9=WL97nnGfNdDDcO4pI>X8ucLBUIOt24kx_~ z`BGh>nUp`v0U90V0C7->Vim7xMVS(ECpZ~|%TMoK#GQzkl|(yy?pHA92Z?JY#dM9; z`h+?0un+d%4@EMHmX;o3XeVc6pGt5+NICv%QmGG<8AaECnPmPlUh@hZnsu3u^^B)iK8knZSoKOkN23t%RQ=cxuGBY zYL>?U7SP7O6io1G81Ev7qNp8)nc;jIUjGEZR*1d z!au1bdcJtW$Hv$=cF_B#zxc&Xaxyez($eH_hz+m27D{<&(yW=phXo)8R*cRB;Kcoa zC^P(D4h?y{Ci*r0r0%w%1apgQ} z6a%;cJ^RZH{-sz+9tTK`N|b3n(v(fK#t{I&)A#KUs&avKqc1#~61?_xzW*EI7~~5) z3jJapnxRb5eY1Ig(u%i2LxDF|B)ao1=9`mGuJ{CCf#_S|yBj}=`5I4u3a2%Bq-o9z zrJW2m%N0J0+MwWibsLdzY1%d?X!x8Rt4O~#ouoaO$JD95RBolSm%7gsJT$=<*cHMO znkOF`Inm0KB1!XLlTFgbpfE`Wmpl?TsRub!G5Wv&X-JRr6%;J98o38KeBv{!c9X<& zRU%1@k;b;xoJO!?dvP{_Af-mK+T%)S!nu?DSU}mhC@T4eKhl*+VnIt%* zX7JqU^u8iri0F;;r94Y^5EkFJrqb(UcLHUFPQlvYMp>c5OkvS7muXFSkuF}WV)ddM zPDQNDs&+h%gi=nemv6<;v{dF&EKul<>VRyVgI@Cbiq>1@z+*b#n!n;}y;VP7ywrs_ z@wP@*XkKs9U5!q>wtDB#CnoSekcQ5NFH&(lbJLHfZLS#mR(20~dNqv5F4wIJOzG4+ zV_6dYK`fzKC>6kxjj8AcP0%akf#Az=4#F*|8C1v+fK>SA zfpqvS)>41&W*!It=9>074xH;;c zK?1IDa%iwX&7EHnAp#+}YnVaXHQ}=t_4j51e~M5r?aJ+uI+7Qko1cq>?s%n0k^4WN zjm!QrS;edEbWVc06RJor2~#hKWxULen}w%~gbKL2h^{NVc{o%Cn*Gk7DwUih89Vv+ z^`4EQ#2B_jeJJiW(6CO;jdl9UNBfsLY46c(1)<&pl+>hzYXlx6hsvOPuI{`5p?gnJ z$uH7N*b>=b!sXbe>X0c1i1>#X!((&5DKTCH;XbKbe2N*G1u)f%Y_Zj{I1Ng1Z2SEb zfV10`u0bf5ivLE%@8;8hn?{k|1h`wx;rar&+5Rceuy&fNX8{5!#V446QIX9f|Njk( zm*&RH#r9+u=Ek+8r5?BcpNj?<6+;C0I5i5M$|870^6w6n89MONOVTz~YYSO%^DanW zQuwsto94z`p#n8!3iOiHP1_Yth9w0<93aFd+-T<5+?aHeT(0B5NPy4to%ys@qb!#X zfR`oDom35H^HjzEI~v;QU5LFaX(_J(okASE1za7^TQmzmo`>8-ax(Oi(?`w*&BXNz z^d4_YKf{)!@@<$tmBKtk#-V}yQSb;aGUHh>TMio7Xh`RLdG z=J@}f4!%VP+rNB<3$$%Oiwthx3brNT2sH~%>>3+n+1I!|Qxnw8y}{3U7%hV%qQ?|A zyc^759;503KLwQAkMg!omtoq;{D3sPO$|+EJ!4gA{+IxhOMM~So7YBEvq6^cfc}$F zpzvX~$8-41EqNEIFtg`W0hQ&$%^c7{B%#J9v-RmR>7}j@YB&#_)67~HzO+jP8}2_$Lq*+86206ks& zSi@IK{xGjYEoIQ<0AbJ|J2@hgDTufT_@#DYUR8Q<6bjh>%#_orq?^{j$7Q#zG$$AX zWA?i`!va06&@p9U$?60wQpOlL%p*(f5j?byZTT-2gTl?kQg4i;yW*?Ha@G zdl+zqUYlT6Ugq^cA<&U4jnf1!OUv3(5xPJ6qW^A=J zqCS`#<|oA8GOQjKN71S^VI3=85#<{;{w~0;Jbs1^H^}5_v@&llO>?hUwat;z$rgXg zX(7~8R&0m$*jf?CQ7tHmyPeMv$+;>c-Ibp2EOqHM4@L3i9s067sdv?&a@d;n07id~ zb7qj7>s=5=*d?6Y)%#izx6PPzE?J%4;hc*=jK2WSQu$q8J?-k@Pejc6{tkA0rY$(~ zopIcpNqnUv%V>+}>VkD@(uQ45c{lh{UHF`B>TG5!?8JD-4lQRkpRNkn`57k3Q&wA= z!lPwlryORb+AXVQ_X|f z{$FtGZs78MTJh03W1rL5K7WQgP7uDiHE%-RqfvuqHx7%IFVY8b;1gS1PGzF!_!Sek zHWxR1MH@~ zTYura8E5dtV~d$jOW5g8B(@iHrEZX3ImYDNqk1#772e{TvF=BP?S@;gSHu@`I&G$< z6r4eez2c7Flt?wtZV^gOQ_h^|jjtf;s8z{!wG|`G;L?%xtDH`2lqAR``K1?CRkCEJ$;N50j;vSNu7}OP7wEK%qxRCmVjp6cRz@Eh`#yzK&=yyo`K%l5%5b z6F(xIV?Y`-wBjmX>J-^SzscfyO_mUPCWNS#?I1Z88K3AP@gUz2RB1MAN2pKxOToUm zIVQLmWi6@l>&T*-r^`3!p?T#nRmy{VUL-|^K`IEi(|Zlu$_ea;m6uj?YWzAR8MQ)w z!hGdA#a$;jmyUB{8)+k=@PV0Ox{#LFQqU1eKgro$*lot`{kqi7aDAR(ShfF-vU|wOuxRoO}W zcQs^-dUud)!ECzIc(yav$L>2?NzIbX#WHc5;-zO(+nmT}8)_GwYFU5NOFHx#+teaj zhCc95A*!f60&)~Kk+4rE$O|`-&+*cOZ1qHH*fbg^(+Yg9S6Vnrono*whB+jHafaPmxcMab=of&2|+=ct$^cR!Hx8 zQ;O@TwzzqgoNL{AQzQIG^^5PLIsI0u%(^Q?ZlIJJCQpb5isAEcg3`N%wvB&Kz9S03k*f&HMa$n6`(tlWcd<@OmoVo2)z;8@5mN)zTM zMOzN|fsD}-f7tLEngqvB6E!_vj=QU?N4La?E+lHr6I& z^lSXT)7%D0>O&)=#uTTM%_KC7!j~F_;l#nMH7lX~!DjBdS(;+tb#l*49DGYg69T}1 z&@G5?Oy#+MAnKtCeXqqv2Zu6UMbDStsxJeuXNxbp<&bhgS{uw=&a~SybbsN_=JiZ} zPr9=%^DpNFQk1eHpA1g%+g`OXU8=M$hV~$tM}uQ#rUUAP^KLy2HGTo#QE|q3Bj+8E z{rlnj_kbD9R1@9Wh!y$qcDQ~WLP!Y;SpWC+l%|lc6PVl~aUhBMA!aC{DRNA}cY2r_ z3jf^kq6`cti<9mOvnn8Jq#09CzSZkvZA&elWciDDfEgW2IM=dXd0ar`CGiL!K8b!< zw5YA(^#1lL*CRZEzIXMNu=r_f=uC+6(U>B~b&(qjwHM*NsX0NSh_UENeTL-E8svA3 z=`E-yfypBD*b#kALMd*uSh%+IH4?V)W8v+C=c=t=2-IDE2mId(j)Y`Jc-D{}!5@L| zroP^UzzJo8`8=AE0JYj1>~g~sd+gW727lNv(NR9a zzH{2xp8mRuT>P9HG-s#~j47a(j(tRZG$mwh{;8m?<}SLWz;Ou`HcaI=nD{J*k>Hwi z+t{BisNFcv2eI?yB;W(zJQG$6O)2HYn-H=n4Qn`fZcB}=UTd{7iJUmxn5h9ulE-u& zOV|dcIcC9gzPYG^wz?7%i|VEt5Xnk47qMSd7vy~ymlk|!>o#hVbnprDmF#m6S4k_d zSUt%yXSb>AXNpRLua20XAn!Z~sc+#Uj_&k3UJ^PVMZJZa0%(asr|WIj@M~%zP`k09 z{won@z@BrvODGAgIBLH#JB|5%$)*kI$)CFtmtSE7^2~?dqYUp(m&PA;lbj`!3L%CW zY9FL-+=7*Crvtq(M)WF93T^^Z1|k#T#V_blN$u5p?l|Bh+n_-6$*90s6LR%C_*$Sq z?vdy}$dJjw*!biT9s{M~qqj?57b*9IlT@(?fH4OL5ir%cVt(_e_7Ck!t>WL9DS;0; zi0xSfX*MQz#onHVt9XH)Ma+-1s{$d&y?J7dy=n{H&+#Q(+dWuVXw&ubeXEw+sD`wF z4(W}_@%&rR&ZOBdxn&tAKxTF6xk8}Jy){eV`9*#qUb?=8?3lI2?`@g+dDmP1d}@yi zI=K|gFYifDNWlqQtp=yf(DXO4k`CdEZ?l+qT=PmqbDtSFQdbitKR0{LRI{iJGIwosDTn{0nN9+x)2L72u#aU3ln_fM*`eno)feiYaKDNo#xJ zDLp;Jp+UUx(uR^zQM-D3>5#dE+xC&9ga^q%&KVLV`)v^z>{Ch*tbHrgp_5{&@-@8M zR%%DR;O)0&dZo13`%olfNQGhK##Gq`EoTl>$e*6_G2ZjvQ3-_L*la^XxMm}q+`qYE z0!YdWudhR-^alQax6768fV8yz@|ZF}U`8*1$ZT6tOqhkMoa&%riDKImge_DoOLm|G4XiMNYDdBj z!ZpO(qiY9;MhaC4V-rN;HLg+fUs%|ladUeuP~9k)Rx>;JzCF9^QR?|~A;+|0(ok8= z_~?p`1yj29HXQVKuXz5u<@w<#>}_e-_4k$igkMy+rGn9PB01IlQMo`T{7RC)6y6{r zJc^pL2&wzTBeilhK(|13hlUR*RF5m(0ce(--YQo0IBF8~dz1<#hv%tm;R_T1chRv4 z*;$s3O+EDCPFU=QO23x0<-?srkY0)8&(6yUdRI!1(&*rME-$pdX1&b>6{?3gykTHFKytC$qDtKrDc` zkx^{H2=q+X2pb8kwHio#f{{99+!1F^2)47-%HZCiXXW zxQFhYMeD3g9hq}UHTx8?{6P*4Kd>g2hy;8Kyyw(YZET$FY+tL=+JYIii`?1XyDl(s zF{DJ;Om+pNSp~6#O-x{Sq5I^j8p#P40WR0&AMejLF;9+h{I zc&jIde7K?;on%iXxpb&d+wO1N&EUK|Ya2e|# zD37XSUO4)LX>*4Y$#K*a93QdOsCky~@g+YdT$)jyl?WG8LbnU>nO>!c+nUYgZWHD( za24}&DCoV~>AKHxiOijVTyajaGtw2Uz%R0Y9iesKll?SV#MkJ075{ZAb{7uVx5YDr zT7H-l%s4U)mBt3T7rKcgiF~ctL2X z4LJ@wa=s6xm0Rce;=^{-!(n60E=^g1M(wl(v9+YCF_uixl2#83NmMFdV-Xgts0wj>+ zZqECD-@VUs|IAKiX04gM+RUD{W(L@BxT)&+QeZOs(b!l0+^LgF?UQKnX?wnlu;@(d z&#FFN?tJ21L=JAN1(PwOCNB+2_b=z%(|yRcR?L&N^{ik`FDNexTt~?L1;>ms0*JSi zMwck}_VcOsdDfz+u`)r)LK}nV^T&OqntWIWEeVm3T$wr7?yOGZkgC$S?_CSC!-nBM z2PARsaFvz&Rf*1u6IXVPcK5m1#l^`gn&<*_OZUSf^ROw-bq&QMQB#jGGvc8`JmJzM zD}%Z=E*Z>`9}X4SnH_EZ0ls(w0|XwLk3=@;lbu=yIB{c}+-pI#%s-Tfiv1-LakI$L z`r6nXUj3@-W}WN6KvYQTACit?{e%aTz+8mhDY(;^ByuP2gH*I)a#exNZPzn?$47iJ zy`}N&;T1WjJUsS#?qm*5Pqwe`!xI=FJW^MTbGN2|dEEk4fPiAo>HWGP%?sL7BIwX~ zVZtYs4;#WW1(KloDH5?{V+Ufn{CmWEi7YxW;JZjNTnv8ww(!3}=Idtd8*$>M9a z*aJ>416?&X{^Iviy1!y$-ei(q{YrkAWt>-?@}l_NJ5{0fz2Tz2#_8VGt5~yvqeoum zc=nQU5QZ71vgc^HJmyV@6Q)=7~?L^mPg>J*UdZnFyT6*+Isq;OFy+ggp!@S$V$X6#}e zNW*vSvP>Z~LvRPE=$J+Jw0G;@+!JZBa!k;Hs6cq26_ z4Nli#TA$HkPlJS$v>9Ekct!-7(e_uw^w+Pr|5II69}ig(F~gc(%Z=L5%97L%S6ym3 zx-GI+d}x{}z*V3Z8C4#C3xzs;(n4#rPJE=;3A0Mf%M(wf==X7$dk*Gm4C5>!_MSF` z9@U+t^BJz9C5)%89yM|i^t;aMnCqYI&<4M#niUnD5w9B+`B_*U`ba_-9-xzhb4Ll9Q61MH>Jm%wT8Na{8k!` z)$?;%b3GgLF&tr1_@Y-&E`kdhxr_S^+Y-O@j)xneKWr zy$gGIA$D(*F4|%VeCvFoC!|4CjcTIim~vHYdJwz1ymtPs4(IFsmq-XnTcZfd&gNLM z?vHNH?63XS3Jo}K)Mkv0+kkl>4mW|Ou#EXZG`SlxY_{`TPrxb%vm5eI-8f*XG}?>C z;~v0`*YgrFVpWC~kYZd*%?QV(uaPIt+LCEw#fM{>R`&@%f0q)ER)n?#c5iXCxm3>H&yhen02W8mtMo~ zAHJzPjNBY2Q*d+o-OR!n{+(dQGHWBa)AgP9SMMLLw-JB-BP~IC{-CEQ}(%PMcNJjVQrGco%KUf#t+{(M+2q;J9~Yin18K5!%lD3&i1HEFqv|$ z85z&n_;BiFj`wS-!DC)8>oFUSXGznN_r~dTRy%N2P|jKn%F!xvs` zJ2)9vs4l~=?>JT1q5Sk8A|QS7~jhP(Tx-^5IX|_X7HU^Lafc zy2|AC$-Sb4WF`3Tr%$BmG+qa)EA}y2W=spK7>--qaFXpJA!E8n#W0HlzQi2FLdr<5 z;nL9P#u#y^^6}VsX>j?HTl0W9D92u;tBA}^Y7*WHScIvcah|lvHSUarw3vr&P(=6s zRzOP4FuZ1BWPTE|(Off;?&iM9j|_^a`}V$%jZG;}3-+ydTwx%T_Z zezOHxO`+ggIg9rZ=WMmfSkIlPlfsXOK0zBJPpew#?Ve1Ue?GyYuJ+{&Ib>Ic3ZAK0 z!Xg4^?{L`X0pGmppPIH_zBHT|{)FM?aiJ6+5G?A<`Ibs4Rq=JXA%I#eME{>Cwp7Y; zHkB2ElWuc2ddwTEu3v%auBv*r*)y#JFHOko)t}}+ZhGytM?6RVonfQ5c;v-fI@`~Q zjXW|le$VE~5QKaP?@qvS0?$T)z z_7AofMFml;AT@Mu^#xP+TCKB&M%3b>`yp`IuzI0IZrO$v@{-9a#>O*L-k7^5!|M1> z=Lp4hv|tac@uPqvYidZLF_Ex;VF$3L>IT`eP@v`zq)Z|e1a|Cd>$USxY|K%n$rhWl z5*z<~^i?%g=X0$N(a+V+fvS7uG0{P!g|usf4SQM`n~!4`SzbKIM=Ff$Kgb&8wgsDH zoP;0Nm4l7%E2pihz|Nj@RlwoLl9~W`y9im+%l2L4LCBT@(2t8> z?x@HH7n^hQR|DPf<0Ngu)&{;!`@!Do;s>16=*ZXvz{|0BP#<_qy4`F4vHrc(W~wVq zK9=GubsfV=ng^$K4IM0WAtNYq!%jJL%531Pbln3GxiG+(!KUiuH_VfvR$MAbs zWwch!yLS_J8xS|YtNi-F_+d{L-}!|xYoLtXhQ9ZtD36VW<_{ww|D!H@?eZ6L@K{dj zQMjBjq?~`Fjd}5;ipTglZnmR`LUNl?Bf8=RKwu=I{E4v@+#=Z&s@gI&vq63`?pjgr zJ8>kT=~=r{J8bXOTQ0ct32gm|@ShtdFv_L8l|10X(^(f7+jCU9XP**~xDB<|uTS-+ zhUS?>!29ww>i3|js%A&p<6~^GAbqp^{fBd?*tEf7Eh@zx;Fua;{8@Z;xV~$CX8oy} zHJWE-E@t9@Ip8i~rAq1kB(okXu#9g5%Ur!k;)<9@Ybc0K&@HH1siaanYQzN5-^d76 zb1<2h>>vm8M7DtJ;&Wi-!=N@iQ`f^%*S56hG*{i-cT%)>g79pc5mPjo`s3L08B zGH$u$>Tn!#Ez>S}mN;D?zxt+&RRqKXEb6Q=SCl6BTUCzTtK;>GRnU)g&Ko76uupeb zigrPNF@zHanAe%3yEH2e%3cMut9caD^nDs7IVsASS2Xk!vyY=0;ZKzsFa>RpuKwxB zwWi;GQc1Wr>WJ~yquyTnoD`6^lT7_Rir!`S`AS_GZ?u^QO#m5upv}+eIPh5Ioi}h?VMJhiG*rVl zVZ7NUkY2fmd@Po7EVffh=Osw#bCgw0#ALtR)QFA(y-fK;h<-j6v;TtKnP_Q?NjBGw z#POVgdq=`>qW#*wzEf)Kt;7Tgva!G`aZDxW>`*pSo0jerq78y5fO>XG@S@h%Bv?IS ztG;%NeK+F*enxQ(gAL2W3MlT(4K?=xnt%Yw6~oIf84nD>Fvz z(T9m3PKiICm7R9?N|28PT4OyoT z)N?%2BP={UTc!L`)a|9GIS6Y?P%Ncw1Re&Zw9zi{FXiYYJjhl*BL?lPV~i(9pn{b% zJ+Fj#YJV&gw2CFml9ErTRa2=;OvDJuE-F>0kbmWyC5eR}ta=*Q8H;K6iq%4p>Q0Fs z_6T^xv=*dN*p|1DoH??(AdI<)z67S!2F3F|2DvvQG%%OmsaZ;*@;{>=5^ zw*PLI&CuVi%vTA4c2f!~E?qFO(IFAl-O`Py0D4AG=`}Mh(JeDqaN@B>Z35t#EKMNq zoDx;dI=BoN$r0n(sY$$ZA?7p}5|BdX*X>f*@P_rM=ng04n8fEV+fWO zZSU_5cQwTwt@D_iPcV{U_n(V=V03RER;|As^T%%QpJ`jYBZ1_`przxB&%nZEO~VMG zFmL2lMa5VCCPO>H2b6<}$j>eb3G>+Od=@Q3YXTh(NqA=I{>P2282ykco#)JZ>*5=m z41r#oiFch@f#sx*@+w=+znxQpI=3uy27l?#8dTXc{HCqE4Ov7V+I)PaV+BitPt1@@ zMO;8g8woadOA}tIza}we+5nv@9>}=wfxfR`E{#S8g18G4wJ)G@NeteG~l5 zGPPku{%W4P5*YHFS?j4>*Xi7Sk-R3;mRHV&u)qLYFruJ3IujUwc=Orc z3ZHR?xgcS8Crl!fZyhL0u=xhFvK$oD-deBi+e0}Dd___F$kVYt+)JUk3YnS-Xm~Uy zov%aW6-H_7^t{kmPSel|0`q#qtV+VHQD)J>!Es3)Fk3;tOnhiV%1mmjsc${Q>@z}P ztfCAQ3P+2kOBp@3@vI)&A!$&`>f*|5`?N~QA?PC5JP=;jMzzhkx#*Qc7G8dilof@psSV8b3!G)ZXW14jh#p z;D{dbZ8jZ^HX5+uwLa9X{J9SvcpgqS+P$R85WsgDK?oTMk?7{F2@sG|_GO;vZK;X7 zlJ%Z864((|IuM>wwRw#MRu}?yiI#fAKAVSRY7hOU%?LPbsZUFYPqIpBp}erAcKT}k znW<7BU_LKe1>)1|G-~|)f&&Y(FWomF*;?>h82-7q``Pb~kOyDpX0FVShC9{wAjL7+ z5zLWy*TpmJ&II$hKD2CcFSuF*J+{tba?WBx8e&2nEBL#|7^?%TzkGds`MA>znU>)c z&~^N~pAInVw<$_@x%D0$#xn?=8ITF?>1`NdTJv3p$x|>3R@**@A5PgvDE9WvF@4!` z^M{>RGdH1%0u6)A8ZOcjsb5A@<7{NTV?W3l%YgjbgsCUJC%j8(olm!}3HBEn?6NB) z#U(iFNS%5p@Vykc$5I!K}2O2x6G|{)BQ4D86w07fJpqwf=4@g!PQ%L zIs=aYcaY3p6Jj7rHGYJKM`vTDSnFlm(xV;gizX%(bH?vuuIV+jnX=BVEJj~cKjo1L za&v~;z1*3Jq;AdsR`a5L*hY@z^QZX$uD>fewz!v`MiqT+Dd3pk`k|D*OIrMrlF+F2 zc6n~jT!lxc@rQ&@yA!unoCcOQ_deOiH#~Zm-QTzMxH3O*H(LCm@yn&!&ixdDwxK*` z&Z+DWv7E>DgkJx!H&;Yba6W#r*%_;!F5$EuEYnZgN^S1QZ`;D^pP^mlF7H&Ulu7GWqnq5ZY?)kl2LNNf^NW&O0OFes5P3{Hj`7*P)H&S zy)CGMNO?c?$W!0-=PMmFMC(USxV7AINB??pFh##_iYQzGB zwkekGTPFxyjAXrv67c4tuN1i0_v>Dg(2E7#GJYw`bqMU#2?KWih2Mww%?H%1B-w23 zImFB=p3{%sr=SEpu1lX&0Nt_!t`mQ}SGhoWLL<3iy+;6IJ?5QZBJN(wo=OLqbl4O4 zd70-ZZi4KWrP)`_z888d!w(WmG;CY$`cK?rHp^W)&vQ&YX4p!|7To}%+N=1hI@~nO z%WenIKNi-X`(5xlq?J6U=5BzQokebb+@J@1Mq<|dhtg>FKQ#VD(FS9&Aa^2rCqUns zBPHdC2WN(ZZvr5 zC?oOV+E;0hFfiRldJB@aV|$jd^j_W=-=i#k zRQWbp^D`W3GhkS5V0%^oqlT-H^ZYJ=9cDOMbn3N^w$eN2tkk{HCd&1k034G}M;=wb zhZjv65)aC`btNGlx?_mi$X6t*aNCK%1tdm>--8fdu+-;$>2E(EHNmwPFG>BkuyEj@ zY0BB5zN|ikx?e0%O33!2E7JZJVNP$-DT%R9sn;N)E@CJeP>i$ki-Ji1M@5My@uqgf ziCB~9M8VqRoz;XbQ!9x!V*fPi7wuDM?dRbuyVondD_m$DYn#Uk<%d7CY$5X#vd8sr z2F&4N1zBDq|5%Kcu9NIg(%W8md`P77iW+#yc?UhQ71UfH?B8xBh|(jp2}?_eL)YDg z-rL42mbYZ>aFy()^W7d_9MJZIo4+R`*{$d{t^4)(}rR z=Udx%7G!Z%(#7~2h{pHZd;g3wg)Y==Sod9}G! zFXT22guqs(nuTh(CzGP~?aJHF(kg|rz4dEdiYAR4Lak+uCUoIO3=foj3|v&3-%FO3 zkPNtL?Or5QkuuRzk#De2EibdlvQ9VExY)qgXgy=jh~W3wUb8O$jL8>udDs(WX~Z25 zWnOf8PPkvCHN4$cTEbG-P9Bk(= zcT=#;ENK1ovJq>6SK(hA7Qt${wcr?c1p}@NU?$Y~JrVo?USyV%##PoUUCj*gVJd4R z78I7Sm3AoW?bA|JKfj}=4URcL5ugGMa?PPOgUdGMPj+s5FqDzYHTJKUh6(#|alOgS zJC};5ev$ahKt?4yZup_firEye#MMzzxehh)Z)~=xN|;C>R7>WLt2)Q*(ft+?$vDyI zvrlsW7|AOuJR|kr(JB$$dTev@nJ-a_6!RJ$#vIlt$2*+m}2Av&~=JACS+h9Ox(QV>|&oPOP3e;Vd_8sWBu6PNFDC zwr;RMp)#oX#zLCP)`IWTT2%%C)p^3Hg-!XT)8e+$e?J+tDk3hZ z%I3#lNgdIEil!#pDnkoxK5PhpOlM~IeFPb+M@W@DZ?Py%!x_i4Mv_`&Y?5q^Uu+J=TTMM@km9%QBA%ykHKbibNCQj-KtCC_~+l+ zyB{E0$swfA9&K?(=US~ z-O-ODq-FGXBln$3aP=_^rveX_w;_WADi zWqSjDAGlE17>|sb&hxG_+&53GxiB@0C-0H(Er0)|>1&z1L9>BCS%X5EF)>9-OQW7U z?z)!J4y^t(Va_l4mO$JB)7AsfL*}?!sXL{%6#3q%qKhy52B^yB?%jYWb8g9q zf2{$_RZh-g?0=M3k}*QO{U&KcqF=J9nBNha)Kh0|niEKId#k5X=NSK!b6w=_wv2dY z4H#UhU31q(XL<}?G!;6!|Fe&2uW+x7xp4D?xPW*h=!2+N$BRZ9g0kdy5h_ayLX(rB zo@bd@KL_Wa^D&b|a?lXT36u5gkoQBnXBYDZ92puVU&_X|f2KlexufT+bW#=3k}2fz z_G^Rcx@J;J-Q#w_-o{ZidA{Gj&zVpr@LuVkGxyxHwp`QxrIX>PxT4owoK09GQF)i# zV1xm>@E92f_(?IBi(q} zvbxP}mbqFti&8f!B}K-kU_OA3mAf&!hSMpBuVDj~n4n!)soguNqMTXrvbT%JNSSdGv#XI)PNgc2=$~IC93BCac zfh00v|IajK(eLG%HC#2(8 zxRe&6+~+gS4Eg?9vnv(G?3o@a676^MmPwg@t9+ZQC2A9u%-D{_j_EV08-^{4B z>}^I}{9JCP4@UP+CTZGj;(7(?FmC$Xj3n|Ah2B%!$YNtV>2eA( zrs%?lBE-d+tV;hQ^Z!ck#~LY1y*s>RUl*U8JOIHZ*XXpa6pGo%$;&za%y9pgQO=%Y zp`M<0!RE$=wA4Q{46~+K>qcWFsmMc_r(kEFyt18YV>^b(%dIpEPhTs%>)2^@_&TY) z;vZ21@W_im3>CGbXF@f>SEjmeFv8@*me!(r_H8@CcXH~ESGWF`*9tHHmjc+;-_hOYR~+^Ic?%1BE>Wk|N9K5B_-mD)@~o~dnL9f&;$!zuYQ|_5KPgts z)$*3b9CXFQejcwg^<(!oWp)yLnf0BGW2It-bUBu9RM+8a`Q7cU#U7w<+4}%(-YM(t z{}+PiT(T@Ncb z9RslgkN&C`C>98T(G08W4r)+r_Wy&_teOajWy~LtS#)Rex!E-<`h<(qZ|RB}~^ zc=XI0h&^N_I+YC%lU42|{*OiaZ)q}gQxFZnvJ^?-OTu4v6?6u`BD@d5ShHzm=FCZYlDegYO zXWr3iQ}*6Na=p5RJ|+<}_y=A3)R0Hs8rhp&OjjW(G$}6Othds}YbtiOZdiPyUx2{` z^y~M(1c|T1OqGWHgFfa#d#0ofaDgtbA2djkW(*V6e^FsiQR%Q}hRM-qWjiWFBzcU# z4rL|f#|aa&94W=9h{iJ_j+ z$egyTAlC}PP<*tAz<{*--sT}(_W)xNgvU9MvHfYl%;SzGM(;Nw#?O9G3NlP#@~NY%CX<*Sr7o zYpbzuGA@AoEu%NOnTLB9UlQ(d?M#eEA&c<%?~Xxx6D#VB=ckaSV#! z>-FZmAQWmC8FU&2#&`1tqc-uErw};w;?xydMj1n<^BA{lHQ0>2#th?+C(|H7*euw7 z0|M7MjKm&n2IJz8f!KaN=oKFx-FvZ}f`N&Y*l(k!yq%`ApQ#Lqm)M|j!}x2|;p9be zx*HyaV>|h(29h3VH3%px(Jb^^sn;)`9_K>@vWKi~FnRSgp|+nLlAU>i$bB)9UzIcO z*~mlqX(^He4SAl%Rj*#Lo26_D_RQR}>W}wlbJa_+=ESWYLQeyfujCgPb3bohUHo|a zPVhCJi!(1#H>e}}#DeyEa9W2Sg1z2_KvAeFIOb$H*ze*Xi%&fU<7rsb9ZxrzkXijdsD%HTZE!5yt5lz4pmig3$0c=S4==P_9L0>7$>pKs7~HfPK< zox|N5RY4yHU#j@(=K5VPJE@+!(JXZ?>b>uVVk*^y?6D70$H&f`1x|y@IW89bFwt z=br)9RS*b{KF4qEX?$aAb$ZsQ$&wCiDpYb3dx6AuL9eHS`>krKknNKt;@cd3OddV+ zAMsc+P5jxBlt*0sG$TB)=~HmRMgP^**f>-Qyv{QGSEX)%Y$OC;U{kaA5Sge>n}m(wIh+3xy8@2lsPrXtGxAc8B;k>aftephc7kaJ_b zqR0ljz~D?Cq8BQ`qa}TBIK5O|e!ww-#|E63t80tmGtbWpk?>2W!2`fn^bmfvdoIDqgosI3p z+k`QGq7go<^un}mp2gTmfzx9V{3PJ50L_MB+{*F#>1i%SUF!wXb)<bFsT$O_v)KlSs{Ez0 z8f~aa2@d|@3z5Im$iy(XlOu81vuwUfLW3D>aL7uP5^nQ>IGb>p_{Kf%(aUEFVa&->&bivbo`5#MMev-u9vU z{um7?&If-)#_sC3*v|WU&SwrCC(`QM_C4ryl5lerp4-@SxZQAZ(T$f0PX74fRQXDi zQU$Eb+?cg;gpJ#XV@ViH3XGEgO}h6AoQ{qqlW&8;@I-UdlSJC^%ICk;xZ{L1LF|l% z`pvE9V3X>~Gpt}9E#EkQ-mc4j)>_w<^nu!Ol#lVou-ZEH^L1>6MDx|oZpjfmuw?vC z6%;*~hQMr49p+M8q4?mJ>#k6)ns1P6G#}z>wkr5|5gdpfq{3ewpjWf-XHm%D%ec1tHRE}huV zzzJISPJdQF*W4pF?n6%6R>ol)^gFfDkze9OGMWCiA?p8&9kqI3XPdF|K4$jXw2A+L zq!Xb}Vpy}X1X^AuKZuluzNUg{O_Yjg_ml zjkGk;f6@A8x3n$}moz5O6F5i!Kj}g=@q${>9T)o?B!Miw8W76gel6pS_#*XwyFM!FYek0cga@;!NTwp z=D1z_g`RH$9=DBvqLA<%*Tid0>dQm?3FZWGZE*s_P_$*SPhd`9_)V?^5rw$bb=c8K z`)Q(VfbOZPJyv}WyG{n6+B^)#Zv(vSW>isgRoFrXU(FsOE|24qpetGNT^ESUv(sTP z_Pm)7jNMDaUj}2B!BCW3z-jc8F;(BC365oaE(QD=YbPkf>$+2lL|iuqmuxq*Fyb#e zH}jylbvrQb_%!&M33jp(fxhAkN7REFCl7;hvkj2awKKL0ft`M`7cI(O%DCgWJS-{= zk}d+lU3MQraSaN=7mb7zdue&WJQxkLU?o4bJM$L^>{*&BF8EUOMVOIYP_1o>u9Hg8 zv<8<<--MN);L5k0VcbR%5__qMxL$0)U&_S@x{)FAWMJHQ1LDemRPgi=zXL*;$aA8u z5SW8*unTt@4hsU~+}J=E5Hbk6Uj@Iy_^|C;e}_8oK=BO-%w!cVr4MZY%s6)O$63h0 zbD!qF%1~Fk^|-ad;6a%^ zw@LlpO%^QgAeR@6UgQh5IBKY&4#F<-AuiX`&gb!GHAyT0Blr;5UA}EqsKdyxGeqHfcWilq~r40>9CKYz;g;xkBJk;A@-#0^fkg%p-B_AU*_o8kw_q(*O31Cs||v z$Fw(m_lc|V+O@YgASzLSl$g?FLpRCn7sswU_;|WF1{|cyZR+DPPXSR{=^r)#_Nt9t zQ;a3%+08{>V~6eXGM$&zIjnFkDCog`ML)JW!9C~tiiD9xe|wdZV| z=U6^F5LXRH7dZkyJAHn^cWk3`pHKJgj?u}rOth9Ob2&-&Z0hWz9aY*<|D(M-Iv?#O zc=EyKvZ^|oY!>yCW!_b;?l|3N(kc-GTnFehj)WxPJ+N-X%oqC0G`&~Z5Z29LZ9O_3 z+#N5s+RN=GCB7QXdWR31y4fcRq|F=~0z4h6z02z6#(`jy*7J;s)tn#e$3^2#?j){X zu*pUAL7Iuue3oN1(YpM#j5^F+4nZgVd$PJ4c_oT!9)T0d!ajD3V8e66P9>ZN5u=@4 zF61yT$>|AJJ;+sgRl;h<*I4;@=B!jVS-((-RUO?M7krHZb$6`$=v*k<1a3WLECxFa z73l9kf^jtnJjMXwhrT`xK1KI~@JGGY~t{)`oJk2=pY{IHQCQtP}&I*xi2ar;ny%bMJtq#-7w@N~40-S3Q+l zvk5=!9660H!yNGA*#+-n)Q$kn5WTaSY_v%yH2(f+=u9#46W^-({bGiIkvy@#elx7i zKDT365zbRU=OOTCn>Aiy9Qk1;D%mm2bR0FkJ@!v*t%7yOv^O>(3^tjcGIW!SLXHxP zc&DNP69YZIQ*-^!7iup~rTrxjd%c+_D#OUDXYWUPz*lw$WbDEel+`HNeFze+FPXn~ z)o(+4=kF9rN_m{c8UzobG{j}O_B~NriGf*Sv{75zW1ikCn;HwiA$Z{G2OVml6Pk-}atAstM9GrO8D;J;Ox2GlzeEm2H zG&V(B{1Mf!Tns_TtLin73lsQjaff9&P)?sYs)8l)$`h zlR`ItmX?&%{%&5dDX^^fDZ<|sgdH*HWmbwA${X-F>Se!3!}h8?FCL&?P@I&_Tr3rEhFDU(0VZ65O82cErjLR&N4gO)%an>@v?7n08 zr#`>Yq!5QXfH?9c)Ckk-RFG){*nF&BIcxqal8e*r~fU{JJ*PZK{@$4kuX{ z+lZ}wR$d&8&(efmfgt#U*JGL~7NokCV_?INWISIsj19r40Qgw9dc|V;+5R*VT7gy< zoJ70}^qQu^)L_vWeq|mO`gHzOG6YQ!$>u4%C$IU!0AvkyLCTH>7N;$*of$fo2 zG2U;t`hhsx^r4YLPzA4r_eIGyp_#P3h8>l&57^E5j0}&Hn%XVtE}iI%!w4AM?Pj#I zN+!_)w<@WcH7MXFIQL6nm+@?(^Xgqs9OSMw$)cGCMMMS*()1f#_jAIQF3NH#h z)ch6)p22)UPaL4&Qy0xh=)!=X&*+QX8czSX{^E9@Vwhv@b0Qrr?GY(B_*@&E#j0>E~@1}G86gxEithG$I{NAA@dgzaB(5?}L zeplJ>33HhrR9uClD1vA7gDg#H1r|G#5^u;}ihJ-+cx|%0GIm0iFv1^Y$tJp?WkVR= z^s>+WKBekJc@p!TjK?OH(&5>!gXVraTEEr#)%NIgY~G&O)EaP=sd|*ghiPmuG3cmD zSbj}T)n@w8Wq49cx>{S+2)|7zL=U*f7&gw_95T?KZ8C#oL4H~p(Yo-DoHhC2oYV~D zP`GXS!?^?>u(|bN%&5A?8AltPw;6CLa+K`c%oFF8Bw^1aMR(d9R#zY^bBnHYv#Eli zS0(m`lR#%GHE`p4iRxsh=gH$AQp=yKTS8qC27Wl@RNrsaGgG{erY2te zFWsJVAIn;(QxQ4sq-(d>He)|65`vO9gt9(DC+@3zkry&vp7 zN*35eUrBIfv~N3O9Y`NjY|;4UncH*kFB={UA5n0!jNrn=I2j)zN*g<#z16OQ)N#L_ z+fMiQ(kXHqq{*n?c;uN-CMxvUakNH^a)$&Kh$=YeW~(b4d$vmrqHAOqQ`Ub;GF~VL z_ty@Xvq8I@aF7PQmkw81>oMSSoWh%m#}7q?4aGhZ@*0~>s4}0B-_3R#l5POEJ==8L z`8?cgFIIRV3p$03OOx(#{^h%tso8p9ctR0nFDlLazP%gSFlhLsffMf;3I zi-i|tp+g-q{0f{ig=JG%gj%EiTRZDXjArWMcfmtl_bCJBv-p~C8;~UQz!e>O=hgn` zJPVgYB0Wb<4Y|?}W=QLEBfbI3c_-0Uwchh=KNr75xp0=(>55#*-jc;0#V;SbF0G`7Yj4@Lh+PDL^w__0{2|G6PbfyYRRSYf?#maDk?7w7eS;1=3*Sud>h zsk#|>Hrp*fT~K_ZReN9*~b45kggV%|~`xA5CP8O})4s!9D5UQOF5k*r=k5I!*WetN{xo2Z4~YU3T7 zxoqV(`%$?1%=6y-W7+-PP^t~5;-- zg32j8a~rG^#@xPy>OgNZEAwq(Ux>XZ%QlF;rYcg2y;8rMPgQus>WRJdi@oDS>=Jf< zYS|6WdE^gK)c0o!+r6Tlo_veskvRKtf6%DN+bCtD!l;>B$0PN-*;IuW%sllw32aIK z%KFZ}0??(f@%96VZ*9VA@a#G0m4Qv&IpP=f`cCgDC|WkBu(hMR-~L%A~ArFBoK;DWdnDsYQoU%Ui+p zvcTzL!rn7==zqk;tJ{BwEzDTVw^=ECoUvGB8`{|a-VVRXTHYWl4YY*Cc>Jtx@7pHl z5%i!kmvq_t?s|7`^7fAYT*ljqBh9v89PXuH{V&o z);)Zi-6|i{@>#-AHy}7MNu=+YZ_`blrX}pLCy+-fe%*L{@Xiv(gO3Ln88GhgD4IaxGJS1dS-kY>*kef#0 zEv+*%zr-HZO}c7Z6iqJ@ZKV{E<5mu~g3%W`-?p_|p!rzco;oUWt446BYsi_|njW6F za_ql4#ROE2sV4`l&Te!UY5%MFdjms1gP>5>MVyS>Q-_uye~Bkr#^MBG~;4;MB8j+G7`?q}3Qen|h8FT4*cRjG1q=On=k3 zf9FKj574GPASYbB!4z)lWVGmMFP3J)TOKt$@(1|45NfFX@V{Ci`%M@?=mluhTu&W< zY5zu(XMtbDgK=`LPCWfzC?x3s5!nLtQAkY4{CV@#C!fO3{H3~7oB2=wvJfRZD+NH( zlcEl)flHxciEYvtfbNM4ncBPCw`h4+k{>EW{fn#qR{pwd7GP0t3=}=-K7*bsEQr9% z<@?kQPxXe(-glKXNh|LeR`=W&65J2PhSTZmBeJaqNO#u&y$E`?NnO(}o8S>WYf(rC z73)4Zsn7R!-89yBrC;tMRed$|!WLHP4rqt*zjdnU0NpcOqXQ6f16ol*Db}4%@7=$g z=E3dsB%Z_{-FUp^W(7mJ`?k1k0<{eJVUVHf3JdA5G+I}ND$qf;$pES9#!-J`O8@Gy z+v<>5_uh9qQZi7kB!lb14Kn>f0GZm`l=l7@P~0@_A%iPOT5^f6MVf(;ba>YkY2>2u zqj}x-8&~xH-!6N!WVH*Pd$e)?rkekz!#8O()t@f-v24FOux(ETNQZoH1C<+esj6~; z@eQ&eM-%B|>>}>n1$Z-qRzp*>V*iy^$R&{n7tqaE4D)%+-G3`+2Y0}am2Oao?!?V} zuxi}?3Ce!k*gFtba1fm~a$`X|^G%&+Q?mvDRW{mK-}q7}Hy%y^>mm-Kqmi70$u)k9 zRe+EP>-{O{AYXm+*w5cI z*YDa+)d?3QA~|$@trD|0b$7UR2B~%`jCiPaxGh>MjBd=tPKC-ogY(d{Nw@r=%(_k4 z9M-pK!cIexBHzj_uLuA#)F|!$;2bon@ir>j;I{ZbY`q0kRL>tiY$2e8f`CXVsnjan zt03J-MusdOD?eRF5ln(ym8KZ&hG5x-nldP&U~KF z^UN?&U!c1~(#UBtZGgVKUCC@AhSW z)ON?aXGTcfukUu!jEkF|+K{aO@6{PLIRVZQYhlT z&BUS^XAkR@dPb&ZT8YjLf5LdWlT2XJytkq9f1&1op#g7qq6zHlEfG~EtPYg6*Cm+X z!v7Iof9d*^(dsSEoYr-mcT1c}&cgXC4gWiKB@uMf5p^yQcAFB#!H+Xlc!E~cCKX3~ zT0^wv;dE|8%M#m4g$X$DIFBXZaOhkUA@VtC{lH^2qPsnyK9{$fgrn!2!u5C6z%sTg zzk4=}3J0E5ujhnB*KwI-wl|t^vxTv?OTT#G z*=3QA<25_JTj~)^v^bH+6(o+I7Q!C>M@_^Q4*5gOtDLtar$%j#Bd!0#TqAhq{fe)* zdvT8O_9p*~qEPot#4T6-cT(^FIhlYvX>IWzt0nO9aJdl+phZt-j!O zD~FhP#ODJ>1n#{f-|nB>q}o>?(x#z_07O;SnB5F3!2`5iH>zIINSvysAMfKLv3R z`!Ximpqyx6jl}(k2#?iIkjXaSTtBcxo82| zE$6ufC7bt?^nD!UHG|nQZagn<7rB*}X+54@yCrJ>5!&lps;X$PHM;}Y(9<)r3B&=6 z;+B%CdT~@}{T~%JI@JJ2{%HNYCD0@kjzGu%OUq99$|*dXqRUL?(5dM57l&-GcPcph zSesMO9!t_=xlMa5V}Vw*ARZ?qMK`qSG8V=}aJSj9P@UiaKY%0ONqC4C4)7MCV0C+| zoE}U}QLbR621#?W2~xUI*iAJUi}UpQFDB0TQL~?EgO`{gilgW% zso!5VB2H0)!eeEHHs!<`#WCQMHd1tU4!(!w2KTSt%YcR`{kkVD-RDiY0h?qB&wy4#+S^%x_;J2GZV-m9zC!TP#fg6N z$_Ym`v~x$>4qJyy^J09-VSbBQO#ZnKiTMWvvz0i$Ge3*)N%whj8m-eZT1j3A%2_Lh z_Bk*0g)xiqUmZMh0&S%&uO*4HBLB1^SJ!|)*6?d1YeccB3qF`MBUHYiVdV18pCZ_? z;@{Pi5!J`07kw#%^r|XABlipI?+*8OMw-L&ud-{RIy((aN%JH%GJp)^;l*LP`R8$d^7EYu~ zPn=c$^Fxv4fKX5F$|E{B2<@oiT`G#{M5TrKEDx;i9iG=kQk>I%5xds#BqN9EP|2^3 ze$MY^l55*@o9dJxh@c0=K^h?xFakm3;>MxJeWcK_z9}naVA;n5^GXEss)vcvG|duF@>k zs3R!Wx_HS5Bqk$5@jV}DrrPRx#P7-XbRQT4H?&y#{-{!&*1Kr)co&%2B~s1IWV}#MiCLA*nA~~{oHt*Qo${^{{1NA@IrCD|MF+QqKfYxBYSx#I3|nH;#0+;WzL3MjHAeBc)ABh|UF9huNJx_-x2*|PHlzP3Zk zCWG6f#z6y4dKwOS{CNiW<+^U_bL~O*Dj)QP1p{wD+0E-T#2{vcaQ47^C}8 zDH+pqRbk(&!9xEtVai=eA*4jP9=lsG*%m95t*k0ZwYI=q;Z>TvzwTe!X z76MfL22Z5W+SvEkc?^2sZ9mg2@^T^h#>lRVYEFxF()b%e6Sk|4Q93txF`=GIP2r8J zj|)C&Rx*P$MhTfU%QBf)Wi}yRtZl@gjV$ky9kI;lY5(e%4htiJoeG~^BUp;Aa{Sfji zV;uV0&{C72Eq%f%VI^4L-^9?5wrnk|L~AABk)Zxbh(o1j^E2-1lb!EP_BlE!l}j?e zBC68DC-1QMq!Q*ecE-RW58K}P&hCy~cf3+Rtom!lhShTvied)7@}-DdY|V_eUmaC> zlHc7fy8$3xe|_a8*JXa`cK9YjzkJyv+Y`qySW{V6~ zyi8laTp}Y8lh13m12)h*HZGuvBjT=}2;U%5=oIA0p0r@%ID83n)2}*HHqqd7oJn0u z)iCFdFR$H1Zam-cC%a_&c+GN98%uhG-%)Kda(c7cezaJy_hWrX_Y`OYO_B1QyYg85 z37+1KX=W{P5230@MwEToB*2dluEjWqf8SV)rnS5FcGzt0d4K*su%-4&xE2iNXWD-{` zxugngNG__UeWT z(CMgVTK?IK@PqWnA)}n9Qj&KF+2CudHu1sUHL`#P5zJgB|J}h6+Xe{aTD<1C5wvwe zFPfYXO?>{IH!*CLpxZ15ZGA6)(JM}shZ)P~E0L4=s>n@CjY$$C^u=upk>o%-qv6H$JGMNPl&9OH z>N*Z@q=y_V?mSv|hOK$Pw+}bhf8aB;QyX%zu)zgJgzLq!#MtO+^ zQJur>XA$Lx+iRmg2srL8z@|Jf=U3itTbC(KtYsw8HbrMPw3BdqH+GycUl{CaG%ch+ z6M>83Y10>b`YM>9$C%a;4$(M(U|N&cjxekjRv^`njRoG*g-Lz#QvMQG1xQ}W=7^Bc zr)#QiL~lAz!6wsswXvJ!ycPME-bW}>vO8)AU44viQcXH%pDw&73-<^gP&qVA{C2Pb z2eaH=i4v4Y=d?O_JowM4Gm3fP_zM;X{0J0LW#T2~xMAH|y5@z^z|6IQG{pjGdqq$; zzuqGQK(oupek5}m`^UrO?Tw{j`zXF*JwhVVxT~n-s|5pWKk3Zo_k>97D8It0D?Tc_ ziX$xS@1~(UfzzX>0KT54BT)-S3#0r&)y3XaZXn>>uzbLd?SUC=i+<>(Abr$(2VoWWRXW*xihY-0Ps&OUv2SzdKOXpP z>>gwYJ{emz5;5fmg(HNEDwT$)l_4_{L5JNGtn$CNul$rOQQ0dA z!lgV2dN_wOt+Y-Ey<#3;-y7oNo!GJRxMX6VWK+af49NjEUx^l(!S)Dij^?SJ{HQ>o z2AO5Hi@@;+d%0F3srA=Nrp*FZnQS61y`ZkFy8L{~+M-0!G=6oFRF<(-t1{h#{EYT- z)AoSNJn2(#$?{S%`eBj$u%FDqHs`A$-Fpgrf4W$DJ&V4mX9&Mi1!V-Ryx+{QIVqZR zU<1S)?P+CX<6(jkP@+9h24|GtYxUUoAG9+t_)Saw?gx|FNDFQDgc*cYkXt2l6j0t$ zs0J}9|1Wu8ZqCVxut3wZLCe`tRu8dO$xdpW4VUA{PDh|ckOheKc0Em)Rs_kkdglZ8 zL5leReT8<pTf#tFjvk?$20TF9o+#w%3?wO#u{>%_Q@*^;MV1O$8c+ zXeO!7&Ms4GL`04deib(Q0_VT87E4sa(-27GByzgmk!0+Bmbi6)kJy5YWD$lLI;!z8)1q}Db|O2 zNHKgL-%kmb*OG^udn+u+7hZ_x*)wV{NNd>vp5wP~e1h!^tJ8a3$nd)`+NTeXVPrz~ zQ!wqIPjGn@9u7_91TY-=;e69Q_(8b@aM%4>)%|?bUaaOS%?-)c@XfTr6EmSVGLs%4 zn;_SjX(zujzcKZqCqfbMs?*I+uxnPXA=)Q6~!S`Iz<7Y;{nqG!{`T63z z%2OhB6#|_H?Ub_DDO`&O8?ivp^`9DofZSJD|2R>fK9%MP02b|ln+3g9y>@?SHFnY9 zn#&uzvg$y*>2&@mNEp3Oknq}l`EPjmN4Yw>SGG^8OqieQ*>#&Qd&Jq|R9WI3wmX8N zdqQjPpGvA%-OPnY&N;}7ijXaDySq@5V5#IqDREJVs0L9(oe1Bkip;;kea+$$H?C{c zY!%x6gek)l4+98(jL#)^>%Cz1tdMei`tTCg{eM5H-*^)Nz}?GIEepI82lW)fDl{FB zGAK2R*$#Ak&+*-dAP&Nz6CeJ2s17o5!Zl0@|VWZs_KdmBZz|OUn3^ zE2j<8%O;1ieHv13Uv4mfo?)TM9#^(vkE2S*4AtTYNoLc~Q>4Y0foWY$$EHHQWK0u&M73fwee!ik7QsHEx?iFw$7MC=B}5z9Q|ygUG9A#58ZWKys`0h$md08gO{&|E`RL!-|xzCZTsGp zlX6pQq_FpRQLPd+OGtw7O9*J-G4}G?c-f!IzoFg{3@@=^O1fBa4fIr!&uE` zfBf23Bm@l0B46N1uOQcQ`aymf#YV7flY|Yn}jNy{5q*14ytO3 z?WwLhX(zrHl4AEnhk5~>G{n$UIdI+axR``(QSYbMy>lDh2el4CswJ>^j+D2+tWJiH z#Ga}x`VMSUXQUesF3qZ^!r#1Y;8;{C*=KN&^esIi{Lsk|F-rU}c=f?$+t!16!#5xI zWbMAWF1jxZwbo2y9%k+yE~$K@`yLUky2rZ}g_ryULGBN^x4R_tszFZV!*lwG*#P5E zDge+EBXpN?t=CQBE+sSlxXKqiUEc%3LZ56rm0xDH>ECfDf`lr_b@XY|z8Ij1hvKdx zZ`&uG_76OJl8*q|*TQvIlL2%wH*Jn>-sM1lQjxoEQ?)+%_|$9-*0}8yPu|WFx`?-W zr@Y^9S1A!RF~>meVc}rVb^FxW8ENRwcTJUIHaX?yN>`bSttj15`=C|w5=DvXW<^}H zA;)1$Tig%;inwBIGD-Oo-nejnr-<2y;m|QB=WXh_zdHvBP9(dp6$@6J&;Xy-!)PUL zrsyN$A_l=6eBz{&I6ZJpZQlf;0bF56q_EB`UoMwWiY;2ZEhlXvxGoHi#jf_nxE=G= zjY}K&Uj8ItLh)v$58QTnY#lh64@LC~y26NhRvq5`ZWy(^5YZPO~w4L3e`KK3oEhq9t~?bo9Kvv`AEKj=`3FbZOO;nF*hNbl=aiL_5)z{X}#JUaU~{-qq@X5_A5uSeanDX z`)j)vgB1&3+rHw!4aKHM6<5*CtpbW;FIOwQ#hx{_x({~cwMU<)>30)<3?W)edmMEr zjs9Wie63yHm?gUgzDJ5q_9@Eo6-EPo^brpbfF7g8_LyybGJtAcM#ZLSlceJZ>}ygU zNx&~Y)l-&GedkjTeEAELYo^0$DsCX{&6ruShD0qq@i>Hf_c4RkQcyLUAqtFZgk&Ln z+1^6_<3RynZehA4OXF@@{e^+hF*qwMP4xRR_M+)=u_3f+ZS~|OK=;y`2<(q+ZMPY)4shuq8KFRb1yIO0o;V|Gdt{XOxD41)13K>! z?BNVp2F4!5J;teNV#TBsJGD3I!dT-w_0Y6e)Owe+mglFnj>+~qrc4lzhKtkM!*!fq zpml2hT{-l$&OVJswWZwt_Mtkfhr+Z0KrlKVbyIUn-O((IPPpn@8XvbW?(}8Aejk}! z-m%Btxg4v!iNfvgjydiw6Em;2BXbVH0!pf|xZ}NlHv_XUX}305xBX@L!9UcbBDCZx z`)1~`;h+504_Fs!l3FNbINBb5s`}~3q6)mcD}FgFlHV-@4HAQg8LSo%3i~y4HqMD*&MF-3YOh8h2k2-6KRbt zWj#BW?@~YT7#d(d+hA1GFqdTzY!fTE**;y=$uF7svD*&>Ej05wiDhZA+>(S-B)cKz6CrWyL)CyRAw zdx`5a%)TD>6_6L~z`Yc`!Ogsoox|*IRvPO|F>lP_Atqw|V*e8X)*T7h$^#xRYC^Z0 z@gqRZo#U#%ue}eJngJL4uj+4_Hk)A$GYs?Zt8O2h9&{t_;fz|PnbGp=TAV~qUhv-h zWh~zB_HeK?qf1_{nWrt!{T+7A~sg-5jB zK&_Vd&WlOwQN@JMhh7rzvo)PW#@<|IBpb@d0#p-)8@w^WNmt4PeuY}P;;KNb|@cp=3NVV~n2Oykg{4*}Ab8A;XHZM-eoQoUMd-Gcr&Y2Ug@ zsyL56kz(h*(I~zm)P_6OF1|{>F7Kt9LExq)&6qZ?kr$bsp=nM@HK3W;r-cWxlGzvb zZ_FZA1Ll>@z`hiRH%a|lZF`p-maDSVUZ?Zhvhqa??`kLxrxR08?J2{t(e3}5Nmsqz z&Y!x6zb{(UdstbV4Dx!Fa>Z}7qtR^Gw8ZRNI(yD~_?2tP#x!n-!s6yD_S?=mrRY2= zr)IvoN-mMXRhV4-t?zc@4^T~V)xHqloW9GcYxaIORMcss_#r>z4GEfQbcl#%3;3Rg zJ{J;g<4+pF78xoCNITw%=mW3sbKe9=T{FJ9!O21gRP(_)3Vbp;NPHHK^C~kEqSa{% z*GQ}s0mzphv{)=Lo$Zc>b(71rDK~c-La?8&KlyU|b}C;R`$Dk1^hH;3E#1hCD_9ZW zZ<9B^C2FWfz$j{2A+2rcLiPJavxZ!r)-?%wbwoQM^7FOS`lR*^X}oXVb-ME<#f@|j z2$&AqJ0dK)Qr+af5l%zxYX^3c_WHbYGtzGS$D72Dh2#1i5K}yLG_s=--6y{-8II-% zUl{2;Ksj3m3nayg{ra{tEhN1)Uc)H(oQTHxSo84v?)MQGSjUi%1O&1;o34!D*N3B{E% z6(DWf9dDZHM&td9>tBC4uE#jU4Y{U0a*M7S(?ruMt{U~zl7T&*rvz9;%B=RTP}YxQ zqnZmK;WGv*Zu72-QorXLhg3pcOgQBPK(3+4irzut)E` z)CJuafkULSQ2M{)zN(E5m`^#UuLuwF{*uLkFfX7&DAjCF>?end>^^8RLpXGcdolWc zj_=60#9KD-7K2?HuMf?Hg!zR$Zh7Ual2HaX#YK*dMXy6l8iUG(VewVpk%L!VxoviL ziXDged|o58=liUCU&Ma%2F&0FHh=JpHkENz-43*JI?h;4iUhL8`G#s=zZ1c{6K{eD zeJ<}1zGSRsTUOdJ+t@F5=c+yZ+qOD8DPM9~+@0NqYE7g5zR}DId4gY@TI%f_Fj1a=(F=o)mv!IvGe39#Vj(R0gm0I@a-#RY(|F zs!(e3QfC8?DyWYrAj|!9O~uNkWm6$sT+zjbF~6i1?g;sLTL3(gIL&;5iL2`fHi9lR zeYjHAjJB8`*S*q}1MrD;m*kE~rEr5rN%j-KV8yeJ83Bs#Q!I;#2+t{Dk(` zG`ZPWRL~nO+8;SO3Nz`UVFEJvAgir62_qu7@!nn>hdz*Gfw9A3$7$)6x2G~NDbeu6 z%9Rlw+%R-yzD?T47R*i=@&H2~7iO6sgZ7RAHq1^rUvYo1T;(&14GU_&c}e=h@%(4P zqMaFTyf;;E#z&_oJoku65Wnt^7gSSZ`C7}ire6_ZPwTJvIOw6L?ep~;Q8!!3A6|+Ld14Mme zY0u2s2NRE8MZ)Kdox@b1zS182r0d6pB*fh;e}2RMd+48*rE5zV*PtxdT4gko+6P23Am9%cnXy&4&lldJET+DWoXk_s&R(i1O&V^DRUKWj<_$a-vdPI%-Ef zi3Fd6>NMUVhCjdg;ykFz6Tp)|pU)qi%KU$>_a8&FmOyXP}I zX&6M-2~PE{KQL#^(?#d?Zpm$75;u+*z78QnewU3^zK3|}K9V{&(JD{|Q z8^5pbj4`9v6(H0AM>BXpKGSOh*FMm27iv_b)T+f;pV(>yI~D#6<9yuNvl5T1S4mvG zDrB5C{FTX8rgv#11v*U07$=d`Ha|_5r?=+SVB9C#{7jPYiF z#o5=)(RlpO!xqMOBAjHD(CBVNlr|rwpSkfWo+k7m;OMxNNRt0k%W%PWqrgW&ol`PH z#{E%VKgtyQa0Tw_(gnBN3dI{AnN}PB?}Jdvg--?da+Eo~4Gx(5H1fa7M(7LkoPldi ze_s5`n83d^Hi27X<5U<(FF_Z#A-{uzQx(tzc-jx z1m8$1=^M9CB>f4RPtD5MgA+BQ^i2lxIGKD}O-SDt?R;mscBcu!=&Op?nUBwWQ*J(zqOYkM;^;bvr- zvw54yWefKKft1Pem?xNM_CP~b^tuY4^cca7xwh0xk4MN_sA5nyVMfY!R`*yxE&tO? z?w-%qnjZ;bsd6#?xmElARim1-`yUNw_d=YLIXjO06kZ#d#|8cr5(YVWSB)_HF!ef+ z#qo25e|3du)U3xl_65OTed5n{Dajclynm|c>fYHS^{zMp zK0mVt_vRk-TRju=iqv|QxL4H`As_Wvxb_%T^-d@wN7Wmc*5ENl18Q^=L@ zs*dGfaxFbcmckRpJrk{H>@vDYLdL?ZkgpISH>wvj@(7gey;dWjb@TCK&vx3+a~*87 z+E!ZNVED(+cN&+we&2cA`Myz2-z?~|`=M2#2u&B2rRp{3Gs}dze+(+Op2XGb^+l6N z`Ja7NngNzPyHDk698sXR)_PoHyMaQ1$aOg&PvMxol}K^uTjg2iroTT^{z~7YkovI6 zNM}V}Ds3FRA@@P?D+fCO_}MnJqi<6)nl*vr6tZZ#Z`rHef}379$Zs*qBAdFeXld=C z&66Cy@`#0xGPUCmM~oWw_4`VKs^sx1qmX%NN_2O8)`f_WfT&pEsP-fq~1cla;UEhxh+MyoA-s zvl8cjY_uD6#=iVqtkT=BMwE`4e1tGkL4YMi4)L77KHBDD^)heKD689Qf8{QlQ}?$~ zDxa1ms_L|7oc-C`Ld~CzJOfhy-bxbWtBn!BIdb8LHTVz(EWCyCt2&53+jU0KQ%)A zE{3~tqh7hwxq#H`1l%0(@_wgi;?Mz@YGIo;H*_2UlCNmY)B+HzL|k zlTRb#`ucQ+>RGqb+RFWRq}Q!# z4PN>sZkP}g+M%beH*n_2k6H4=N>}y68N^6SdYmCp#i|MgJv|mj*PqaU4V}Q0r*m8( z{W==_p2GBnD)OLus?G?+llRbgRZ?P7@1x8nOgLLMRq*5cq`dc@mm3Na$g0GI4m$2g zC$9bK{>ll~?X_Q@luFI?3rRq0B40;=J`ZBpEitFvD1DzMWfq3Zf-HobUxM)7(qpoX z-UxSPRsgv8IjYJCUXUdZeF$!(V<0x&($fBC-2eW}qgi}Nq}4T@IXrB@Gg|2^;w&9M zAuuhMrP1i7riv{`shc*idI{k-Drt93O;83O$b1@=w)Vx{B1rp98F!-?bGe`j4FCR* zxv;UP)!E4&+J=qFPxB+?`RAtRzv|sLFV14x-GX`5SJ)BoeC|h+C;U-|5=rYYd9Dh7R=SFHn3SBKEm4_&9|#Q&i3t@hL2nB2Ad0vJtz^frtSd> zZn{lAJvGjB?1)i)$P363-ef~|(!iXVDp)S7K9oc)5(0Z1rNRO3;NeoIJ1MXv)Fk7_ z_%ru^SNxc3=Fgux_jB%P0YgTEx&IezP_NJO&o?R92h3_6I(F-$nI}d62+TJbxe6B^ z*jwWM$rB0*>2r^A$dYl?i8f8hl697^USd95W}dubEh}GH9rMsy?Rmb_PlB)p?YtLscGHtykPHm2$pho z60!Cy{J34)Fx;~kr!Mk_(I>5Gd+?@M(?>(UVcyJLCKLTut_!tg!xubQ4O*@cbegA}P{(r#?E zW|LG_MI^*UsT|W&NYI6YCHc0lh{2(FwvOskWHxh=yd*;D6fdBHGXEB>a7<(%?JL=} zswQLCbwppnciHUNjr$Rjwk|LCi~~vkcvjR_Z1=xJu3&oHdbS-c1&^W%QX@i{bhgu4 zG*^-;D!c-(#av23bTxsH=-6VOxNYX7LE##RvjfJhSo2ViqFD3jHaOJdzYScAHQn|8 zZ2UmeA&xY)WxFuHrRgWJ7p8cnl_7k^Q^}H{PL#~BX`fbOD8SQ)XfnX=*>%-GGUhR* zm}UV(b{4ET3g<%m+81*>S{8Fnqj6r2on1#+>jQ*h%vgCu5@SaS7qh_0 zNmfug%lEmbTAitbNu!JI>fS=?$e$4ByBMz?{LSQQ(ZWYOWE!e9I!(^&U6`UHK#w+Z zvp2+ZkbQqt%xibeD7@h4M-H=Kxiq^BEco(DIJ4{1YAb(5-ccB1jU_J=r&K~|VNC+L zFO#Gu@h+!T z@p@1u%9QFs>k zsj(c!EE;zynFaA^@G=(O!+fjOq7-|D@4EyvWWMU=U{Xk8h|^**F>8|RkBWWWUrZU4 zfPvds9xd?=Fkx{V^!KyF7JTHO4tq^q#zTVl2R)lFn!z14M$U$ zjaVs$_XyTQa065oeoCN{V13#d0r)e4k>ujMN5X8Z)|7tF?w+zga1>}yR9;^n?m4yM zaW6B|k4aDbTWY4yL$CRnY=Sd)XC73b(3Z%BEHQ)C-j-n$#$3}}r(D@MyEZ;Vb(Vf2 z;cv?X=TG$jL3>u^wc;d8a?c~PrU)s7za}BN<9UPUZHns9lU(Wt>xC7#D!pSot^d}3f%0pv*@NOgpd6Z=S`~hz ztZ>$^kr~G;XF5S94f)WoMva`_>%xk-^q+Q>)lMPY)rShch&y`^irFDMH@vxNXZpv{ zd&mB}gH%*kfDz_m$d5j%Bl7`*h>bnSp8t}Ub#)zCD?|NATRZLX)jgE~&Syiv0c1zW z69xNSPU?sW?y+_M5#xbLLB(f1lY$BNF&FT;A=-zjG;u{w59d;U^Q(S=_od$FUY&y< zK3g=C@kCiHMAJ(Sgw*izXmrKkJD0!wtE+m<;iyx*DE?LH+{KA4$$WHP0q+DtfhgNucA4){`XC)0KB$x8DWPD?!TQ)m{7S!wkM}( zJ;ik4dOgEdI2X*gLE0%+Oi{j0B5g8)GVLOI+*Ruv>DIY1gGy$ zB2v8dDi3QW1}zwG)&@5n3+b+r%EsckDBGr@rL?)VRoCAYq!>1Bauz;m^!tBgx*>=v z$4u1~bQ`eNm6Z>dL;CnxrjE|Y5Fu(COuzBFeo9(rpU30{Sgbi+Dg7m%vK4`atz^N0!dtu$#+O~ zG#I#Xk=C9y$cRxTZx^9(3sBs<#Nekw7AUMOjx9r?X;~5}wE_QvD=Rt`>8bm3SecB0 zTAg}&h53;+tW2qI^eL<{k9aM!;mRB8pr%ZRrZ>VG^pQpyt7+*7F$N_)q;+i;0{$Dp zlcc4{Qvru{3NLCHG&KnvKX`%4kBeA-5)Q9fa`NHn7%%eKF!DD1=QP&7>-8u#LVq`SFl@aVrrK>!4gPn34I>j{|JbF*8hC$kUeL>iH1`6c$)k{k?P0bC@*qbXIVDX_ znArdFvS`mLeEHodukl=o@d=aG2mb*sK^bv+mE7DaBVRh$ll#?P@f zHSuN&^|LLC`N`tTN#n=kA-whMk3Y82uHVy8ox_9a#W-%!6ThTz6+ANa@xPW--slvo z5vZG|X1tyXx37@f&EYi5-w-Yp?w8$IxW?^KE}`e+($u@#TwKT(XF5g9nyyJGvG|Wg zw%GX$6?TP3XaJ|12^^w=269e*9+uk3`~j&4>Q?XP>ru1!5A^0&JD-zHTRBEm3KbG* z;yQ|`DMCUfrDi;HUW%lpI>kE<$#XUYt2ZkE#lL2Ddoip#+gXMiHgxG`$xp1T{tXx) zDy*=LH!ake`&^+mYV}Tvqn6iS4J*^5jeoCKJHb(30|IIadB6?`5#}o$if28m93xb-iu}RMP~X~Pt%x= zb{<^;a;ApEBpaP<_M@$I{jsfPa$9aN3m5p0xnw6ft{3w+;ut)_E|{Zfr^4|SH`*}9 zavWm&ItWskqt?`pqM=8GQs%Esa~UXS)9t&&9^oIA-zENMMsMp{$P7!J?lB^X|!3WIsC0r(N%k6Rbt+1-bB;k=|ps@=*(MfT-nv z^C*I3ZZ;TtT4uF`ac8Nf?m@vPxq5fK1`JZ_G^1`#7!z>_3NzK zs9OqE)Or<7`vlC)3+8p#^M~oX;I{Bng!rW@TjJVCSg*_pV=CtrucFf|l(8Gv1lqu~ zYCqqbQ7hvCI)z+|M~$vwH=TtgGg%8Knq*C#cT^l(-ZZ@W7(tGl7q=MOnPYC z>%wC>d{9VYh-Z#;wcxnB>IaX<<62++I+H@t|xU*OZ+c8sX5_ZxZ1$$xMj8D;Q?Gu z5#<@4zlti(1Fc9ay^pfC(LMh-Ko+*3q2J{^8!Mb8^U8I-*156OHGg|k;rbc7gG$oT zG%Sak17?GE{sT4TADbo869Hy+7sxX44_x3I+!o4RW<{VOOb`jNU6T=pdql>Ky6;mZ z8B1hN!_>)?srz2ILL+bW{!trYHmcbfxdKJQSfo4rY_*=pGc~k+e5l+ARw-n6839Vrx?|!4h zey%h>7K&)@!LK&mu8o4(ZN$v9n#70H8dVvDNTITyN+xAVqqxk*)OIC}DPIru^Xf6= zI1d##!_S2aA^WK*a7 ztjm6gko$WrRQM3RH@yXdjVj33Z)ha!QEKGXMN?va|DM!qBj%=&*_f*^R0?q(jpm)L z-5Q%X36P5`Xe@Lw&rL~oT01rB2c7m#zxo`m__1q=V~mz4dTyxF?2kCAGdW4`VMHrl zrBHf~Lu&AJ@&ICg8C@%~Q&p-~ma?yvAhakhoSCw3m>lKWim}V)1nD_-W9*b=r#|mN z&N|?@EAc2cp5=$1A4%{aEaTMzvn@92wd-289Gdj4{YqkXiK?vvkLGcET|dL!P)Bix zKFO8i4kLcXS#6>I-K8Pj$vZ7+<;c~cgmTL&a%a}m+&usp){KX0Tabh6V$Q6~Ghag- zw{*rs-KK%iOXg4erWn&mXA5POjRhTZ!VVSG*?Tld1nIx8$}9V zM0k7Y7B-sD{GcT+8FeFDXtCHZx64!tCd(ieB(#qJLG3JEp9mtI1*Yg`&h?O2J!a(0 z_GEyP2f|)Kx@u#?{Qb`B#-)EbU-$MVs0wI zLdudpOIGU+ue(eo;Mop-s57Rlc%7{Mc;}6tio4kdMc%eR-AkYJQQd6&vR@~PEsqvD zMEb9xY&$egGTgMYRe#GL3ianC?|k~bsy(AEK$kYgx@XkZ0&v~qNIEE3VXT)Y;RaI# zkZn;5!$En`oUoO93us;D49~!Y;7_WYJvzmU_X^3hzt=d|Iw90qo=Wo_PY{8SwI6Z) z7|U6Q@KEwMAsw|(8~yi%CAyVY8hYWOiJEgeIf@* zh+T4ICKF_d@#LhaX2pz7 ze^DnH`(A=eEc#PW*3$(G*Tpy-ZFsa>^460DEW47V?68NfTQSu_J1p)K7Q%OAja=d= z-PNr;e-v}*Tq~Ou^JZ(BRk&GR`76wMZvI8|=oM6i(F~BrQ85ipBn&?!vvA>Jn-bMm zr`k%`5&p&o0b0Pk~&fqIFcGezhDrNR!2vDXWfg6ImCj zepQNXdpqZ3?%H#HN0W7inz(52FDlY6c=WaVuN5N&i|~s?I~9pN>Mqvu#H4jKP*`2U zV`eG=oOpwrr#w|tXUa_PqiHpLuN}nwj4HoYKScTcM^+=Zfl*&h)2*H@P%BPYmi9~OsI11B0`8)g` z$tQ@gJTZ-w<@v3ZL|bJ0Brhxd(}M=a=25dWfs7)Tr)IhS1>vpZR}ZewAM}c0cTYNh z6>l!7FTB*&&sL|ks(#Yj2tGI;3{ZEsM5TUE$j{9#{4p}vl#sr%!|Zx!l{1u7r0vl3 ze^K=oP;D(=+~}81mW6@Q!{* z{>hZ8z-|d*T(vAmWZ_48fk^E^69kW*HHbjxhYP*x(un9*t{_=+NfwB`w}|+C$GY;= zg3sBGqUD7sUV9Ct`Uebfx}nPa^lp8TsUonIlL`K&d_Is58UKLp;@Px- z3LZur5o9`@mdocVA;VWUS#9;)*)F#%w=nUrF3LV zSpA4d)$H23H<*yWLLF_hYG33cO|2(nRjf@RJ8j_qQfn5OTYQLe&5`PzUBudM=BJmB zNUI;t5^CYuoOh1MmaS!GxCoZi5~9)UInu^YAgJ#e-giCY;Af{dFU;!A%|dL6DUObw zFffXeUgz@)8%Rhd%_vU!4ud7i%tJYYXZ;Z<3Z*zgZmx7<+I*uh2-0#97 z&PMj%(Ivhq{(b&B_DF09cVqK`C0*HZY!4ylIMhFkSYsr?F*!%nry8H=5|>eEM%8~? zQ|CPA&P|$%lbrL>tIYe!!DH}b^8-#ISZ&bgU7BW4{a`h^5;*kcx4UD&^IR;;Q%OBJ zp|aNBwEGo^RDnYxwp9&N7UGuuNeh-p<<88PtybWj-;s2VDC)Uf{Zs)><_yzM+7gaL z+CR=ybNiDF&7xeyqHC0;WhvYn$tLR5war5pzfa9>8;fa`D2ume-wGdOC8>#ae>J^P zwOb34T)HX$NDon_5Nhsaa!ohx8r@2eJd9^tHvTdWJ03H7urtLNM|Yf$0oBy$bWVSD zy(Ww@z|mEduViZzHM9_-_y8R}+PWDE%BM4bD8k|b(=Kb>3loz6f^p@eV;~S~ujr5I z3)00B;*Vl~Yu+?Vl_W96qmZMCvB`qhc6H0RaZ}DF+w*(Zm#B{>17(|0>oilN2bz)J z-6hR=UZ1#-#N{=fUj7nslNH^LnfUzTyf~=v`sI0&>=5$IUL|(-4NAhm{b6dmG#P?P z&i!pE1G18VFma%wAfx8UuGg&(Xg2Q@*ebr{XQaJ!Sgz*-v!j-vj8Sm9=2;&HV{>Nm z3d?k)*)ZALnc8F9cIF1C6)_tG>U9gXLz=vy6pjW%=75ee}pY9&4?~BgGF(Pn~7nUWtYPt5anga#2s`3DsZcb` z(p)6t*E-40E9QMV&+eUy062kF4L@|YkH#s66EMnO#IgsAS!AK$=t@>+rAoPbF)X}o z<8(DX1P7hOC!3~Ti;%!}A++cO%;+Y+F>hL}fPC_jWOJB_FTuDg5<4Y+nZA_%`&VN* zyfuR6-(s8}Nr6VQ`L;BR>O;yc8RpB1djD0A zowe>v(d?fOuL7U!3tV&1i|TSXg;=`^ve2+3G*UtgB_4imxKM(#BMgUUN9Zp)BTwM=(n6O|9-@WxbIAWW~s#8y12_;hAVpH8GpS0W97|3*4ntukOn0pS0 zf5l5z$wDK`8?(u?;rI=(5JirQmueS($U#-(A^!|=qm1$>C6CRUNbyEaT-@21AL}2+ z)>t|e=^;s3EEoUj`evWMKl#YBQO^9zq38P94$UQ3n0Jg0RN6c)}# z@@f5m2T97KOa90NS8d21t@AGYw*6IO@t^nAf{*m0@JlPdW#c(17wQk!mdgt&L8P^8fYX&|mxq|$HVD9r<^ zmziQ9l4kZIA}yP&*p*_7_M(4Q1-yoCfha_Rmi%G)EjWIKCEQqh9l)zgHn@fz4jnZ_)rMmr0%F`K3#aJ8~qL#Pp zLSC%IW)cox+aTZP;a<9V{n!+Mg&C3GOPiFEyXE(gQEf1oVSPE-u&s*}8#A-{xS#JM>d+ z5ael4a@mD9Nq7IkmXK7Bh!{okuDd2c++cJCvzg7Q;oEeE`0}_ ziGW0-llH!ls#z+Uo@HUd-n9(1NdBS#b_svx^-TtK+#}<35N+i2x1kO0z&EjyIc!@| zFB`*WWh_qE_dRC8U!Tv4TozZinTT3e+=VJVFP;1L`%xaU%S1rm$(oSU#ivjr>BfU2 zJZ#fD={cHS;PsBp`S_E=o+lmprKaMtfn`Hvg3HRTWkcQr8fiBT=ql#LaBE*#hRguK zknZ1TF>b+upG{BcdTYf04!^V!+Uq>zw{sEb(k~s&)eTiz0qReOPUdtbv!dxMctgvE z=-(7GhLjDxVOlCe)8{fLH`g&b2>x>AF%%;(?2~ingWrTd+M42()TzKL^@h6A> zTDdr^4WDBwXeKZTN+z(`h8Zh{mo%tQ2A`OdT=x{ZTfS__lCjDjtERk=#14e)7&S{$ zo_TO9)P&r9ZoG+mfe0)2PU;KkZb@zMfSs{)rVW|m`C<6WGUm^z@N)!wVPvNIchq>6 z3I+hfd?_m&SyAG?t2`DW1+V%>^G&)z$WKcdXTC*zf%vAUDtZkb+YV5&G-Tjn&KrYc z8ig`&L8Kr!lxMZiqQ(pFz29oSkve30#IS>aeKvbhx>Jp_s&(3u$!aM|OXK$+^!6uX zb+7Sw&o%A#r0HoeJrlm3Ij+FPfIYz0bH$dp4FQoGiPk&#AW45aO$GZ1l-}v^X%JY= z6Q@NJRn!<+aRI!gorZqXe{*eV?|cziqEejj$dt5TN@HHJ9rj3p52o;eQ zn6Pm}I5<(9l1UUF)bB<4KWZS#f6<%nm{{XnxTd>BC2mlkFH$^i$O4o6Cs0;dA; zL4hi9O&=O#K)ptkxH=vyL&?dh%9`IC51V-K6D&Wr1SLylL7#QitUUIMml^aHPIXY} zB5?U(E&U&k3?hapv${^%Snp^EG}3$SA8-H0=yP?*(JUMAT@5@f%sTTI_-v%0PbP2e zx74pg&oj!7yU_8Z#(_jmSFlfXquEMk1Bc1(J=Mitc|EnHRrVIvzWfbBsLPQl(LfLLfx<{-QN6E9g zYbV|_EGPGGx$dHL+sl8Q*PD9G{p48izA3y6dU9GzXSMC6H;P?bpO&FJUfkvy)Wk?; zCR`OBl#I0BUK9mv%=CQ~ss*nPWcJEOKmz(NIyOxrAcYNZw(5_at45Crg?I;Ix-jMw z8o`~PSTvAx(icrn-|HA8!>6G6)G%sPdH}R(*AmM=_r)7R{*6OSRWxBh4yfvp-JhSs zx5GKoS@km}FaTdnT(jKH`d%Fint33dEaP2~IjU-gj-rsTZ8(WFTyR+VM_^_o3aJLgOd6YmjP04RbnKhX{db;hvlZi3#{wq4&tpR+E0#DihOgSX}RFf8XQY zbvvKMaWQ#o3uQt<&Y4TC7TkKZZ~nq8Gh*G_fcHD&#i`XIy1=q#R^wRx;g;#9yCl$x z)B$?iapgGSj~j?|+Im#dsj-K4f_CaMwV~tTmDtXQphZqt7RymI`0^dI{H(f872CmG z+25h$xK7L0qH}&5**TI9s|uOza@pokMMt{(NR76gp^&w*^ps{Ec-kssCX0Rnf_$6? zTf?2nR-+e8wUtcK`{&*3_Y315O|Sl~F1;!_Z8Pn^#Yfvw%#e&=!G8;6493f~QC$4N z1SwrbNnkO~saL)Y;Y@8!#N>-zw-F=t0oZn%XE^O)|#IZYq{lpf{DpFeuIcDjp4`!pK$L*4)3fv zQqeQQRLO;J=^G^60yQse`{Pg~fX0^PD0H)b~e8f^@U}b4zi=_Rr0%hIj;#%*`#<(h+QA{0Pw9ufl|LvV-il<0s@3y$KVNc|qaoq^wXOPsVD#>x zj0o(3py^8RsSf_C?7`AttWc)=6Yk<0{o%r5iv!4X^~t~}mlq$(E%G|tU8^so=TbZw zm4+NZupdf&mRk~-qjH6%V)Zh}MCFFK@Bh(i*s6G5wmU<*TI+bzOMc5 zE_gQ|5qBM-KzEXoErf%J#CgF6R+2t;=6o8`+S)lWc$Q z=Z)AIJ_b1iL6)BTYQljXqXn1+}&WUwh(ebUjkGl2wDn5`B^ihk&IkB~Opa zheE~NWCCuhMBLxuHT3b5$-fU9en)0|3xz5k&&7-+W<3M$9*5`y;x zB|AQx5!duA-EC>u4^%0{EChd}*JbEk3!)==9y%D75N$lOy1S2_SAP$@bJtKBRMr&V z?$0VUo=F5X;C^qL-3u&RpIg3U^SNo)97tq^5W!q-!vI+9QDf<7hLE===q7p(_-*?!8vdC=bH8^Nwn~o zmW_fMFUI^r5#!ST^6}x6)Jh_veH@mY!SgKqd%N5&kRwzFliGo zX3M7^lgE=E=bIX}8ZRNb*EN%hC4U+AVB==*d)#*63ZNf##i>Mgfa3Ay$k2x?Jw}e- z@aw+7=1kgKcIEzkb#A3lhM!`=9He=Y=3h41tMya>Hams6wSCei9mJ{>%3GYn>xD=` zh^N3UAkS=^$@3 zuC0_@$vmt^iFr%sS;)+CD9@G>VefIo_kh6HO72BJl`Qw*w)%Fux;bWyB>*Ngq7Y0! zcL4&`W|*h&*JiL~3)C7+Z^+t%E#{oJTRk@W)AAWNy$V*BG*RkXUIh%(c4mFP-f?vO zzIlveDIByLdTY7JuHNOevv`;F9Nj2(=8-pvO!UYzTaULwU`e&a=<*`8TB1f!AIeq1 zZaQ4vPKuTWx!j;bgDj3E1?;T*LRO17#W}ah8sEJM$RW|}ciE1e(9I46CZj1-`vP)` z(GuLxrKSP7&)Q@MBcJoQ*_Ngh0e1IkkmB6W<#FTws!~KrnG4)t%>*x{&`EAEH?$5u z7zqZC*-F9sTy`G;rn$kRSZ?rza|)WGpa(5)H*I#3b0X$nOQhWYf|IV2nNz&d)_Q{D z?G_C($TA7g&kc6RCvF#|{YRG*8re^SG$WL2P#0}>604_WY{zyUtJiPiUvjx_Eeo3@&DZ#8ury*c?1LlJQnnQ zMS~0wR!xk4X4i-yzvbzV%!09$dMYxhCFV5{4mg1fs$-ewgOM@0gUAme$)tu9Da>X1 zt3?q@FI5xm{NkCDO7)P0x^Xo}5v4HqldcuKrf_n8Ez zD^j)JZBa~E2$ZVI3&e;;VWJWBBkN+$MbwfWh*q4x^+$$HRP4uF{>qohb^6o%C1@F z5O)i%>KhRXl=wda7i+JTxIU}?M9II7p~T{>jn!Pk3!Sn3#${27TZv}NiXd=GdbOss zdPjN$fm6(cmJ8KWKEndVA2rQ|g2!8esJ_!AogHyyahoOas*~paqjCKDb=TZ`wzJ|2MZA&SzqrK z@y~gKGohwjScOeRA;MY&^CLKdP@FzvS~sD4pjT`3Kis}pZ8ilm5 z2ba2S*$Ep=BDLsx$0jw*l&AhNnI5MvJvD*6PEiHc43?PH4witQF&c`?GXfilE94nx z$U>DA7!`1J4jbr`?7%umj>j;5=BdOzqTV3W8eI-!`YO-?atbW%bmf(qfAs6e-e4=l>~1*%ypJsb-H9Rroof z66V7c7z-M6NC|=!BwQJ`HL-0=Y{o4}NR+?cVr}|Qun2#hA!tQi!pZQFJGVN4f+2YIb5G+2_?Ksez4tKWjg zH)K9%0evpD?Em9XqpU-Tq$M<7wf}%Vf%QkB0qtN(R&$iuiHT42#eBs7lpz_kG8;sc z%RxZ06xIkrH4>0)@&6zhfwkhWza!EW)VJzU+XwY1apNPHJY$n{kL=~ZKDm7>?6~L! z`MVqi_b~MX#NRmovCl6L`(5q_u07tLzpJHw*IDvkHlMETfXBbRAy->_KRBn>z*<=2s=gZg4F3W6QH-W==buNN9Dj>;ZFyBz# zDpp`+!2YZ{Vn|o~ks7wDFg?Kv8fIg1S)4phzkXr`p7)su}-S@as~#d{Q^0Uy|0JW4GPxfsB|ux1@S*!`x>^S@7#X zT;umhPxzHS8~$J7ZOJuf2t5yEctD8oN~_>^uK~{gdMojil0oTpFyhYENU_|Hf;LoN4EqMVA~ibc1aOs%zwUN^WTY)gZ^at%g+YO%%y$1EPR=9}|N?!Rnd(Zzrex?Gk{j+fFXQ1I;xqVrB7u&kuf=tvVUgr)F_ zWDKGY83vVJTta!wa>bE%tZ|n@*{piE@O?b$E-%%k8@!76#Q&7&ePMAKbG+em?mM<| z`tDQDyx@r6Pp_1;@PS+3Ky2}dr1RVT$!)||BI%Yela=0>*j6*_m}UEs`=?ys&E7o@ zV3CIOr_VkfD_ISLk$I9UTQ8K&;PKA}@HI>7Da>lSGKoIZ^ogME(hDG}=kQ7l=`1!m zR|H-!w8f7qM39=Qr7o=H@1E8Q<4s3??xfxEGzmvI%iFu+0ygxu3#gap{MFTh<97e5 z*jb?K91m6(HU4zgZ%`IK-;?+jk*lysPXNv3vo|GMZj`OJ|K za;5jGaShm_vT86quzYO!J{x1%2m~7@hI!It26~UTT*&YbFAMEMC9?TpajZ#CVO2`o z(h)d!MJFJhLSp0LmH5F2+@<)l65kjzW)!zx9o$6CA8@t1za?l&HYa+NB=cp}m&c%Z z`PdP>-W48Xca zJF*LD#esf-1?n8|_i74&>2bqv{0n*}pL<5=uYhLLkd-zfM{Go!ss`s(6rUPH=_RLW zj&9@}$o?SoUzwlmWJdh`nG>r8_|<@HRzZ@a^j9ft8>3yQ{vgZy!wmt#l-HI^ICY!wmz zjZZ6zenhLs$ezm?h$oi~=N)u=J3JA=J80AW4ARf6fVB`DNt7eOrMD~Kezis4sKP!B}1W9XGnrf8G zWf%|AJlr_qprc)@uDP_HV3iVVAj&0me~N}In75=~{V^F4Ov!;!h4s5Ui1UV_dJgUk z(@2$>4MgG)$69tyLdsEZHZvqRlJ6vo^>yPz-<~qRMsUGnOVlL3*p?b>vp8sT*teY5 zCs*#2vPfxga0-PU2rbesk)a8p$h3<#r<^9_-jM!xNp46>60p%ZXh!-k>LU0?TV;O0 z@zpq&|8MWngQaWBT{}8j* zlwSC$xtmM_@pYO|ziI_bscLTD8jj=$bXC@Vi!pdy92mJIPP$;7LJ6SAzW ze;e()91Qrra%t#{Wpa1?AP@XSac&V|FI}q?&yt9EoAkjinT6uQt0#wiC-IE6JUoTq zdGvXSgYRVzto3%F!dOM5-Z{lLMLTzLg=Q^d50xJlY ztG8_6lOxm-%9Ko2z0B(FYaEy2^(=nrKon-L83cKk!(<+zOVevloWX)@NL#p=xu`0l z>GG$>jOUNH$*2!4M|gIWfUpM}VWCQLLqdiZtLDS)(srhSJV+j^_GSdjA^Q&ho)_LW z+0|fZftySv_)UJQ5&JOmzLw@jmsl{QNaTjFPJ0@^pxE}Vv5AZv(ab+%oRTg~na5W| z4d6m?_uWqdg5zWtsDH$+iqOb)K~B${RDnG#+f=X>Q@FvP~?hmsTS9elwa z-g^*w@CemNpJMo?GJI8zunVNNFihm7nO3p`h1SbS_=kArYQ0#nRLvmU-tYM;CsvS~ z11Uht)}pRj!-YInrY&S3@4@k3czMP9onDsKw33PDwBGdiEU!T1t}hLg1B!BpzO5h?FxvAy;Lqs0ar~raJ~|5 zGi-F<%;S#LG`P;8OYVwFaw9ML_lacPX;Mr4s z=3pGh~U)El_%%RzVul>r*j|l1JnO^x9JBFHy z$E-+(&E09(EPUx(PJoiWaNux0qrcA+TG6i}mL^~K>jjCsT+H#UQ@>IP8ok9=L=rp0 zOp3zFpD3dwHj>9x*5OtRUx3qTA#u=Eefo=LPu#(wjy3Hb>bs>GL&W&eCcKi6GCW~$ zU&hul&#?l|1&yQfo2#E1nx2?2ARj`f(;vu&dqOI$NoiTHK=<4m!@Y(ELh%N=q%MbC z&K)2RP&=gZ8 )Fz^y^?j}$x%WFx?)EeyG?!+#_`3&ne)JF8dq&ak29LQEYQ&s7 zvVwCY=k6B+BSOX%CjFOgg#v3lgHuZRS3mFH=5ib-W=FNW1jTf%46&VEtwdgfiLybN z35KzJJNKQ6cGIZNnxe(8Vx`p$ofLzK1zZYk7#kD+XC6k4Xa#&Xo`_@$Go*M$`o~b8IaEAiNU8&S<$Px|ix415()SK+FUlQn+96~8KJ%j{Ws#zJpqHZJ zT!2w+kMNFC%tDuMoDYJDInLo_FRuSTep z!-F!=s-gUYQ7sZEUhaYq_zpTEIv%-@C|A{koU4}B;@dSJk@1el;^X7 z#1=f=t3ikFIjla=U~RE`UYL(lMz= zVbZ{UnH#WdRID(`8c5QJONEhg%6Xsc(A*%*$7fs;WBrcOm}g|H!6&jQGSe(p6YK{E zKcg8VEp4Oi*0SMC{;8G6sW9u}W#h(}=5b>e@=n4j_yloeAD_iGkU69LBzQQ#c_y`) z%R^>*kXw~xG0cjZ1@Ia*w0s23|7`IDDCJIim^}h-1nn*nRC)4K7>CjtZSaJIvRtLW zkcG-|r^fClW36IFEQLOtC8$(i1z*;WFc`v2kDu*uIBFN~@Ij`>^B!x34cd|-Y$OsJa@*2_k4_} zAxEL+AKyQmooOCBo10CBg6s_P{Axr~Yf*pW4%o&L!$UW0oz`p`-=wNlj)a745Lues zwc^+rEVzn2E4X$#R2GNzdMxs5yoRfe&E6)FP|lhZ^M&L@0F8D(-bHqqM(EZLxb?7B zkw802giP#xn+FK{4U^59a8-71&bT;TUV^5mP%TRK+a`{9&WV9~GGxgy1#-r*>!zzD zcj+6$MlXTsFz4NmEQaFW-r7;6e9Fj>IgIt=6#6xgv%J%xWPU@5# ziRoYn?|xJo{^}X0RH9EqRN9e8+(Wa70w}e6@#Q$9Sx&a)X_mQv<{lA;Qe|5N#P?yv z-Xr&WXm?I}p;U?d0QFZM>l8CkrPpX{!;@7RZ~9Ufo`{ZF{-}*%(-5C5M8kg7TD89m z`NE*JD|ukds&EWurkC9TuaKGF={Xn9&X5bs`F@k_WANDeJHVYRt@4;zO0%3%I5#L& zkbtj{2wqZ#3jG{~>$nS*XmOvnPf|AbC-LlaNk9xh9``bMeJMkvAteG-_2omVE7?wSQr}XC2+v&)k`Qq{BGOUq!Z7bd zG3(aNn!98}J8rhy%@eddIC@vMh=pgI#jQ9ywfQ0ywlD5Z=9)j3_RHZ+-Ee9)2lkzR zm!Sq4gCI|dpk_5QwM?i{LdELUuZp<8hg?zCgGa^PcOG3U(anjjPPgOOFT^YJ|5BSq zk%U@LumewXbW8;})K5|76rsOv*hBiFz0> zLpOS0ReSKL-|Qpfc_Kaz%R!FL(=eiLV_pGe@UqN=h6eP{kbPrAKX-UF=cZJNFhMf> zcC#_6Ew}ffOl={qC$k0q3Qxxku%BD@LX{pQ_T13QvZ=dF%k2}aSO%$YcY;oS%SCpj zEk|8Q$O9rJYf$?N-%cX_;I$JOx;YJ-F4oaYdl20jeDD9d8XkZ$ zb_j-U*=eJZM-pg^AC`3Etuut$A}py?t9_(8Xu<_Jl9pOy?j-Ud@*JGY>g@%h@xmOPu{0*%FMK`l8Xyp?Cag3oKfV*xi+{+w(rx>RBRX zkh=|@t@P9^W~vc7ulFU+H75(z?%yxLE=#D7Yw3mQ*Igad<^f$?)i^pK%+= z-0&y?kc-3x5~I&x=K~$#1!w0jJSFW_&~t zLE9Q~ET~aJdFijfi~eif48iZ((yy1UM1&2o%V$>{>pRI!Pe-ouY&%j6YEI3hSn^IwD zeh~H6Ki%l?Ek(>Pdm`c-@0FK1H(zv+AA?t#;`y{6zZKv1S92IBoH)||EH7JLuxj(- zog*UdoV)sC8}sc*nRej=U!j}Pfk4^kfmF){#r}p#*m)Gfnftw~y*9o$Fj*j~iuKi()p@66SJJ4v2Cd8N=mt+S&SW3vof*sHMY2DWUN`UP2b>=G zhLn8nk$sq0i*ez<+Z}(WKK26hr$c^2xKyRU;yr`xBj>|}Dc)vZcZ9RMMGHeVTWnZU z)G2MtkG-AsC-JpSkKO9R!g8ba6GF4=i1+LgZW^{*2s<#%liY<-6`vLaRZ#K%inP}+ z{3M&jI^yrT$p5zxCQ^`x+;7e#u&(JmN7Q8Fk1৉?MB3a>>n)J#@&?s2&r@^4M zrku>>p3`&CGm`DF@iGmTKKwfy$$Xx{nEI|a!h}>a4(xcuEl}X?{p3dub`qoY86Uby zo`p&26D%6e#iYD>QE)e;e?_^IWF|#Cy|R$~n<|}8XMh!DZdD?_lV#&q@d%Zu0eAd3 z#4pTrO#ohy`N!S7q>AtV9J~icg&r3o-wT$tAs`S02{(yYzSsO$*`-CmF(AN zAlJ{*2q|%oS(d49W-tkAZ5x+*rrTJ%{ zazxT)n?KQR1{P9@-?3iNaWe7u+iM@j?NiJ7GeG67G1K+FP^lJF0Ntx4>yd*`fT?-w zeX1X1BkMU?NAnqcS?0n_xYwneg2^DLh~%azhV!=v-6&B`)>l~V-kJE%xb%g;HS2)0 zLWwYkfsmJsVy@HyLMmIrbvpqGhO^XY4Q9Tve=En>%)f%im0p~c)Ysd!#A_6&m=`y6 zQ^QlW7p)hUrRfH57(P2T-ti`*g;s_q6gkW{fF!+){W&w9q-jBeQw%W~x6r}TRk|@b zbrM%YQInmQlfJt6fzZHpjG0!?80{FwO>mkoJE@^xu<5gb_TQAFIf?lKzdny8G;uNu z1vgMX_pna{>dIIm!|DzeneDs7UU+?qc!%#{-oE3uNl|0$2(tb1b!@;qamS+NoH{0B zsTY3JD{ycAk?fTr_3QHpy=AkL`?1@~CJ%h;wa&WNWm@?S<$lP7 zTfTdzQPCBFfZxg@^3wk#)>$c8a&#z^8do14EphBhL6Lt_c=XUGLvz!eN9!cjBZx3zz@PS`cuUBv~)zDN5|^y=^IV;xG5m( zXU)TVLT`1fsncflROBk7ZoLOfKi9a{3rF3aGF8_jW_AoY(k&A`%6@B$1V1-tvWf^0 zJF+GlyJdkEi4eaR*WSIFjrt(dyuUSME3$6bA9#)@0? z*I$PVoXs9ODLs+vR`SCB5L>ehIhC5cT&as$m|(#g(#i$E59R@`o8jPVn%=ASmoS%9 zpwRumt58KTwcz4G+gz)ueuJx=^J&#$QPe~Y1>s)G?~W7-UtIc7-eyi zrTqo9S&RzR@!wm5SwP{C2MN!!7^|LZtk)i^S&MVr*w_zz4axD;G;~7Dcj6Sysa>?5 zaxt_)fCuD@GLBX%vtJqm6NlbdyVqm-@j`h31p)XKjNmvO-h z>HBJ4^4X%L6m=4BXCsUA7#2p|MrSBCnCnmUgJj-Z7uH{K4Q@8NYilR0tau+2HvQE? z+7?#q*{}WLLJmr_DLn%)ZsO{Gh15kolXR>AfJI{nH4qalecd4Pop1IB_)PZA=x$oEeE={ zj?s%(`Mx#F^lnf1z7R%64kg=^N-WUUyumKr^RTcldrH>UBKpn&s?c3@NdHidCLyAM z$0!vk2qp#QR2+Jywk&s)0KZ&)^}dL2@o>DfQk^DjpiXdgbhe1@pmsLr^&i2*LsSQc zSj@=${LL`|KhNl;RLs8?!h~d!#=}Tw!p*3+W{c412@?!xR}+;ku$%8aEu$KmaSLBv z{|34Ri0DLt&s~eNf7?9xxVBzm&yQ3V(W%;87e7~8Kxd)RDCR_uRK;F(e}Z?IcYC=6 z0?<(96l$qBSI+9-!2%RAm%xg>t=(BEKFaIBO9NdpS5k)1olO(R?*}tn=F}jjWPZjY zSve40o6`OMlDssyf?txDA3C=az2rSeK4?td{%+LpQ4Q%Pfax}Pl+=1W7X!Bx*VZ(h z&v?t4#91wFmg$Dmtwm~p8;?_G=L8cmC+aP~p$SIu@1@1RJk-32q2q=M%S0_+9ScU! zns_ktX0OqrIhW*>!)}sh7*klocH)wa5SJ`9B7u$r(1REXaa%s;>{ZsY>pohq07p)b1Dp2HE(GQsJbh%^q+|@QX ztwUpvlm+?xocw2COzZ$GYl)e^W*OB@H)u@PUXTK$4YpL288WYZUoG+F-QgIp^-?s> zn|$uixu}kjhiUQhH?LcSRkvBK+K}BaL&^RRG?l5+%P$F%9YtNCyJGFUEtza@fhw01&khJdQdp(xW~@E)Z^MIQ)mL3#J;HJ{hlb^Q6^t| z8nsw}Wrm=X|Gsc=pu96Ax5v_*l9-FPU5~y{3Enj{`<{Ez2YRlVaAxqi=y3&JLkMEW z&hDhU(a63SRv?+lwmxs#n|Pewvb?unA4E42>k7>z%oWJQloC8+`qyggBZ4Gj0#I*^ zqgJ!w>*D3~@EQ%$W~|(N<+M!|EqLe0AmEL9%6?^G9(7lm+DQ_@1-{7;5-~wZ>k@14 zd}PbsmwTf!%Wp_|C^HqGWbtZBS!e{Xm#+fYe5^D|A3V_*;aN%(!rgBKMs+#Jytrvg znX=4v-FgMX7vJ4@n2ms9F870jDKBQs?6+lE#Y>ojZ`Ju)%ExGRkFPJJT9Do!&k8Lf zvaes!dybE;y&_hn_a?dUke9Wlm6+o<+=Ve4N|ZpO8lkEc62S$ZLPRCYjs=gJUhu4n za>B@i4nl^^ySH4c?^%-ajPJ8$gGkPk5BPTE8l-9cC12v={F+V8)S4`o_kR_gaUGlW zC>;mg4zq|#uq}i`l37{w-X=9P{z&d7S;?LH_6aWBI%Yb>xLg%7B1N zvU%TB)_>i4+8TF|vdFa~)w=AvgckRl{$mTqfJ)jwMne2YwWPmxD9v#!h@L6pDV6{H zwM|y>EH47A-|Yyjz0>^0>PQkATvv(&U$RU-zuMt)P^>5Yoyz8C^@Z2vp`87bR_Utn z>|)%(*?**@Vskp9v}3*WmYp4IzwO%PYALx$X@*6#fx#Xvu;^69;xqyErjvQMZ%dS; z6Rb54&C7Jv=0|*|#}wxG@VwNE8g&d-_QvL;h4&W)m*OY-CGx{-zSLMv$cF>XNSoWv z)^-!Z1$}_`y}BWKo7%RXE3~(QRn7-zIttN>Dl`PE-Cj zF7UMyKqJxIP|iMuOG1_~@2VapakYT}Qy=00jx**xx|-y9UfzS=S}+cY1xv|GGf zxPV8yR3o=*%yTt&NzExc$%>b*zTdmZde-zIcrux6)tWS}oxesIR3p)Dtp%R>k?4?1;t^K+OKR@Dh_{cgy z6vpf&7W_r9mlG3_HLkBg#;sjp+t+h2Y>`Q*vMQhjw>!akNXDHEEycBt9!M}{k--ou zB3G{$zBLoXe6z`cQ_^IE=r9QoC!;_OqXfp9F8$~DK}Lr?AR!Y5`2EWNal*M^o4i_R zhFUXjq^RW%nRtm4NW=%UTTCRH;v7Xvk2j2#FP}I~D<|(Fk9kwNvakChMO}Id?6VgD zxm-NeG$9hl2OC|fD~?&=L6o2?*7GviN>74+q05&Dy2-AKRs!(v*d*aP{jHYv)rW@b zHLMbX8xnzrHRFV#$Ie3?a2dLt;G_lY-cJ8vlM1+Hcp%}=c_ry_CF^2!Z*)0f8{<5* zuoUhRiJk=G`)K+jzUXYT9KPArcq%GOe#ORO-AKLQq`u_DFEjh+X$r%($u0M+=qzOB zqqW}hMQHBAl4LTq)wZr$;`3BROD8YA4wazsaccz}AyC);@G81XK@IX7Gq`xX`d}xe zh*iUB&r{p+wE2$McNk`YoEmZIFJ0IxD!Oa{lh+#{v0dJKN%o$+~z z{D@zg_Lzl3{D^hKu`tz5O)7$*Jnw4H#$(svWh3$?PGEBNQs2;qH=I!F-AAC?>d~AX z3Vx&b&53v5v%g3y>aaXxY28Yl{|{wv9TxTTJ&sz4ih!s{mxv%Oox1|k-3S6A-6CDP zDlH}5EJ(MsXr%dhn!gA6 zebWM^{@3k~?x<{MebV+hCuciGf0zVyWiO6AZ12z=7BZK|2BqigK9jkw*JU)gP5ksL zmd=Nt;q4X0g(b7w4w`aywKpA~RG)k<{$pI2AUMtB^ikw~T>!a}taRh6&CiY3*r}$= z?j%p8cfe<yl%7w=LhC%(LSDIkpHR&G#=O{S}mbs}H*;rWm^zox4L5a9)$ z@^`pX#S4El_Oy9@9reeGV(ksAwUmBpD}?yk9QIeHgUL1W_AePm+1X1 zu$(Hpb-2>6>{{X*st)kfb=#Z93y&WLEc@dG_f{r?9Li!j*2PD6K3(Wf@I%T zimP$?+R2psE=L~EsmjcuYvY%8COX8rDXW3gN1I0^s_f7Ad89ryCn@zWD7Zfo?VqXg zD(qiq-eL+oG>}}nMKqhTcH`%pRAYJ!4~1s9-4BO8Yp1f+mx$W2%=*3*R&fxKO!N;i ziZeXP<>-BFJktE$tk?M{GO3nfA+)>gO$0Avpr@(zQYBRZ-Fk|@H*?N%UKl1j#`kC3 zU>K%Vof$IdM|m1arPM0ZaR78nJ!anSlk^xQ2r5`wSwyX6x9i*yAjMT zWlxE29sDJuz>>8UH0oQ|S(xxul2qhWg|4QM$$9rL6klp-uJz&kJ0gqy9+U-ry_n4H;4${v33;wF_PZo z8z=tWk|Qry8tn`!^*`8ih|iKD%@` zG=F*$pFNh4Rl#?nwj^Sj$nQHcCnG<@EyAJG}!UVwY@oO-hgScG*b366)6Oo-r(@F~C+y zARyhU;^F9hk${WbvPVX*C+QdGN2i`ykkJ>tQB|&5plP@%eNMl>(BaLq)6_5Y8zCyr zfm5$=m=OHyEH~7Ht75q!JpG4fx5_1=CIYq)Vrdnz{_Iw1)Qa;acOkg>ejU2sWO!vS z|9P9J(aQ%ay&9?6?jx-s)1ri_r$WM+a!YeHOVQlBx?eUID}l>IKW( z&v158_LDmlVz---0^VuV3CgkLeHFxESzcNB%i+xYQUAVkmg%#lM=`T|;>uq2gT<^O z^o{Lu<^^5*KK%DizE)q(+pG}!4tL`QC1*dE;wJ9=xFewxU1;hXp3|l9$M2;Fe?p?c zECyarny3r1Y{f{F-iNa2qS#Ro3XXTdkEe6$(6U>=J+7CT|1FzMYco zxqaJ{qKdcqi|6nXh!gPPnrn#b@9ou^qNmi21t*WrYWQFabeaHu6}~@9ffaJ?a+NkP z^A)4~Y@-Y+_kHTo8z-jM4@I-kR6~B^C?Weq$vnFC1n$F4Au`GLy)7P4xn(Q9tyKA8 z;w!p_pJpRv!RS>-NlY`XsQE6N>&mF)S_(&1SWGhwACG(yYkQd22&}-c_>gQKD2l&g zw_P!4P5Q95^zLbBzk|1G@BZ@Je&vxzE*q9Iv9rEO^uoLuk4--*IjOdvxvZZC>*y_# z@$5#-_WULCb-zh%Nq&pLYxs{OkH;a?$la5#)}Dj!`gjoA3)+C~yN-cJ6{8_rG57nK z!g`h$d`p+9WScO}={a(bUCA7eLA5D+r3sT+J^70o7Rp@!B3^*Khe40e-`C^_#(F9|=YClH7?C0ij!Ji85obdIp577;Te#H8<#Pw^rt2q}^4pRlUHY%pD&PS96Sn6uBh*zO3vOHL9jxVhn8csrnvG9gIcE!eJtw|?I)FPqxC>|rpG zWS~+qi*id|NUOm|R0#Fyr&gsCk9eJoJwfh5V(??(_6FeC@!C3ku*Lxd+hkGBz_NYz z7zs}rQ{*>ulsKq#SX8O7XjT{weQ%_Vv-5xPWYN5Z?|`_wb*`8oRIX}SxkW0}<@L+- zq}QPlS+`PN?+v%6pc36jM8|k85})U1K8TyA{k$gjv7IaR_cau|Bs7m0ae&Hc4kw0Y z`Mm{C=>}16grZ_hpz7KO$6UXN`#+?oaT>1pE5*F`Z}2OR;u{ID#oSVDqP8m+$o*eS?us5t1NB({(9 zwLC_6`q$+w-yi1Z#d8kTb#U1uA+|n#wdE9 zL0nX#i1q_J|DnmycW|IHvF`4%`I^}@2BqGM@yZFPZWazhtX)oljFwjw10GEh^kGR_UiVTnQ|a%W9;^|wVj?L z$8X$^%29sCis)scV_JRmcAe^n9@8kVa%2vNmahggLa|&-K2B|E>VgCsiq(tbNB)z}-xPdWI z8mF_6?1{JgoXotu$W+C`fzA}1jm?ca&rfc>Dbr|3TBB|&%D!DMT}4VI-lJgZ$eGed zWn*9s{-jr7&v{#1xRa}BO3uja>o>(Fg-V{L1fO5ydK}S*d_4-c3IM9UwYAUw!>B5W zq>2*aQiylIkVwaPk9;qr;M~|x2ZSC}NGc4;=J@K(YAqxcP}!GyXq1GDr%?5d7RQn) z#16;_p|7AERjXib=I*b1TC0Ofq-@+K56yrtWkdGZ4hE-GZTI3hmDxi*Y<|JM8mRfj zS?fAx?)J+GW!3^^%YM(;x-dKSn*b&r`t$A}l_S0fPqJ09NS9ScNJ}m))eNpH#4?vE zakGCZNGK6e_uiNhxW3NG)kxpQ%j~3<0hs7Dl7;u)dicMpHurhzBB0i2-0LC0?P{NB z-EsE|QP11;o*gXD8d%y2k?&fXv|cJs)Y!BjZmHTjn{xJiDg{&g%4Htbf3_mY{U6bf zV)nJwomf~sGE&LuBN|rL_vL{%q(s>d)Vozvf;PRPq$jS7r%Yz`r@kVKIw*21=OOJ= zA+pBNNH2ZPX3V%+pV0Znd0nA*Y$ZdI?y6(5jC-+3x%Xy$<{De5hu1H=e1rN>#+3FV zRqo#Z$im7c-o)^OdbxvmxJ-Af0Hq{pcc*UIMr5QR$vc-vYDJ-!UgGMN7-HjP4K~#) zV4(Y+VUjh)(Ks3_Lqiq9J{55q>7DJ|pcR+HZq$>jv{e@KCvuW#Nfr8WdmO{~hQcYj z^$CG;V;6HBXd!>&atwtBS;dNjrRs8sl{d0j86){G8YYnFt5cx zV9qZKJqJ$gpRri3p8UkrbvpNl6OGTiahYone=zKy*cs$&?VALOP=0L|duiO&T4| z^%xdo*(g2M1{6{;v+$6Kq_tQgS`sNDrTwo6H1*Ai)_SM};4Axoip;TgOEm?nP@-JP z{lOY-iz}{!?}v3G|83Sf<4EeUXG~H0F^%P?8lXK zw?`diE?ZpxE4s5;NbZE23yYJ%hxy+X4$TPhYu7^(>RV@gC2AR;Pn2Hy;Z^Wg)3(ir zEIRgI?w(kK_4KTlBf(OW9S_qc%paXwZ?gT37*^P9+xZ1e&1F0+(O*k=#f4*Q6%TnTaUxW((FScqwCFW7SN!|YUp})+A zT^Z{NC%)|76Yo*a{kMBqJg3OT`0Ts55{~Pr6~0&5L6O*d$kbnKW9DhPC$HbTm7TPj zr$3l`ru8eJ#6Vu>zr!??aGMqooZ1l{gqb?p*X@T$1lj+CR;B)a|#g*hGA%a9H=yQukg!G8%R8n>V>he@_Sf zg_ecp{nvFtga`E4oxib4ZufU!@MT&mlF(kXfxn3^7=(dYMvTz_M^Y`mDvq?yeHb@ zySVEyVD0N=D^H}6hbfOgVvoYJD?SFjyjS_?aowTKupXrmOQus!PE+REFaFn(ryC|k zmky@%uk>v%rT?W7JyjZhDSVVyO4=Zg_zd=5Gk_ukey6EejWdP#53dqMgp`;h@ke+q zeocMyVUG{ThmNctm7NT_F57!Oz*q73br#F&R765aHSc7Z;sBLscC!qCv{LWVBH6Vs zE%K+(zXHj!*qGUBk6^!sS|NY7yeWV><%eS(=8_Tje60q1Jzf7KscAdX7i>znl}tjO zM}KRSy_|}OV?1%rd_(t-(ocs7h@k+>4WO*$PcWF!rr(Zxd6#VEjrJFbH|j3?yLV!FVVn9f#|}S2v#f2c2&b*0O+D zwD^`ijsgYZ!=2SHZ^I9BN0q{7Iax5MdH0mIPRr$vz_}3HBQu;U6dWYNzP#)h@G6>) z(m8lJ8jsZ`U?DeD4mW=NIt7_{SYr1Dz~d0e73}Au-*6BI@azPiAWlPNhQL+XW-q59 zv3L!)9_jj%7G_XX?c7<`AD* z`gqdO#K{(s_&^N^|9cvGF<=QsRY0+40$|K;Gz5%OpLA%aF}`q*7qi4}PJ>Z|bI1mZ1-NdX|{fQ2xi|970{?A%o=VQir4AYeK>F(unuYoH$o!Jkzu zgW1B8-}d90F)sObx4+a6!-R&KccmpYRER5s94cf%B$$9Tjc2mw@?<6H$1Zpbco zB-w_T<;_{@qLQ%^VQ@m9P z0dvwAPrd6mPL z!5FH1Vs$F?qNc8qCmjIXr)goPsA~!0ZCf6T?^z_4x$pOYG3PR3^JpfG;00A}U5X5a zB{3`u*biM2ahMU<(1AZf)qu~G{X5&;t7HzQ5c--YcSfX!AKb?ZJ@q@kt97XFk0m&+ zc?UiDS@Y#Z&VcFJxCa&m?GyxIT-OJK+gawi%o4>V!5CYOqwiDk??A`#LU^oVXi4sz zbsQ%nao5^|c?d3DRAi$^FNj&)@4R7hESHLvvxSGZ*FaCL3iq=y+vx}1%WX_GO)Ab> zm1ae;-DE=|i4I%OGHu9z%E+=7SoWi&ATUUESCL-N`fA*dWzgB<=f?hTf^_+S*4AlB zc_O?V zgN)hGexNw{yS+fr5T@zD+0uZ%gP4t%Mz&!=MWb{5KmO6$HbD>McmZ$BTL@lnxqEE4P@(8arTA5|h7C_*j{l0;6uTU~& zXoM-!NE@`p5$vi6KYzL=pz-S*{cZdp8;BXO+}M)kkO|y^E^m9Oe>ZV^sPeUv{m$7b ziyR;5RPK=AdGm06b@G=GcE$4nYB6D!XQf`C`j=xu*)s7I6Z(oq=BW2N7sA5vCP+Hw zfBFeMK7kRyfBiide0`-1`n>gQD8Kx{$!k{` zSMR*nEx7GJaQCUH9^bkj#$D^BOMe|7(h032JB~qcX-PEJeyY-z(tM5+Do_+L2ANb1 zl!bz;JWHX$&gpSI2gRr}_fGtz0NC?h8N#OsgN}y7Z+OeF=^t!uEe9iK;itYWmX5Jz zFdD+Cc8QBWtjkSn&KHD&i^cn0s}3HR%-Bfi%vJ3<+-debSI)`rwXP1{OhQ`n+7b8U zdw=`)3WjBfS@m%SCyLqke)(CV?dO8VXI-OUe;1C9@5vm-q-KVnGEA6VbKSH$3oCsB z55SF1W;<>6t6~5=@29~Ux&Yg_B_Ow0s(=FoQ3PCqBLTDmCcKN_|^kYf0eJE0-qGKGPM{I|kNU-+DC@JI%J7LU_M@ zqc_=l3k8|T7|2pu&IT-oWVp(?P7W2^ZS#KpcbsM;}25 zP;FcY7PSKeqb-51?q9L)LVuuy@%aeChCm3=4+wJam^wL1Bb@8^Rj1vz4!l?b1|2eg zigs5EIO6E=sFuZU9F){pVs}{ZD=REe>>>m|1O%N%!~-r$pxCqo?GsdfyCYvoF=}1x zj@j%5vr=dJ5K*1`AzOsg;3(9P$|<^wMgWNROL%rM4Z$8w8?*4%b)(VsEFd(Nj2-BQ z+)!4+9gUM(;>HQMG=gAJX?&rBC=%3tw4+H*$yO--yPK9|BXK#D*%BCt$9B=cfk7yZ zP*pZ)R8c4XXieJ!bTS%E38Vv3pFb_eqYG%*HObta$ZAST^*RHSOpn=s6XJ;|g0K)6 zMR$Zd?He!#V!g5Vl%E1R@!04s7BC8x7wk7K?}uAW60ii}QT4isMq4xtqK4;BBO)x6 zNpt70N8=U7ZHD?F+;0Tj5`-I~1O^|UH81bWC@(4tw|jJ&L^R8B3^k}dn0&N+W9YQT z5_HyONjT^gzc_ZL2V&PMXreWAoshfYdbJ8b%s2#qB3;9;K?o&a!q9_?3EUNy0G?t_ z%ODzfx@`-22nP_5ZCf?DCRL_u4B{qAW?@ajc=RL!EF+JZULF8;F&xhAu~-m} zT-DN{+;%V1H>{>srFEe z=?Ozr78vIX#GalVTJTB5yYC>}!OfBB1AA~N-SY5beYcv3IGkHw-L^Z_|LlxrxgDLe z;d93XIzewiz(*4!p{~I#O3M!P$srVt{LSJ^669ZauRu7Cqm(1YJ?m^`QXI;1kkhp- zx@-YuuB9ZntdWoE37R$6)E>-d96 z$h<@Ssg60ln4LWql}510d`!*+uOXbeLxonYsXFg+@YMkED>aaIM({?-!||-wzx_I~ zey6DFge2|aWS0#)@ltb$Q4h_y@){|vJnYA@p2pd?ZN4}k>_toZj#c;D$QmHV?qRO- zCqT>?59Zw7$mV-ASNX3%d*hwCq0@>X|?9J&2B(D@f~W zjd3Z)`{?e7z#sxpG&;*2iayCY?8N$7;!w?muF>T`J@=;Gs!vgN39?Z2+!A*>7t9=s zFg5JndRq<1G;(UYu`|r^`>#ma)W-F@wajNhIMe{V6NAY?%09lk#tw5e5Kc?En6w9C z&wdNq!Xd;p$s~<+w<#U@a0EcZieI?oFv5b8UB)F#LXKV7GV?+kK#8kOrJqD`?!27d zb4-+2kE$k3>51*PuhxjcH=GaK^c_W~a>dpLsC-c}FGpUGf-lg`a1iF)5`-LOfh}(d z0I?_a?nEBqJ5lt#1-GCsB=Qfs@L$?&f%IF;fFOLEy|oJXV)a=tb*6+oB|A=M>flCa z@=wR2V1tg-f^%h>wWAhTuUQ0>Z1CCs@6lDHijT3UjJ1u1^-;?Ei0R@D&=b|Ph%+c9 zdQBU@17|N$xg*@#(qI%QwaEB8C^aIH8x3iTAMjcVe+6PM+tNWXvhCopUzSfL$l=v% zw0t?hPZZ}qBJb(@?^+&|TUW+&zshpx(=si&Ry+}}jz({5x^x}-={pUk3VD|d>pf(t zie)VK8K|es9fS16UiEo0Sg<`_O?0c1crbdmiw2xce~c3hdLDn3_45#u>j`>~=sGJU z%TPa51daBCVaoZnfeE*1lkX*GhTb6T)+x1jWEz`hD?xy;witUz!+W|?693b~i>>br zcVvV9mQT4%X{=qxk^*YN2;Oaus8V67Vy<|VF#2GP&=DI62gp2bW=~r)rHp^G{j=|J zDBBb*Lja%^&teIL)?aLdlUa|@CRJ{q3WrVYK$Sjk8zvC!o)yw`!Bvi#Js&)Q=jRIE z1Q1qggh;U`cPY;jhK_&l*AANYoO7`oc0hYp_GKcqJ`*?gK4XEyu3pP29piQPd#p@dMZ4ce~1@qL4<@p3Tr6>vzY&+ht`7M+jICjn*$3 zmf(xo0ZQOSmpuy}S)rXPF{6}L0+o>3q3sy&fj}>ivt7}mJ|w%isG#S8gy5uVE+gm2 zKT16u(3{c3SrUt_poGX`&|NF@DFCkV%vGlPP3yL_ z+p@KcTRbEjJ=r)94gdfPa72@vJnRhosxZc}6_GhuBf-8cfcfEndg0J0(tgz7`nLxX zjOfx{4#r|b4nYKL5)B-RxtNURd?w#p&(X~;ji0F327ta&mA*9tcQU2Y-C9i>6o_%@ zo?ec1*8l>vB%XV)FR)+Ln@He{`x(-)NNFJ(c>I!y8R0m+RMy2JK%%)4%QBvAh0!D~ zap>-z>zr+AL*aFIS@C(C_$`hgH5kTldw8Uh_$@Mk95fhKQxs4ur zgu3|sq0nb`;atN!FVN!%<#BNfIyFKsJDK?0LOP?Ja5O#*Cr%h8&r!jN ziwJ-Iw3zUbgD5CrttP`Z=I7)i_@U!+;DLEE2VuqihA?gYj@PHht*2X@5;>X%+ia>V zGl~7<#p;0mvEN4<*Q#~%(cdE^D-nZ8E~CM^nY1=O{rH6t|Ig0`-dQA~9GxY19f!TB z8tKK90oBUXfe;!?#A}C>+U-(S$h6U30NAK3v=owJqMM-=`|Q;!^|yGCISXdRDYiP{ zY_&UhVfnkz&4NQ)j(T3@vk*>l-6c%phdJ$da+>pv@u>6$#!-gT$zfa_h<$6Lq@{n!*-T!cLT zcKB=>u8F!LjIw6|orqveVKV~yil!$4)8ZIBN$g{FD$RxNaZEgo$WnnMn-;8QZOqsE)p2Sqm%g}!b z|GM`Wz09k{%>PHg40GNb7ew22`W?|n&@9mYYuT_PhZVdheC~WX>F>UAe9$c!MO&*V%>05$>A)3 z-n#WLvu|%p8_7QYnj2?Zk1-IK-}{3S2M-{!`{8a~0OAR-97Dn1CtRtI9Lauk4!Ggr zHCKS%w+G{Q+Icw*W`=_68ZD77r*;=x^Y#0W>AygKW)0VcPR-~*tu-_aD!hlwx~qAT zUUe2pRPt!D{^kV)jy(ioc2fJB29Njgr>kAFOm!6r^%wG6-HUrHcyyKkG&u2`JvWJV zuDC+H3*&#>UF}qdJNXh+H@ks5laRQ{g*-}{{{*#H)E-Xiz<`D+uT!*axQ|;3p)v=Dj8gBkF6J_S_1h4d7PP@QAqr=rqt=|;(jBH} zcli0B`E&m}vEO}PmQ>KXC!a6Q-@Evoeaw>XaGu4^)|iUHs|OovTG*S+&`I%xzYLOo zo3NL{$mxR7a7$E{F$)+O#Vi#JpZT-(B(QWjtI%SlKHkH{LHj)_ytuzSk9Q_pnCGpb z1rrXl(WO^Ft}Hx&?5vX5vno5^Pw2hVHFq^-<0#`Io&|UOd;FoLSlF0Cf(Npavoi?a zO)0M78xL40VG52{fNvI?jE>(eD6$|f8QeRu>&?)>V_$6%KwURqrZ{8KQ7^huSi0-} zy4BCE%{{TlLT`i4eo}&iGspVWcyi*VJ2Ht=r{C7_AV!n$P1O39_HG{W)Z0#o^M!5i z2$@S2=w#COo!x3jR!JG$JjN&;z^OH&}(y6l#1P{J^^rF=>Exqrra zlWwYLvOhh~pQ#+tpV6Fj_6ZzOP^3Dk`%=A-c|nX&?W4uJ7;H3WV}8xJKlOa_p;*we z+(^rN@#YVU1=WFv$1v`aSA&WH4FbzOT%0~u-~FGNqc7Kkr+ur5U6!DjeQWLoQ21eV z$pP%bA5d~P794c50u!RMEIj}h^M*whSVv)I1b^o%n;1TCQ;k(7y_>T4FGLmGQUYSVd~Bd3!i zXSrj+fNI>0!o}evMhdi2L<%_6?IbS?Wj{v$`4#TM@m8JxYR^lnuWYB4gQA5%2q4JE8O(S_L`Kc0%!gHEBU#6t@No8MQ*8#9OZd&J3jJp z`qth!p@>B3LUK`(2^s~?vTZ@SvFn^$_x9R9yzeARvzSZpUtWV`JNr213rZ}4#uS)E zroB4(-rzli8PEfc39n!!&wJ<-ncJQfvRp^kw$usqZ?lO9q%Y?@(iwEh^T-X-jph~B z#x%88YTitXAAC;DK`FIAq)Ijj6hf%BWq@r?`0Tw4PxA8&vKX5@mXH#5^=R)kpU3hS zuACnZlx+f|T@+aQ)9EpZzGS?0umbh#tOhEI9lUjSwf9U`Rd4#jMp<9cNvXc**_UQg z_*PoHq*fhy+xy!eC%TX{>DH0A#wS#*DrxW;!3=Sfu%m#%IEA`^w`)%beU)Hu9&@s` zTBq$M3-MbZ;X{vQ=|if;bya5v+FaSZpMA0bV=D48tGRbd6mJ0=^t(2%Zs-ty=1E1Q zAmtC=zbdcjtY?uA&N{WAWYJ?YmL4t`sQo_vQ)yyB{_7cXDsJY~KAUWIZeI7-)wNx7E?;}E7f6FgQ;n>@P?Wx4jFz(v$;5&bDUQvHr_3NGeL~Q zBc31=SwO%!$#W=k1YQbYkCoxBKOSpBkvV*s8*ei$?F4JS{8kagdd5 z9swyGo);=^e)IvtN!&3Vebu6ga0pZ5aC*f^^$pmAM(uuM+|cVQ z(yI%gXG`Dhv2M_H+H+r=^dzu_!F>TRLRd|-6;5Ki6?MR={O;2f%Zzv~oj5X<1|>I7 zIwNjznHGob#cGF5AxV&7%01s}-4`AZcgg^i>0=6g8nt~U0A-d3*T2^)U~IGx6#Q%# zX7Yot)QIy_4=(^B;dkuaAVJOAn@G7JkYL7vekZhM5czBwS@tcszd4xO1=8;dxdXP` zXwnWl&~Jg({6dy3To!Www{X7X{d`G3vTW(Vcor}@4=`-fK5NoWIneJo(BD8-GzXWv zKz0{F|01j~2JRSx89>TBz_v*n)uesdB`GUgowFS`g1;4jM4W-j*i(7ohUn+ElK-J?5ghE2kC?x>w7?|uD ztka{8vbXcyG97e31afos-LiZ3K0}>*yC7&*{7`r;E%-GxMc5qYL`ATCG4l3?6U&cS zra8_hPAuKip`5yy4}=zO7m05Qm>X^^zsT0oz^!wf?=W8oyX$VbXwt{vtHo_D>L4s*7O~g6 zV~Lj*p!ZSGT8f9YIN{21z?#0*5ZHl+nQ0B?hQIpf2gQLkVr>>sYe9t0Zz6~aAexyA z{?ZUXFi1C0{yBpc{fe3=J$|*)eUS7QsY*0H?U31Cz=jVTNIzRL6elrTvcQi?mWC7N zWC?pRgza-|4FX%VjEEmbKtXUzsedZL3Fv=H_nL#>yFeCPA&m2!zVn3dC;tMq-99IyzVS0Bq8Omh^DTIa#Q)4A}7;TXc*qT12!B{?mvM z{P08;Iv@ibILGoMu>8ya_ky-9=sET;M3}(m^bLLOq_6a zma62C3>Se@c!NcE4uXje)Cb5Xd;^p&(LoRN?J*PXn28xc<2=B-N&BElJLf?Ezvx-O z**|F9{|h|;n7!CLJYKB1%`(f$2o64qi3kkxmH;aFVDR_EiI*#+#ET0kY9EPXbGy7) z@CVV^{L@10fqvV8zBOjT?LQ5R{x5pm73z*Th1V`C(r8Qoe$V57pv!96hmo91NYW|y zSSF7qU;{{fkEfS{n1|GyrG$|-0Y?`lW+C!>(@fLGUzq0L;!Z$tGvsgrgl{7J)WuwY zCV^jYzs_1>JuxKUH5WqHB8crdXdY|=7QGztiG#zma%%8r6T*i*1|r?t@2p8NH!$q@ zU%~cR(`A#JJ@4L-Vr($NKmMo8ujR=0i*RGSfHCIc<-ry9Ixc#K%7MCq+k$j@Vn@^*%l5r0M94Uz`#@^&&! z;xR)bcN_vs14^0v$Yu4Pt}i+;l`2ncsxy#(<`&3H&~e~tVKBU(e=Ofv6+<&3@6b7- z#?@rV?8V+-QQDYtLT}2HkCT`EI%)2}I$`PXttM4b@AT)~-eEA0EjI#8zdDsLwk;epscJDT!geB-%~ott zXDK$WNxhh%zipH{`#g^bv`SC-8&tfw>%DRExb_sN?%JXQtAW3`RA+3Vt8F`jW+?Ze zl5qZxJcrSTrut*IhWkVbxrR=W9fXQ0RZLhmF8Yb@Qi(3b-jv6MDam(*J(XuK_)5MA zs~e?fYIK|UW=7zyan#}*+8KdTVbgIch;4t{D!= z8TcD|-qWPvzIt!+F2c>O&$}>mcZtmUfC*wjHPfIB{uM_u}{XH_Ogg0zc zVl*>;ZtNKq~eR<`Y?St-4-mle}l(bLCzG!)L5$)Ko2U?loUT9;Ci^8F}}Nz=c;Ka!}XdbrFLk_I(8Z+ zXEq>e!9eQDG~?&Wc*DTom9c@^ZY|7XM23#2o{>u(jkG5aZTp|ph*y3XtRN^qW#m@@ zjB);M70ujj*J;0Xhva=4qSsjv_rpJb+vLOMAH_(Q2Hun!RuSA6_T5>+bvzMs&E+lk!bgQ-OvD zbF@{%t6)~5Bj){shmNQ?d>?t~@Unr@mTL=fP;eL>tQcIXD`|I?mL~P)E6U=S@Wzm5 zYrj@mrQZ&HJJN~sxS`aA{h91x1^ROJIow1hU5GyQUUYb$GaX%RcY0H_5WNuTl7>9 zX=%hVcQk4~dRW~z-JXEc(mbNlhlP=QdOo`T!NaO6G)M8~o7X0C8gw*b8k?_LufW1Y zyNUf}s#P-aek669mS*#+Ff5FuTgIBI_D8zG`F8bleqQ$C7&4wnTNwA-u-b4F4h^yL zY*1G4y%9UmmpL%8dtaqSqIUBLkKbOV119TxX9N}PGf88VIj`u$3LczkFn>JWzVU`w zdhMH1x{G~oJ>Z9**Dpb98L6x3_PmcD(W)bGOp~{N30+dS_HEEau~KmAUPWl%JE|Yb zWgmM|t^m}?*9#^tLD=&nT_;wSqMMAy*HB!dpW^it?C%C8)AuQtJ!jpd3pvh*{VO-w*FHvu}(`C=?{DS_G4`*EryFEIQbVq zO^ziDw`e+|{A|DA;i>MOf6(%P&&~SZoJlZ~oakQBS7M0&q0Gixb58Cm^O%K1(6-_DR?x#=^l$qe)~GJHB8RMQ z60^r-k0BTk&MS1ahGs@3OAA|)bf0EKQ;p9ehg?WGd z8m~92T((_cfYc=-Qi78|1JrC;ivB;*r4KIaN$I}gJ!ZBhih$ae81}|||CXj5d+>RT(T3}UT9&`LAfR%!l{5pX|tBX&F zsM_dAxwaoxoOGV1tmaN)%%iHDx(Rk`x<7^WzTONFA)}>6{E7I{QVF2U{mB0_su~cV z<7lQVL)IjCr10nAtDY|B9}cwJH?m)w*}RPL`ilBsVsjUJPa>mEB_f@3(7U_3lf?X! z@H4XOz#GHaymhQbbOVvpu={UE_!lXhwOL4}_H5Ee&*ZEpj#Z|qV|9Dj&ErLsnBwnV zYHVUUGUn&8>zjsSmFH4z9pQ9moR=m?)SXJL67if_tw8#MSY@XHrg_wd|5&_)ks^#} z?+$ATZ!>B?n^;w{8Qo5DmCB;=l{w}zuOhwlnNVim8$<{CKq9kuiDLT)74i>ilul*G zNtX5YrIS_oN$IuHU%FP`j?4mWycVt9B^nWPX&ikNPS@5(Z~sWXbiw~=i7HxaO5set zEs0v^d;DJ;4#S$R>%^`3LP(^qTD)lc+TW)0YJM_$O9&6!O8=3UJ(!R#N-rX_L`AE!^%Y8qMp7I)@`ywzFC($a z&?YjDp{O%?)xFgs$pjHG3sagZ(iEDJ7Y^+@+S`+uga-cq#F1hzyQ*qaM$G2P@*NCs z9%zWKcaRd}$Rgp(IC6@VEbeMM15@y(hIq1XL5|Lbd8y3S%+S;snYqAk_X$67`dfxe zu`p6HE@HL+hLHmyVRcuQVvXbnuET2IS*yr3SU7MtJfB+#37a9}iSeCp!ABi<>1nAf zFM9_>$5Sc(=^pz=;}U!VbC1Rc=}^1`U%;SEs7{;?X~=i(w9jFPa~Tpe`cCvmMMr!o z5zU^-|4?lAi*X=}?p#Hd?B{<2$cCRvPl<)s=Ntq)k$|raS&|_|)Z|Qj`J?Z;6Lg%5 z8Hl>M(n@6YYy|V8O;@?JWGU?)nB*G1jm-5DGpgoLuteGUf^h9J9u7krGmpO%v2+M! zzHu4*vY)*<$|Z)RaYWYlE&yMMfijy@J3|#w*nGrbc_{Rek^+gPqWo@t&11HcKR7mG zm`!R);&)YaaeKW&UB!tsj|@N1=#VEkUfRYAPc&5!^&gUd zY=b-$Ms^nZ){KK#V_Ju7&bj@A*vsc{4-0wn59G=6&*X*k9TNJlhtm(O_i1R3`O_U` zPR$~mVa@?0a$NJ*d-C=vY2Vh3x4Sqca#nR+B!1<2xRg+!5RjgBwZ<_n?5UW=3sp}+ z{^LT`J#3L=uE><7sXbd;EX|10r6ji(F@@gvDMsgtmxww=QhE2-*ief(H@Vi>X(cJL z=RE98O6lZRgWmjUOc)+ji5RuDJ$)}SCds_RdRlqBs}k|i9@E9-`)E|jqB_QcF*$Wq z!+{NGq$KbX5e6f@OPWm#wCVfwQ%1=~|07LG+Ts~=2Zaeg??QzJa|bq}!8~nv?eLf= z{6bx!*Ve?8g+pQX^^N`c7kpgl7ZBg5HyZsa31Abhmg|&^obO_3u76^DMLTpdOvUI}odIjB~ z5<~vweGcp*63Pxx9ZIgF%X{d^%T?#6M$H0$hD=?pnUnH!fcp(iWz@WFx7*vM`hwrBP{t2pb;tf~4Y3A+`S7JP8 z?rkITT+WLPmLF2dG($JZVnd(GcT}pGe35$<_JcO0?yD6WlGw8Xibr{HKXIwuH!HXp z8l6UCBJ-E~Sve3rQ?%g+(NaoH->fZemqJMtMJ!&37JfaxW_tT~6a7JDF2m6^r_1Hy zQ+WiDo7$@In`X4qYa|nMYW`QfLj#n|iSez2Lh{#U`w_Q`1R=7?fbserRQx`fhf_GQIxoL^voB1OF>08 zwt%nfFK6xpmtmtQj|b6QmAaO)Mw5?vYWN-kK-{GTEmKji( z13D<2JSsMMjU5>Db+%d?%f*==$*r`o8J7Jw4sd^gH4; zp9ATdIW)G}0kQTI4G1dD`{(f-zSI{_jYrQj+nThWHa!1uF{}1O$=t_A>5|+jZ(Fd9 z5$6CgKgaQXY*q4IoDq8G_mj40jVj5{_J#EFM@8&d%B1l9_6;?o_Khz9u=0*sBJ`!P`L~3z{qL@cw{i$}&O@Vj0klQaa;!r7 zKdmThGgfEF)Bt@l9L!D!rPh?$WDaTDPkr(44S9d-h36zdAEh}1O-DM|^-}rK$3T-q zQ3HDRuDACG4>K=m6rTg?1K0}PX^%Lf-cJ0ta~zwI#_b!XOb`BO&L-Y(cLP8p-qc$! z7})>y_Sz?)oq>;lZFg0IBUsjw2Iy>r$A2Leseg6;yA1x%df(*?44|H`f(sXrYde&! zpE>jiYA*;h336ZknnJpNI!u7krps7eD6@L~<`RIV0GS5vs`qS!POFS?KDhJzaG2@= zHY(sgM?TxFs9UF2-N#!|`tGX304#OyRG><--+X?^*)vB!zzN?UWW=pIe;G@A&uX}z zH`g%;T#92py_8Y>er(_sH}iCPrhS6#>U~>PZ>2YiHo$b@f(jU`lmC^cn*2)p34dSY zlhW5!X~w0C%*sLycdAxbFKx2M0aY(e`29n}Qk8`gKPYSc03eh>VDtcm;PvJ1VjAj18ale-~_Zcq#o3w83R864M zt9FAwf?VlC46puo+U!rK?ZYKU2HkQ2@fO^M+S>02OC#Qf<+pDE7)ppdW3WHx17O_x zD<6SCw?GLIt7@&J-^z8sjRBw~q=hl~8Rr9r%N}xDm2?2Uaysb0CkT9pq_|0*_NUQNb!sO|J4;8Ur7M00m$MD9ov5^XD&12^13l<*Z=>ggV{d8hEewl ztusxueY?NN|L-A2Z9?~c!P^N}NEQC+>HmeQMhD=ZLptZ*)$agEqQnf)A1Lny9-sww zdv?6}0j~UMpFU%??4$e-B^8>cMy z-PWI@=bXl$S)mi{naZSL_u)$bFXaD&SIz*mWA2}?XyDvnxS#x^>0Vvn{h26O((v}I zU@5kK`8@!tlwz0o4)p95PqCIm{apD{TVR+mYV$u%8Klc~F|QQEUY;0HNAK1wLw^on zhq3V&B7Zt)7xRK8fg2^cM*|a}T=!9yN&FrokbN}%!uiL*{Y4`HwRN61;*$nscfkEA zX>K)uX`{RijZTr7|5dyHv7w2tLg{r?Als~Wja#~K(Nb;Wl-a-0aXn@_i8^KW|6#t> zy1w_n06g?RoHz9U%2WN1+MD?w#yykypECc0paPQ7fA?mi6hmp5h=4PP`a|Q;+B+k~ z^Mmv!B~({3!VG1qZTEM}S;{S{S#XQlQW>Ey_T$GMGqJGWac?fb@uxKv#PORo7yR%+ z6!`F`IeqgxdiJ>jD-nI$xmdiE-j&&2?sr{g^HuyGE!EDS58b6zXq{2J6L!vT(yf~Z zU}Dc0>iU%B*6ht#KpiA(o;=g=dFf7qwQ7m_?KZ2|pIbOJI;xHs3J0@hCLJ7B(aBIm zw?Srjl~dq+@=&LhN;#y-5gvj zmyr)x;w(7OMdrwJ*>>Tb59To0wCPh3xUzE8S%qm=-6_m*rS3?+;I|(lylG33#+%s( z9H4C9{U;tpqGn}p>CY!Qr>N`NZq9#Zs^!nKxucp=+mY9dnWi~N_07nCpnv}8(dEw= z)I6KIldI|mBG%<9LPOq7%~7q82#RBpkkfm(+a7zsV35xl}eiK z6mqm7Y!|Nt?mY}pZrJ}(fBoA>m4g(@Lui);`S=pIv78=lmK!fTgGZmNju3V@gU7^F zU#ggJ2f~Kqx?IA^}_{VQK43zJ1_r? znq10+rta6J+zWR$rJ!_6ZiWvu2y@^YH7b$`XN$V58Uxr^l~M^>Ag*tLy*_^9Z%WNo%bq!zkaK<9x*~{ zF1*nST-3ijy#E(?ZeM4V_ZjT%d^DNsEF}45P*#Y_A~pL&q_DCxD7eF1o;j>5YV7dpe%?m=`Ru5f zWA7?@#U=2yGqY(ItVt)m^x=3fnLY+jhS+fY4J0q6mwqo=VoseL-;UEFsVnvD6ce3A zHr*3qRNw~`8Dk!o!@kuxYNO;CMeipR^}bQoz^~47$%dFl&bRhV?bFxk-biZO+cmUT zt54gl35LHj<_xG7ul`vw+k2}uWb}H{9cQx7b@XA`5rflT&+3RHY3z{@rHNSWjhN^S zy>U*j=HsLh*|eOP0{Q?2w*1y}igiL|xYfFSNm_Z@aXZBx|Jfk2sc-X|P3K`O`Mcd+ zyg*n{>`+YR-LQ%ija`JULVTBm-VMGRTnyp#KRpLa+Vr0WnK12k$LYX5*|$kALRVp`Od!(wP#>X4v|;Q{{1&6NHIK!@PP4~Nb;AeIzQnts!e zVB2mZo&h@^=C1?E7-0(Mhsg~I+Xmmrh=UFL1{(IImG=$nH+Qw~1?S1}ri>QJrqqwi zSImD?B_igTOo)s;znQ5tRsvs;m`q-03lw(Bi)41E`L_BLLjiGjaJx#AB>kQHF%7JEJS$@Z9d)Ahu8;i1x@F0L_eLxe<}cZ0bFC{D;N$Kt4RN^d_L zJdBEt>p4@p=)Q^*##B$#PI%X%{IsKb-`UBsKC0KdO2}h zT+U)fR?a$nHW}K~4YnG~nhE&Lnmdw}8x%e9c)zMMyrbvQFX4bx(hM_mhB`k@H^|3s zFlS=&W-A=F&9?iMe_=gIOj4Dc=pBdwNmyDNLA{daK-wEO+M8f+i@}xJ?>zWmc|={9xGPD(fpHOV9p?v7FCEKfF9B<5aU z(0B>=@X*LIekIf0XxL|Ko-uT#n<^jvfP089Kb%T6!sL^0a`WoVKyZZGuh{m#q=l{A z+Wf!r0L_gk+VB_H(OfCly=5y0#cn=p6wq94)x+xE;%0_BP&G^0ran;eB`5D0jC8YZ zUvo0JHfCsNG${D?;JQd~Or`DYr)z<27H_xyHpN)4b^86H?g0nhJj=s&%N7RX@We$7 z;TD^`T2|wie(jkd9P4+6*%-{pcZ~7hNZmMrmhIG8*>-`~wg{Wwt&c`Oh%DOZINP<{ zZdzXf;UQA^K^sI@VMY!sUZ|z;B)DN)=~|I&?f3Jufy{94hrlk-eSNDXROYUYLv0%` zA9r2v1$WYBoM1PeR?BwT?bCYw1;NT?V8{-RBz`-0e&}kOJ&RKS)K2*wF+VtTF=n2u zK_as#mgw)N-T>)}E1gPr1R&i9|4H|Lemk#j`XC3TS-e&s*cKn7zoyqrlpks|TjLzHaD|ZhJ1LL}8(jb8we>+58bKjNgjC0K zHBWFW%(q#o5~{F;v$*d(3lpzNYzyhd5S_W3EfC6@3wUpoHPnAP{4J|MDa4Mej%R)^ zCbg0@kOikZhtu)Jr-F!bVjM9ewP}=zrU^Xtv5Lks>$-g#?G2v(AmhRAK>26*NZ@G| z9P1C&o2}QX^NA+m=J?LwNz7E^6h7?WD~dX>KBv$kCp_Kb#lkFaX?D7Mx<6u|MB`~IX zy{_=_9GA~QzcajuU=)$Sz<8JvBRs+IhT0xY0^^cGN~`JMR-EQ-DJ0$?$$*~+PxoFJ zywW?Te2AF1NwLU7fl+ZMYB*G|c6xawWb^+dFwg5nI5DQBEV|tN6K@XREwv8XF>H2L zi#J7}<@1jTkt3ct)%)bx?u?CJeA)Zw1DXTQnX@q%fb5t@_kEKi13NrPISm*j5XSW4 z+)s`b@B!c2uCu(WOxG_G18L}FMCDpN*B&d&-{A$XUKHo2r0v`dA1I!ujhRBzniE$=w`O11d}o>61>E z2vGpnMPAzgeV)-4Ymb0kRnumSC2o_ z@^>t19d_Bv%$X0#eNo+3d__tf+EHkh9V4A&hR}PWlASSu$7XhlqO+hC&`Z~Qj(E}C zSJ%X9I3grC}t| zLh@QhdT~>hJcF8XM~ppozdu25Xd`Od6V|TvWPtDwz-ovzt}bBrCt^CN8nTvNE@8{o zFUBgT26OBjRwr!pe4qd2p*Yugq!2E*SG|y3F_jsd&RWt!)%6GWwkD=4n`7)Csdx5F zxn;5K>lM>*n_n-9MN)nL`#^d{Ltgd#r;onr zrTDTUx9PxvbHN4=L)FBeK6Aa~(o!KLWWL~G**FiAr!F!2p7dofo!Qm}wJULf&RrUu zc1EK1kYU8@S-IiiKyThzujTq{b20?e!#wkymBOpMRDB)(?p}ZhDrPBam{`V3yaJ+p zwQxBn?g7PUV!#_J&MdV4t5q8d@x3S)T_x+yGlgS5M}xexKg`yX(5m%3vs)W;`;#cN z7Zk&zln#!MI4-fMW4xMF5BZ1@$$5SR$6DYW2xH8~{rS*_;-()-Ju#uZ`tIa^kA zEd6V9Ib6q&6`@I+dU)z2O!>lw((mu!x!qG5!@xXcKA`HW)X^XqB%bSk8dKbiKlanK zA3KRJzU&%`rEU?c8h_>F&##}?=heurD)@i z{8qN_P|{}diPiJuZg8+Ln4REZ*U=MIf59^l1eS{kw4HZ9oW9&Llas|6ekJp<`1cDt zB|Bz2cjPeBLMw-5*>nfl)iI&;#o1@rKc4$s$)un-V216_E2yof)|#NDA>Xg(d8hKz za`XR?h3~;2>(BoVD4KKb5#l3P_dm`nuYlmw8Q3?Dt=_UWCeA0djPsAv#tO zl|xHd>heQ}s?Z8S^;dyQ+l>(AQp9-9OewlSc=ngtUYr2~iIagVHGBE2-=D6li800# zKlpDK3w}Ua`XUq@J{FJm=Fsn8-D}M|9MX%R)OIloiuIX zrYu?4pcP13XqgRY_UgCk&+($FB)$B>$02fs4L8%y`whGw?eQk5N(&39hS471t}bBC z6VNLehJAT&TJa)ntn@~Aqfg`dzJcwuK?a>^0NQpl~0&)NtDD85O|B-1Qm(8Ixpz z{m*{}A#gF5x24n67BV>xl8(KGRXFOUYm1@$XVc-^)98gxYKd?|d~xM@4_8<`*Gh>Qt?BgE+#d$2C98#L z+)EOR;;?79oe{{Ye;Zo?BHX4njgJ;hBWc_1G`F>HHjh20Cdhe;Vuv`gxH=5vw=c`R ze~+xj2`Ex774Fq|+55xmHxqY{mvAdoxwAzx{PT&s?FUv3%g70Dh<3u52()Ntnjuv{ z^evkr!%s`fAJT*WN-QPM9^98==<-?1A|Ag&l+$L@xJ0gh&t0ua{s%i{d-M@h>KTeK zIch8LDyLgzXhQqUv?A`v8c}_9r}rAOtcRtRH-Q&7Eui>hklR!t`>3qTNi(aXHl6KTF^C;grS$s!$U9MvmF_Iw-ZR8Q}TCw#?H@0}G?JSkeRci8~;aTr2;lPf)W+wSv{HZ(Q*E$t*Dq zxQUf_h}W=9Le5U}R5d<*kJ~mwn62ft$7vU|p8j|3mQ6N|4OPp&RFr)1LC2BW5IX|% zDOCE7Pg!({xB&GcU!{YGn$8)Z@Kr@eilgZ)?K!NyoZt&rw<^w;^$)ur_SnS*K%Z5% zg%~CY{Zpb(R|8i!eIhDo3+y0hUcxsGO*xZ%Gk4GR0oir#j!f*OfJ{O9o{z1__t?j; z-l5nZWPHrHQziaIBbbq_=^uI4<&(5=BW9__$iR6nTkp3|F+m!m8#dCDbr5xQ)hUcF z?IgNS9;=v8jgbAmy?n;;Aj*dp;f-9U1&V(`JofNMez&IK<+`5ptf|pj-Kl7|3$u=u zskR<}2q&jkq|*UrgcHKiCRgDJN1G! zTy}cnZe7=bTj)kLDkg3_SbW+eT`b^6BVbarz+PcrHBE4=cqWC_2S15i`0l;&HKfzS zg|q3K@5a~bR=aQO&w(=iq@VM{*|)V-EIub#eP|X{>!2&urL{~8{KTZK>@xY7XYOHt zMK8C{JA_wX>C?U$B%hN;RECeZ;g)D;pF&BIfAsD9ot^Tj)j37eF*PQmX6YX{QX3vb zz1ulFIZ=aG+idLAEgk1!6>%VI#QLx2ohGZaVj~zA+uVnH_Z(k5)-F3g45vdO5bNM4c?fR)J^s-U+P0MUCKQ-KdbY!*>9R-oByg= zRnBGvRxDD(a=QCJh+Ep;7?5zEwbHHLk$zI~Qu zJj~f3A2SvMKklW+N?acb{ViMps7?(f&47C|h3BuFlW(&O2XwdGja6bA@#>W$b8@Ra zzF1BPc})kw?TMG{F&D=>>~bwxP5i}+z&tDM$x)b|ddR?2Li70rBT-0iK)okySzasn zPvQE^her!|g<$&&eOf51^E6{HM_=Bx;EVEGDRUmHO0+5aTPuC^eG?@-ZlxhrLoeA3 zFk1HLJvTt#=>Hw;8LB*iHS137@5zj2I6Sk$y=)mQw^==kyE%%tgfIjk8rT)*oFbL@ z%MQ%N2E4|xK4&rik1Zs_0B4Wnb_6P$(z^8rphM{lFH{o};(-(pT2=fpq zVj0jp|D)6ii&uu<{GZM(Q#;~HH@vt6|6h6-nrFckl+@?Rz=ZI00%sp$zj+a`ZN7Vi z_?^0G7#f$+AGLRsO6lJ6CPx!QC`;dn&KoEHUZGH-D(F`?AnEpc8-LRUrBGloLY*B<=hbja8KK%EpP7#A7LbR+i;({d~AiYNJ9IpeKo z^i{_Ee%k2D!Y}y<+W$YqL_Q;8(`(sy{Rl? z{P=%a2tWLn^1FEw!WJaUf%h4+Bm8vxhyoW<%6k92GOc)iZ_$WNc)sYV`-|wn!L%&4 zgmuR6*U!6Ta1>1^h-gaDGk>lzmd;nTXk@yuK9)M=?*3wgl`lvy?0U`tU5@W#YTwZ# z&HipW&&+ST1Ujmk33>b7~Eh|1N@}!s6@Rh6p_^vYuA$rL?p*D$D_E*7u^BOI58;1DL>Jt?nwh~Al){Hp6RftRq&)%jzX>#&6nfvJTf)cDWDjDC_g0 zKkR0%IupKy0f%op-WC?5bIO1IBgpbR9dt5G(;Ui@Ef@P5y&H9gPQ1D$ z?omCYl^7aJVLAK7C+$m|Df-5f?;#6Wkuj*}kQ$amJW#Nj`Rli_V|2 z8wCz@iK!AR#nTUsTc_TAZnfaaNGZSEeDtmVRkV+g$XKW->mhO}>JFE~srKX;%@nU` z2fJf_A<3V3w*)zBh~5Z@6YAc$DZS^dzYyt$s6A~tDe1am2}56z5Jph z8rQ?Ha%S~KJ$JnR5-s~ozaIGp$%~v#kE z>rO5DOypiYt3hPW-nMDD)S>i=BFrHBv98^DlzE$&ogn^2fDpPHy@Vz8!I!drCj-mE zo0UJuE=acn*Za%GB*}W7<{OnezD&Cn<{s#;AW65wUz=0=zas_oTL`i&>vlWg?Kag? zED`q(Ne8HE&BM>#N}A5qUlZZAU)3iOJGxIBl%Q;ujl25zsXpunNRN(2XtIMXrGxbm zOZee|>`U|!;F>aL+U>w+h){|e z!i&S)1;t>)qgbZiq|p3DG=|AizPRg+j9mSX0s9}ao{}}!*wZBU?-75ruED2* zd0(Zg1saB8Ma4GF%lj32{_e7zx(QE5u*!w8G}97&@;GH)?`w-gUN~ctblB1;v-_3L z@%pzv8zMaS(i0IErk2wNxwuWz?NUuh>>6KK1vGS2@{q^BM}7F1((Ub&v-aU;C=@t0%5anFqYOZ_FLX zSx}1xv?)J}jk|@g26WP1k~v->$7%zg4)Qti>shf-f%arPK?L;#u0a2tq0Bkvy<+Zk zHJ#ZZNyCo`DS^u|Ys$Ha%~H^=sKQ&z2m79|SnBrMR%`jW&RJ`G*>8PqqnQtgImNpA z_z)2xxSLrD#7G~5Rc=v#<77&_dn3v#h|QNW*ISL#?Zc&H=eeEm8o~VQf%kISE1+FA zQ_!lwpkyJ;pCB{9Gy=4=o~Ry%ugH`ZH43VyUdV301c{{{LI3tRQ7kmRDN70$r12hE zG<>_)Hl_Y%MgDd_)5EPl%`z6{`<*I@AK^<3-ic!jD}))~1x%0ETf`|dM2EZG2IF8L zzIE!4+4H^D1naL=i}?d5w2B*i2}G$yBb^jb>mPZW0Q#c zXrDhg-t?Gy&T0;-7Hq8fgr!o!SI_mWKl^mVoSOs3h)jgQotW&H5T|wcURx^Y4xtQP z!80N23|HSGYDEWp_GgI^v~`LOxD@~#yuf^l9!=>M{1g&$55H78veyP#YY`@Nh>RGF zSBCqX<6IZi`q>!%$%Iq@`3`rubda)85iX@(j25L|msJrHjImTttW*E0&yR##X( z3Ms6L*@1AHGYq=t%3{`JLy@?#Yf4qyuRRY!1dn>|l_9PFKK20|Peq|EDayZSKCO*U z*QN3(f?Z>Mfxj0J>F*Sa4gVQ zMlpN9itb#4$>5|N+Hta%3xq!q?S_G0w8#9?Qk<8aSc}X{;W_t(!5q)1e}H#jzY$`B_cp~%yhFLWetiD6E!X+aW8*VA%PTR~4c5aoQ}$->(&siS4K&-iJ+nVc&-J9KNnsF3Y@K1y&liy0qu=(?ju6qGsVz&#u`j7 zWQzqfm?@)cD+6g*7180EoZ4Mr3p~swBvP@P=axjt1(q1sg`lRbFihaJ<5JjL*^VJI zWZ!`ue@(yc(;y6SrAf*{-Ki_h31aT2VoF8g6ZHEQ#^T{U30WXp(9{rn-yKz68}*Fc z(^hdu|E4FSL&h}E`^m|dEk1M7J?F{BjbRpDc!v1x&QVrXrP6gS$X@AA;||-g=9uS# zLXZDq$lY_OsQ#w|>Colsr;9P|00`9ouDgHNJRNzC=lXYK&a2r2YNb0gcx)rwjH1=x zLAgb9P)~Fsd6Vu6cI*M$5h2syi{)ZaZhAjqWOEN-Zy@Gzj}_ZdmKZ1H%N5;$bI|_M zj(l_*Q@7*OCwMr+9V#0W^oaVmlfytwjM?7&oW)w;#f3! zy6qm$yP@JkB3i&FH!AjS5@uf2OXMgnb%?c2@zF2*y_}5;6o;}Dv0h4-qwm(Tu3wN`j@>s=1c-Eb5uABtx(Dh7z1>B5aE?0ny>*WN+9 z&?W%0XHNOws2oSN2lk7egMI8}RHXx8eVw2EI=|cKPo%D$;VvVdPeXSQ-QOoqX)Q*& zOHzs3F_gPW&K+I5OS&b|l5G~fpK>Lqr(ZC-@8-37@Pds_`?W)cS!Og1(;))}JXYU# z(suhA6#1%uIeOQBafhTo=uP4M*DRPI*hUPLc{@9!*l@o+ibUr*V)^wnbWkjL71by=Qy5gRO`i?Cp3iz@mIXf>f{1KTqsYm3@Kb5 zZvAJC7QY|MbE;XVy|;Q3xI#6tI@9>xHJU@yw~@y4M%2B0r?tjG{?_Dq(c{*Lg_J+9 z1Up(_Z=L#hIw&&s{KZf{wR~RNq1Jv>{G&INDkh=X9N1gIKClYXt3lO;l-MdGVrneFQ}r>Cq@k-fwsmiXuh;9SOx$lk;tX=Y~uOR`*y@M?n4U8gj~z4 zqs5xuoBciN892c6RbD9Q3N!<*9o`^P?QKJ`3wk?EW{>f!g?1dKS-pE3C{P-XKQ1p; zNb*(=j#^6J7Cx0#7nR0DD8ofWPN*YZDr{;0lQ&_4Jo~(VJpA%)_u|wBcf+dNn);32O`a1! zq#;JU27(nrn*pWt<%!3FX!8SD`8`K;!$Y~^udD$|@XB!Qi$jY|m}=sC<@BU&SpVhF zjLI;jT)p(eU3Lkl$~H2_jpyf=FqxN|_5`>IX9tFQ#ZYBia*Sa~;3K$UCwY}*U6W{2BfK>3wNGlofu7SG@N4Chx03hKB+l@W4mB}Z5AVgok+%QmNGQug)E_l>4t3%>{T%oG2u>xnMcDAbWJ*c z>||0!fe<--Pc+jKSf@Sf0(KCO66NXN){YXD8EBUX80fHnbE2h$to~1REIzL{_Z#_d zAGH|MUdC=O4!se(o`g4G+PQ^od$cJ9vr^H?TWKn2q{kQR@5O{{FeIlVXI*XaZ8pP4 zUyg!r)I42*%FrA`uAI~)^-E66?ja8uR)l9>#Oz&{Zwy?rE5{{K08ee0Z20qfuBu9i znZ5EuZ{ZR&2;WEa>v5`_3IJlya(c!BD_BA|0r&jkd5)Mp4&Si5W2$zd`BaokXG6sj&o!WQfEp?s|H;^{FriVmTh*!~(kE7?D#P z&C`6}{1*oD^wmlElUo%k*O=x%2{(Fy*4#-l?X-Yr-DrX}S9p=63+KbYC!_RU&-P`A zR(XYZuDJ5IbneOSQ3Fvyp@?-L0x%@abH(>|XftLncd+e9iq>x?AF|VjO7CZd^%L}f z_}gC?tfE-_j6ZZfZLp0Lck0v}6o41=!;3Q@NjM}%Pn2f^TtaLl_n|SNK(Hj?+-%xu zIMp=N79J<>@Oj@B{Vfwiu$4r~GCd`8+opWH9-a-v`-0deSD2|WTH@eojFUJ|pH??@ zOhfy~b_1CmSpE*=rNkNdUcP_(5=<2vbjx~H=Zzd=@qHPUzI7(R1(jb_OyX^}Tk|a- zn%G0=o!9Nk=ll3_mr5rc7Kivn3IjtB;;g|vES+Qch+Me!Mg>LIUS7EyX@ddA_%}Vl z3HE7h4_n>viRVBVh(4a5gFH_^F!+gaccLaZ$peDN*KxY>Z(bc3sXfl)mrvOUdKHhG zbU$LOtiH>BEYRowAWS*6dt~@! zCg!Se;2X|VTES(((rcN359Ffxr0UDo%vjF1hcVHCj1fLan*+XkE;`lUhVp$}TYW^H zNXWL~`B#5GcN$;`yHzD>Tk#%Ob@B7O;UsOq?h_Z)RY=xtHLLmAzoK_wrt>i26w@1g zZiv@yfa7HS>)_JGEWTij6h?jBj{RWItNC#IN(X~;dyQ|tlyPE}?VPPC#>XFaQ!K`* zUC>77JNq|*?QD*W;$=_V^DBCdMLhjmYqxttyJz}~M0V#=3c41ntiAvAbnIT&5gfBQ z*o@V9(S=5BeQ!AW1wd5=bAf(>kwje1l z{aRr-d@xDrpF;AFRz+yHIU_Z_qu+<<`Btr2ov#OGaDq>I=Z-1`^#g>N@t&T`8 zKwrLKt8zkTXA$1JS^b__dn#rx;bqjy@?p-Mz)W&Ja~WC`6Jf&QQ&%4*-~Y(I^mwm zosR0X&drQ8P->P*x1N%ZFnBeU;8}d0dOfp8Mi#)M;%O!oN&ZKf=L~ zo9^^T*^H;dSqqo7*WE1E&#?ylI7*A`<6w;U2v*1#3B-1l9>WeI;MA9)IXPRH{t224 zJMOA-Y+vREc*Pz`J}>6FfeM$nu`>IDKF8HHN)h^~|^01-KOl zyy84;DfV#bE3~iggtFl1L#eQPx6XiM9qA93_zw5_+aC@RzDs;=O0k0!?5h8*aVI9E zcQD{bCXdxVf3-q{bui2dOdRVpJa+!N+qS*sPrNF=7_-;*GA5Zcn1c!@Y3Y%&HZx)- zU8~6mmCcB3(Tsu;$3wH&k{6mV^c$8-$d7vAC&<2GM4C^^_n+%~{W_CuD!BK({ViV~ z6!;E$B_=22I8_rTU-vZjuc@dMa$ak3*ic$0n9NhILPRS#W;+N;ak!Rd2YDVmh96(+ zgzw!>dl(+?i;O3tGj`*FVO0C^sFFjs6xi!xhSjw9?1o_P^tACa~mB1jePR0jR#B58;B!!dkPy; ziYOf|C8N;tC&;gy_dFc#H*KUgnJ?a+Q(a1j8@ND0dB^y<7S&HvT1SXUUN?9VqZBrUBV-s+k;*wr)h^!lC zw`{z78=-=M7eI58$o?=g39^4=+W_$lh7iEbkZpllic3W2k&AM_9i`J9>cr6eE^-Xj zK+MM-TLSIB5VF;Qe&iuJ-o`toS%arVJ~q@KoINn%PsnYHR@EX$Io(4U-|Dv2_f{ZY zFTE_$sbOIof`pBpy&;tXyNL-E#iOMIM;Q7;Iy`7B53@3#ZI<+)?hvmSz{XqKEQj0b zJOY6%)Yw%7^kq)^yBg|0E@%@MMCL0g@P(tMIaVK?j;7_3sRWa0)|R4JbmuLPAR@tq zqWYlkGnkq=*<|tZhPpSSg7y@Yv$0+^AWLs6G9zLdr)f+x&$XF!FlWwZm}9UYe5ELZ7dMcMko2mhh>yJYs_PA zyJbz5I)iW?#v`@f&Cul&sadsXGD2_+JTJ^6XmuODpzs0mOHmsJ&gF-DuOXvT&WoWD zI5wziG%>n?S{OY4{B8!%(gWq!G1D8!bL1llh`97Jt z+{dTj!}KF>?OnEDpJy$7;60M< ztp4WowI5Yx$`d79y$SSn-=S`gA8obTKW6^!Y2bYJx;rLN`7xRy4*N!L#6c>YRovfa zB1WqZ60jcix_MutZN6`qwk_#dji?4__=Mh}G{aVVHS+5et<;OS!*icRtVU9L2iIx1 z+{`HBCH~H+2F)P3@G^+LSc3q#f-4Gx$rRn;WlQA|Pk!fX~PWrdvkY>D0!BtB(lZ*E^w;ev~uy(I_pUu^a|Z z7NE9NjarHsd!ng3N14V#^fIJ?$E$?b1^CPTQ7Bx z1z!3mbTJS<9pv>(&Cq?0*=ooj^77Za>lwD!{JX7PH`43~+Ci_`%U>5jFk_lc`LD*n ziyW7sAjMK4SdGl0;FK)f4LO>$369@1Idnr3&(9ufmKhM3o2dp){<<2cB#jl^M!uIt zlK751KRFv8GN9@04u{E-7w6qvPvE%(>T+cep{x-)XW)q8!7UF{L4QqIp9li?Xn}I$ zRz}Frhz^9B`wqy*NB9JEMgMppH&|f0_jmR$^0MSS)2!-eQn$|H9#7B;8RP^x82h-N zBHO$s*!;z0QKTZhfD+#ZAMolv|CMC5DxFtla?f`SU4_-bpqxUUaF=V62(5g(k1E^WgH9OGqILnc=oqDbwVE=$!Q&Bz@Mg_yA8Z>oo@Ad7-GsctWI(%k zct}CL&Kvugf0q`IhL-lW3+JULw6^^{UjG!-?l4bBSA`My2`HY~%Nrjjo%#(3KjD-r zxB-EfOnC`cHX&@_zwuPL+4*CJ!YdJMiY=s%Oky`CB)hHQ2PW6`OBh`GmUgSD$@927 zzFDO6e*+T3vJD8lmUChTo{`HA3-UUklROp1-R1Yj3aHCif$`i8tzhc z(sa5Xy25TFIpE6_V$86v8fR3X{u>g_DLy50eY9x2v_r92w^5oa+PcH#o=}&QcENRGf1t?OqY|1cfTw*^n(0Uac4J!zIR}d&U zd5>9Uma4XP9ZJW2OH-|}=bZ=myiS33xa=c3&(9RGiKDq#zv9t|D*nqb5|Lj* zF;28D_QElWU5mTCnBwU-xWawihZflrPJN`<;!)h(!}l8l84xE|z- zrp&TGyB?1ls_=o`*G?RCqj6bc1xmv-|sdJ^x&< z6W6&;eXdWP_c>=3W8tJTdBs*_B8x_Fp8Ey%LH_TZ>!&fLb7fjyMl?H9tIAr8w-e)M zo8Vz^P@lpLw%Es1?m*DbYMh}(BFw)ptpXN^eBA@~R++TI89FfC%8DKEUhhZ9?f(IE z=|Y?V_skU&4q5CHmG2r#HOHHTN>@chD9Blb*ef(oHqw#leCT0UW0D9|SoG*k@h4x| zJGt|;J9-dHBWa)Ndv(qrw?H^Re7JYf4ja?X=MzJE^zXTGD*k17TxIi?Z6|pw_LF7P zx&kW>+{auWn*SQxsx@j^d0+L3W0%@KtB$@+#Z=cOH4rBDRW-w;ZD0;dE3F02D#jr+ zYb0(=&@zO1^6uR%eKowRJ4~iMXC%6T-)lZRe4Pe%;gC=P{`UT`hPz_o&{o|^ zR_VqB;oZw!8F!ujvh(*8~}Ot0~W9(#ue#PCxK^lL6H`U%}w`>f=Hu zL@sU;8(#ZbPGI8cpqj)DdNmF2LH3jetU7qgQhR!FrBfl|oKc}Lw*;vPk?P(QeGEsV z*@RR5DO}0+?&@8~I9?H8*s?FbjUMa)e*R?xy*tlJ$FiD(Li9&_H(v)UQvffQtLslb zOz;UiS?fA6($wM3lDW_x{WSIT>6`G!&GM>KS`gxcK*y+|84|Y@Tj`dMwNyhdG7s+Nt%X;G} zQ3+RlnfggG1UIZcV@5d9Cp!CE=PUkp)>aJik*hLh(<}&4;0d;nttu7SX0b|Bp6P6h zt1);HYJN%XHk^?V(@s-UA$#8nbx%~vO>0}Rc$)_|Cqaf43*<7jeqyXKP4kpMv<{Vm zKju}))E~dNZCJib-ww6p+;I2j40e_|UXlU!UKtE(Lb}pkZ`x|1PbwIy@ZLH(=ibI% zW(|w+49^+<&G(=qpEQZ*Jp0C4?-{Jh{oD`krd9$L2izbg=vj7yw=4ZPA{@s4VeHonQ z#F15^1|!{ac}uU4mfhvPm^tDD*>NoG$t_m2fYa>+jH9 zs}svShC%qaztO&2c6wt@h13liak|T05urOQzIvn+s{(vE7BwaT4X!vypCB_wG4+;S z&C*cIGqJP$$Bk`Kui$sp|*Yjn?40Oc~1`Mb% z@AXl~f&b&S7d>jD%AQ#z%i3uO#)1+tQ~+lDtW^gm7x%)teVL(qTEqA}@D|tdXcJ-K z@eNSFc^fGIM9w1;jJRcm;K+I3h5?Zot2MX(aJ6GGfv~Q`^OCZXGR(5l-uM<9Ax>d|;Ae-W zIe!hKWf?yE%RgA~v7svV!kF@FdcTQ|_vWsweV8HTX8DI^FT$k2D;hPd10)oiqXi|z zH}esTdeoVfyvtYrYc9esl-XI-_p*HH*`YG}Wc*dMtSxC%IDyL)GN2NgO5SOdv)Yp4 z6!3Gj{uCP}A_rnKi9-9WBou- zuha59o>iL9pdRo5H*Pt0Fx-Hh`K5F&FAyFySNZNnmtx2RBlY5s)LfD-q<7i&Ik=U! zd8jQI`}JqWu72kj1R3ce=}pA_HLrz1ffbT(AIWoxBfC|-em8ULOB-B)HZ*vL#&PMtz4pK*UE;|q`)_0M>f5blq% zi+4=w%GS?K(W8xQ*wf4}y%gt3(i`3I41)+&-j{d7r=ow+rV;3ZM@49a?PzPie@42M z{x%w85FyooTpuP3_tFHApfM5RLWGC2wX3^N#jhv7pZ=NAlha+~CJ@KN21^`9t@CtZ z8Ekj=4BBnUQuJIuiS;m%6`rZf&3%sm;qg0=82vG-=9=<|&K64;z0Qr%uVy zy8Pe_G=8*GRbMx%{6=s1GM{fMV1ZhiXI07m zkIHZa>OXRJIsyWkOP$v$&$p_);T_TpQDvL&v?v~Qo3W#vD8ng(@6#&rFK)+({a%CV zh&YnwsDJF)2u(L!e;MOiH~Y50_D#=NQJT!=g27|pPYvchcB=o8+-Ds|uf{PXqt|5E zuhLWL@(0GMG7#HG|Bd+d)j;HT2P&xjd_?ozgEj99h+lH>1@nP4I3JMZmlE7@87i|r zh#w*-6?r4D#aUr2R2%-kj~;6uYizVOTD`mIMpAr2gM}cxb5ql_<8lTR3?dx zLbd7){yR$zq4B;a9hOUd%(oh81p*aiMizs{WanmxEC%)}=QJK}wWpYRdcT_6iAi&A z{o+xybbnBm;q8Aa^1b%%2T{IG-4{M1Ro-&+V%`)R8iXW*l4JM$Cq6uUu5Noh3~_~< z(f!_;+4sQX^|UUr`r2I;y}L|QUy8|qN-3I4S3fsLzfO?E{c@)TW3L6Uy9ctUV1h(9 znU!r3Fn~t;>I6PzszcGN2+QRBi8WN$hGwP?OD(uDa!|%I_uOS>|2#{$ZjM%Z>`PBhkXRzrW6YS!8EmV149F3QHxzBNlAli^{HdOBw z8~9T}<|SVBIzyFTg>B}qq-HOF)1R>lObhB>^IQ#dA9K6w6NEX*E4}sNcGUxr{71zX z=V`T!>~Q)hiO<2LD&31q8)~ZEe$-=3T?Mz|AIA%9fDdffIvf`zGq8fyJfsCxga9QGA!E}-GaKF zDoRf>Xe%61$9AR5yw~~CyPxcikjI#e$KK-dY? z`gdbwhf7^d4-Lz}AoWc9eBz@TY?IC#@j0&7Pu=fF{oCmyi25*_PijrL*;h;KbV?V_ zVoTT5m@dh&yv#wDR@(D|p*G~(29aop(1shGn1DJ09(p0EtIQrcm=Y3L+j9JbUN3j* zAjz~>IhCx{RpIt(z-<{Bamz}#!tihlyY{Z-U0t$R|B2j(JKzs@@`^bw$pVvUI$YhY zgEe0oQjNCf3ZK)ic-CxM_WsIZU=!G9lI4A3`!*+XQ9kD%o`moM%4}Y~{`MX2hZA?= z5n>)ydV#lt36z}*ei5%r-p^w`-&zSIc=czg8<-8$ekNbKv8RvIdgdfi&oPHCs)3Gs z+Ik5J7%!D^w2+TDZHNhCXbW_&<|tLDExi^kljruPDL9VZ4?jW*?<5!_ncrkxJ(j%8 z$nhdV)6(yX|BCj`n}nYi8ckYclWnMA92^*sdqBaqSKHVBY)wiPa!^_8-5BjMI14sE z?b#@rUpY$ajVk-``Hd20fQfRq#3XRZL$P2{ylr|M(f^j~q4JNj-^tZdM?*J83!iL~ zXJ!16Dig88AM;fFF3D^BX@ zsmGjWdI%QG)8S&_f_byCLE|}r@82#-i6zvQ7+7!x>RQR42c=_vJEgY8+ol~Ial9ev z`gA4GTb1>61-klK;E^pFc2~^I-RPo%o00umcFaqi!M&Kt-u`2|Ffi9Y+Gs)YRekt zt1u$)!9cl2q&qgzs-Qa-XJy_U8*9b(U(^-HF}GJ}n9~4FtDW|JYbXvoHAuFW;q^AhPJFxHvq(KQ z_@5^E^Nerz6TNj#7lh7q1uJ5W(~gyBr{RE=%N*m&;*OOs$BOQ3?PYP?J*TP%RL|Vm zID(p^H|fZAy@tHxa_;AH`vg;N=U_&>JHwl-e(Lw|{-f68tr;#h2Xil^{8*SlRe0}2 zHN+5#;wI;Af=QCE>s&%#e2}P6Foy~(|FJ4}P#|9x0mEWU%m4lAn!>{S%EnMnu%i@F%Rw*w3{rQF& zGjb^_C~!)CqH4-wr7;aH;FyGO0H%rFN7^qr3dcM%tPLAkn^%}r`mCaaY2!18eg^$} z=R{TB5SnAHxbko($I)brceUK0B36Q-+pLe~1RfW4`L&EN0@V-P*|Hv>ZH}&ab)woKSl?F`S78r$|Id@9Y_Evs=x9QYxr>=zNOQE^kJuq|D2is> zGKY4$a?q>$4Pn13NmQlAcASFnX4$P#exvG{r;BFNBpmR3m%?Efy@~roMlO>y$*nhh zsCu;n1kN1FzqUD2@Xn%!L{6TPhhuhvkCJEx1Lgd5h2T2sw{MOcT*?2^LnT@aK?_Mu=&wj zZ)iYs!F?WyUAd^>y??agBfJJwj^Y3Vf z4|^m5MN5S|8PT&HrDg_+O{b2ACbDU{AST68+}}FF|3o-|)jezW z=`2tSCq;_2y-H?BSS|sZHPX(xUXl6~k{GACPmlPEE|#5cGG(HDUH}^rc7}P>vuL-A5bAF8p**+=2i0Hreo7(pNbn$Iu_kps-EKOZv!lO z;k0yF)!DT(9obD1t`_<^beWP zqf)wi<^i9P0APd@h$c#k{8lb=Gn95?Kn1MOSVuSDZ)9+4v(K$90nz?`#lCzK+R&KI z1Jppeb8El58z!qEf3cH`jkd`r4*slKq*6}JN70JXHh@^-qqcg@?riY=h*B!rqiz>F z;f^rj$)L(kKmO=QbkSORX0p_mr+rmKbb@{?C5C|OO=yXYKjWx zg|(?wu4r8oEotAtzdQn>irIk>K`*&^?`MnMvJ^jmF?<^IVLl3s}MI!G_s33?tYVP_Dgs zJvQh!TxNM-#y||Vg?+d6D~`!qh1Gz&F*m>o1QQ85XKv(?$=zu4vj;YYM z9F3^`I=!u#zw6T&yxDyQu3=}OCVA?trRToLQl<;cD}hB@^#|9Pa{|vj!bZCTvx$rZ_)Zp*b^Tq@AEMs{DZK@= zw6ezDL+kGll=+E>f9tZH$`iCJ{QQ^Kfug7H&S=#9iSADOp-hspvByK z*|ob?R9@h)C*8TV%*{X@WCfB#XRHDV))}irivC}ekd{+1httXWBO*grvn}yh_Fd8m zxrI7^7enaLDgV=A3jmU0pzd-|Y2Py?_>nqQAM4Ee#RYDEjNkX_Gew^0UYc(v-?mac zbMug&Ji7YW!>_TRdCymn&@N%E5~}-R~}W zZZqGu)#1yu;ve@raPqWFOCi!NU~L7V+;Q=jwEZ-BxfCzsRE)z9lfi}@i20lw2yyHy zG9sFoNx7GY&INu%N=g{VqQW|n>YY^8q(78~dO`x=v`Hd^S4O#5#&g^$5wA zC>WHLr=tS}gNp%TeEcVbCLl+?Pk%r|zLdoQ_*7RDFY*~zV%Q!X{l`@>?E3>&leUvg zBdJxZuN?i4@5Uilea6i&7SJl1^69rjeiclfgPVp$$%4nPvYut@AmK+XH@Vq2CE&ic zL>Xa1QkKhwW4FjOmiRYFoNGGA5U!Zjd_*`=B=^D#;o(fDH9YC!rrn&DIv&PS`uS~h z6Fx)JFLiC`Mx+~({dRarA3OPB$SuDG5Ho5gYgvp|uVY*P=O&%>sZpHNdac@X%zaud zmRJyf$jo2P0;U67Xa9zw`Q1RzZ7(MXJAE5se2}$~E~Z5KM0Rol>B>lRDWv#%g537@ zcNSV*?uxTXn9Q&v?l=m8^d~xyP7D1Lr`Ju9$H~!UAduy6Vs{+sknJWRX{*S0A>W}{ zk7Wn-I=OLvL$rPS1K2)ul{4vf6%wD}q7W-gjDlvwh`fgisZE)g0*7!Eoy*dekeQ0* z=eX*NGA-u`GdcE9eHHHMO~zBD^^)$wbs!H8Bt9zxfn0PAVi8xnNr0ONj@{)`g_7M* z86wLb8H~elCSy8Lb6D*P5AAz-4AW&f4}~P;KSeqJd~rF+%%E1T#z)~kG#u2ULm)hq)tofU0^Y$7GJqHLkEf z8DnP9O}tqccIdcZC?jQ)LpT!_J!Q>NBzmZEF0tzJ5pxH*&Q zodYJc7U3U~S)&H6Dzi|Zp3rm5cJ)u$M1_|d;?25a5CB>0+;Ov^$6E|$rL(jguyF2) zQJKjCeQBR>xM`I+TvV}>31%;%G{{e)+B5IM1`f)ivH2Ix;Gtn_cFzLOTC{upmaPFVp$QwSrCt+M`{~-qu+)1+ z#`|N0&f(4lL9MGhj=%WGIQUsLY+KN8FP-yH-YspE_HB^>;%|%lkX+kE$!nZMJeIED z@soDabS&|N4c;(@>n8+B5KaqXB;(t{z6M2o47`$fIvy(xbhg5qqw~?)+zVJ3==S9> zKB=#6zV@l!?wC2Qbv>}JBU#&i_a|!M*_l%U>yLz_jj-|zGARdwc7_=|>3MOCZ04wj zC3^xIR2dlSy9gsNT=wkJRJv16qi;}{*GkHwi2PU&5aY^w6+MZk8PeE-3YjZ##xG>q z7zJ73d6@(1v$gnHbsJL|vr2MX5K=k?ST41y?WpO(pg!$uvWDTp;eEYayk{;|!P*4{ z1bqb93Ka9fR1krV7Q+W*lTXZoPEt!O%ya*R@Z_xR&+2FcTGpdFke$uh)3d6$1A0>% z3)oBnpSFROL+@e!!i>B%v$~aya9=>+9(VP5=n1d=bU2lZivCiOz(CgX=2yTV6-G-u zWr>$us|JDi9^HN*x%WcQx3^Mtm`!>CyNGw8LdA)Zk83;Ic=aB>5VaR6cr8MuNPcy} zyT44`?%I#qjeL#&tKNhMkFl!o0RyUS>?Y7CAf`6*?>wutal|-Ca8Rbjki2g@wq10) zas~8n%h$ln63)X#S}GS)J@lk5H-yiZtfLwtX&2|6ZfpmobTOrBz9R+IUG2Mu=U2f- zy9QlWOz~Xyx!WYvwa6x}p+{ou6Q^=JFY>h>jQ0F6R+$0Z&{1=kTlo*<-M{BG#FF9S zeYBhX?jqjfkFuGWiHpE$d&!+r3R9Tnhs18PqIP~APXur$8mg^I1`ETS%T>O%04)m} zuxA{<*v)bV=a0%L&Bc1O4nM6%hE1>!Zy#q1ekhL^yWnnMk^K;G`c^dn8d9YiCpc#g zX-G3cCi;Eu3<05Ez4*%kcli57YHHoH;FVJTlQ3tdI6#RVi1P!8=nWbljT;(~Xh1F~ zLJm(ucX8Ulxf-*PogSW?-Sul{1@6KUa(2H=E_+OUE^V9bh^&i$B32^oiF(J_FC8-L zwR)IEcaY|vQyG%xSA8wRPfETi$xe#TGZWisOb3Y|eQWKspBMV#v+q`z6&O+m{ zy|K7W9T(}sNo(x~iad$sE2+Sl|zx4ekg~eYAfp@3f3batUs|A$#h#v928aBxRi4zsm_d$1^%NQnxuo z3(gwGHRoYRWN3E`96#F>5gaC$O6;Eo9PYHq*o0X~l248&?9(-XEq4hL+R%VSTteyM z${KsDJ4oM(>(H$u0(y3$!V%6iG4mCPYThbg#TS4uTD{eF>wu&D{$#h-W8JL8RYdP0 z^;%S%>#qOm9E-YJYx`?89{WA-p;U`eSg|H40j)A51K=ot_2{n2q0DoeJSlH98&*eu zT&~g1nZbUvDg|lv6+N=yDDftV;j3Bwd@mSS+}mO%mnC{NJG#m};&rb+zYkL}BL4w4 zZR^jHl)p>_CV7bKN9rCC5HSYQD=%(D?J3+I4+PjdtvPvF&bO+lv5z%z&@(vmAcavS1=i?0Yo6}EX>FQV6;XtHF=^ICuEjdOBKGX2itOYj5Nubg?t zVdWef%aPyBPDz!5B~Pi}FN-ZDhf{r6jlbNmF@N!czR=I`tG;P+%rW1$%cDI&$9I{>|;b4aou1sEf{?mDi)3->dX;y$kX=o>`CI71gw#9A zP>sp-ccfumle4uyTWe}D8|8=~=F#^w_MZ&;6|di1=P%n|xM1mlR#7P$z|nV>NpD6M z?42y)d{{X<>g#qn#xf4#8NBxG{^!gzKDD=>zdO?MGM=nZ^mvEJQa5PfRJcRS6;Fl< z#+7w^R_Wh89Pc?VQtrF{{kY@m-sX7oWrjb(%P1o&FYkN~t5qiMzhmlSuM;U+7AHRW z)428&=2X*FclM>hsitp>LZoc8e^n+j&H*HGg6bkjtg) zDfMcWP41P0?5%ZPxgJ9Z-16Y1*~7eq!F*dqvk?Z{>_9G_L5-4|djSI9QJfO&nEMTn z;-o_eUaf=*Kz(=NM3-XlkNeUUO}$NZEwauYL%Q=4)h)7>9wj};*O_6R$SJOG(e>m0 zFdb1qNgA#B!^qcw0&~=>_VLwmR*Ka}!C_qlr`T?X)Pus1vetSW!;vq}tI!1uY)iue z!%Sh1Wz&ISq_YvUf`?yI2q6%w1G;r$=ne8&Z7{5%?=z{~)ED=j-tE&5w98)yx!yf$ z@YPc3o;sCg@A>xT=a8Lb1?W8{`T%}#Q6<6Gr_A|S{2p*�rmXaeqKqOlHf%fVvBv zS(@v}M?V^#Z8Wg-a{(&UMZmeI{%`T|K`dGSG zRzrH&g^^t46ep~$s`_B58iy5K^?ehe`&>*wJHQ2CMD;|yJ5d|BtD&f#4wRJ!BUXd4 zl@gMBQLDBt@U5@bU=Y!U8@bnM;{JBFz=h`V4`3%{39s6wED!G@asJ#_;72!^M7D*T z=G63JpTBt#?ok?H}6io|ExO=n)H*GY2Wff;Dr$yN6P zM>a^btoVyhX}d4lkt`;cte5=ti8R4{Ec(UZqwo1y*=*viCBa5Yl=T#hP;XaEJADSp zbW+CcXU^a!4J&Etjm6pUThZ$L#S}cm$f7UbDsEqI|^^ z;~x*+Lr7d>#uCyn-_*xtwyS$Om#ZIm*xbL|?m7vX5^3r{RA5lZ)U5sO zN#apQijT-2TXOJ-tQ1%5yR%HM=jiM`JRh-@B#~_T*Ux6C{ijp1zlMq~L};+V#a8JM zfNgNhd0V&FST6w&Y%xW|(hpg9a0Osx+Om*M!*Efo1Pq$aHVd@o!a8 zRH*I!fmn8lSs%8LltLbX^a$NtP8e`Co$b-6jec{A^2|q#q7DYfKQ-O)KhcKaE&*}% zs~1nd?d8Gl37EhZmDamXp-x>;p?8wdRo@Nk00x7m9SE11DqO7DZHBAk>WMar z5+{?XLnGOeG4C4tNo&+H?W6D+`?F?79%a6AS;<^Xyw)wwFX(Mji2mxlNLU@~bJ~Ips73?GU36!6?m; zqmrN<0-~v&o$52S(r_NP;aXy`t>W+myl|c^yVSC*fmj-m>D8>T-y*TOf6Ak;@ijq3 z{EOo%sd{d;yPL3soufdcFQ*n`>GY9rjbfs9R zgWZ>@rNcN61DBryeKKJ%u`(^3!IH;J;r#Wh(;AqlZcXVZ&T~wC+#6ov>j`f5c@96h z{siZrAJ9L@zOlZc`b@>hk}Hp{^6}RPUAEvEwc@WSmotr7inJ|AC1YL>wMy2E%J^&J z?9zwd?#OXW&ED(jStOnjItuMK;koh;1CW>{yO#I)hXA@R?GubSyW)$*?pcdWn2`z# zD?9k~LU^VvL`h<53OzwZ+L-P`YERX@pP^^dSJ`u=%A1}|8T?htYta56Gj|GD$2dq(F>#vCYfxMTqpgFy0 zKqpT|E^&VBZ;+PFz0}x2S6O?Xfszy3wqReL!-j?Bo%y)eB%*$9Wv}LgPD+#R9Q|!* z%TM-B&x`nw8+LbR+2E1Z=7oG1mAY32^o4gJT&H_ z(7+V^QZ(je0n7#AC3icH6%brz99bbnpY7-#-Lb&WJ@yn^W?aHcIKxcuu;pjp7pFH= z81BE8W>+!Rk8J9m=t}VUmO9w18b*0MB4uh*>|8oZ+a+O=`DgMVllu%_0r$@*kXO1^pjsnc{629T>${d%6BxtvXwzn#V&M@sgJPgRK~%H&qmepNlIb8jXE zz@L=a$47wm6!Kjz9j;F&5BknN>c5h(55Ts5w5e~2+Jy62sHKFs7Y<=)yLTH>LFazo z)`5(KrI4LG1`VgnjUcJ2IBVtc(DI=gA*$N!Q$No14&5ky@wPg*>!YR27Mr&`16iMT zs{?-zL=DCi`+Ar5XH|Io{uL zcbP4GW7J+v{50s)$A6#JXuvs#Q+j#KQtVTsWM-8Ac9bQw#jS(R# zf6SdkLY34he@HAWPDYcLs?~>2s-`cD*oje1T;6@gts?&%D_M+osT9LLe$6`r9V}dl`2Lylm8r% z72hei#=dy}_n%F-<2wutBaLcdEx3hXW0S{80u+jFVe-GqsU2anH_k%J_^jz)km4tC z{xTRY;A%#2qL0&?TOk1UTyamyO$WBHfMOMy#y@fS2_Tuz>}`rdV(Fy&zBWCPhlvr7 z%;4r&Hl*Dy;F+gIvm|ZaQsJrZHmz09_b8jRDdq?K4&|D61m8-(UW{~)B)Qz_xydA_ zYHG?{be()j2Y72J@_-!B>>YJXhX`y?p_ zP(B4cjM|z}^~{3V!gu}LZ7Tr+=gff9tGztmH>c{)OJ9dc-Pg1`{=F~1#qDfC3bVZD zy0wL`<-19@^D)KG%8=~5df8sEfeOEXgiu>+J1zAkLPClMVNUd#?(H#I>Fm2Nqz^)z zyn=cdD7{|9)k{{qIZYXCGxkI9-8+X)yUe&Q>Sc_Z5gsum1u&k>EqG-q?>GI`gw3Rm zn5cY2Rkj9W7LU;l-Jz@*UInbV`5>96$*ujRRSs?6tjs;Zm(i}{qcYE?D0F(wrd}B$ zO}NawhwQW~0Q$r|7#(d>*ljGPhTyb=p-FezeDJgyZQ81yrWR{mY9BK*TSAzAX2tGw zJ!kA)-Th0(jb8S)T76lgHboXv>=c(UF-dx>%l?>bHZ+y@{fw+bD^j1u+0vY|ibZQo zvh>Ky=j zD~o|-)n{=c%jfLbr2~u8blvV@2QP;UvE7=*OOY}QEIi}dbCpzTWykOycZ&?vsI%1JFJ|-bvqzLvs|yH z_NGGRMHBqYI%KV{;|d$Cq|_iV)FJjWe!o{63_kCe>+10u{L7+F;bj1^Sa9wF1qF>9 z?otYjYkbz7?rLKe`*y@+9AY^x;pi^CKyEJ=QmHYL^thXEX2HBzOk_chGtKE4ltxFG z36fa~;km-k#7?~Unr4X-&-dmLCP)LI>Q0o$=t>rr@K{Rr7){H#xxf3?c6sd= zm4-Iq`J>Kx*k`pd8uQSLViN}pyI}_)jVG%_8`hZzb9)sJDh;4LRwCpBC1ZXiX(XT? zTpqJSRFKiDw*L3(Kt?Z$mV;|^a`SWd@n%FM&3s{`Qc`s$^yQVgEOs($bdLjmIPp57d(`F|~BY#ZHcQ1Q}jn-D!qT&{SOcdV=;{Ko^eT+=I_iU1SFG+ln~obI1Gl6;c?I04ZnCe9=A_ZcWl z=Qt0IiXbNt*diWI$6{1TTd%5vnA*>-fILdj3j6hVa3tEl&wB86(ZTcd(XMMW9=H*P z(U2eS<~NSjOWJoE9qg(gT)TuRV*T}`8MM*^;q5Ixg)`_HSbc#4a51j|?bLB6Ucpu$ zI%W+IZm>D4s(>EaL#j(rA&ljxJS^V!nC|Zqgk1-_i=aZzLz?hA z&=93o{4*S#@=R~Cg;ahb`9Lz2wH9}vKMqU}gnv(!^~*-xi}^DOR#nr{dOb}c*&wCL z`W{&Q1s7kVo#pGe5g6D9&dT%iN9ONAZY9d&X#ixkpX(){G$CmeJ1Q`SZ`h1Fw|atO zH&y}v)0&E8p~~0MBkP!>AZ6nWR&v(@Wfz>YbA`u@^YYVY*F}~7p)Mf_d!Ms*XTzAn z<{5Q6&MSeew&Q)t{`ao58Apsw%@hIlV4mkOl<4-x!UWIP$6YuCPyPBZA_>dKjJ4xq<)`GeDm%hjg){8EDw5a(gldgWB%e8tSq36!X1(M#BhoVaM z@BO33k9Hdt$z;{X@mE_R)R#i~#O|HF@mD{EGrd+q7pj+6F!ic8p+%@A%mXX%c7_n~ zL+9vTl7Xk)*I%zb#vLW_E-RXJ!W-c~I!ol40$43UF;s}ZQarpKed>riZcnpd7rdSc zeAZp^Za#@jX-MY!ptTg7sY6&K#}2OLJx@1cyeq2sNBt&v;h6~@7)PoM=JOkT@E_8- z(J5G`+`Y_3kY4ijCN-5f^=ZcX-Y5|XWvK_|D5|<8@8Q0K0Rot{tzS^wRP*jvNUfIk zu)E}Fs64&8WW+X!}EPHTsfC|{H@p--7LDL zCud8CyC(DcWo>b?ouIPbjGxo6j*0uVHPHLy$VB}RuqaxD_6v$om`L6+;1y{LJC`^h zD0d4EzfV4@zi_RCg)HiApy=gn6-(|w`JeIqT~wScpmm*vYn>$~V1XXrs7Uud8_($i zU7`dlbxD0I$XW> z+RvRAd(PE{I?9#BF($~S`7u{Ys3VkTFbdIE@s+v(4XYYECz>8sXk&{BYZxDimzsqG zmtK}&g;hxEed7XzXg+OPASGH}0+*_b_?7yyo}PcU9vu_ev`Cfd>a#?irK9r72^XM7 zPAfPVs%a!!5@rs(FQj74=_(Q@IE9vMU-i|@Lysj}9a3AH>&C=i;u>?8>s91w%axCO z(F_ptlF+ycZO>m7TnL}SOc$9($5#s_W4MIjzo}?BZl)I%j`cdu6zec8}mB zK_J4(a+fXIQ=DDm(KJpUsJShW>ffIND#DhVs-UlS_rTAbq^D8`=c15B;3B1A_tflD z5v@HrH)T0Dz8#T`-*S6wa;5yj+*A4#(1EJn2Ja@J!$q-8m$rJ4n%e2!MChX83@UY2 zhEeM>|MKP>7k}BvAIW-Itp1$ea!E?dx|`L~WF3iKAB)AreSjH-jm17`W`5*06Z>6& zH-eY99qstb0*&YD1$~k(*6hHHDctk__kVb);;oJwKc>qxo~5{E@izz5_$0)zC7wkt zb>DrI&9Vo)Z!;NRP94L_i9KJgdARt(1N@A~>c)Gl2+uKtd08RX0XJhdL`L&9^T_Si zr`$tws}%iFz>$pIf6Z9`#j*Kolhbi5C_yFW#d;QF`QKdKCDV5Os-C(>MX4!+EYgXt z-n9XMy*;FQdLc1%dnT&GL^P!{8^^opU*Eas1u!Q}D|LklIuLmq;TDr{gadFUtlq`i zAfubzEMr9~`}iiDbM=X3yipe%cb#Zlfkrl@BHjBNphupJBoC##*9xE4n>HWjhcxTz@9@2n0YOD1-(a0pe@X!t26@|{3?tyv{nwn z=A#7jl~#n~B$Qt&(Om#emHn%9*7rx;4_TMn;^wmQJC91E_0TYZ9pA(ka`pKJEDJ#y zpqA%~+%3--(ErrneGxI!|#m;+` zElX7M6{hKHTfX8SM&7rj0a~uF%$8_IyPNAu?=IN&ou#)N2p@K7l$cN%-DeMBS9p(I z>BdL}=EIG26IjoB;+f8R@&PN`CWl0Q9CB`Bg|0Xx&oPu!1GM9!%#IB%5NuLu~!^>s7GF}vL{}TTp)@~7$~M(r)i*3zM6%oXLac|pHVeZt&zmCR-;_ieeV-6zkd|qS zoYyOR0>5)-h_3(H-nRtL<3zn8DBDYdcrN#RJ^sizIRXo|KNMIAI~r%sUuJ7|PZlNT zF?T1%&dQ`fdSO+IjB~o3wD^Pbs+CXnsb;8nu;gkcvXEGwaiiS z4%euDM}Sy}zlF6Q59P7Q#%D~#XJ}GAkS8t5f79uzB*#&3FMjB$sxo86+z@U#3uVV| zuNt=8<=dOuLAVr$T|kZE;!TE~B=S8reb1Ebcn^?tInnjjPZd4sk*of%vj;4bPiaf8 zG$e#JWwf6{KLNbwmvOKKvM_o7V1Lp|fr&opY30{f`9EK0(oesVvXV50jq+{{N(5wA zG?$xf&EsVBqLHhSP3-a2rxEENw*0dzEPbx(--DIhte5@u4VjD7?I)Xbh8yX&VuTf8 z$~BvyBBgGP6v9~|8f-jTpK(ZjVmNJ-3DSmCkLG=;?w6CVt_2_`;9`uz-q#+Wp!!49 z+qmL4T_x6dEK-%H=aoxk%yoJfpJzgp{%p1Z#n3|9`QYOmYP};#Ih*wJoXho4gN6vt zvz$wp)`<0-#tfHI6lg9hf|IOmzr$^t^q`Z9v2tr&S#oWaaAeVWH)`L)1K(cSQSw?p zj7}@7Wkc@_^|V_!YeTP&My|A@9V5;<7eF}S#yV#3M`;IDnC4Ok0Tn@Mn^JAnM5`&i zZ!z0N@2P$^R+qWYsSAc$tX)vI7wz}z`e=AjdnFL*w3{txE^*VWkJXK{>WAq}C0bCH zb`ZoVp_*znIr4QaiE_?)pFwijEc_9vPEzI3u9~KQDe3@uztPc5aX-8OcK?)%l9C87< z_}e2<+JPd;B(xplydcy_NGFV;OS5N!D_@r8k~Tk~>u$qO{_C5rD^-r>QlMCOp$p%) zW`?~V1UVdo*obx^)GMV^;+_6qwOK^$t+cK9GfsEvv6@A?npOk-$9un?v~Q^aS^6F3 z82V}!70^nN4Md!ak0O4fwO;MbU0yeb3AeoR>3I2#%a~z?XWC&Z2D~)_{beJ-+w%={ z9{mXYyZbA<$M(5^@OrEJp9crKzRBkka_fAnWj&zI-$usGunsXNP|Pz z?#C26g9_9qfL}VEG#9d}SbUJ?%e4Lwqhs$i_~=0T_&24TSO7RpgB5%RMr0W?x?4)V zw;wO^`*hB>FFk~do3bAFp}cnQU%uhvK7v%vh%jiG#O~2R>m}_K-H_1}X4CIcaUy9I zI1@#d-O6oTKGp+n^vonWEm1Bz_%B6k@^@O+m&IEvRu~S#Z9r89M7`VWB5g5=#Cy!Z ze-iquUvyI{O}hTFrCrJP&PrCv*ehE*kF0*|6A>dBKEh}an4ygNQK|3End^;JPx1IF zVzd53r?R)nM~pIVHeta4+U-q3#V|va06-b)W64!z-oZ>o{&1sRdV49pnr-x7y`go3 zI{5TOW#+W5XP9lo)r%Kw&lZ$?q20*m{6>}F3k}Zye@wjvR9nmU26}IeVx>?tP-u}t z(Lx9m_h2PBlwd^?94_wA7Hc7a65QRPNRXyQE))yyUTBd(ad&yAzx)1gy|q}Z!#R6q z&kQ4bzS;YmsG0zA-^rP;lr1Ae^i-Xj!+#-&%NeVmASyifKNqqaiH98>^Wtpq9C@W& zguWik0>>wg-s(eU**YkThouk*d&*ntCFKWCU>%t463C+`XbzNpID zNE#S}WzWY|MnjdRd&z`166%f-^TbN(X2=GkM9p<`Bg&FsG+9&6rpU%3JeK$z!^1wA zZ>Z#p_NXAof1RjV{`x{MD8uCP!hBNI$}L0q*(A)$ZAG0)@dz05Sn5t`wR+z?78@$-vrbT(_Z^d~#N?dxS&!S{ zxjFEqvE?Ij^aj((KxeG!Vc(IoRM_b=2!vZzYM!d&trTRS<(9lL?hI2y#s-t*L;2 zx|$Ibc9dFd%cHKG={>DEgIF?3cS_&m-*zr@m_ZDpr9m7y@;cL|80#O^tn!ERxN^ZR z=cT_7GDtJouLgv;%P7Y7>IXzxx{HHO+WxO5EaXjU;btiaRK0Zq;9;m@@Vj2TyvEe) zH3Xyo%y(7FXDws8RMVk6;cz=Fyb0SEXMZ{+TT9N!_@Un7#}81mr`jjkJ$=`d(Mhr# zHRvDZ42-)UaJKOfm9@R+>{UD6FmjS>q- zSuGJjc=V?YTOS%QFc_eLQJvoWugd>5q2YmOd!*uTrps?E%q!6PM+>TQ=iV}l2@D{F z0Jt+8C4QY#KkVQGs;h09IMWMoY?SeObUXmZfK0A_3zy%qC`0D?79O33>^N;;$L6|5>P`}Vd>xOmn9GLcP@l1=y%M4@EZ5r{#8#Q)ZN^YmQRg6cAX zD2^-w$h?F@-GDGumiy-5cL@||6IB&ezpht)tBOmP;;Jpr2ZON|zKONB_y(kf z@BSTxk`)utf{86PK;=C;Ig#9)t1jBGfrSzLo!`cvaw|@%Ug1t-%-=Vu5Pa|T&UJ|G zP>|uN2ZoA-Sk^0siu5j}5A!SC9s{2C&n4qQr$LE3UhU!7ony{s5^F~(K^C=7(TPL| zGy?|WVV9kkU-OL}zyHH*QPgL(=3ABZm9xMe4SQChW;ziu1L8=xQBrV}B>AdL;E)?^ zgun>eJ6QY~2UpIVwH#&f?7%oylNrkjNL2h^cVu#ZZVbWdXHC53`TZlx?K8;ep_3JS zmn}(>h5Q>K&%XY_{t1R+;(=9XrWO1rdlq_$>BWs1hCOY*&5tF0JuNr$$g)U6*EXNd zi8|Nz)kl@1Cjy^hyUEycA3V@3Y^P}B>$ot!VPWz>r<$aNIDON3sjr>#Z~IqpT=Pr` zD^XEY&$PJyZ6h9y5DA{=btg|GyvK6h>dxvj@jtL&tX9;McprbiPIQ(bB3wjWrX3Cmt`YRq{+zQ*O19jEb|io=;Py8MrvD)d(NsFHPv8MjFaS2~kXPo0uo+rED* zSyDL-CS}+;v-vbB(fAa)uas9V7*Xj&NkNnC|E{sQp4XS7DO`RZ0VR8XBuCo45h9Q2 zuuJ3cF1f`jWSjq1Ao3&*aA>bt-w9pp06ZPyLC1a+4K!vm9Ci89;Wo7)jm+GDJ23m1 zt&vZ0x*i+g>xGOsaZMr|*)%)(j(XSw<@SQIhZ7;r0GSYgN<%^gc!<6sbG&CYRiHF;rejP+_hvO>5 z@!V&C{~hd)8I2Mv2mWLX<%_{raW{0@MI1#+d=cHOjALF^qJTUfNX1AsLo*}HcGehd z8n*<6d(4o{E-~I(=V(Rksby>gd#@?0*((exAlvmZk?*YM7&^zF*{?5 z7S*Fe@1!$CZZmKmRi-QI+;FS<(RJ;ND)jq+k=C{0>8>?q37e1+Ficz^9t=w?CqhQ; z%$7jipd&DpDhC}*_*_CU_F^&D6)P1oCMXt$rSG>ebT>Df3h|rdF?WY@lP}nDvex}bU@%ic}@qvbs0JRsxIYh z;0$JjFP;D-Bee8cmS)dlI<6eVEmq#cCnjTi*LRXUF@Qupu^{`YOku1xn~`YoCW0_a zF~YOa=`d~~4Br>(Vpt_yCrks~<_{{$x1O5I=iShjL2JdN6 zJm<+~Z~f~fr=`?u57>- za5nL(PJGF#w%RNyhfbktpq)W^oJ}B$M{KK@4+RKH5vw9`x{U-qJy-DwzHV`^z%nxN z$6$cABWYT*>lHPu>#Gu1mm%t|Hw6&eQ)QMOZK@=-p%j|^JSpYn;|);u<07lTOgTVY zv7DANj0HUz38Xc(L@jyr731q#iIcU1#F0Y8Jg(wMdS(*turL43RYg{Xe^XRLCXSh{ zR|~}@0p&@^Udhm39(}b-XZ2byAA?J=8808}O1O#_dM$eNy}a`1+dLc`|sr63gu5KDBrVC7H!-X8T_7X{mEF8aut~ZOjry%+aX`; z`{pJzRfUXeuv#*AkPvQFWS&>+@8o(?SPja((9Or&Dn07_?;DwfrSmIk{|L-C#adtWvHgGh4)H(6$~4i=veQv&>tsUk(5a;`a!sEw>HID`Nl%1e}q1cW0k1l$yM4X_N+F{5m>rD+S>(pt<*sR_S^iR9XI~fU-g{= z-yCxcH{FSvdU5G#GpW`Ck6Z%FS178y47zU;vti}VoFoQHxB@gfW^ zQSoiFtUtgJ(h0mIlH`})x0~fMvfG0kc zyO=3d=6niBIeW&Ivl%x%o)^P@0)Vj*j6o#cpDT0d2a?2^Kh&66g`4S9uk{&tA|8}+ zH{^@0QO(F+&v90<`-&!(556{jMa+@)@>+`FvCM%nYQ@a)bN7 z(OmflnlCABs*12Apenz_btXL1f6~NF(om`?#qKLfq0X!+M$g>>TReJ4Xd+)I-Iv^C zIdXLM-S<9LIJgYbZhQry3L;6JrWH7i$PcS{Y(u0bvV5gQ2w#9a0z&J)kYB4kBe00> z-{|jfsS2e0!Z4bR3jH?FHX4v768vOGNuYIf{+e3@tQJIXQ^vB1t69Ht0 zphNHcpKZ6ziJUZwf&{{RG%-_gJ;X{Y%LYC#!WX#5ALaymMlemO*Hs~IL|H@LsQ^B| zir2SdKQ8=Lu>Mngtkv_w=^-n)hgg3)F?vC!VAqpB{mak`l9sgGjOwlU=(ozOHo0^h ztbUI7(BRNioBSlEk2waYIIOBr`n8cM~p(1tJ6I5yxrolyCdA8FdU*;S%!= zQ>r)g%N`mY%$O+D0K1VVB7SEpOw3v6=&7q>-pF!)Gbe49YjoAv#COU>zY-=3)qPv7(1Pm^laDM1 zRNA5s`b=o|lGq2^r*l6p>RJNjRMyU5~=vKB;cN zFJwxii8}g6J_Pt+P+tgA+I;q#Eposk#irC{Juq^>8k);dLBas!mE_A#ebC*V;P=#0 zw65;uNbDb&sNGqI@Bxs1dS>n66g^;#eBu?y?tYd_`R%t*S80uy_$cCY6?cw35MGiD z6u|$5rKr(eht%i5b1zWbM`7onNFPPGh@~ig5n7#wVi-wpUhK&at(jbS!trgL(=gonhVk3uHvOGzGETu{A80pY_ksjqmDo**(851glJFy}h zDZ9bnSwd-jX+ym`F)Wud`I0=9S1>UVZJ1c#;RJs&#hrrOIZ2akClc#OUQdodQk{Gj zb&)q*}OtO^J#>@fsb`PJDwwuPj${ucci3cPV1k zq4|!8`Gkr#fw?+vOA8)VjQ)M$jrnCzCnxz6sla6gB!F!c53d_Iipa0=yuQCSyx{ z_%G@LV(`%WIF}+AUp-&&v5`|f+E6Ga-JwH*USUuCT4?D~4i)gz3;^>gV1T2$3*INj za_dr#E*Oksm>>91xzo1?F#!9?`Z;I?*8P^YopS6eu4Iap5*Fd^b~tGDzYiv%$X23y z$1Q569K|-W>+&hxKUyV*%_5YxN1Tk49-nWSr zAUyXY8iRhv@&6yLQW+P^i1;9S%fMXh@7Hlcl*L51v~d5trHe`6(4+c?Vp-WoG);hE zSSZ2cCX8o#P=Oy;V=Ygr63-`__9?bN9-qjeyp5WFG()1YrsPa5w)X~z0iB_DuMLj` zqLZbJ+^9@*uBSeGYxYPsc-a3p@6p0T<%Q}0-) zYj%RDujTjw&bx6FBGgm=_kNcdUi_dk4zT4d5 z=me|JX5`6%4KJC!xSi&^kAd1OMF$zopuVu`&*YX1U1`bv?!sm_uT8f zEJvJX0nl`N3!3fBF-&X{g1pB9pw+Fx~!CJdvu9@Xok^I5y%Y`RQceLg>It z%9+r@@W2gNlW}!ow4#m zvu8!u<8%FgOlA`VbONk#+w@9!Hx~HDh#xPVW9=nDr}FW5l;WJoXa-44*@)6jVD{Zm zr~F_}Q;o&DX~7$pL&Q2-#0URMJYzX{rq{i`aE-!cCJcu&y2Ye891CCuHdBaZ=R(zZ zNrE^k>YpXw%~%zHzXf5tycz;?*oSnAE95_$Q64Z_O}vD_by6R=G)mu&H6H4=<*DG- z;-GZka_L!$O49D$A89rfVjV}x2l-#j6ISBYR$z*y4B-p@+<$kiY|V#0A6H0v9BXet z`Xb@&W7Nb)mJYSL6enBo?AwfriH~k-b>*Z$>NcnQzfff-YQGv{{B}{;R9DPn_FYb-M(jiU7laNBvs1*0-aA$QBMoUDd7Be<9Wf5 z<9bT?gCluh7O!;|8zYrjvmG12&)**#zkV$5$6g-WOe;>V z+H-V4eQ_QzGo|jDVve}ETXME&TCAfDE?j=wD%@mx9byu$Cs&bG4nAgzVG@kV-+bmW zVetAeg!Ys3u$5O-koFy08RWWL<7*e%UmE9dVNz+<&Un=8T2|Ghq?@0m2)-9Qexu~x z3cAzA_od`|1o)e-DRBDxFQEPU{4vUx=@?2=8*#R_@ml%zFK?u!%d^lyjf(zWu3_xG`6cU#L4*%maMt;;3;KYLxSRIMgURk z7f3A|XgXPn%`o9dZQPCcNAI|A0dIQTIPWwSw}g5zbUtlp$gIOWX)+hC6(S6&c}Cy& zr5vM7tI)q|-$#^ZidkYK>YSt}UzUNg#%jg!j&uveWzRt-pU2*?@d)vreLJ$|gULtHXxc17>bPqdpIUJz@;in)@& zQDu#F#+U{#_5DRBu_Z}-a~-zPCmvSPzYj|kg>{lx2qz{gw}bTkCpvC#l+(scfqz3{ zl1z%aXN}D8D@d6VrXbgPD`^p{r-Mu^=Q%eNob9DYWKtK`9mskY=*JhY z2XW)N6v$vb4rAIG$X0;B|2VQ%xqp_6xp>W8gm|Mo}4a|gC@qpZG-ZrbQfxIa9 z_M$BQ`JE@ts?TLU3&C!jPMjJV+)0kNO7}X}8U}t+m)G8uN&X`9efneLu+lii%``W? zCrxjaLyB{n=oMe8p3NJobXrfZqRd7qEV=)x_@igkFFwivffEicsV8GixSqAyA7v)g zeZ?3R#%y_s?Kxxpcco9PnbtIPiWj9ymA6w?EIF@LSe96@xik>-Pfr^VQ9%yOCI@5{ z>|#vwRR&Rs7h`4+=q<=3ZGQGbOwl}v7QPcyJokHx#&tujo(chZzo^w8RCuQE$u3;5 zT+_1l0N1nW?oHEFu=c>VN6KAsF?g*tui^dnlgH?cT`Mn5wv-t#w>7tf^Jm}R{c0^S zmbL&xRI$-Krt|7c{lu%v4Nyvt8vkE&lBYqxI~DqFq=oP_g#3gFCh;tFH_f0?9G?7b zsG3j#igv_abYi{6AgNY|P{y0}mzv&bfI+mRXaVIc`iaMQ# zwoZ?=*y!A3eoWld0edBeA17SU?UXh%r_Y55S|*U;dK!6-hHY^%1M>ZGEB5+Qa18GZ zVmTGEHPXZW`shG#T~A_& zeBYeYwP;6>B^z+&UV3|G&Z*na-4Hj|&lRM=AWmTi0e-~o>cs8BzJ(TcuI1~O8m%y6 zW{sRJa9?(eR&>txeR3#FpWK`UWAZN^xS(9}$kr`J=~}zaCPMi>UW9Y%z33UdIGK?@ zG8xzsCT_mH;BeI%kSq&wS?knvWX(=hwN31S}HLfTE_)Is;)TCCvBQHwXx zQK!+7m~--ty>B{Xdj8Ef*K_)K1Cm!Ga1l_5MM&)>g@GGO7g6etnsO?b;xleY{O*BhMKAb^q6&BB=v3?M~9LDdnk| zY!kZL-m|D9NtRvM=V%TSzTk8_DRCc>vEsOilTp}yMbU*f_b8cmE&TI}*Kf!cXHb>b zLGl_$rP$W;-gI~Kzlweb=2h#J#+n;hy8Hr!5H0d%7_)QU-bWKXal-95!I(LCVek83 zhTy8iF47wFlFZm0`NMW6syMTnt(RGXQg+g{BiPL0iM#O|i<8eaG~50$IeaN-_&Gov ze~>d0AgfXkP5cI`zxg9{-L8c(S+&@%GPox+n6l@>RN429+TCZ*+jca*#z$APr?U+b zSx4!Zsu>$GTlvcPkaYHTo#A^OOiK7>I4m&Mhx4^JmvEL9ajv+A9oR)Vg+kiz+wY{T zf(qHLGXK{d88^P4hO8{e@?ZV-bv|!ejNdSd+qy`PJ#ysPFmjmy&wPFBX1d<*M-?7F z5HmXK*ozI{K$=eUuMAD3E(R7X*k1^53WkB~TBws%yIUlVrP;=Dn(*(U(OuT&ReO;s zGtnkk`wM1?BnN!NW=1g4%hi?vBP_o=k~ioiDKzCU<+694?aKMGV}B=oX!PYlz|_m8 z;Num+`-~okPbw1EKRTKTMV+MjHeS9F-RhMYm_r^Ag0fDV+!W>6e3O-pJ?uDot;kwp z;!Ayb`-f{9V^=To_da&(EIIxboy_Em!5Y=@V+)U5V&Vc@zx)<8Y-?{p1(;QJY5140 zI8LM;@&3PJ(`uiw1xLRaseS|8rxF*~W~>Y&$Lx^zu}w&)PPzd8HApz|UVp^PB&rIA z4T%$f@gyoK<6|4w@cdNt=Ad8yb@}Ki>VSC|{9+h8xQQJR8$Jygmo)namOo!O{KZ)` zrnMd`;~;v0^?_?FHfDR zR37ErE*4}n&|OH|i_Bo4Ob8p+m9w8)x`Tx(T@yw2y^Ueu++UGZ%?`@di(*c^0~ayM z=V}&|B&fOZ)OAKz(&>yJGm^7#tdhrh%x6xw#?M`6{LJa*K227xx9v)zu!{aNAy-`b z&V_Mi^Yr13$FMGfs0kt>V?wEDNq~@E5>IEDogCV^!`gN7Ek1B z@5ub?Sr}MZ;05;q*AHrah}t0ESyX4TFcRb$`*K$>#>C4b72z{D9KWf`BStK%hs_P~ zuVTw8pJqJhPM)5Z9XGQU6oCY7B5KW35nsYA`lMK^6BwKd-c!N5S&188e%Nhjjd^)# z^V8a&hwyXaKI?wm7jg?MZ;nu}_+XeyWRdA{lmRF%u)f?~!5J}*@t06(dM8r$TDOI3!5gCWtBt-#5CJY?;Y}x0G)vTS+;m~;M!1sc&~%Lwu|AbW z2Bzu|`U;~@Q%oX%SU7>=U5;t5_^SfvxPArV!;$2XvoAx{Kc}}|#vU!Fs!N5kJ~tXA6Ie*NDXz8+1)UnrOwUqj?QClp+X{Y~{fsjz8`cHqDOMG{j6Vh{? zeZMfMZ`#FY{U3p-SBsij{n*W)<4s-Z%WBOo?GvCG)iMZn;U&*`ck*{LXn`(m44%db za(z1^!()3*?&^i&(wQR42U|t#Le3^QGrK)4BZG87cg}y?N^J7h^+wZ|BPlsiZMS8R zhw>#Tul+61KM$LKEDCvj77Oq<=JA(T_%+J{-ZbU$-{}G<`%r3)>ihEr$OZn%nw#uj zv+DiLrYUhJ{6OF}4Rvv8EZ_R_EUB-{R_pGTy|%nf@4T`9i?d_-%!NCxWw!mlX3?Q8 zE?C5l(|hhC7pTP9u@1f=Nv*kQY;#sa%Lh#QgG)~Y85u6#Zc?=tJ_o`#G}P5_3Jcdk zdz*hG|7v0Z`|Z9cjRjf78tiUuT@ryZbAXg+u}1Hb>4vmy;3{ce9m4LG#uAS~=5u@l zqc5;Mb!mtTg>qdcB$6-i)BRiHBCyc#+sZQy${=jAvv)z;4zB9@+G80t0Pf)WYNqod7Z>p~`jz#e8d z@3vDsGlwy4DCPU<3|3YzzE0&zjp8C9yaQaB#I7y|s&k=2atSL!pd=JZGITNEyM3pT zT%7PVWzSwu+oN?K=JIihNN{8q6Pxmi*LvQ3NrwMnv*5`bNNTy~#rNKK;q~h9Baf)p z(kIFk-0oA~=o9!I(x8q}_3+@~=-&8F6l1EtDHm~+Bx_Eugn!vD0;YFt)PH2FiCkZIC-Dcb^(oKC*6M^GELYQCjClfVtj@}3M_+2a4}-Dj5`fd6;)ZarB$=#w1$!x zCA*!9qNMJSGnLKQ!){6=c5|a_rNp8sf1b#bS!VaAIG>jOp2aJXI7ZPLl%o0!=Tje- z3tD&8vd0xq4K)FPrPQyF98!5Gp9Wqv>$XLA&DRnV=Uu>2|LCqII9XHXir2=B3|tBd z)QAo#TzKhH@!36l5h?q~CNtFX#&NmO?B5F~@wZELSesiXlt4P)dXcrM@bamTx0@Dfm;Zz+?yc2qv8|1dZj>}txbks&d3hEjQ zyTNL98r3zYo{sEK8Ge{B1rlx(Wlkz5P_HI!yH*QHT9e@~_xSYNX+W!+x z0z{$xA;V_-e%AK!RcivEvT>_$r8XuYcj%25a7Si*CfyP9tFnZwI#aj5&B_G z4ny{c*xSDtpk@^)u?e=6A9i&kH8Qs4pw4uuf6OX2Nu}$o%MD^u2tn`)c;2|$uspnA zywnsPgA&_B=!tVou<5eR*aPOw__|;o(dq!8^f);biws&gN5vYH`7OIEG`a*H`jc47 zMDuHQ=OPe$g_Suzt>=RNwck~v=(H5o(rbO}`oR^?3*8&v@EQHO&i1)E6;xOaJYXD; zN!cRDsV(v;S-=EdGe%A^WA6_?P!5zZtCHrdRJM2VYn@SP)aGM286}-C5E%;EC!L7w zB%O#DIAe+QP6A>D-c-0P-F0BggD9eXTH(V!d2okly7B^xo9sVDXc!~?jb87P#2OIm zLw4T-*UncwM`|ytRn?$*BLT6P5ugaZu}$wD_~p&SqZ0KV(WB|gSq|8#fzseg=oarf z;Gbp9`-?pK5(7LVqD4zt++VjB2c_ALDet|nYfL?kg5DeGB8v(Yn=cOPTU)@dA)^M= z93qe2G`?}VCzARckV*vdtSGHA9L5B~{94a#rx?wuM36wWoY|Y+H~|aE|K7vPfD-+w zk<(fM0|?OX-pl+F_-^~O0#Lnm<&$?V*ma2*+uqk!2bus_O}Nae0F`nKmwWGztU7n{ zSSiMsFQrQ{FxLeXYg#_I>v?GY+jjmn!LFSejpAp%sD(`_D#i7knggOOm9*nz*Jo^f zQbAZouz{DVeacY1-1^Q?!dAk|27qHk_XF_sc50r}F6@FNEBidQx&`PqYb5>&478qj zD;oI6Y+71X{aA`I6>C{N$SugoaOE)juZqeRg*#|8YAF2N=rcI4T!`)anU!-i47&2S zQlquh`qwwK9@j+cbR+4wTmmu2yYrwWViJ$**LpHF?){Hx@!!#>HJ7~WV+Ot6S}fS? z=8&3FD(?3aKX3%d5Jv5@8suVoBX$@AcbDf;$p%KII?;HB48T;L-50m8aVrC>heYR!zVh`KKz-)Vz?dloJT23{k?@@y9?ibK7 zC}S8;``mp8M|V#Mokm<2s}u*X(X?L;vRkfI&--jzd+?B8Q0#cvOqOrv!haF6$Qlo3 z!AKO-fnw5WuN;6Vy;;oMRcv?9XWOQHeNx=W1q86D-oXHiiaQ1ma)R9C@)0Tpl_^Ak zl@G98fUE6v)ferD*#zpK(_@%!c`JQH?fcL?--fl=zn8McF939_k}oJSB<#jrK-DzMEwFH*rZ7%n#cBfHYNEy&)kF^vx zG;;fwd6heS(WFBq9nDK_a@f=p!Tjmw%adg(^HfhBEn>pQM`UHVe~i-K@P1SQo-JUU z^g8b1tuLr-s2#=v-diAUYgnM8JBh;u=`f6(^l%Z_3q9xbH}qn;9PatKIj+zg$j%rD zh(CXX>Ox)XBh$4d2`P0ww*8#+BZ%L36!;<^k@3uS1N_#n5vzbj)7U*9ni&AKP=l&R z9}}U0RFcG7Y?~Pvv^0LCh0oY_5EJ5 zMeK_%h7MppHh@YP=l8vA9b!g3A_G?qzXB@22Ncpkf2zzDe;}Ii=0lzeCw(Uh964?; z-C9*6!v&*ac0U#a%GC>0DJKbO3sl9ux0yfV4Lw|?49IFe2GB}Y3$_=XEaq6cqable z*FoHA70v8;c4tY@KR*5(-_7Eb_dxp}k-mo(5D1tq7wag=Cf?f#&iy%Wn^kO-BAOS{ z!%8wxD^ER_Mk?h1+3DkA)viFcmq?FHhJ7|o?2V=_I%jx!pN}*@o3pC~?r@p=q1nGL z%>%Y}k9drYhGo4hUq8$|suJ0bvzvbRLC6Z)mmK?nWHjMHWm9vFtp2u2lgvoqR0Jy& z<^ZMMc%+A)m(_UKeBCg?hp&c0x!dGudcvc3hc`2`FtN{OkF6A|W;rpc5Vi-?-Kw-o zV^`IX+J~vUaQq~Ldt{mB1GOP2myNnGgmwM8_C|Kej@}BYUVlHID6-gJJ&xX%Evojn z&REm=*AHK1m<%*RY)Vg;K6vV6`p#lKATJeX2_f58x zl!dVS*i~%Zw*%Xl;)|S*CIOsQ%TSNQq4@eBgP(oPBjmV;saj`3VWLUrLln(Er`D)5 zBBfj-o8xi&;yjf#jm%#;LApD!2iDZnD&+*9EUPsLSNVVe?M`)ZQ?G89G)M5zT|L#t z5X<-H1IMh4c!labpFUDZ!!koU!x~a}#U!k07<3^s1aqphuXTTik*(%rN~8|!gl=Z* zp{D}vrl)Wv#Jjo3p8{X)>%E~j+y}2iKV$gRic1BD3^=JuPrK!U5)8p!-Qt!uF8MTG zlQSXJmAce8qjUy1{!Ma5=e?TB#zJ+sof}rGzDY#VP@U@M8AiA&a7n5vkJYn^U7DY< zQ!A-T8PFdea4u1Gw1{QN**)%C+FWfz-Z z;cu=srKaSa-yf{otK9Rt8|mDRl0upjB``=&q-Y;7ghsyWrXAmQdPA$%h71}CtJ@Ux zH%_R@UT%4F5AObehiwV*jO~{dI(-ZTaED9yCqw{OYa|2YyUQ7~R?<};h6Axg1`tbg z@15Z6WaSv&JVKh6^8ku@jsXH`)1*~RrM&q1&8@S@=9ZTb)XRR=$cR60YElYw!FB8j zci-Ij?3hwj>5r&(AI%YZUB)7O?AeKG=zry0B;D4$o#PtUo9yq5o|^pqGbS)2x4IHc zNG25$v0O#=;QiW>qB#a(w+#E-F~vlW^-$79ZR?H$9)QMIO~G z7sB(d$~L|nTq7D#hDYe#`4uR^W+jF3cHc8qFh{0#*O&JloQs|-&u7etCGehCFzj7I7e9B|`mMXwC=vMwsZx$kKqV%D4J1!~mj8x-5QF~6X#ILzD7lM9 zQ|T>zxgeoqEuiDmT;}67Tv)gd3Twi8M`k0OIvYCJmP!1>8udiHCimGbpey4?zM6@Q z7svbp^_;-aV6*L$;N=c2&89&N0svmwS?tT;`qD*&BF9zwP)Z)*HdV!N36XC9vy)GA zwBo^i-!=CE`l*335@uDrtHd}NivtAUAbl6-guM^Z)WFiV(C*wji;3HfTUg|Fkv8RK zG&^Me6m78Sh87!mM}z)Rct7&uhc39jE}<8AX_Mg6bqalh2-dnuCG@cw>+MRfoGdAK ztFPJP2wzmCAo}pj5n*I@#!!ja^zMW5RIOadd2n{bOzE3JnTs%tEQMBox|g6)6o3l)tI*ZQ}Ehvc_R7BAix+I|yuQ#;Hi=-(DU!Z~gNVI=NRiIPvW-4C@B zb~dZG%{gq=$K@ zOerST--E2npDSfCl4gjibXTM;N55A1JvP?uUSlFzbhMn9;xJ&_*c6sJN>VmyEj~Wy z_1RZoL@bXcKkoxkP~S_AiPBxJfJthz>JTB1OkPoFw4lrS)gcV~U|3f3HZgz?%ng`R zFP#Z?1%(W}V{zY{gF`jY0~N8yp)~ok5&}n0=K1StT;UGOPcv+CY919mOdTd2RFot{ zn^g@ij19Ed=4dA!t0=`V**T){ToW~2ua2W9N*p&oYxZ*z$KY13HP$|!e0d@Y1UUu| z2dVHVN(i5sd07dRS|7&p1<)IU@U+rJ2K(HJQ8WkMv{2(?vB>a2;EshNqjGpJB5tr6 zRl?Rwo;BL}BrC6sJs_GmQfilX*&P(vB`6dCt60|8;}3{7NEn7nE0>XECKC!5p&Ai8V=|oy8ajO}PI|_K z0B%mhufC?G&i<`HWB&k9=$^y;N?MuEek|mdJ4zDp1`~tppE6x|y`tr)%*8Ko*BuDJ zZO6g}hf6VoC6Egyg;OVr0Xv{BnI2#ae$9Li)Z#-z$ai@c9eCN50Z901&CLsD! zT66GAz1=W2$V&?1NMplxka&nGOYAQeXJ|OdVYazeX-|LkBk0L$MJ(We197;sU1w+1 z2(yR(a*B?@-6&C79VGMEZ{y9yz`xVA^lys=ar(9mnnfB4QrjHGq%1=ld#vvH(bqZB zq}4_yO5VH^v0n{Yi}rPhuJ-Li`#sGGcunGh z4k^;B$OzVMKL#vSW44_2cdi4K@QTOcNlZ;(zQ2+?p#i3;_4-*b<=d)dn# z|6$9wPuLQ87rlCVPnb{ro*m7?+uD;Qo*2Q1rU>mT`Pvc=;H%df1*lr8Ga!%1;r!lV zGLM$jyd0F0&8l`%-XT(OseJxH##>rAa0*o0hMi?OIFITyY7)$&6R%KS+V>j+FqcMV zeX|(uO69Q0JlIBTY3xMa$W89+7-lfkH0NkdFmAw3io2GX0X)HGSGL?l9%kdy$1ZA+ z4p_%~etJF~zZ;qBZe$tj+~G0emC6~Dc|9B2t4GEAetN!ArT>?A!UUVd07>nTUq1TL zMr{3oKqSqo;NTpHxamKM3T3!{`AY-$a^;K(whFNdARo3!eQfE7pI)~=V~-VEg>o36 z5@lxF$V_AA3Mkr=8L~wWY-lgh6dm~K(K|IVd%|OCD=eji9#t_jh!qo!{;zVi%y!eY ze<}zh0Sdu)5mNZ4Y-4s(LbwdL5TFQvdLH1CCh}Zu0l?{#v2BMSp{n8kt67Wf|5Yn< zGS9E4NA%H2O8j0)hmsP0^QqyjCFJ5f=fbPnnlExlE+J_tWDAFNUw6e}@|E;JbHq>_ zcrkS#cF%6%f({)Z$AMueRSFZ5PDr2Kon~anibtU|5!#(ai7kIiMX@gF0vW6J4)BWZ zKPn{_V~H13?vLlQ-`J6xtD8$@CJ~iYLd$S%!vP3%ljBf_|9R8Phq(NBF*nuey7vja zof@xbs6_?QD6h|=3+;2y>*6QV-Bj!L>Ch%RopU-j1V`=8|F9X>B)zE6P-pGA_S!$t zOj`2Hrt9SX{{YIkgB58Gwj@2C;GuZ+QYAH>>{xX*yhhVQQNa_m;;U%>?Yu7^OZxtZ zM<}7zSE8YT@ouW)Ew()zi`-@`= zuT*@%N}>P`aKYe~!1;BeH96j|Rj9FF?*Y+@Q!m>KiT>2@kBgNE4 z>|Nj5s&zWGUVMArq(1D)O*VZsU=boMj;Hu+j;n`z*!9MDXKm_&E{+{t7=pFeE|VRc z(e-}LJdM^@TBpZdl5WONb-3;~2roX<@onNopHpzS|YJAI>_90=w99ynnM}bv*H@c9uc^(GUpveqr>od!MKS`(t44 zo!V)!GNU-S4k`~x9m^x{zqH;-4<5?L3VxsGfl$SyyVOw#jK^cR{4ekbbg0Sy!_iehMe)7u|IeU9kdPLZPKl*M7FkNVWGR(yVL_xNMSAJ( zZb_FGkHt3A2y|3FdK-fFbqw`&Kt|q#ijsk!f2* z>U@=YAIc#iIeQ~Q`vTc`>EDgNM%e)B+G>lf~fd0O#;M~1>D zvKDXJs2F=Q=d)UI%ySQP9X6Z5x{WR_x$JWTOu6L(6F*AocNx`~1XOKjIpTB7>pYgo zD@)hGR6F{6uZNsAu1}c6v4a#tdg^^{-Lql?EV;u8y^J1ck1?^?l2GL}M1=xcAcuIk zvU$2(8MsQBAtdY>!DWGQ4jzwYV;_y>@f-{dM45Cnqbwm36T^!aoO%>h&!t_)2rAk_ zV6#mx8*x0X?}ZnVCj5ggGP0-vbTun)&|GujnGiAoYP^vcK@-q#6l>I%@?8`vM`;sm z`g-c-sX-b&d}g57RXJrM7O3~>uEXhfOua!dw1pa^?76f~HnTFjo@DehikE$Gmft5! zI>H)5543{vqd%uD2mf}!;9pj1KcDM|{A<}J*$pOJgfL-FXrb*xN|!T5Gd8TH`{g|y!Ky#u#t#zu znPd^bliI=UMFLT9v=2;PBJ1HbTO{F^m7c+MPRVNAN1j9m=D=ak;jj8n2L0`@Bmwfs z?OTZL(79C9^zQ~bAN$$Xq5Vw_POFIW|8^916XU60Cimt3?O<(06_+irMp;!}+WU^k9%R^q+Ed!KeceAz#Axe81>*(3M> zm5=0R3@E+<`wbRd4;AT&&CcgRBBf2Y4M1YGR9$JO5#Wx*iDUWzU}(%QzT?me=74K( zW|zt_>}BSlib5+sZ?p|^Pg3WzC)86Llt+%fX!cr+MnW@9SfqAdpO{RA9WL$~#XP*T zoC_PP+&0Hes1XgWPL+yU+pRcjPj#WTGk}(Dx;b0QaA|;*x3~k8(G-cLT54u2a$K4q zKpA5H+Ua4pmo0C3PTZw->)Y9qB;Eny=*%?pD)bP)h#&?A$3AIS^AwtU9=~M2^RgZj zUEankiHzu+7CRYqvGXR@6<7+3?a|{@Q;m!`Y-cK7L!a;yLx^p3*HxNg59S%D#Pu2F zHmL_~5d!WIXHsj{l{bsM08Z^*pvt@C?mHOVzh-Q+dlyPDrp6Hn%X8hi3!twn6|aY< zAnluz20U9*!1hN3V#*C(@S&3ONm`2y@dq{2IvH7sRwQ(*1V6vwgbedS4APxur*#4` zbQp4bwwys;;50RS8!g2X-(M>CL4Sd7}n<+B#?bxAe zZ|F?>OP0#Rn*t=onhTh6=(yHS&g#t_hhR;W_YIFMiGi_1FY%(P*7(cMp2kDIpX4#ZpOSB7>@KuJ=GBA12XHx%L*?oOyjoFXSTM zwAo!*-)xgwKjzpXo&IKA8QYwTAwjoCzoB(WB3@wFX$SXT(DW!x;~7k6>S@--N1C3( zC`^e>4Hk}sTp$OuVi!@m!OO0N==A&(OJtyHRPCeZItGq)C!_NDn~4$W=ziKOw#ZYb zRAUotN9VFJ#q^ot*pl*CO+BUC27wxFVl{ITA=37Yfx@@o=&P9FpN6*P&9h4eG&x|~ z12M`-n?KfQVAaGnP2gt%jvfLNmtIjW8VNdPDl0<6C8G5Nhv9Y2*uzzIVA9fnPc9#s z)n2u2v-6E27kHbqqn2})od%-2@HviYHi_}P7;2-_+k)SO(1M&lngwJhAb9GU0&+3) zukY7wU8lnA9PKrKA3D~Hn8aQVVY;Qrs8qYkOQyn%0I3HMVzVn5@$`=(ngbRa1)U3|S* zP(*(GlRCvV(fAH8!$P0o3hK&5f3+KLBqZF=g4~E*sL@MIv(rNcqj`D5!GC&R#3}fx zz9Gh{ohly;)<8#tpIb797hlZZ{b+n6L3~v~vW0a8tcCcbtB|&bAi=LW?QQnje%uO4)Kw9~Mdp2-x?kd;(Hs$?qoKArPBW(2dx=Fv21@ZZ3^BrmUnCXX zH9+UG(qh}P_&2glcij!^`^So-gWoNFnlfyzmc6=`)@RAB6>l}NOR-!mGr5!#*_&TO zDn|rB_`~uW{_DgR6>CzDu8b2$XJsK}U!Jb-$yoog**D`gC?l;R52fxcz0g=jiV1SZ zTCIP|>reSG27B2`i6@{!)0D?T`NZQeX-n3O9tkFG!rm@F50SS5cnC~DyS{(V%gHK4 z{u|;JN~?A^rqkGiW$}%PJ%nb@lP%Anos08 zgLMQzVlk|j%c~qu)&b{cOy*i!$&C$1Q~9npNV?Dq)^Vmmqf!|_3S%$Cx+4(U*pY;0 z-MuzxqvAI9u9^7zxAJCa;JKd`R5WgstROc93WunW>=FMdlY;W5pVKORj7UnL7aYzO z`p*SW0m)aF$TnSL^&QdV9(K5JfvIV;v2zP21NcJ=)i! zINFb z7be)vyF_CeT5b<1z=Ykj_ZzXf(yy_t8djZD*k?dCzt=-={k}3l~e|}z@?^a$Rr(vfT$|O_3 zw)D>3GJ9G5a@GvZF6LiK%|BO<5z_7o3KiD?u-DIhOJr^@2mi(aGh{39>EDiH{*Mi+ zpdyD#s?Gh>-8K+g1YYO-!Bk*t%&-IMXQzV%4Z+W|^WMdO;wmiJhn8Pi8dSMTl{0B| zKvJ^29G978MU3em#d1+gNRH+=kyKM%Tn9j&K^KhRuMCPH*R$*17Zj{s)1~B^SKNdZ zXZUHnSpmRS=&G}B%-NsO7c|PBckW`*zOAMojrvYbFJkmq&}w&MbEMY*2oy2=^w?%& z(CPj@yEa~Oz=$xby#Tex8_IT@SUAGZgwb}%8@de0W_K(HoVc3aUgbzRb2^%%nPud9 z)hs|sHF#l0{kdqMXM5J;tx@}GJ^zAVy@nkC+N^v+C@RGSRPevw>@n1qQwQ4;x$X#% zh^5zas~Hzw&X8PXkw-QNU{ZNHRXwaihJ!VKSbriWnz`AZyU!K%^Bp9#R^`eNY+Puk z;xR|$w)uSqsty;Nz@N$#KJsD2iw2dp9Ruh<$wjN1sQ2{06@}VoHOdxwkC-3n3EV=!&!z73;C=@%W8h z_MasGPMfS#78i`{i=e1c93_SSN)|ED>6J;MqPH=h=uO$^wms`j*&O=`0A*ZsoK#Yj z=-uFVAL^s;vjwC3fDLDXCVa)|E2AkYQ^Wj$?)_O1VT;`9lRrPj@A$%SHhUJdB%I$@4m_FG^HJj+0c^6tXU9n^>R_f``nf=1x$6vqnaE=m6Np z2bFu!(ISH93ApW@iarxf^k!a&nRVF-poZ69iCfzf49d=yd9x3Vk~qEiP8r#VU#|^q z5BeA)jma=H%b#M`KyCU0<8MGn5MHP4J0>e78mnKTLAv$wVF4iLuE|$Kdyd#$ypEE! zOK&T#U`mxwYd!gLQ1);9+bXN%U=^wRck(n>>0j0-dtpkB1xrp`hm{H~Ud0xVb-m`9 zvc|D00v%A|Gt`k~U;fkkrd)>BrJhsX1VYL08|Dy#f!nz`#Q!-*^;b+0MlCD z3`mb3piO z-ETP}eAi1s<9W7|PBVu0*`TGDUV}drN;Qs6Uu~L}1Ob=gSgQIfY1hKk8#<54Po&}( zFMgzrk?O7`EN+RKB--43&@pAtpV|Yf&tXEZOw*7@e1E$)#U@~l^~`Lzyx(t{-oCj_mG>`|=XpqUwFJbh zD4UA1Rf-{FTw|dND~pWnuEL%>N4hwFPAdL+@rxdotmgokeDJ)nP{o|p<&Nt6jVqi? z_HHQ-@l%AcQ6HxQ2&Or7$587>Sapmtm76NmpYl7B zs`+MQvBXS?JbYU=EUqxb+8AT>Q2AjC>L?_~Wf&yktbEX{#I-OkB)fo5;|^0TE1pF+ za8oYb;C3!*f!urVs6T@a6Bq1VveOl)bfF?NHaJChO3HV&~1 z!b%4pE3oXq@5+>5XKFAiDwyh&EucEAhviRR+C*wA9aLD)_+`AQRKs0!ptHWAE;`#< z9x<&?cT-hdfH}BK*U)7{&ik#=W;!mKLnYDiT|*xyIrAu)H5Lqf2PwBiz|@Xln8AXr zkSyZ4Zr@M766H1DVno6Qbau9+9H%r%HIx=OD}VD7rtu?*a{=l(84IrnhNSL{l{+!We|cj9n(@6dDvt^ zJ!g{Pzb|kNL`qhM5TQ!#fyWeJJzx>1XHlycSEme4Gn%qBuh=oBs<>FlPXH9QR3I;7NmtPZ+<9h*Z@BW@PWWZ2;ClBu$%fZ=zM0pq%4Ey^!Tp*s-yfuE~j*5rx4| zLb{+#B^UMnWKQOT!!lrP`Z8;|_(u(mGF1zU)#FLp6UE8Ulw9+I#J3h!BNjyqgwfno z&^77i13aejKW12*^Z)A#mv$k8&`d`}q-x)qBiSVkGuvSlMZ9{#Kp78uNjPgsf3lj2 zF#FYyRcgLxZb@!Ax5=#YbZCa(H!YX+ri@Y0_0z1ZM0Wsfb+p*$Qa~{Q>Ba@+S;l!O z@=iT{u45Z(c(`2xZWH_BB0>4!lOG`M$~K{7P2TKj_8GZh$ols^a9^v*>`+at+OTDg zd?w%meZg0Qr6{k)Irl(`%Mz69DQ~R;IU-2LO<@*@4e)FK(bT*Ryk0alom( zU->LkR^@PFSL+81y?e+t(Y%f}KJj=N^ee6KlVnW=zO zL%7$pkgRL3*>+MzJ;=L!s$iLe6=(LSbY5Tm!a85na(q8u@#6DM_myjkdx8`Fm6e}T z4Zwe3&G*ys9&DTS8(jMIuLpOHHI?G;+pZ@fj6d8`qi5k1Yp8i{=pn4tC3ey;&UR{8 z=Z@7L_Wc zvS9FN${4lF5O0plH{9@DyQYAt7!~?<>!KwEoSmKpz?}ieR9y?iZbW|_zKLnwy$481 zW|c~1ZiWYUZc;zb5MzPH^xd-_MiqjZ4No|V7n;R0nbh1&HiaQXAK7| z8NYjWBIo}SD2KG8495ha8AkC0(fQ^*Go$!DdD@0gVS(Pw0pGKN=k}hr-%SL0^s7?H zG18>6i#B8|rIoO@bYfAItfDcKBx> zcn`f&GUfg?CM?1D3D4Y_*cIm9h+jA#thZY8BaXzSn^*DtK#>$P2%b+Hg}v^~l?8rx zQX~IkR~rmniX5CJ%J~sO4L{HIUB})E%diE-S7J+5P++nN#!Owy%TFSZ*@I|YHhLxB zfJeIESa}zRy3wDh{Hzv#*H`nbG_xU5XxyS#R(=VZBtk2=EWa%zO->1a-b#+ityVE- zbaW?-fAj1(1C0g7y15Y(hq+ril}V{XSrDah0a5>1%5L6=f9#UB?9ISee)NYxmo#gP zew#t-Etk<6e}-TafNI6T9{UGP#p1jdBIBrw?5g)=8P8l!zSCJRQ}&h4j#jI9`E>ty z{IQo{LfWWcTdIP}xi~KJ-R#EdXb`8zu$qeuW&s~pS61|>HkIU=Ia=1PcjmI8@Sm=o zJgVAtf=9CDz4c{NkGIRv7xYky`;?dll^ec#2i1urs6Ic= z|6~Svp>1G6U-m3)S+5kDU3&8Old;)>Z~Ae+=cjaz*-<@#kNV+a#q2}zlX~>~%jYS3 z4*Zp;J_E0n%hrvd0Gq(KQ;US6u!Q6J89>j0l@WoNZ#aYm4V}tSTU=& zbsxp5q(T{!Yrq?WtQ-{xWVj;Y+S(lx*igF7?_ovH+sF&qAh(ueeW*J=y5&D?2jU$0 z-6vXdm^HE%)&F5b+*+2B7&GQh%L?aDgeue9y= z#KQ?*e^ddO|Or%S3!wb;B@Ef%`@nLo)b zadF7E(=L}W+mj$nrSRFj&rLo_4aDB$w7mbDa0ebH zo-1ML*_()sgt?3^j1-rSDOyPm-uEhHejuyCVH4hIBc0p#`GTS@lsvt{V$GlrM3ySj*=IG;SrFcs@JI1iC$ znucr-r{^JaoOCxUarM&A0<`3B`Q%Q{LSTL*P!CG zsF4rcyOYN^6oR2_ml4zPK3c-UpwGr@i!lZb>X)Cdl)}R~^d=cc4$oC2>K!Z`#eRgZ7DbLJy;oTfz^W z!cu?oi*kgm5iNaOdY^~sx`iD-a8D=8Icoj3lYW;Wh+Cm?-sfO+Vv#{J@1@OW?y6-_ zfoBSHgZY>YxrvUA9EBxGIhputcSIG)^{cBZ7-j27tuH7UE3}s$(Fu~myaS`H zR2VBPzc8nW`tQ`G2G>Ev|FcUsbsgrkWp%OI-SBX;N`L6RsU85+GHp7jVXE!4JMmPp)5sruMpHM7* zo33fF2{Bv8-Vgux;eySisE_7@FziBo{b)h>%-2o_UT}6X(Px35P*JSeq&U z8b26$rGaNVcLPrQr}_)Ov3`nG%F6BZ(f^KZ>JNL%x}k$rdi8w!c*0EI>n;Cfi`T8~ z(cCKsbn#Yj+@OBWDLUpmBxo|KUs~aYNuaX?IRl8UW}N6cQnfbNUVk8?-{$YZ;{tq+ zx-AsWe&8k`YDdh&#i4tfW)InCn1;?kSaixcr(>rKF92@+=An+=@L4|^VMLybWM0t5 zlK6qHn(`HyTov27Q}Y$Kx)rPLxLvR3CNm-3YLaFjs`+u0#3 zc%RPvFrO+c#=Q(r;^bQhwlF4bV_442%+o&l%oifhi;6T%8j93xoH7+^X#^Nw!!wf- z@bi6x%GkheEK+94x-o)37f;g^E`^Wwc!cDhbLy^cr?C|;7{S%e^88Oz0ln+Qf=xZF zb?oO(t@hBJ)=Bsnx+$SgbwR?5ACU8uo8mt+8g*)%;owsWLOXk^Kliif zsdv%w=^__SQsJY7uKcCydFcuDr8kxteq$a=W$)xHUMBnNXa@41{T)@vd1OX-b#Lh00FL)#>sydMTO#Q|bfD>rFpu{` z>!-_KQ^m1m{)>FR%!yM*33!-zfMwdxuq7n6zh4f6DTLsI`U8`O-EHS@h1n^x?Be0f z_DdQ3x81rGH+{#F(o^Ms_^TV9m~F|d@0AR(`tDI#9prVqxjyx<^Su7bk7r6|5%jjk z$x|nRRT{sXP(xk4<+Ytb(2EoKHD`>p^30R7SLSUx1ey;pd=q97y!C=Dl`^(7hAGJY zg__x?341T^2u-}@t$H=@M_Qc2FU3DRk2iDv-x%ZS2f}sv9ea`aQA_$j!n>l4uislR zzR>z^{I}567P*}+`~ql3xk@K1dyksB@QN&Z!q+Tc)++Q>6y=AvCIiCE_Juuist`jZ z6YtG2apwildiiAq?6)WV^HAjU?WckbUp}cD#V2mt<(#3dn)VhC2A=0ne`a5ZIyVRj zPI*mf^8^qIF!@zy-f7Kbr}Nwh&Ws)=!oBkOz^IGgcZR6f_q)u#5?lL@@jlGwD5!8H zmC|r!m;Z`4h95LrgbOJZ1H@KL9=B!(1_$Rk78WAs|6?tV9j39FkC?rHMZZC{d7%Xf z>ASrLu_*{4;5T?yM65XKCd(2Jx%cG1Q=A$^=;=jB`yS~=2G@lbCKMUhl?sRhJutS_ zPZ$*o#7Dhm?o8a)Mw~f+JTYq{9_Bbf7fl8W*ROAeHZ+D59ZLzr6}C-v6@{z=VjdYa zbLS|pGVP2)$TjXL76XGF%+2^rI5OAkjN0IE7I^c>#1uDFQxPG zl*Lg;Ga{}Vu98{Jc8Z&7t{HwmY25^MnA~B?0BM!=mo55!J3_SamJ^eZ&66t$QfWTF zGpxg1e915eQbzOlWWrpupbM$7Gj6HWB! zaA|p(6yI{?HkO4KH8uV_GaZ5C!fz;j-&6JNxBQHr0$fAj6jQFi()@EboFWdUwBrPNWaIrNH}6yQ6}}q)Rmn2$Cm}vwflz& zDa)Na=RC@w1;LB4&@CU9qcR;IHQus8Yo#MGT=FczPyIOG$mi{T=(_l*L6dIe0z>7L=L_9r&<|^{F@f)$d*?t^n?su=2gwSonBTbk$Xr zeNJLI7Y1Re$z!g$ZSEBDImMOa%1N0?xbN+IvXbW+DV{mUwB?cmwk#tIByaQ@VmG7T z88}3CR%reNqx?f-zfI-6j+FGE)Bzp*=}l1ZY6Uf#w+ijxECSJ8UxYEr>_qWG`}|s8 z%go9t5j@J7KYQ|(3v2ob!uwy=RmSg~q-dP@u2V``#k7LGm?0v)B9%J^vreMlYn%-= zVp;BUrAFdC*FGl*G^$!NSQ>iR;OxMMPTI}Sbedra5m7RqdeMxMjm%fx&C`hSZ;rjglihpAiqXrF!s2#9ipSCEgke z_7zNnQUGqAGMn(uJ>RX)Yw#Lhm^B*6rjOfJ}GJa8?Hv}<}+5j8wjPV zDUp;7gc{c%&hS7wMx0eI&73} zUB)`|UFZYXXdhag2Dl=@*ZNB3)pN06J*ARTlbXi0=^sQPrmo|+f6NA=Jv0p`Rp~`@ zO1pFE%U9XBHVmsvsq3K`KX`jLYUG!iS13wTO*;icvx7Ll)3AuU;EluwmA>pseqmr! z_+|<}j;6grM`H-S`jUFHkH_QQ(v=tJmQ(F!3yfRKxMMuxHCfghyJJrAkAjWM@N}Na z-*%01IT^vrt1~o_PsrNem@|IDG=^B}^gg#pum`ko+Td8sBR~+U0oyi;nQpP^^6bZ% z<`htTkpi_(7_g9PM7K>0msqllu*OfxvgMFF)+A^Dvf!F+-W?RLnu%oh=rv(J^9`_O zliPZVi)5+F;l%-8v=q`xo|I*-c75M9Ba#ur-G9nl7v^S)waO<>V?*vMhxI8458Pa@ zP{B`Zr)zD*qGNY2E0K_qQI{GHUEC9z16}s?%JYa}O-c&)4FUUgja!f{L(6t^()<8n z4oAx=Jth^eSj_ADEx@=~OrdZ4AnUe5)IR_;-q?}YuK?Y*d%yjSd*_>_^0G(P33h?c za1SlLk~UmJ!W|YZP->g6n9st%Pm>V2tY-_!1B4qaDobVQYRzpCrP-pJlr?|UbYV3W zE#g^FiEiS^gi1U>O)lG{$1|nDVkT2!J}5ATJZl*dbI>3bHWz*+tEmV78f2xxVnZku zsbCLW8i41Ou&yIan>kWx)?BksB24?YVDI}&dEyFua2p8@d@*oT4p=qjLV*o=5L@od z1o=zB84%?e1n74mI8?@JN-QNuj(aox*1g#$(=}QXL*L13nyr^GjhDVL&uI~M1~lwB zNcDfGoabV!ZERSsCVWq_^7FMgx6SIhQJoEa^tfUfV%~PSRk6%jsm!^=zPws>Q~;-- ze$Q;!WMlrRJ;cytTY$5K+G(@F)}!Dia7x~R9Jq{!Z}>wIuw{HC1EC18w6zV5XfH@a{t^tnElgm{i*wHt&f{8C5kn~uGcl5(5u%rl$Ta=C{r$jw&0!FihMRzEiH{4O%C)#N@ysJd)k4J{C*qC>wI&3Hj~E-(Gt^^V`3B3-#({t9rIZ0 z;_4n_I~X+}^w@kos7~S})W5&J_RHwnWwhGT{GPX*N_?E9z(y?eQkL7aqln&D#r7Ik zQA8@8M=ALPP0osMP&$AHhLF2wPXhPo_*wUh%{S<2gS*Yu4aW8RAIw-+o~$FjkjQ;= z2dbXx3nR$DTQ;^rAR=A-CcWL7&C3g&rJo^j=6eXTn`BCAj{OF!;Yr00U93rc&Iw+3 z-ezk=_5Q-`1|4Aq;dXlADR&PDh&LZe{Q=r=F6)Gp;2frh7DV72o+$%85{Y?TkLgu& zvLXW+l2z4N>#~?g#YmS*Qm*Yc^bqKDGrMF0(4xk29szJVDs{g{>^j`aA61T;ool)? z_t==f^c%D$q{_ujFu@5Y`;#DUHJrJ6W01Pp7WXjIb>&O6nRx4qN|*>_m@C;M4!ba= zhaUu2ZL91AA1<@FVp3{I2rFBw)lGv~i5GAa^m(2oIIz-|Q={KRl%uEfBE z^e%sJSBs%SLh+!t%=}i|cQ6@$?&c^qDko2C$Zn$n76~+?z~1Xzy!%Hl&*)AGTzmk=H1B0FG6eg@C6JLX4ZuInl<0wQg# zt%qz9%F|@^DX~0k=KeJadjw9pzv*enn%$gPNNayY)&HWYC@PeT(HwLOv5z-{Eb80Y zkH@4efg=Rb(7QAH4Anl zvmzB1(h__jxNYRzSq|1ue?>!;=c6L|8_oYWfXI&8BJUKHj{|MgQ_$Px%`-oW|_}Ub1#)@n2gC zD)s;NM-}i|z>!z)TiRQ3a5j_vr{FRI{M*1kK7lgUS(9L=fsWpW-h&bsD@z{qNZh4B zppL4MCk=YVmXP6bkhMrOE5TMzJ`6Vp3`O0~0A;tlM4izI-eCng-?`p1lY@;(GyJZj z3W=HI%3<@W{Ym3!-a?!U?zwr{Z3GAoeV*wGtl>t|3JF6CevlkmSnEr*b@!AMAy!BUPbhBcsAOd4X?Kx}_Do7x`el)Ah1| zz0+eJ`)S4xyHlLA+Gav{zf!|D`vL!B7bV; zM(M!>hHX*h+_EQxXYYi98ba zoftkXC7gd%`ZW2$Z#6<}ZDGgJqbQsWV(ud%z|@}J^Zff+=`Y5@L$jhb7G(n~-xbXZ zW`}{s0~_BJj@;F0d~H)2a~ndInGjRHK?+RVc$?&(bXSx(nXPA8mXndeS2>(2VdF41R+fY_wSN_9NRacQ}C zrNUaUrwJ`|hqY2pR957~tS%*so^ET{5TatkAs-6dRoz$E@_Sarb}7vz{%XZ?O6lO4 zvWwbCd50-_T1#uM929sC1Qbl7@R@h`>v zXd-5K<`8`9hDHYgds}tQHS=dNFkZMBvN(ESQsS6iP*aoGk@KYWRD&HgSD3W3koH#B zrk$YPgmGd%U#CsHxv)v|V`POGI;8EQUt?<6UMx}byBkV{_`;Y#rJ_N5WfTJEUT%SS z!Vjh8XKlH6O3BuTT5rg9R7xin)Uab&6F|* zoUM&d&hJ9@BNg8dm3cm~nb}``0t?$|ink3DtuDlQoJ5u1WH#>dROHdl)U%x77pp^} zn^phI^oQT$od>;_%aHw*=1i$|)1UchcY5^2j5nRed#Vo{xqlt=F5EtSy?N9+8|**X zenceM!uF)jUMs-!aS_W`aW-b{^9ELEzPs1T(XW<0n*I6{&Ne4Qd%qg;PqNvKqe`}i zP|f$MPXD6+?!6X$#N!cA=yG` zbCUz|xs@ybeDQ&ej&B2mo0I{M-IHicV7Wct^XyiLV>{w>^uuX?0vDjZ1jk>FR*w8> zt@yGFjxkd4Y(?4We56MfX?#Zu#6-Tt3%f%2(D{*V^GTrp-*;^ZPxUZ!5cqFN>zesM$-@h61{*f!EdGbfR)N2>HD!n>7Er+u+@N_%*7yj0((8Sg(^$>Zt zqgm@SZ?(~PvTt?}UvuK6Q;tzPk{&fWwoy+irCU7@Q{B!sNWv8FI-U!eHlI$o5v3av zAEh3IHCZd0zU|nT@NtUz@cqbgV3Rt(mVM$&Ek>{}qNk6{qEL+hp4o` zDzzZNI>)n@$_c-eih%umnG9st6=ahd_)a1cO<1_ zbs4s%ur9PZz5GddGlHhmH*{?TSG@;`s#6A7s@*8fy;o@{R& zOa#^p7c^qU{`iQB2_MFm7xAMx5YIWo6E2)rL~plfK<#~lPq<;vLnhXfh*If|v~0z5 ziQTI0E^~P@tXXQMPWlc`8fG~1^+l67ZjZR&X@_|G8gufYd+`q|8{&fO zO+DJ5-3wbej-ni>;+)eA2DjcOTayhf;H9{i=r=1BS@L&rBZXQuNcWwzzl&$Y^a zkj*H6VpmF-D|_}@u01)AEP({tw6jBw)sWs5efZHc4r6X7iw7=(%^%7?9@>GhZ;I52RT8qw_+YZc{D? zZ7v-rW z-c>b^%jy(tjPZgeS8Z zs2$?3nEJ4i>Z3Lc%+$lbYU*Ws`+h*Kc4!>pG>)$4K9UVU~1YVK33LJ%7I4q)j;IR83p%xv1kV#sC1&HU_yj)ao zzEq+0HKok=+H0_dHcQv8CFsSMAk-l!zRgQD_*!?hFziicRw`g{jF#K0r{`DBMm;x5&a z5a}{g?GRxarD}ESGPWRaezD>1FxF&E+hD-#K|YS#pB{g?EAefCVl5=NtI35g^nGoT zbvEXd%vCiExoRTXfB9DfU&~?9Tx=>`IM*V9REHy_ltuB(r|fb2x5*~iMAfiYHjD3m zFS1x_b4;XL+9}28?as$7o8>>M;JP}Vyi*Em?EjAYo4mhQr5U26Suqt=*!+92&=x;Y zq0UH-V}g1IUN8Oa(Kia1aqA8q%Tf(QdirBi^_hO4CN~kNO0{^tfEuvWVm|8o)WVi%5~5f*K&G|x!a$R%JKN}$Ij1xss*JIg zQGX+}XkoY82&F{9I7`xTd5Yre&c3kf!@T7+O(%$X>(7y&_!y=+E5s~NQl8J|mS8@# z86FiCdTL9%vt1@irj=MotF<;?k#nkXAQkVGB=;ZWH=KVKPk_L{J43@sA(>AKJ1rH9 zT{UhfUvVw&fWfX;N$+ve+W08Zh`i~Nzy7cNF?vXjRPqv@r*(l170>Iwf~r0N1f<`D zpm4-IMp`N(??ryzuhSF)O?;!&sw~#uFH+S5eNo?yPQ%q=ZRSW+v#fS(V`HY&6-_JJ zOr}SdRIvt6|Coj`)?LKCo&Q}kgNz8Hr30aE0$wyXB{B2(c$GYj{?v0cj_VCsR{yiUn#YEv*XyiYoWEa$RjGJf2`45w zamhy&isc`I&K_$)a!fWy3u`0x-W{-}DIq=~KF|%^G2@@X+VxrD<-Bti&g$16I~B83 zSqZRX``}W+HL}JT4PMY_v*(e`h4tyheCQoD-Nj{@nlj(du~qz$;*(|X={i5q_#@t^ zwLwKMsLq7=H5}H4!^CSqXZ);xV3%du%Hm8%mOq)hxzYEf%)TaF{C1kS^+t>;J!D{B zX!f{f^4#9C4>886G?utw?7K4)7c=Pf{@V}MS%HwV=9e)By~GiECp9GY{oD~T+)FP* zc*;zwurk4a(}Ed|q_%Bz z+Zg=5x&uhb7AP+CdUZEtyFMHHE@;>g5k73l(RMJOHLTiad2u#w{zBl!v+zXkW1)=# zv(;mB`LnrTljn^bK|~B$zPYkTEX(w7v9`zgWY|N{x0 zW#Z~p4E~R-w~UHo3)+Q)J0v*4Lx4bV_d$aN3C<802oAyBok<|LyIXK~55e8t-Q5P} z4(FWre($>94`y}m?&{rD_3YYD?M3gJbw=cr{zxO!yhHMC-XV`z?nn83lTlUl5?M^i z9x;l{Zj_nSZiW%(qq|kr#%_Kty`~$x%e4-PIJiEk;0CFGkgD7%B6uT3fMC8EKX(E#w3vm?;(Zx1~0tK{c;twIPgLb-)M3ZFhwMw;sw4=X39PfP zi6xk;6e^dSUo6^Km9n5*Bnwmg2*7^7f{~w8fNNE>DisM&^+|76lI)|!8h1PDkBlFK z3&XazAJN3{K{FA~sNALUwxOWQJmT!TDiJwFGki*rqDWh+r)OK(JX!KaaZj&iXap-3 zWrmH9_Hr6-^pkSm&#<=@R39Fp9)xqWFc+R7 zNE~Ba%7_tV!FJrNDmD%T|Mi$tZOShGXm3LMST1Obt`b<)J>X}&Gn)U|omj2NyIQ`9 z|MBWT9y?X<>F*n-YHts4(?c|ZMB{$9ayz}mi1taotbTt#HYG7XL)k!{fm6}uGw!9ju>zLo$IPh7xMo9g=p?2H)M_C4A{kmxtbe0gOjG{ViV zuqlvXN=w%#r_2jfyBQR3YV0Vg3IaZ$7L+@YJ~TyLJG1FHPh=}I&yq0VfEG&^zp2vv zUG%cLRS9%L)F?&Ln4Y~US&>c*-hST|E78J$G)Rjy$Pjcz2SUFfE8F|Mi_2u~M~OJo zG4F`h^pJYhP4`o?-C^TLRk@S)>UbApQ{Kz~rd-PdGEr~wD*EX(x+jQ0g$p*nj3_&N zmQ!97p*09@;b4%OiqLP2eO*3eqrHGV>K1>%Tx=>GtUH*H-i>vw4Vs}K|z<gntOZrY5GR~4E6eV`1+tG=+<9jovzWNPyt;`0K!GIpc8q+MiB-_Nqb0U-k`9C zgCBK_whPx^Z)f00R;n?UHdr|@=uUuH;y}QWw@Fo5^^BgSo$o6DW7Zw{Xh>Q=?>XXU zu=`sRKKNooB#ndp3^OvC3j275m5u{qYI+YYx zpnl_i2o7_-cI&otpvsvFG3qy)nUYoCfpXqitp#x}>uppR)l!~qpBn(8S*^;lXV29y zCi?p=C;CqiScJQuSvd6ST&?Zm;CvuYWidxGcrTN&A ze#lv3rkic{3x7F5k@hBeci^!V&0D#C@9=?+*&cypgLF%(S$Bi_8emwD_ zj$TwiwoYDgL5I4)EI2eDu{Kf>vb(JfP& z=a8Oo%A~b2%ocqYepLnC6UZ30BY`<&mb^xas|S1vgiQj+nA)vb-b;+6nJ~l_>NVv1LwY&?i)-__Pz*ket$# z*H1@ zTC2Ek`>$VYZ^G6_yke@SQrv;FsGDjkU{Oi_VhN(eY>bxJ4TjIrI?kAzh%32>4pU*1 zkNEM(9@OtfY+fHYhMVn;d6+mwi@O+h^T;~wVfoRn#HgW|_zNI;g-kO@nU3UpRQ<}2 zAL1}Kj=k!7*H%JhT2p~O^JfP$e4xfR`*pdzw_JV<9cA=}V87#m%BO25;&p3E4yVp= zcDd4rm?=6m5jLguVlNSJ1ykCZ67j+nr+py(zU-_>E5c}(-T{2*l~Ln9c!R!(7m56W zg04vfq@c`-Gp1#-kHi;V*8Pq1Lzwf-!<&72C(^PhwGghWp9wMew<)@-C}X!?6}eyP zBi`5MsU$ry5%iH?XgPDi3AgWCZ&#~j%7CqB?>okJvn>Hd(TLYSYrkGJL@t;nRTMf6 z$_3WhUPTVh%Ae;iy8?lqfWRe6)Vg=v;M@mTZ4{;nL-*yfjQ7g4Q`}@-*+eq%MjDDC z%9`d(rDE*%d#3Iv~Kou%QIG#m^G#fvQ z7e93UEVj=N^ZQV%KoXNVSh~6#mCwwJA^ZXT=$3p+*H;zoIlO3m|CApN5^vs<2vFIbyvOGKyD>Ba@h4+tq zNsAK2Ci9~oL+k>g{i(gEVnEhBZDv4TvC5JL?j13;yslz?jW*UirkGhZ)dpD}d`2XE z>V@CK_jy{PNcNi_&YDUb*If63=>u{W66=P6Otpc?A0AB^rK%ptK2lj;c$kfPE8(n& zI6nG)m~P~gb?PEt!)y95U2pEd;mY9ERn=66WsszX@7!1xgn@tQhJg_s(f>-JkfI6LT>y6XeoYvHoUOA-6#53%(+XyRhL zfkav4D9m#|XLE96@UzHih4Vb3nUUe7UiVCXi{A`q)dNydtK9bq#^VlZv+SBr;$;3Rd?2(ip>2mjZ1G!rq_2c1=Agd-}xJiT6W zx9@8mNTLtEN9PLcVw|ZEWu~>HI^nH=a8v}(sf)_?WPX2aDqzaUyP4Au>dEpbe9e?H zh0-daHK&Bt_}`p!0p$h}fsBf1HY<<|3md#W9Bo}N3vxVcN&RMClL zsWXT8LFL;0O{R>MK{utw4t*Y1b-l2Q@5g-(oEZqb8%77ux4p=PGENf7sJvko(gljKfZM2r>ktay2dlv`{+9F zU%}mG_Zvw&0a1tEiT}i^4FqrAJr6@636@4r?Ji}bx^;iuXR^&sM6^rBR&n8|LsGbY z;Lqc>Rcou5Q0Dvj6f;Yb$yYO7wy^n>2YfKvPxtPA^XtTir=h&pcJ?04@?u+T!=6S4 zH@oRoFkTx5x4LTg%df$V(0t4OP-&qtx#epmM0@Ry>#SxGS8e z{~bcU6^B-25g~jvs)uHWqpfmQprs8uSym-*OkFTWoHNW<2JPx4C)3!66sw9L70s7 zoWWO5aF*kq3C!{D;KsXS`)*)aCCnIsxc^AL;e15bHZ(aU$Szop4l1mm{vC{J_8u|m zl{q_iRbdUO8eN>2u?jPyMHE%jn=u(w4!DVL z7DivpP5F$KNtzV#{{IqdOpQ$_v^;Qr1Q9-NG`@b0VwmO)bj;4@VuU0uD7SY#{R8$u z)Twu3;V)L-l}YmQDzW2HalhVl_aizo66&eXG0vsU%wZFw$byxbv92-O6!5;usj5tc z8UBazH&Scwb^eL(TMt@>CIw5v$FccHN(@QV@Ndz?=zM|VSj@0(D3W73b%K5ebuhh) zofDOJ;|q_SpY45H;N5C0EqH6u6Xj9K{~qCIAoUw6=KN2Dan8ZIPBGW}|C&ojDIB~h zquPFGsBn2SC3FmrLo9P~V1@|VwmH#+>=5O%I*GO>?w!)1mCr?!bBS?6DMOJ3<8zE0lc zVoA({RxB0Dzak>iK3VD#Tts)l0%IIsU9%(1KN-q^2CE5|?+rprB*B~l4C{0zHhuddtfD_EyN-cTf%;j%9n+|{|)l^d8-FI zU8*mZIRax(4J_$kt>UJCqX=TL6G{{1EHlqka=&(J!F-=n<&4PU0qTt*d?h$W#gK|t zE>b?Frhy_-s+49fBI(zX0uRE-JN3})){JvoveHpl2#KGUO7(vY7c3dp%EW0lIk__% zp;b&68t6BsL87esznt!vnCq2YMOsmkNwSeygY51M$ zj8Pp==HngBQx+JJf8gKZHlJ6eZ&IcgUJn8B3hNo$bT+FDsJ8!BP|Wn&nO92{p-t%& zVLq@=i|fBwwUB$svtxGkqY+lp@+a`9>-LQqsnv(?w&XPxn-s_~%`CxN`cbugEIP3= zZ(V)4NIbvi{;weakGGktRk3PFaJk#i`>0SB82A?;S|mE3{ptox?17v}p{Subr7%w? zz&r=!B!vtJF2F|tcTNe3hYrj_bd5xm#DL$eCjqwq*p<7wxzX1`z()L=P)=66ahXIRrtwfU zO%?4W-7jrg&ZNJeS7tsF4i*x6MBud?Qib5PaLqIWw+_>>s=Lw!T&1Z1?3lSHoVf%a zEXR2{zE}2#izS0&$d}FkKOJZk&dMUVjh+A2Z^b$@qAvo;MeQ=>EZ=D*zOpdR4<@Xh z_zOOg)CiswSB~T9`dhQl-m=ZalQFN^3$(1ew$oaGucVME@6P;4deEQy`gkjbna3F6 zWLh10boBSX@lk?#eVq?D3#yJ5m#!=C>80x-+_cEb?82V65*Uj-4=I|=@r7trE zoZj&|d6?A6F^SdEDeezJgKt0lB*i1qA_-M#Lgh$rn?Kh@Pr|RgM0+-@msz>B_3&FM zcQc*c=+8Xn*N0*X?q$F9&cVer+}uTg%;~Cs!-C-N$^SuoXf@xl^U4cetanV2U*ZBJ ziw40kKslUNxp+nH@ss%=EYsS(#%qE?G~XSe*Vo5ZrtPS-cX6ohB_Bw_~zwHXB2+#qhudXgHUYz>kI zbD>ugwxabC#&~w4uO20pFc(7X0|1#Q~u?&8~)$A9dwxq)o2$z zR#VX*m(9k@JOWT&$CU2;JB2N>lf&o_$K!*RCGR&*jqgDC%JF`(XushHJH4jd9;39l z2_rqz60UJg5+*pc!@bTIhQ<=~5oYM7sKakogHFqUoSz~RK=`w8LIlAOiMeAViJQK; z?4ykF3sK`ePvx3EyezNFeky;dvFsK7Va`!@3$?#Ajaic5_jvvNBt1@#`{#sMNj zX_9|W(%0`f0j3;T~3XQG~hn$mrM> zh&c5>G+Krm=GYtrbo(0m)AbYfs*fdGi|J&k?0p;90aQ*Fh10I_0fb1I0bv_Pmi+%t z9d#j_>wM7&Auvv(7!VCzYuzzk714Axk|RvTG-4mHt&)#2Ue%6~(p{N}j)^gTTubu< zzVEBdia$Fen>QbuuGFxh%=x+a<;s`(wU^pmnrNGo8Llpzw-N{V8(=P z|1Z8d-r@!08AC_hEiQglO>RUVuH>jZs@CWcXmS0I;1Fv6@FD}b+DSYrw~fG;bz&V% z6eIE|77P(~7e8%-O4zGSlhSX7Mp&1Idj6xGQ@9pp<7PP-Msc+VH9#yv9j8k51g~@& zV;Cd;I}{u?V~3e8N#Lp}tzWd}a7Ww^*O>V?^5n6C@V?z7)JdizJ*|XN5yMYg^23W- zwqy*|0ENEBNNI;g0a)VVwrfH_%j{coGNEp+ z;Ul+m>XrE-f}N@;L8c|!TB_^FwzM%v95O<%8tPhgwIPmQ^(*@d(2-y}O=$P$_lPp# zZoPyG*YG7%5V@rwBzeOl4Lgu{;>$R`DL-niI-AkzY_3$q=c*p-0*RjfwL+Pf^yNRs zK2GkfOJFGsd8;neu;~{mD#ZBK7z+jaXCReVj0CVnNs%!0JC%w1Ydxdl|GbP09Dv2X z?()NL3Q4Wtt?}#r@ucc5VPYEzFEtb+gkWl`kqW}cLAubYyqI+~otWv9|K+eK&c_27 zqwY4U=JtSAlcV!?NS|P%Y%OGV{NWhO&OxdBu=|>1MV*&Kgq8MxgYkvFvsa^t6z0`P zGZ1=iS-<2Jm_Pr^E6(9_2G-JZUI^&_(8w2u&9p{MOc$617YveEzalzw;|=zEFqU%t z@x5@>|9_5mM)Q0G4WHR=NyN@(3EUik82i#;+C&loTDIWPJ_QNQZJ;< zwb1CMef#s6ou+9tcL&Dxg+|*1W}14EFDr%zB-W8#n>5JxnuMr6R7v3M&1HYTv)(f< z7ARE@fEjvm9d>_RkGpP3t;y;Ax~-EW)l2|Z6+%@y!3U19Xk&a}Y=TBbjRGv^ss}t_ByY5J+E2T(TATIW?vquB2!zDWj0=~hC>Jy>n9jhi7Uo^|! z!pIlo2~01SCS{&AzrFq2P5qv4&Llb>zS^c z;RoknZB`(I-vF^-Sr>80(AS76sXSOy|DM~%fuGiUJ|pxs7_fMD8(3yy=V``RmQhZ_ zdEi2G1sC!>ENCVu^mv4iNz9d$JX|R@-@PO!r@kdF)q5Ud6e4=!u3w@Jg2A2ndY)2L zigLu*jS)ImcAaPbwANH}gFE*!iZEs0f2j0}htcI#A37vgI{OAhlsi{6msSETWRWkU zk6ws@6y4Ndd~G}_LherEx*els%WM6p%v53Dm!RVT?SVb9NZp~-6+2{BIP(*ZB*1Lg`gU+^IP_z=jJWh-5~Z#RW=8N%u}I&@4& zR9cxS?T^**A5~Brn4X;I3Zz7tabxE+oP@}FZ!=^&N0mfGkNh(X>Qa~SgTGL%?O{m^ zvnCV@c>1sBImBR`)z`)`a6a{qZH z{RTy|s%}g2@r`xEl;QtG0D$|oIt=gNekrxDyj>k8L1m8y%!>HhQ;4$yX(b+|6fa># z#?l?8ky5^BFzxM0V?acLZNm@Sy*KEH-~GUmMKK!jRyjL(zYu=)!5W$2|IO+rb*2z~ zIFMk^$87Nsf5t49QVajSjEa!e8(CPbVN$vy$b3ezXEbR!Y-aZ`_sK~p`LsqP%|C4| zDqabu0Z|3(A(I@~yixhZtQ<}g8i_P)`HZ2L?!d{6L&>^s@Mha^PP9{WR|?T>L=q^J z@-Ec*C~>*Qp|SIqAMmU7YopSA=7Z0NF$^668~cPfa4>+F#M~$tEJUaJvdp4gs8G}z zMMV%=A-pPMOFlXSO4qpF{kv{(3W$L(ny90A(ZxjPt4eJg0VAAlEACbtvqgV05%YpO z@yCLJ;Muq18)ubH^(?plIDO1~`vC7~eG@4V+{~3NyhQwB04h~!W?6h-4dN+7NDTKs zsQPbqcxjkg}MT%4p-bLwWMkyKg9+G#U`fBkKFwQeBS_=7WYKtOAVdiBe zL?+Vkh3WIZ81H>&o?3=}a0JooBFYo7^iW78aNA!*KB5 z+JS>7GzlN_`EW>BtA82^W?MD8^$MQ7Ji8laFT zEG=y9DS`vU`Db(vQ>Fb>{%2f+JL^nVsj!fw0D1;}ZMkB_XMMheq1f+Wn(2|r+WPs{ z691426y%A|+ki1Xn4&N=Y2dB2Z6SKVqDg3H-69cRp9oo`Bb!n0LatO$H=%UA?^b<^ zF^uuNEF3z&`Sh~X@$$MIoSrC4L@D+~qussod{`!TXVw);#>fx({9v{z+8)h0dv7lA#w9>Eqcz*)2A5j$Xk4!`6WE7rP$20O5jMSfZ_zZ?B7H zl|LDoYVAwv_wsCo7nabV_0MejkDVa-ny!UTzX6&sL#>4?8?ZitdUGc!kfquZCHd|u zlb3OM^>-i5>DKea=z+rJ|` z%{@q$=J7{=G^XQsCI^nuO-S%WyNrTbTD-0w653mzHWJ#OE)GK%6UtY-(E|TU(CmPg zy`QF8eHOVuo~;31m(zy{^{Z`=Z5vR#>*G;MT5daJagO9{Y$vt5SBKW2;^bs|ft_Nl z4CBw^&GzN$irD*GHvT!)8gi~#aDe^Raj5D0W!l!>_Z8JCWBT*V{R^%i&}Nr$0;cua zzU4R_c$$5o}G4r z@hlRJs=IvZsLyQjQ?!k+2So zx6}fH#E}heJ&qe_GAf?_=8=^=UGN&(S^BKFiT5w)j(Od?P3vAYA6$du z6v>A-!jap+@)aR|B0WyFT39X#Y-^<9@2Ah^LlazRjy`gxzb(7j`oSN!+1=ANdP@(1SF>G?pU#(kAk3%_C$9QFPP=3g%z(Bh*ue56&!@e^ z!`!@@y?i1Xx~;|Tj7W64+O>@APK>+xh5AYSB;BUH_W&FE1jf*zjd-soyV`Oe{rQfC%%74JAP2>9RH=3`r?oNb=t^cU zJk&eBwx1+zK7PrLtY}l2W*Guo1@Bxb3Rf~3ygdduL!TEN4!8x|pCr@AYx?;JL(!$K zb}5;7;b)1=NS+_Mafr7#G@q%cy`kqrcTduso43TB>Eoxe%V$$Q_t(uJZ!G?8iz^!+ zh+nc4wTQ8zdG6xQplY;mOai6xMfAI3eLU$NEtWa#jMvr*76>F0uTnBJSa_#a#azIo zcoXZ8bJ!-vX$$w1HtoC9qH(nS5jH-L-9-iN9=C(#KIr8Bf1Dp5u$zre_2Dv6*a)Mu-vGT2ZwCxEIElZaDKOcqetc?}QLA&_RFBgp57A zE)qb0BO4%Y0$U8)ky%CEjEwRt>Dn3J132^3Vk*91#RwKeYT6vmsVft>%j`U*W7idM zwRzf2_%g3oZZ@n!bvGHX)HAiO)1h4y)9X7^gm=gN?yHmKy6n!}b1}+qvqu`YCOUrZ zz@2kQ*g#J1n(ARdP8{6ur0=hCe<58q0R*1*O`gU}x?UPt?#)F1H{dwjLahe-Es;hyl9 zjyyh`Xu75dtzU5~SYratAO4P7>?L^Iy{#-Gzn2-m8}XZ2!@FrUSxdt-sZQ83zia`Q zY0#-s*00hZ@pRr!`O&)O7LWZs$G-cavD7k#nb1!2`4@y0iYzFH<1s$wRut5J#=kB=>vHaQMnGV28LKoweq0w~e&ddty%#K3lxw zJGBarIeE8RZOc6IccphC$>)g+quR}<%}z#zVTOGnztDe%{6XqbsV-?p>*lIiSp=N& zsCH`iu@I5{$gMFVB6M(J-5G3-vQ0j>`-Ycraa*Sd(SkqlkDHCwgBFBxNA8}4-nTIk zbFPs~Cbf7m4PL@Aoiv+_JLnDthBRCZn9MfV;EoS$s`mRRQz0hcz{`jPCT8`gsmha0w@QJ*VeEi)|10leLqs>h;8(O zr&e0BN78~REopdnzucb0O*3l;o7;F==#T29>hxMF{L~P$BvU-!mOUr=`!LDbC%4kE zlJ?r>l|4?%`R*faFFoa9cQ}&ZOo2XmzAj%{G))@)C)28J_dT8Dt)u-Dd=AzcX{IuR z?n3v5PaP5ro*^x}{)buGrqR1y+QH8Qh%Oo+?C%}5@r>OCq*(XK7#lFH&lBQ-6ljPt= z)d9YVcOlA?fh+pp+IT;2s~pl>&}H0kQnP>hFgh5o^U*7reyJW=YR&%4&~9Vm%~x0N z_&IZ`MoecMyej|N1su8xG%Q0e*?_}&yg-+Ealw?egAvN;J^{pu76df`MSu9`f`nD* z8yCgQ3Vn8!#wf_-;A-?MLUe}+Ms<{4Q{H-Bm#^nHk*S1}KF=H5uoAQlghz2cK0&*K z?cTB+OSD$mm(#Q5ZLW{p@|pa+NsFybty$fD!p-xRS0ezQ$D{dW-q^HlxUwLJ8LwON z-d5GeSF2CgmAPWi!Hf%K1@aqD={wnddeIP_+r?IhjE7e9;XY^eNyL=dx!LD9AL;uj zeIkS6a%w@S+Pw31{`UJ4S|}oC6ri(G!N2;|Y|ms(xBt=970QQpcP{PTr*t5@cTcXA zS2-U%xRRPzc3GX%nj3pQ zVver1HQjGaA1>;Sx4AzZ9nY?I%r6|iFrAa)S&+BO<-xp-^(|$2o6pmtX+3KqMuV^G zoMpgv<1faq&X-DOfALd=n0-uPPY^cu9Q@SL`k=>e3c{2MF~H`l9b_9hFCyn9(*kUD zafb-*Af&I)aeoV%(RmN*oObd+Nv}*9)DZc;w6I3+aeHWoBX0ApgW#9*pHy0@GZPUC z4V}w=MFe~l*WRTA#Zv(Ede~w0Naq+Oj+EiFS!3z8s+f%WA`flK8ENN_LV`MBMg8tuQ zT~k|Hb;?b6qiKD4LtA-zvLbDz?34!^DJa*tLd1xDaskw;1#X zaGoFO0#e>s_Q)EitC5sSij%COBlpj3ZKOd?ab}BOQd1g4>X|{480#!1x$fo>*;3AfB{fY2CJpv6(5B+CJsOkCvQqq z(+71nPOtXnY`1q5dO4^h@_3{Ki2tfL9R>2foCJwHu5E&zPGSI0iK<@H<@b~IAg`MO z=;bKX?(HbI`yunp$C^dYVdD++mILE?@B2;^pNC6mYgf?u>xtr|$g&~}_5@e3rKTsr zW%rNuX)VT&+LWGm<0zES{oc2W#bXnL<$92-St1xpQj|m<_RAk%9x$={ki{?|b9a%>{4!lL1E2 zR6_gxO+ovT7R%H2EZ}JyoEFavy;&q$^}Ih0r5sxLsSlk1dfq>>wnHv(zU|_G+V2id z+n&A3{UIw-EUUd@z zTJFyHs@oyM33$)TU=VaChvZu?^u~U2gE4jx*m^PtfJ_sCd?3@OCT>Ymw6U6+L#%-N zjST2{j|-*e11t1_b+>tF^x*i0VSCXwf>YDz7D3iD5&JVM;Nea)!}DQ2I)V3K5ae?K z^Ml)Y4}JRzAWjSPd^Nl3dDovHD3h9ZU0mLNud(rL7eA=UJPp2LRGNf3C3~Nz7z(sO zjuTd&PO49R=7NE;tRT<3?Nu)$XOX*&03wjrS+`~eX_wc2$Q7hvyBASfkZrEof%3i5uKXGBsB-&D7_-(DTIan@A@v_sB9?=P9YgkD^?dqYPH4tmenywcq3aH7D`7Rh-Q0yIHF7#E5gv#Zc& z&G!2>93SX-J>}CwGawzmtuDv*6NX?I@^4{dcAc{A{yE_E^lRSX&&t#$!YL+6^}kGSU34F|BA{mxuu-@O@bnRS zOYyguSdKfRF8S|0MQA=9{sG^$nPv{`JCZY@(R^9z>N36JPsq+kOk|6tfL8o=y-*Sp z1op*XUU#U5hpAYfT@N1vq$v#wRuX}ngzh$${FQQDG0rIJ-IyHJ>?Xce14n9@vW$eU z-OQAWJl%yVi9Da-fIK0Sp!VnWW>bQU76CS!vT(QfSnc(P1F#v=fc7LPKV=vE`)LA~ z3or+!UkC<0_U5S?qECR**5z9X!CTWrrGJt$p3T2Ja38$ulj0eaod|4)%TP>4>XULD zzM-;|G`@kmkO&zZAs_Fh9QhPf+LHG1Lftgs%z#j1do;qt=Dyg}Yd5m%ca~E7CGV~v zfEA;1w9N@R&m=UtX?M{x?X$8udb>BI^mM<~Qe3uEx3{ayJaPJbtgUX~+^NZ+ofGdK zl06*^i$d2fqaP>COT-FO)~5El|oa1JbC_CNrzn=(J^6b0<=N_NzV0S zO#Xbs=!jP7HU4M+Dj}T0d5}LCt+}spt6988VZmKw?-F%KH?5~R4QdxIJP>C(U4Bi$L2=D{ zjqOaNDqvd*fF$NlscMQpl4b~>hR*V5C*cEjTp~W{AIkd(O5Zm3ax`1m(OVg;l3tD2 zSJ1tlF@0Ojbwqu@hywDy7y6c>R_Q)qW6EP*l;8(_7<4U{JG>dwJaqgtemd;owBs;L zn|E!HZ0ft4w`Miu;qvLZeiRC^vFVCm=lwQ%doJe=<);K|=YsM1j__OeB_K=>N634} zlpAhVS=H;&6S+FM*dEbirZ*DyAw2Tuq0Le;RoMNI&(d63wY{wVWsfi?jKqQ ziIi843YV8dIn|%Eu@(hQ)zhbCpq~pIRBp>Nq(_W*j7*~Y?o(`(NT141RW0LL4kt%? zQ^2*m5<%QQ+Xf~_F@2;?L4a~gcbl4dVGQ1)mSrLCU+j7XuOXfvA5QE}wxZ{J$~doD z!yH#v+P`-Z`b;W&)v;`PW(x;CKHau)tyWXCL!aH!j(Y^3!n}_0V{rI6dF{spgvY^r z`&$%2tq`?@uj!MM*a_FaJe3|BO9>q2S&h%s0u<6jjL+&vzYU|ee9~aIJBoRJ8w*FetIV zG9ePS`TeY$lUFY&pa3izO^>lT%Wi7$+s;JL#lD7G!5B-8^K|{ZHY#rUZ%SW%V8lGgKn&?sD zXRqZcbZ5hr;-k8xqldwP6QCQ8nzPTZ>edVdy}Py1M+*<(BU6!u%ZGb_;7{m|IUA{U zT4ROF`HX?YI4X@~1 ziObrVzipm+v>?(HLiMzZ+hQBQeQAYvV&xS78N_awx_|b0v%Vd=t_|Xgs|_-8Z_+k? zxSJh4cU+1QtBTAd*npOg|5+fdpHa7;^}j7y60r#f5T@~`K0|kUs$E_QwQIHJB(QsQ z*=WI99!>8}>ep>_p4?0W zrS8pm_d$7g;XJk1DY*Jpr43G)#K4}&A1rUi@`Q9l3>>V83CC#Rn_^9=J1eq~FfsOU zv2{s^eLkdy#D zx_6OVp;iu02y?CU?>dO;@htS_P2kyvJ~b^XL|KgTyIfrUs zTBd$~z3V^~Cidl)`%onMz5LQWj19g(3VE zOSsoWM&o#vjvBz)___+?P?^Zt85#F(ykH=YB(7jP>g=(H9o?1VCU`aCU-4Ct!2_!w z{p1GCr2{ZD<%S^q!cxQAXj@R4^8)!kEehw%;p_#t0gn^&zn^*|wle@ng$hSC14wP%(N5$FH z)M$lobL49ch$U!A`?*H^E27;fowPYXfxTH%%Xv>ozcA^Fnzu{~{`Vb6%f~#B9aTtz z6&!p~;${D}YMRMq?)z>}+I}{r|GE_%4wmC!DtX_{6!Y&x)p_K!Q=}H}A5?#7wqiGh zQ@s+9lEkRn(V3d@1S2{A^dtSq^Cl1M6O!_?RK|>4imMQ#cSPnu-X||7u4S|_W+U!sCy56!sEP@Z`%2@0`};H_plimd2q$3+?;gZ7bv? zs0`l#w6aZ<6s1%A`~#=3EsR~{^?xp80}1VK3r(DJrWY>igQd1-n;WYtbOP1FE)Ywn za4H~e!b}XS_oeLEG7iH;b)FQhJpn8KN_$(PlTUoTEQ~aXSlJM>*>(w{-ERIm?WpAx zx?Pj3LM-GSio#ql?J2FL)^-@_W*B-9rhX$_Xiccx3Ym#46sW8;39klPN%SDxi0iW= z&v+ZZg8k!}=bJ<&N~@5Y! zVxs7$dLFvD>~J)hf1Q3f3XK@;P9A7*Pa>B!UfKUetM}Vs_+%U+h7y+<-eAvJxatZ7 z82ydutyK#U*oZracl`?B`di%FypbP%r{5yj0{Vs1ks$Fv1;sjTU+MSpa&3w7ad){t zjXU45y8)F`jQ;X~UjK2uam|I?Zk>1Vc|%2f3ge-5l0(q9eQJa4MK+!@T3rfHe`jyH ze?P-rlSceXc?hHbo70=nUHm{aWz)iDeZZ?n!it@M86opb(s|$eAd-pw47W7Z2^e@? z_}`JQbc88!ZM49hX@yVl?WjJKs*Uk;^N)-fXSv^i!#lyLa%ZFnJizjS24EqMM2Vu{ zVY=+-e4wEibZqfU6LzJx*v*seyDgcnzrCyDO4{J$D%r&`^}(1AOoOO^Cz#V2AQgPA}(BV^q^Uik&Ji zlu8ek^3h{?+UBU76fG8c}?c*^5jc(`MqL7^5k(+W;<^kYA(T8&*=~e(yS59QoIE+0k-y1L7T6E3%ARk z?Jt_$x?z8NTSSh$Ks4^f<<#WK7oFbZ$*5n-zZZ->Ur$6&PTo!SZ4VsfJQ2i;ZgC7p%!Jn2d5N^@Ur`nSD zzukjM-8&(J7BSnaQ(5XLORyi-84EoM7_e;u7{Ffq3&&9o(Fv~S3&{|;4^^~4LYYXJ%)H}kA2X4-TW%H}bs@%w@Bw|o zt$3QO{wzObinx8mg`4SZPp9?&!`FMqHSw$s-yjMI0@77Vq=|rll@5_Eq99#Lh)9=S z0+Es+U8;b9N(Yf9U3v)}0R>SY^iZXj&_mj@{=DzcInO!I`~I`JW@dMGciQ*5u9?gh zUa%W-Wz)31>07(+&aP6e+Gk?o;UF-ATVD^AidX=Wziktsp?igo3dDMTGw>!m)~G>v zA}C%o$}#Ho-~S`7+gay9(jQ|M}VMBiJOwj5~`RU-2KX}*kcUzg}a<-=>neQ`#& zN8fHf6=E&1F)_GZ`OxQc;%lQS>*PnD6M0H(o+krI%q4;=C2F4&xl06}ColaI?iGC< zko-3skQ`~0Xr25|I&l8d)BKAiY`vmkf9@jECE8v2kk42o&WNt^p~q)^-~p_YRX*!; zm#{rgUijx!yJU{nMl99+GiZN7$lOyK_EpZ==GUK99IlOczp_p+q79_#y@HauUy*7? zVU&Md`(D$^jkjKp(CZ067e0JoLVN#@s32Xj5uP)VbK4*%J&F4Y?LA0|W;k^Ootw{r z#^ue}pFg^JLuE_QG@4=(JKz#%4?2 zIBEovE?V6RA7Mrlqq7lcsyqC=hh=ldTtRpZw$PVTWG{w9AO5<_(o)PU)HA~ zA1af$W|1vPaE!xf{@sFEOsqWwn#|6oBjh2$$osyrDy7(3`c>>?#F9z~e6nzk5G^ zt`+hgyW~*}y(#a3D2!=3&1Yr%7$<{e{ic@=qFw|p`Vc(Qj(l};-mY@{0u69YuiT$h zo0-dWf4Nxr4;RA#F8+yk%mAHP{QrQ5<4rKbkZuUh5suh99NPbd(I?xus}at6eU$H% zW7hZsj>j{EydyaCmlfDu|_El{ZUMk$=%^;fzlJD)H zQobU^{S=arW}Y~=FzhCPb}?Qz0PQt{@col)Lftme>lPyh$(p#FHATj$h9A8L%KJ|( z#1WK%s<>oNdIz?Mp^1QgMgFNOz#A!9HU*;HVT@qRKP`X^f@_kH>+XN4UXy^NW%@JJ z((KOd(&NiNGLnimv(||Q6X0=hGIfg?`RXtDF|fa2O*}vpEUHKq4{1Oe1(J(B@s@v% zDPa;T^b8urzJWY5A5FK?0 zA`l|)o&=@+IY$>zRyt3f+O2S^i6Tz5}gPp~s{*qPnMruN0A`Ci|UUf<669l{AZeutETbu;N0J2eU* z0wvr1za_hGa71|9fcRUe_|xS7B=$e${ilA&|5aa`sK3g=4(k8X2!Q(h7oh*Mc>iB4 zmqEM$R03JV&)5ea;|PxlXNkX5zjo=y(DXd!!KIzN-j&{~TP#4mMxmLc$d^w-zd`t% zNo*s`_9Vwlq%(=*kCcNm$GyT8yd+iP?4M|+|B)QOfn|^_$hlL^earygy*K3IV`%IW zY8_W{ZBI8=f}D&i@go&){)xDaG}=M+6O0s*69lnBfkA@$e);X3=eQMIQj^NW>A6Bx zA{C%^ktR-Q|A^@;;BC5daQAw{>*iUMutFrzXGXwnO`TRMgD3tn%v^RQ?`}6?LlAo-Iy(_kqM94wg)oRwyME!E_+}gV$ z&hml#VXLS7H|j@EN+gyXF8axSF+fBBdh$si+N&r9b6e<}TiR`jXr>SB+o8qVv2h}4 z(sFr8D$GVRTnv#Pwqwim3p4M|a6SH$Xs5!OCl*oh=yr>83HP0dias6Kcdnq~vX@j+ zb1)4PMoOdq(U(`37*tquik)Tw;X@6yYBVo@WBrUX&H!@%ds4AeehceL{Y~ z|C>ODUeUF1Mw4ACcEUhTf~bYN1OMGdy43TJW2j%|aS87gJnaL`2giDUD&ysw9vKzh zkD%1C3Vx)XD7inOJkLu4f_RPQbFfiGb}+H zZvIR|JJc>>@?@ps6F!A1N8#l1&7+2jwb|>31RB@Pi)_6NRCTb(Y1*w#u~k;-&9N!eVcfPVNvibA((+$e`c*@R-KnkTDCF;# z0!k+D)en_}58aUCv*m99Jtm*p*zYuwTBF>G5Z)+vonpir_8`c?enif*m+P)Y-`JWe zWS{ywj6r(z-m`1sf9$BrUv*xz4$lsP83ooqNYnk*ZK%aD;vf3PliugLr8~aF6$-?) zyw78db>jup7f#po{ayIgX7>#RWMm9Yyfx}~p+F{JXiCQ52OlpFK0YLsOg9v@dKol$ z83e9;@?80JI{4U@+^}VSr+vDqNSA<~Kz1(1?qd`!FRv&K60*np-t!-O{$?8gF1!At z*nV@Bo7wCz2Ex;m|9)_MLYDu_`sF5h#?&(drGCG8ndSjY#_zYWBHmJW*;4ngC9}UJ zbKPGt*uXyLsaQn~@vr#m?QPhh5H4X;iuiy(_lJ6cdG0f$2brIMCh;-j{ z+xHEgA?Px*&g>iP0vJG?`^Wq^J!SuEZu|!<{(r`~+u(d*lJ$R>D{TZe1@O7w1#>u& zn_wX8S2z(k;@|E%T_^xYoOb8M$#?!6;{KXe|6xzbl63yz&cN#YH@j(72JV`E+V*Q; zKu6;am|u#Bp>o~}8DZ|FyrhFj2TAnIT>9n%4U%fROUvbYQOe{ zE2tk|k?nX%?>(pkFK7u=SMWtxHUlUdM+C!hB*0)m`Oqcw{)c&+j4Sd( z6o1nl-8ZmB09juFvL=kY%lfcV&_fte0N*P^e&07(JVgf(oh-;e?7tb<|EV7RqdqAh z{ef0U5Jz8Usy|3ip;$_^fhedz?r*8A#6)X)Qtkz9oZgY(opE?_cYa}5TvO%N_hF9s zC!9Y%J9KsDnM(kpoUsZ$o=&2^yuPB|ixxe_Y4NmqICR!svXP;FQfaYcAvUpm+R2lu ztVXxK0={DP@9=37r|5$XR453dg_)W*$GxTHBvfc57QT-5bxJ(vO;r`h`BJV~_E_Vt z9NW=h_I50XiqWx#SWeh*vMSu-Rmw+S#(CwIxPdtNh#*D_pJ~}Iy+@DG&6L--HYQ}x z{=KN|WnPkWzZ|-!-Zrk3^*a5swMZ63qoYWqJ z?uWFQZ=+=}9s^ynogRZ%2iwtejgOw$xO3=4Yw^06#Q^Paug&pR-|NTG333aochfnV z6mMNRa@|;x(&P)deilT>`hJxoi_(1btfiH+Ombz(`4*{LtG6PepO~?uN?x=E3seN-*R9m{kp9-T^8M1%At%CMbpxO?z=u0@4cqABk ze)v+Ucm9xs&p1~hxUQS=-hTeNG8i`yW7M^YhmAYQe>4r-c${V=^fpA;~kF8xcwWjsh`wQ1_U-$QC)cE-5Ci|K~-T% zb{Hk6KmMM_-iOaijb391hQZC)xsM$(rwY)#)LWI+6pN#$k`)uy>8F-YGHME-}QI6iu5HwU+BQlzf{TOS?uj55yi3UJ?CGx|ut~L2bg}Iz0Kpu4Yf8rrw!vug3K%R2w|I-Kd z$Gvt8{VzO_wEyvu`7MC|&8+$3L;E+<{N>xbtl*V`f8Dh&mK_K~6^!fer2nVq?mz6V zq{W>j+#2Wp@wm18mixeiHyV$mpsyN(IHekMC@z$&-B(5Y@c(LU1Z9w%(*DwUq} zxgV^6+WS?j&_Dc2roEfR5uTSWqa}H7w=c1&(J^CHlULM=_qwIDHvzWrB zcBE|&Kch0MHD%>j)gSb?J}^#=f|-p3HQ8Sm^2-)KP-E}YQ|B*!5GTr_r|xN(5Gl$s zO6!!N$NA(cz4HgR2Iff~V4KR#k{>@z+Hs=Z2SyHzJgW={>)}%`z=MbrwPcJ9^@9qj zj100i1pTMt?nff$IO4kFQtsKl8uxC)1yiMjxz`H9r63F1cH*`MvUCp5KUt;tRug zAogEb|G8DK==-Na^8fC&CJ6Dazj3ewx{B0q{7bu3j%ymo4!bO|x1Tl?}_>b9`!D*0>Tc#lRxN zQC>906~qi{_Q_>9C?9uILdNdv9&czQ}W4wLwAltSxhfAz?>bstH(fh&oTM~hsWnw+R@w#oGh zb+ye9vbHtZC4Hai&kbevcWzz!s&r$@Sq1Irs|9LRhu8(yD)QD83l@HrLtkx_S(bFc zG?*uKA(;Y3-q(Co+~P1~F|^^)jFyObi&jj92*buoLwJ6({z`;x-kkdK)5?&=7j}3s9HR zPO-Cpzi4!3`dGRnMgjtE)Vl<`_VO`*P!?9`fSdX85o7c61L(7%HHN~tFy%?;o5g$D zr{^eRon?Ov>?ES>dz+xB?uuRGi9lb)Q##l6l*F^E@HT)%Nq*mt2Zy_heroz@4ob{k zu3eo?PmVgn<*qiyT(a8n?ky7EQ*inmG8R2$&B zH&D%bHl^+?b}ZuU;B;J9n)}fwrLK?FHvIEd2b)>F4g)_$iXGq;-^uh$6{WU^;O9SP zic63(>Z@7bNHlXLWDm71x zcE0?bU{d)B`lm3d<=M*V`j4(lpUGePLCynjK*2{@>KUzW7T~93r|3b%bsMma8>%vJ z6V-h}jsM>5Muh4~4o@&SleI`PP|w9Jw_AE7>!0*8 zf~2#Y&Yv%YmF;xiAVh{sw@@w`OTGnnq(z1MMj@j(m&t_P!sj`JJYrZ9@x9d-lv>9I zUAZr1HoU;?+zqc zFW8GTXEp}Bv+AFB?!^t@LLfnPYh~UfB@;y_Tm}> zMxeB4jKmXGSaZMPc}6CGXI+9AbR3Ps#G2IZ5U4nxlpPG4?rB{YnPAAbEv(0y z=6HoTJ#CB%KAxEW-oCw8pBNR4HqCZvQPxhHZn6Det3icq(Y~GdRi)8u4&S zDJD6SnG`(#lZ`7jm64Yn)#SY9J>;J z#3Z-nT@v5F=KmaAf8v7h8&Xt0X+-?6zLQgSyfycb&fv-aSLM2EHs^UqYe zPvuHjT_Liuj2G&T^BOC8uJRqO-G#-^1)XiW8nQw7%3(FN2XsvXX6)dRmHFX~H}@uL zk84OD+|BVlFmp18Ra_v=&E}s9xOT27NoVk+>2kf@j7Oj?qT+)KBHxFdS$8+EAaXhH zj-{bma~1IdM*2JGbATk-?go+zeOj%4LIRqisd^Xvlm? zXzoh%Lu~IYvemL%RBS({{je-eyn_@oc4^3v#5g;lG|m2n<8$InzOiUBDrDotA(~;g zAD+ACyW4X_KNas2X0vTbvLlydBTp}FW)VYrP@~x3sasq2P0zebv+SDh164)2&5=WH zq`H&|JYQvC{e53?YugQQT`vEEl+s1!(_Y~5^#1geA!o2D*NKC@1U8yNI_;!j85$uxBvFIm8M6FK+TdwQdF%Mq0N(Ujmy(HJ1+*D?t{7TBOPd z)~JLzoA_Yk>4jQ1M9%6uWC#NNg1Na=dJQ2nTX9j`02D6Mipn3Jm9*AZQl) za4tR4DTJdJdtiiW^+MF&Lc23XgGf8{_fd%j6-Px*ojg*j7kfc-Q=upl{v7{mi?eCg zYj1!p7R6x|gyhJ0gFIroLN+d-e}fL?h3-zT1+U!Wdl0X9ZW<%B^6n}@E=+CyT%EmB zt=wDac?!5bvwPE3zY!55;G*yA2#-RQwu{sFdMsZc)d<8hCz^GF06m{59?zf zCYb*I%!8JS&6)aA1{c^SUb^Q_a*H+aNcG1LFRUlvN9z`=&|;^WaW{PAe!jFK|)Hb1HAY*vw#?TIIiOl=4t07tGX3Y)@Q@?D_Ol<1rqqqwZexvjy9(&*!lH zt~lKg-C=2SnNhi{LM~a@N^*Qlpw_wIm1)&o`2p^o(VO3IYJNpqxw82T3d?30v=70} zgcpnEYc!W1qzEVqz5T^HqNDPm0tM=Q;d6keyfWdMU9FYaWZ!dTm*vXK`r_QwgVV~u z_k$Y*c9Z&FBi`YPj@0nj;queY5t3MYL&<__b70@o|xs_c;ln zDQtlnw(~~lr5ke56^jx0v89OI>YL^~zv?b$u1Sb(nC{BXF(dMj1zv`##IFV%|U;m=f9O7UPv*GUxER}}ox zViQ>*xju^1)y%1ro35AJKz~+~qn{O~w+ra@ubr%BS-ZocXv2Qa%{t{cw}j2bCn_Eu zuVN=u%C6b2IbxYTIw4C(XJ~H#4e(wQp<_GeR&6f(av`Q;irbbO`*Pm?*L3xf{?w*A z$YcIx3;oZ-LMzLmId2(pIczQB0Zmp*1*0&v(0#F6R0y>;-H^Z$zUH$O&4sk^M>ZH^ ztv$0NIj{mR^OmlZD&CxDbI9)ER&*phmJPw-TZ?ip1qsfsLBSUoz%vuiQZ{Gi(M%%t zT?T{B;0&WE7x0AW*QU;LCeKP_`D$yqrH0= z5?mqq+?^D%yMgyOEsrR!zLAJBD@9&q%z(c-03N+%@U$$=P%T*T2IK{vFLjp7Lpv*v zB`-xuOzyUJ^R>~t8Q>_VfT?E)M{x%5-5GL)$2i7)_YMNpO?Sk)`1l{#6UCL0fR=U4 z#Qp`jSdS9TBbql~CmZ!%WkH7BinMWvvu9m6|65n844>wD_y8x+Ttq9=n>DxmzJl|@ zm8JO2azY5vyhWeXPU2wJbqZA3Iircy!Cbg_xW?&W5{ib*vRfi(<;bzQ6HI<4P2)`N zocN}W@^iJ)&ldPVYD4tO@l{Tm7yA?-j%9aR*h(0M9tx* zYDELk#IRB(c4?(1&(aOZNvQoQ+o(Jn_1 zNf|^pwZ0pdsCLqY@WO7GbDQ(bo|%B#DQKp)ep?$DL{0b&(nn-|Cw5-;$E;V73u}~5 zUS!=qEier-Kx8iXA^Z>1xjswIPct*idL^QNO9SFrp zm!bJ*pZl!+N}Kled`9jkDVN`yUUzp{i2E@mDS>Dy3M}b-yc;}4;%~>sJU3rijL6h= z5hv)+Mlgt#q&pJ!bnpDN_(BNz3-#B&_vcczq8GEc#Y0l97|8H zOYPq?xNt|fj`qm@SHzJUtU9anKHgl7(0`LOgIy$%l5@-sUu}nhn6b3Dtmg#;W?mz; z=4zrEuH28b7@4uhbmXS+r&o!e$+U-Oyu1cR*L?8I=sz{IylZ+220K7TTGEMhs*TF`jSB5KdR#n_`~ zuR-FY7VxQ^NOz@&9ZvYVPF1`Q`6e6Zp;CI_493t*-z%sD=~mfz@*T{=vzavkUd6pB zWyJV$jS!{f5xeDt?5!!|h$9!Sm=v=6EeWEo3+hvStvp90lG%0SzzyaA@*&*PC!7$4 z5H*q$-A5jX$$M7pH<3BYr*eaCE_mRtM!z^ejA1MnV&!S;c?tsX-#6 zN4v|3`#e0Gd=ua$4Q%JhbFLA^>+*pQ`7D}5wTQb zB{!H1s$vnnPcKiJYXyz(B=i@2{%nSu{+%80wZAwy%LP2w@Av%)@F2-pc2vrcJgKCD z+}>I!y#f}`s*_9K(XMW<+ZV4J&qg*dDwyHFsGFH44Dfg_3t5V~2Jl?pEFcSE;@QO6j_S@-Sk5b@FUbS_^*L`}LLOU;spT|nkY*_cUE@)?LaYljPpZ1^! zS=-RO8g!^yxXP&+Y;7RsbpJH_w=g-xu&aU4?Va#(5YNCDAX6GeqnKn{c zETz@AcPqs9qzX3*UV(qWk@yxJ zcy#X!?4A|{f{RIZFR(KYMMXzeGlyXNNe$SZBdafOyR4+Rei*4Kh-6NHeJMJl zqasaevnCJ@;n8I0d(IFL@x>)~>T`NOhepwfqpFE77M9z&Zi+%fKF_3WZs-$*$OrW?MO`Q{^hAbC;oQ3cG( zBwwMrB>6EfKCJPY?G1^Sf9vYSGymL z(z>RQ(I!J;5CdmXB{9MkC83#E>0S;!^%@YYE@3>rg6sP62fHx&B)+RIcYA2k=+>Nc zrM`tW3v5oFeD? z&gZSGjW%{Sj+a{-J96pkL6=y|HW0JDDpKZrvNEsamPkQD7Dr2730kV81{6=GI9ps@ z`@xwd7+V4I8;GE;D;xdEQ(m1eGh2PN31+Q%cRg*^{Kea1SSVVb-KxxbfI**qet3ud z7wf?5#qV#5S>tn7;9oULP3o-WGHNrTx*S~#`f2Ho8QgG>P|lDv;)c^6)er4_1F5(p z2`=4X?O}EfA4v3(EJqWpw083yTe9KDHrc4Rc}t$&dU2m=L|dy3^NSm!)^A-?o@e{@ z;(MMYdp)ewtvOu9p!MeGSZBeA!yBqc+?QtR9$L;Z$OH<_-t>M+Wnd)ssew9z`jJuT z+us4-u^Mi24fS!!<1i{Ma2Ch(iCUNxJOQ^BJDT^FBd9EBuq~gwE2(34>MmbK&yX>F||%E);N6 zJmbpA7U@ZygKg^pOwU<%zbwB%TfJ?lH8{^zF_wp7_cQ;T{S(+oNi~waLo&5B;K+sh z@Y@mdkf;j59@C)*k_dP{ZMIb<6)fD6TKJVxwmx+=V;-MeAv{&CtT-{T0omB|d;a+7 zF)#4tyIEJ_H+3LW5av7xyJGh<2pY+N^eY$ zp5#RoaThL3a}+zhRub+jruR15`3%=f{6hR-R+I$QTi^-tw4EM-JhHcJL#x< z3z2^1`j(RH+_1wTZofPv%Ynl-==Q0wGD>Yh_g)T?Wjc-j@!w?XL<#P#vQ8;L>XFs+WoHdwm|bs1QM?Q>R%{Qbi_ar7Gn?>bLkPDv9y zj|9r1w^u6=*UakDb9JHf2S%x_Z?6Z6G#tzvF&wXzq_-~ok_Kj$p}6u|7l;gMbVqM3|DRz!EEE0WN++SoSQDrEu{Z6!U znz}yehRH)CkD@D~J{r~B4Q=7IjIQ<@ZFazq?NnH-b5nh64Z-!T`O^V6-q(9#MK8vi zpChz4-{i5dE5CkL!NFP?`yUejnNy*)LzG*ez;TnF1LuP%=(vkm(iOz z9LggSEcgv2NVAXnRe-~+zaiI3Jgg?vz;-5*2y5fOo*g|L^2&0x<9%V3-90d8j}g|D zp`#l!>k0ez{wad8_4bYhy2m$O%Waz`5+*VQ`#{^KX7jxhERqvk#{NRGjrM9kwtoW~HYldwQL7E(2Q#jKAwkg-s`iw>x8xKky*RzR9e=-Vy+eJ_7f7 z#q|uV6s_Bj!1^VIggf_)%6N?eEKd$Ue$>g(tt_hV9Ga&J$P)T_Z2E{EW6$&Q(@wR= zA-R;%;szxJ69F)0IVYGT5O}5F#p8+kQ?jwHGYH#;S&@48RVe_3YbQi6Y@wnsnwEuK zJNsq3dp7xjpqHLi^-h5gSyW3s7u-{QG)x54ADL}(Mia@W>bt58H=nNiK>t6H@S>+Jl*>EhGsLI;7 zsQ<#WJGOjrLA|^a!*9{sGANt@Ofxu`e?p(BoeBRU)i`JSz)5R%Tg6O!7ta3EjIJ*2 zO|8&)bL9u=mK6u_uZ#B{IOWl2S2u;Ha&N&Uqd14@q}gUzTxKiq@q)4L#NiaNPnRXY ziSQO%&K+6VW*8ree$@Z9>uciYf(0RV_qE{^-E$L-wRf-IdN~lgsF;l082jpQ?XISd z(I-ROqmQ%Rg4Zp)zbscj_SrD=ZNhN;p)Yk1Cm_P5`()<1oa%<9Q5nYgSssKq8DcMhjIGx8RI72rTXrjL`9p?^r^Ft;Z276E-iFSe z+8yoeF!^xJm6BtYYo4#P#W1y%KVeM63@#)m_+Ejcxfs4!0?Ij#FTaeHo&$E4h~k2x zC31<`zg?SIT5FvWh!;gjPiF5R`cSQBs`lNQoPilTOQW;+(}z=oWL68^wLDrJ4PN=X zGKnFTkQdm8>IU`u_ee5lx-M_Ui8Y>3q6|Y%4s}R8;AZ_6KbvZ_Ljha4P=`qO;f-uY z*`>EuuMFlqRmu)N&Z`4+RTk&7y#2bk>L}ucWkbtBq6JK@2^PY8Hv_lyVYHxerA&F# zc^JYa!i}mUT3J49@*DR@%#<&-PI)b&4_Jqg7egOm9@(8EPIG`%C66h?8AMe`2Pxed zC}BA5I~2z*9&kIP2SVHS-H(J+IZ!sofeX<2L&OFTuO)L6gXI$H;fmp%MMBj0ky}m3 zr+k@acDU`MxPZnJ`;**qHf>M3%#tKff7n{cG4szC?lIs3I5TPpB)9nf8E%t;&a%Yw=DHa2iVCHMETZmto*9!T3S>4$j zTAVaK5h=sjxX%RlI1(arAa!=Q$P}d384q<%)O=MH#V}$(c zESFOrDIAM3taDd5;uY|m@aX6RN!oJJ7x;|Ll-yAioT{vRB)5=AUj-UTuic3RmaarR zz((w4Vzo&_4b}4G-G`Hd;5j4pit_U8ErP$ox>(6|6;eCmiPsxsrx4izy65)K>E*O} zt#_|&2eD&$zzeBS9H_GTofCm=Dc(Ng&$jWSZ7n10nW2dggU+3^V~t3$PK}wAXBkTl zof_N2Rv9)s8)2}-j4yWXy)c#uhkP-<*l-m#9{-*7DlS~_$i(%sFRqOiKzXiXmJLcU;dx`T7mAS`x?9w+z}{*wiM8lhS9UNt9~UGn8sy7HI-pqN#Hy zuwu+xC(KYuv3BJNr73l}>rK37!68)}IYJ88#pwB~X|o9BD`xS)toNNnh;ZYWCX3~9 z!!?!_q0f#wupfcEbY7aeWR{Od*P=_z;v|9I; z4e|zmYS|hqbM1^a#RDXIX&USM=pR*Ay;FWgH%@W2vM6wq55Li)-?`(jMr^IVuy`En zxO=P!p2i#(h7hAL=)X56wgwHrtb+#b1gn?(C;FbHw^$L}@D8sH|Eg{!L9xTNB^m~WNUOS0;SIfZ$3qRoFmB9`AUhv9;*Nu-4wkh(NUFjL{ z+%frX!Yf**x$_zO!o7B7g-0d8pSu&41ckTTUat~Ww^y?aS$eYuCkaQodpO;qJf!|Z z#-X?5x72vyWHp&R^YwSF8R^1n^gP5694mQTt?@*-UDdxNUTz@U6#|v!ZvU{;B{u&H ztU29Mr)~Fp^Qo>sYuPeYw+qTX4pr0u{A(VV+cYmY@rMtc2$9!O8RRFBKf_}PxmHiymj=(~Q>D+V#mea7-AY;)P%d)g@hfm2-j#&;pRnoMB=+j_^JFIsPC z`;gs2TwM0s5<@-Qt4nC(trW0)p=Q5jwbOE=td3ImcdI<14PxOH)816Sq&1OnKp`L1 z^l=o1eP@~PJNidO>722S$7`8IAt8@kb>#-Sm*5XHT_4s7XT;0MH1h_{%+FJ75<_%e zy1_OaXQ{VDds0@lR=Cg^&&W_keE=2~B!vV!4uU#Xk5q9RTza){a&lIm?2>^gknOTKt8 z|4n>SVsjz^>hyNyq7!$O;EQNRE7;66(7Eqfk+B5pJ1-YN>3u-IdX#xWW52f~q}F7h z%WK)KeYljb*<_^4>(k|QxMZc?WU?b=e@AOCF>fYAct>Gj)NgNBbUvYMXbQWrZk2Cv@16zb+ty~+Pbxll?Q98iB1d*}8JRXDrnftrx_j2Dn~nr! z;S;k&)y{)?m_N(B0lu$0>sBCq8CYYGk`>$8*&?qJc;YK8+;Fhb;?LD9E`X<*Nvs^T z8u;ed$Li7GMqTUtdC$6TM+^6o3UPUM^-Qb$eSLf`qeSg z;uB|t%IQ+@*fi?WXUS_>leV+Hd$Q}K?Jkg|(7e9OShqd1 zeo*0T9Z-J|tG2c?Gv8uxs*!sz^y#;m|Mek}G;uTB2g4fP@Zr34^Sk67kc6UVgNKaz z-7?4B{uj`$Ge`Cj<*PzxSX$eJsH73$C7Ox`gvbcMopktPrPQ?`$ZquGE`KhOzp03#C*v1sQLHP!xPk?PHa?^Z$=+WbLS(Y$AS0i?LSqNMbOKqYt&=!C@HSj3i;Ad zD3s8i%*W@snt#}z%yXOv=DEO)$s)oF&7~G8qE8rTi zm4go9h4FmZbPr$ZfoTJMZ4E<7p$jZ|HP3W|JwO(-S*m@JWa6TjO(zm}Url1xrG8zD z2nsF}{OTA+A;y5GX~}jh={%<$?1aW~%tyVGKu>yiFOT1`xQd<^nh)vh43S2hBy`D> z4nvfjt9$I(L6&~LClk_cZ%8@(3zU1(>JKo$$|Zefz~{{uNX$J!r+7sn7hfQwFOq?H zcdQ$*-e)DtM`tqOWG6&2Vm%=gn2=6%Uu0gv>`8?8n_7k=Agk#uAlSN2hWKl#6~1sWa1g<^*gU)(kK|{zNEpe-;=$E zv^squ6wo5@4gMakLe^oPMBf8>ulh6k8L@b0a4`AlHa-}a`sDShgE6X3gstKD`E)bVpXV24P1K0SZiF=y*)RCn)|fSz>7IF%DRV33^H1#j zi(*~C8yk{RSS{j|1am(4zLozVq3SSIJ^PSFC%r)U9Z z&AS$$hDjNP=R$C<4{J`)BT9^ePo^2e3uKW=+D2N-$-S4z)5d!mFKwyf+`jh*BHk=M zemp8IvE!V+HB<7T++JF4YH0mwWtNNoac!24dBv8n6TK^8lTLo!T|xT{MJ6!kk58=g zTRsq$pRM_s<+%U|t$?t4GERroBQ3h);zRbbBvQ%Qfy!DSg+37>?wItA)6r>L3VMVJ%J; z)Buu%t@C-NI+o2{Kc{v^q!rGBCO0B^2v+>k;#Ef$XnR+4rsFsYTW2Xl+V4D%DTzj{ zK7G`&q9+r;d#;o7Qb`|^>@%DzZsF7)QBOpUFzCM8Wn<0)cf~E2sNVpIk=|WL$XI&N zMB0EqMelR6EXSV+l?_Oj#~o22$n}Wqz2T1T<&CrT8OL?!Nj()l>+lo3RY@iBOTv0=$SRegydnHm>Ul|piL&7^ zVVPF5rk13-->2xZLHO_7+QRtB1Yn0MEX%kR*zWSTh1OwDnZw|&q+)ktimxM; z>4Q29`brLw?498cihjaMt9WyMh2ejOrny2>VO5M3HCI24({WBta0ye!!z0wZ6>S^~ zL%;i#riFeNZuvhXeFackUDI&AXesVc2v$5maF<}k-Q6h^3r?RFhXe^yytunNh2jKv zFBaV0|GeKnlgZ8OIoZ3%*UqjGYbHx+7M`{aGep-Ye9r7;!nHV|(tan`u!{b#*|Y~l z6{#s`Dr&BowE-7$^Md~Kfh~TnY&BQM;6as0uHO}J2s|gE!Wp=W>x4VBW{YQ4$84%g zKs`UT?{Tf|o#e8gB;P&Rk}9$<5HvVjM#;CIr8A%N+vK6JQ3yCRybcI@Ws9L$R{NC1O>j78t}t3CEQm>K>Xk zhodf=+q&!B%b1B(oV_EnIDH_pF^yx!pIO7A9qqI2`e`(c)K+b*ab_zPuusbc(adC~ zrlD;5txPL*!zMQlG{P2hjUxcJCe%Dqh zPPDM5&#RSnyRn|(q)mEz&wx@PwxM0jAdmU9x9J`@)!d;wG323aMd_j+6gx`ip<`+)Ml+q=xnqEx4du^@-#&7zGgwpS^e@5VE! z?3vr!W!e-ZrS~0Ty?(t%i(Ch!Hs7;&q!9}3{`_KfSixF_b7=A7|K<=vJjUxY#t2+Lpxqt`E82FpGgjq9QtWoNrUUr}&l8oqnCV9o@knxS(!e2Yy$ z)FaCa8gC`4N4Of}%)Qcof3i+A%oP#s>&6=-a~i9pPDQ|Cb;qQ+Rc1 z{p-N?@oFxna7L}cOv}A`M=XUwMq?{gJe*=I<`H0fbI;#Qb!nKSbCot$2}QHazvhxx z;ZACL?$pg=UJYnwv+XA0zxrA2H#li9$n(Cc^_ii9Q?Xrr@X4%XLcKS2_`q;lz}ic= ziJxfQ=*$0RU+fkUn=yfNRPg^*hy$b(6T4`GHs=5ml41zc@^DISbOy~aD{0W2`* z?kU-J??*G|+xwm&l%2-?>TJ39#>sHE3-|*PQ$JMv9W|Irz|9)oNK2K$PWW(4LGaIZ zH>@I>1YL==&rG&8^aTk~w=A#6dBQLf{q4Nt3X#VM3>A;BIy;zcF*&5JN6F@V#a7Se zTu!)XVqTcbgLU7L>NBP5GD8$;`3fPVEuoaJ^R@rX4ARD#K|+N0Uis}VY-N3(u(l_gV;~|)B{F7~sKDMvW5{ZK-($4zPo;ry z8y7C7a41Q0L{01Eqac#>+VS+@?R>WAb#+hi#(!!v+Ak@kpqK0xlc%zA79cW6q|Gn) zy(;n62Cwzy?2zT`-qbrmlbq9h537`()R|z#kB~@4;{nO(zFQ|Xn`jxRkE^)!BL;jm z#UsAz%(q*pD@WOdS1*IXizo)F{-t)0JeU zT8d_Ph%1-0hcPivzh}R;wW)OGT=3Afyae|>LAOw88MU0Qfmlps7?GjxoSDa?Y3TH2 zASKb*PX`>K(zAabO1?DweWm~HP@-$kvE$8j!V7eC-5*+seq{i~h+w=I`>sb@ra97o z5_3!N$b}4}Cw$^*{!@yl8W>CujVHf*4D)11UH1;czDsNh!nT<)4Sh?{t)X38P&X8D zWe3rFNzje2J*stB>BH{uzao6?dm^FID`AMPxWtkhHx2b2UQrsaVXjZeDy`jZ{O1hS zQJL!oId1u}yRgF1<->d(0IJiQWnhp$2VIJqY-_c1qc_Ha?FBQ-XLlV_bY#5rbUpF%);GQwfnO!7eVQd@&@4Kl#rXj zw=e&${4O#rwVU3WHNZ&< zl0fA=>=uNdhhh={j&C;{-k!y5t(|adb2up08;`|X0Sc_YMK*i;2ke%wZ?L5}&$yHF z%SvM#_)0gh%L7k~Q#@jkRTnb8@139KzA^SLxpgtx~UtYaV@>d^(oEmjV1h(8O-pQP(eJXDx=_pGse#Kl7YP zLpVZD(|)9ZS+f0`+U&Z==q0^l<9s3XD|pz;^L1EkxU3Z#UroKk|10lI%JYsM<{8ea z*jDn;D4#OJR9qKPrEbpiOgJrMe4|Yo?IUe!R=-!zX#h8vyYK-X$dN1hB#(5#jepft z!j;un)_vJR_Ok{>1(9cA4LCj`eSSm!Se)W>#j^?F)gIDs>waatsSC}7wEDd4jFRK{ zG-t-)%faKRONUphNtQu<3YtxnEoZA}5*2n$4rn_@FV3;=W7i_o!8PI2#4<3Bui^eM zZSdf1b;vcCdbAxQabR(&G-^2&Rk#ROp!jBZtQ^u#{f#Act4dY5hRyIOjKF9>Rj{!p z6VrP?g)kaINwGXA_*ImP0w_F~e7?xpk(g6PLEgKl^8OBtdE4|maZ9^?maD!Rd!8%{ z&shtuuT@A)esoz-$lkLuNcvdX_ae$QpQG^93Ak`=6bN$?*kjIk*fU!<5LQC)TI~?k zdFYFz=!K1b;*pDTR1;!k^3X_D!}WMU?i%Fmp30?3TkNPue#1u9n>@%MN~K1OTW^KQ zLK`|=g6RbGgf>`3=x~5zF7v}P>=rALwFdcK&tkppbj#VAR`t)(w4tU(h+X7Ei}Opo zH}{qs=`(AXn&PbWik2JRf&ewQ@#r!yyaBnnCahh5KcvQ)_QTw)1J{p{SL$NPySlc9 zMA2ET)nonrHv}b!({YWGpI~sJt&U%HnI^aBR}#IaSQ!{osTpbqnU0G++|cBq&b zUdtBq5Wet?+)a&nzTu_X~XPgDdX$ni0BN3 z#4#oc5|AvlUCS0-auHJD>7kfeJ|}%~dAy@6PVD;*?f3TPtT6mKyv_IQda93T*L!Ce zU)n2;mWnh7RXi)C6)mRQTPCy12xg47y0iW4yhAw~NmE#qgkCy4J(qNPH%Zt(O^2U) zOcn zHdxmu*7{F`iSKtrT+8Nw8eysAykrVaD_)!&zKHOMPnW$A7MOzye{;(4t7 z-~}wqpDx<bfmcRd;D=U;O z%XMsNWSfx$8Zn9e0qJptq;B@=HI1a~l^TAS=PnxwT1(FB+x57r7-?!8X)>*;;47dG zFF6WA-(sWzuhklw-v~X(98It7M?(1vj61GVInxqZw#4kTh&JmJ!tEjL$zrj|=Yws~ zKNliDK!qcaM#H}i`~$}`+RVgR=#qqU2XT3@Ufup`5`2S(m%sV{`9W?wPnHMx-_HkE zV(ytui{+EQeGKX{>zE1Wcxmq)6sa%pd|GrzqlsdVN=?hTM|uu#)jH}zooyn%9vLjX z04dkb@@zW1;r-@%&fnIyBI?-i=iN$RB7m#Q=e<=mRx1}ml!6U8|8yj zcq@ir%<_SOGb#WVd*zVn7h^l=b#8BJkALWSMo4PJs2x=S^$Y)!ERAQ;K~Lq??nFyc z+qLg^`S!Jp6$xP9ke*K4?W?0c(op~tGF2)LD{IIc-4uNLUQT}R%H@L-PDP3>=F?a8 zp*1_%Iz~8dvB+zD-}Py^guENW@~ekVKUCP8gaf^Y!;d)+`;iNA|HHof-_=xx?I@@7 zv5WQ$&M1AqCm|hH4UwP!_q3hG!MWYcR*{Rq!7kkn7vs6{=K0{NmxJ!de8$s+7y%5& z!SC`3IKSe|XN&2H?tO$j%#ZgC9InycZnz&Xd@;uC!FHcs6A!(A-x9#{z+ZwAtu3Dg zZL9T*Yv$I zyd9*Bn8k-b?x&^S<0S2Upd^qIVL2q`d zrz!yaEfXTr$2+_wlA*Pba^c1q!Wa&%i9LGVOkS|hu8r~K>{(oS8cncp>wD#}{~OA9 z{81BOh?=y zuX2a<`@%ezAe)oY%aJX6XwJ*Qp|8dpdq~g+lL%_Y3YWU`2X)lr$KmTitK`<+N7Gb~ zzOR^d>dOb`9+RR$oZ}+ys*a~rdFCT{l!M6I8r~^y5)A=zzoJ2r0>!It=Ql$^pn3NG z$h;YutKB~Ndxx%Wlb9s^IvFmGx^A2qFX4C|m4AHUbvpeOTT!_4r6(kqUoPARhpN(>Sybeu!u?pc?&)j2l1`dbbADD046&F5{7{l!^v5A9RdRQn ziae*2h^M*-8Q;v*Rr+e>(m&#@e;C=k;0h_q4}NM$0g9KY!`Z&9(ozDs2es6~-D;Wv-${ zMf(N&f<=78?TwY;l@oJNHCeR6bh&=UPvFBuy!q1xI=YdK|jdC0aT2I6wU=Non%rAD;l z{ulk#&89Zc@Asr=%aJD0ubf&(m`bZY;d*C0X|h-;b8G8;|4kzkUuKngM~chrYCkC% zr8|awl;{M+#wNMR`m1LFM0TQZ6QX!gK~f;?THhr8bo^}TKJaeY0;_n_T$Uj(bRA>F z;wloLbq+$wMezQP$>PnTzL2Mc9uS!W8fhY(;T}%J16DMtI=Wk{&`A>J(3irn@nuHp zW{u%F6)`+-jcz!lb5VQsj~Q${D_jtbb@ahPNPT4jkYlFsw)OxaZUdId2~o6Q*247P zHmL=~^;m$Z^?HvxnO4gE9?1*!bC4^tSOXRxM#qQm%ni(7J{a|-$6p`}ngim&O|;k0 z1yYk2RL$`8)0WJE%DXZ67-3tbM6=3_H-3a|T3?#ube9E;v>tB~mpOS@-dZh&2d;c8 zBL%QHS_<@72`b+_tfNz$A?auy_zk;9)8j>;vO1s0Nk^B=D_(88V(cs0l7BKPM*X;t zjN;iQ=>AJSuYt4f8w|(xM$aID4RJ9qqFb_gS>;@lZ*O|<{1add5GyP}nXyvFDyI>) z6`yFdc7&c^8#%&I(Xs6X2e~hjeniEp!YMXqLvW`tbSb#4TZ$p|vRZ{L_{ zfqi(w0$RptW>l>EyBO?UK)P!|#mb#U+iMI|i9pmUPofZdWw?MM&sXlFY4Y-_-G1Rm2D57SaS&CAc0TMJwc4!h!5QHpQWJEvqzeoOc@$8Ure6PH zbLDKOj&5?yEOu6LQ!cZklzvi`I!#?pwqT2+-W3{VrgsE!FR;k{Dh_Ht=g@bkeiv|@ zx*KygmHZ<@1+RDp?f(yb9FM(S06WV<8M+qN*k}dwinfN(Y>PL_7!HQVvXo`zA%a$F zL-3dbv@oun+xW_|I(F7wG@Vo2>@9!SLi*&n`dVpJ=9XfI5)&@i4&p$sen@xvI4SLr zEgcCwl(f>ak0o1Nji9EWQ8E7A$g{Xgb+6v(;-0u%X6W}kF6Nm}C^DS+kZ^l;R6sGE zxn^gt(oOMbDgva^h8&f6xZ68_9ousX15Ywpkff6))Wn_6;h?8OJsq`cb+*LPO@pOY zI;P4mMC(dy1wXGtLOC1YW4-sy&Fsgwq?9QuBGv&klS?CoJhfNyR)5{PNBT!CAq?)_ zailT!XG>4-zY3dZExiLxu958mgr2FssDI^o17moe*n|*%wWCmL$v#FTrtQAT>1~Oy zPU9za0A%_9kZz&Be8LgbN!dp#0piE8C(3>}UPMFB)AaC*Ed>vYHs}mssg59`ipr<7 zhz22RrJZ$?E$ASWXQD#J22vC-?#`~?hXQ#l@ksW`w~1wc*UHHlhx_~n8Xqu zG=rfL!tN;Lk&fFYJn1vdBx6j<=THIIV}^1>+C|De^i&8>@SV)k;@mN}H)Yns#{k8Q zztoI}5`XzYZC3+-?sdAzo-b8ok6viatCC`yqMfhlhTOpC z(cp(?$JwMDBfP&3QTTEUG=zig5S8-VJ~GCA%qarlCZzb~Zc5?pe-{uybW^O*G(}-7 znqh4y0GC!*iTT46v_hE7VX)9YGMrNx^x?fd*8;EHl(1?I-0Sm1{rc&LQn=UKIs2$; z1!VP&`guRW*TFrz9=m~DdddK$;=#x)B;xe9W6vcFAo8@_S6Ov-t$BRS2_ zcKPqb;QdUX7}JD<;Q2q}otuoaB-v^$MUlDFM;+MYU-+GpTdwiW>0Kq@lQLvnpXh1qC%RxGNg700Q zO$eh~Fv9au;`Aw*TPkPNoqe;zbmXpn&Kb+hsR(I|PsVXma(B_*5hIddw4V#9Vid+} ztr}7SS(p^`N}Mdujy*>DpapaH)!^zZETf~++>;UliP{s%+*-rfFw}cr$r$Xdf?Qi} z_D+*FJZ_-&EjJA2>UAeq&*B!WByM{qgVm-8?#r&tDit!)1r6#lJ<@dGHdA=0*c7@A z)ZZeCQqx9r*>{B}%9={HW9JLNYJDi4xqs)?t~!kTk;PW&F;eG~$?&OQ>l9+=6?!dW zG$qefFloCP(_MdVS4ji|Sn;k`pku-QUu2TDOd$_}$;(pKk+x}cp^+Hu;lu*~WVQ>6 zdzTc~hsR8{s8g-=d%)uRcq|eYCCX);W+Y}U?B<5zfWW;J(NDIyOG;FcY3<;8qekM! zQm($6L#RE+MGEqYN`#5(K9D!fNd!3+2xX69*D4vmm%N(lD9djuAKwrHmaw`ZIm3UV#s=p`Ghfk z`WY;27ll&hG$S~8{J#2gglsz0dqndb4R&QxdwO%|Rd@)T%^35_S0KmG>?s5i@en|5 zxEb46sXqH|LN(CP-z!qx$0l6TYvP$qxT(=@wkKRZ$}nE5^$q>}Dxb;OWwBV@sNc}L z2g>A!7}s#4u-Ygw2TiA>e^-MB_ZiNQ z@UMDp7CYKFHK_Ac*by8Sr{@?+jUQQ*%dhhG!*M6?$-2^m`h?Za2blVf+Cvt;sMGUw zd8T{El;P=rZq_NorD=`E`W?R=iLT{3I>oN78_R6&hYf2w0v14U2lo-;29m#e8=S7@ z&@Mqm0jh8A1-X5)_%N~bKg6oIfW z@r-!GOOW+v=A-!!Y9EbfTCzDZN&d>%u=l(*6&bAIg{!k4FUM~p6E~|^ENA{q9~_2Z z)`z^(0y5lg=8c)MM9%$_y-M0=mU-V%En*#_aN1eHI^%R{BRxw-wi-?4W&!(%hT>HX zB((tDuTnJuK3S;YyL`&iH9Mzx^G3)`Y zvDz&Mh#xDeYIMl24x9}RTlcr!@Srh|oj$<*c7SMQ|Jcj}Z%m`p+VEJz5u78#jVsNM z{9|E*^q|Q~0CClxH;cy(C`!rV0$4@n^ew;5sBFh}&cAy1n?8L$hrg^C*S6q3JNkbo z5Pab)dRdq^&y**D3Y1H)c<+9@52~x^P)L+myaLaSKvfwy1XGba*digPOna?&d3CSL zK8d0784IjS-6Q#9Wl_RImN!uCJoA$~n{VN@L&wi`2`vC_xE*L?UIXA;o;>z?VyG8T zY2@_bA7!uXil*D9Ahm1sPt~Tl|Dc~|oHliz1FGmX2i|HXd)E5m6=Rnfo)3ehiUS1d zw+4jW^tvgpQ&j;5a`cC^v`fXW?6GOSCoo8bKQMr4(}%{e>8fX-1IN|2QYQ15FtDVM z*y34r`KLzT9efV250HyQ)n(N~L+%Omwm0mI(-en$ai4S5Uhi^kbau_EX2$&nRuxz3 z0Ffbb1^{Pxgev`yBbrqP&Uc=16*#t@LxGTSOkXtg(Rp|QXK59E)<9vAV<^IRptHSV zxdIuH0`q>!b{3w?86mfb!baQs=S$;Oq2*Mm8W=Zp9}%OMB$P1`fZ*Qu)is%rVIbS>INnXCebLJ(9xbX+b+Vh$Jt#j=5bZD8I z6*d#Ru$VtO_4gV7{#-MW%-<+ zr1JNLn=2e<*k75h0|uTb#*gy5a3Z!VsoiKUQdyiO$*(0l)U=~>IaSEW0;~{~xmBYDPWQ2YD-8s14^KVwQezRGAwa%M&e?LBG zgl;+l(jsc+iAQ!f0#zs|4S*xeN^YrllpkBHp`EX2ewc4xc~DjG+h7^Hv}Yg*w@Z6i zMq*iOs@5rzWh|~{s;k!1{JjI}Q>xa5k-;e(6`!L?xuTO*aRD>&&ab;!qU_?wbzP{q zEs2o28dT?qJF1yqJo<4u6q9HcWA?p>Z!`Z0Wruhbu=0ObjvooPQi&jkW$K8>&R^@-LZ;cpmvt<(!%BiiDDBgK|q_(x`$gV>q87SJ$d0V^N zz=Cea=EPbPi!iDZlfk+}rH*UkN)E~J|4*RhLN2OqmHxm^ejNfe3aIA0O)$-Hv5`9@ zgW}mp9g>008H{#p-0loT=4_KI(bIdtzMEktwy!Y)j&;wkmy5nzu;FhPpxPwFoMjOE zE^EgBGmhl+#oqh#gf|JC%yjBBn&{TaVH-8YXbB;ZR`opF){I=O+h0}=e13oB=H>@S z42f=aVBNdg>5{!dnhH^d^{v)q14w@~ghqif*_C_n{_X(o$bO@~MpFUKlKEV9MN zb{1nqgSdwTX_}_Tq=dQ^36f=)UD; z1v0_sBRUOLcnT;%^V;|AG?!UlOT^E5OwDAo0b0`k1eF_jI<=zX#o$agN6tr3njZIC)z%L9*jQ z0)5@pt)f=B8V5WEr@_Xz=)K+a+e7-Cb!IBk)Bb)^j_}ivzQpzv zsbcot({uz&s@l@7KSJ)KAtc8mYqr`J`73Clmc6iygb6sInMs88#CfN~=9o zEXk8t-|~6FX1w_XHC&JxI<40}%)@`8DViK{R+G?0VAfBwPSGnSP_kXB`y_+fMUuJ@ z`zb?Pqnq3X9$y13U1F+m>F7bIM`v+YvnhtTkr&-Gs?_W7XsmFe`9$t_n~9cNZVGCc zD&Y3UMenQFQF+`jox{6&l^ByNn0O7<=#ITDmjbBaFd_4oSFdi7EVuPiH4$;n?RR7m zE$c9~WJ}Sao0U55j@!YWlN5Po?CTZb*~e^Lfv2QpI9Exx5W3)1x?hcg$ePZb_{*%b zV)1Sq3~zH*O@9ls+!VgM224SrUym}l8@EY6B0yJW75AuPPNp<3R_z?VO-5urE+o-z zBykgRMB2rN73?g`r9J07`msIBH{=9+V3w$y=J*nf+EVMkVGYcJ=N2}Jz%l_jQpzp^ zGOUaSjX{Y+Boyl|=6!ir;l$dqjEkZK$F$^4792YL_00`5i-Ri+!b+Z>))bo?&{Zbe zuybr;3rM}q8z8*i3nc=%%&EeMT9<+AZ~g&Wg8K@nMG<6Md@l4Qx;-^*aN^=#U&Lb^ zl|(U^M$Z)ce`cje8&Uw8e*#pCFt%bw(8e=IFpnY%SV~dt^qJAdpoHFCRe5S&Ttoo( zr>Se=64g++XGm#q{~0|2*Gc&BNAnV1sh~2KM9q=W5A-HRJpc(XO=`kmxT`|elB`i{ zI{O>CUCD!;%>Dfi=_}(_#&Sm%I_QOtB!bdsS%RT`C;16ij*)p?NUx!s_HNs{^<1T@HUQ&bHr07ur~o((0|B$@P%pQ%b+2;7VsN! z9yIPE{hUL=?di6}IZzWGX|}ehr}kxaWN8l0Z7pn(fzsIR|L0!x(ZiPOIa48bghdag; z`A_dlEHhQd3aSGv!ME^H_OO-5LHi3Q<`(ni*yDt-Y=6*Xcm$UPh`?I_`8qb6b*W2$;BdeQFW5!uoo61ZG4F81c>8YT zOQ|(jVEra5_R3%&!7oQVX$f=KS1b%C~g(xTn9!UPR(H#WJs;p8kd2yui(j*NA#s4>a+7#Vt7ep&MJD4b^DJCF#oiHpKeq+dT zADDO}!o@FoT_>c@5g*H{m;IfuZ(9^;+u-I`o{jr^9|4SXeN)aI+D=MI@uS3C+OHh4 zgF|-OGyqDXKJqj5QL_<-_c}PfSRk{kwpggGzF>1%u^(t&MyBP=9#s?8$j*f zO&6(5-6Q!gL43%v?RE00q6coaU8&~|_Xgtu0?nAEwszdoLGnl}&`lFizPDh^^-)^X z2=kM>{{_{{1PN>Qba(yu0lD13nMxJ=_ws*uHZ4E?G#MM@mD1?OGs3oL4Em;E@23{) zI34E5#VgAISQVG-j^l|)ZHE^M0)F|`%Dme@!*SXtF?b*%2iCSzQ;YO!Cye5e7*cOT z$MeL@bT((m0Dg0W2{)&nT{_r*&F#}}@>*1|^7_J8krR@p6N1{M6FOKtlQb6bMGjSI z)Qllh8-}^mW@o#+?lGgSja}K)&foaJ``tfPwWEPHX)vo!el?80o%(&n%v)&tLcI}Y zjrF-x$SY36+P6^RLnSN{7UH7o%_bg0Mb!bW`i*|mzQU@jy?IL3#nVw3YG7-JHgShuNkoot(h$*p+iBuQC`inVU^Pig! z$I^zQx)*~N0GF%wO>U(FTB>vLVNh00pr(6H@%Qv@G;J>B);ep;7v2bdHg5!DLV7A_ zp)yVj1V@lFzR-u5F`8=4?2}Or|EVf4Ky9he8zG_yiqo{c8QUpI!u>OOd4TjH*3zS88DpfmnL_?{aKFOus@*^l6 z!Ngoh0(3>kI!%alKF;||cVsdKy&jjcBDA|GUN6VS;4<-GsV3c*%xVgit$BLPCNEAU zD%H=mly3SDMp+1DK}~v}!VJmWwiuFU`(v|%y-v{o5JIf@`;_b`52^x^Vj6)~mI99Q zn{V8a>A4u1tl`ifDo{8w&1?Bi)Bke3!~eLXf@6K;%kNKHEN+B&eD?K*-B#fvdya^T+h|gihkaSwDU|YIuq)L$_q}-dP-UwDBnWO%c&7#L;yuoKJ-7bb4xO-=B z{z-H>$>0n|c*h*!$x7{J@45bA&LYZKuCQKcgHka8BX)eX;<3Z_CKqUOv^tHlL){N? z`(}Qv0f*Et$%UtxKXmNNkd5gRHef!vOg3-F)=g&w{iRxKM1^^GWIF>`zD6Nh#~!nrK1Ly}@|Wd6qrpwmn$BRFEJmB-Dqb-nkfj%r)Jn6;`&{Hm9 zJdsBo*=SdUGH_AxcyxKeR{Om;Y7Y#xlZ{L+btUtN7nIz5H=|J4gw_o|Be9s0_9tDggCsQ`sxIm6<1B(udiO{qR221cpdTn2t zAHZ0$24ax1Kd4Zn*BWGvpdTiu_x9~zl-J5$rhVTN+4rvxtCn6fZES!sfm_V^S)wiL zdnfcM;kL=rnIkVRWuwfL-bT`eSn_^WewNRej{B^a2_G4Nzu;U<>O6?Li#yh}{}ie{ zQF!d-Ih*9o%863Am+3{hy&qjs&OT5k``QX(UOtS5z6=JHW{DsFBOcJ~@Bhz^`5_Z< zDQWpf(={EXARKSlVSGBFps4}hC|&n^s1L)oui6(BcGge#u>}Nm_EwwJaVC@$t)gaK z@`$aSJi#qo>d1&>6Am`IiqtiaiO)A%mt!e+w)v!ulfEMgAz+V92p`$m6Me0+(=0)d z=0Zn@ZELy%B7L#v9xoc|LZ{K$zY@idrtyu!`1^Ba>NPpFn}txc0Dg)urz*b1NKh2& z(j)=R#vuo2xj(06@;H8&!@X$!)U^k23i&-P>@~e}Az3%`W#=6_Pc)#_C#zxb{j$z4 zoI011)`>G^dY`3>x-Q1^Mgwl5jQSM1~n!NC!zrGh&^OLtT#>?)okvO^rhE&DtZ zbfny%ir@!C=a|$M#Qqdw&i|AXcvP6A*_d+{#2V<3A4XF~>LQ}g{XeMi)NHkE`6fBr z;KKQ5zW?zQvOOrCf@Mh!I7*`_TrkTMfFekEn>ZLm#hpz!l4Za&0PW6l6%Dcc`N_v4dcvT+R6FrIMyuvnGR@2${KmK^K&5W(@9Ya4(6j!S%Q34#XUC!oY`-pu1iPtZs`d z^7j?imXG+WH-SEHLb;^?R^Y_HeZp~?KZ;4{FTUa-VoR%HB~*rvP&|cEThgQBrivdT z@{-=2FQ$AvgkB4!SIsw`d)gZB-(viMb67-t@>U|WSI?i3;Pwr*TOha#%CymkrMLn; z!o*XTIbMAhXN(3AZ+sttHtzOOK$Hu^U&5fI9T~Oni355HG0y2Kq*?t<eM7FXc*cCF^(qMn_88z*F4XUKJ(iHW z7iu$pEbFYuw41878!sA1?>o2m^xkLU=8(lYvGJj9dHM%EMAUk4^t!kmhrJFJI6 zA81qTW#+QLTQx`R2# zZ7x|!gR?ZQv3sNL!Wacalu@VDdtupu9e=e-MC{lPQM`?hfBO>x1 zttB>8`|8SH%DrVb~W1GH8Dh-hbIEwZws@W)th>6KEfe#hlpT;ljJ^9`AV&V2_P zgPFWhDgH@C@%)4LXccz+*}8c$u1pzvH2(js`DMal-)-f|WR6*yEZkRvn47#0oGtBu zu03CFuwl+p+9b=s!EPI?B@|HI{fH!LvGuSH~y4obyd4ce+dP_w4RL9iON2D6Qpgmk5nm{rE`b20$a+wc#JspBkp-q0A zlalTWeOtwyWp2|dZLhvYuU>P`Avg)0ve;(G-xt!WF7Q`|+h^0vhAj{ZX|zO)SjyY$ zTz+jOpJ%%caQ-#EVlFn1$IOjqtm2PdmzX)`*+ z3$s7CB|PLFpb%o3W;)#Sgi-eN7uv_)7a|jmh|}$i3TrX-KjQ5`wbV74Smd=P5GJ-* z^+6bVwl>DW0+*s$6RLXh#dr=8+S6X1ZAY8_QE}oN$8BQqvyUF^(K#L)v)wjx(XB;} z+p*P7kxwGu#~0w5u={I=Yr0xjY9OMHW)NfnP}b(9WTrWAvFN?#&^S-Z2gC01gxG{2jLc`1FUD==2=8?zJP&?C zo2uGd&W<~SkMFH1Bj2}nTu!R$ zbVDwXUpejV?nbuV!N!}3WE!_gS*17=Y{AF=Lg*N9vK43>@Pu=b`_wZ~ko=*EI6Zmx zEx3makltTbg=!W1@6@t@zht4t{X8`2TFJg-%Oc90dvA6V4}{7-i(FNiUuDSJMgy6c zKNgQpAW0khbd&Zu1id)+%wK#h`W|bt(ofXJxdGJTP%PY8oG^Aj-Q7Z2+;U1`wduTbGYZKbvfS8gZa=A^c1ZM+gt#2c z+T~A*%TU&TDF7Yvk1Bv@nGeEwo;-i;qt+A$|*?On3Z!e&; z5XiV~mf-}=C4C@0{}$Zm-8v$(28ym>czn0NEm%1GQQyM#F8ROd-S=~M#iH6D*g|nc zz_&M|ciOl=SM!vmhYKzF$pl)SJN?M~(#n6k-eo`WGhRxWE;LtM_s-8{@Sk%ce)Xb! zZ)sGKE`ktz>tn_$m4EyjOC7ov-z6TPcbKm%sTl7;X2Bk+rFj7-CT|sJzV$M#0;ro{ zp?{M4b{`w~bHUuePt0JpA2FnL+c?P7f<8U@>pus`B?i53qzF2erSE7VRf4C+1yiI+ z%XlnM(3M1Ka<5(^^~bk4WgCA}>OOq={rcy3`+VY7b(*?2`OYuduDIXy7243iyDLXT zqx(rVzKBLQl3aFX_))oVFkm|RKbr?IUGW(6;9JrQb#_TfrQa$(>dO}n( zc`vb#^@TgiDC5^j(Qx7BZ#3U=LEOu1BI&Wn1P4d%pw=Wybg4f>8=C>LjgfV;UdED@ zLmO$iLXt*PGr|6RuZ*Y)_A7LKqqkm zp(#+BTA4czS_4h{H}xdJp8i`C!d?1U`@i-tGKpdjh*@e^z|xVfw3b^ga1(BArj)Hj zrB2r3*XDYqOV*Sh2h7n88lP#Sdh}n^^Y#=KTB4Z)i@15`X2ML>FkZ5TbdD-#oe<{0 zKcJ}q?J3J^##_l_Swh&zzT-Az6Le|UDodA<*jR~05<>^`V+goV=ro{oE_SXn|5DPR?vwI_3(lou8|Zh3nhpR-u2nCpu-aHwwxj z+3LtG9g%SJ?#|Xt|5H2CS$||F{OLoU)?;ZjV&M#a6^>X4S-r!rJWMt=&$+eO+%i z!JtifYG5+-aD#XpwxVclqa|6)syX{K5WH-i@*U`Y@Ts-e)E~X=HC--QAj+2mb5wvm zB10tbih~uTqP=nbztY+E|;v+XTa%7Fhs9a!Az@+N8QIO zeQu9f#`4ah+;Q7WXdU>Q&Mi_e@8`wo;*}|E-2<(pn9hMm`m|(seL1`jDvGB=qbW#l zoX1(D0IfcM|CQy|lEbd2=*J%4de1#98d~?IU8$lrF(FkO=88{tEGCTHJba7W4G!re zdR#Kp(7Oo%5pXH~dd%j&G}W`CNLaeJ$|wD-il4)%n0P>b3JGFxkG!U?9Xgpd7{V(q zT3=6i$I{|eZgAQam=(C)?7=wdacXp*mA)+~DFa}n(b{f0!V&Z?cLQc@m!>`pYI!{l z*)F1-6XLehJO(N*@NcaQ(%t6CPML#u4dCgoK}8BGR_~9sW|E8EpKdKAdskF{{CqVw z=u61>TZMh|0_CRLrr;OJcez0i>qGbwf-gV(p^#tg^i2@6-DtzGvqaR<*H$-Fh{Vxv z&|@Fw7%^Orv$r~%wD8qWOQHlBf1B?sZ8hydD=+cXFGucBw&COPWCKZ+J&9Jjd%0Gs zO!LyXp%2DoM8=-}Rt|{KGrzAJgf?r-GB&*_wB=klqs*t%i@na7f&ts|k3t+3_Z>UM z17uzzGhc`+z6)Dt`jpV9*1hB5;t}pkvY9A6eP~_(`VpTC<&`#V80w2sbt!YNu?}c^ z*~}oQjva-q<>;9|G6#Sh_EyoqYj2g06hkzVC^UD`>ZC%L;2MdKfHNn zrA{xFzhlEf5jb0`m6$5ag51v`^qP;wD#k78^Y=T%Z3ATf=TYXDDBq|pf65$l*jWGA z-wrb|_kt7$eUOh5w-{H?zVVjS|KsVqqnhaYtzY#)6h#!I1O&u@AP5Kn>7bO*d+%bX z0YQ3)2Wbj~YN!dlcS0zUrXUa?^xmcS-upMc_ug-<{4r}7X3o^J&;ISb58ddL^|KDE z?bEH-A0NX_Cp8(FV)4IEWvnoFGo$Tz{j+@N_2xDxButxdDZ zZBfR{wiP6gCFM+5-=obiu5!lOS_?mzchjD2Zqn2l*Du_xsD;^RKF+WJWTF-<1-u6P zV`VH`Q#8}hr)gRI$k^qr!2B5sD#slTr1I75YtL+Ex;Zu@G^M-Qyu>8ClNJP2BrTv$ z`!-Nenv~01{ZqY)j>R4Vh zzVX_9fH&V}8kWzG9ZTVqXZ85p^Ff=akkqW*+G0;Qb3({%8EysTmP>#y2-HoSQMVS^ zM|on<0zKUkzaMzO0l^ml&ee?Q{g-JG4K)hEqeF}aREm_|4xg+)wx1>jR0nZ+ z{{Ar2Njn!(B7YP<&pgM&olYuw`%_1(Gm8kAaa&4u{py=8i84^sxpm@@27RXt_F>ZC zu>>SvKOS{OcsJ$tPjO^$AbibBJvD~A_@h!p;dt<}xpQn?@K&s4xz6c+ycyhAu=v&Fhq{};@}4xuoT|9D{3 z6w2LYM>3MgI?2e#=S4txKYo>u_RPi5$U?ccvmBz-3ZhUx@lzf=n=u@ho?ZNb$Ubj< zQz#jpb-0bgN;R0#r9!oB<5rZ?#+SHgAwh(0$A65Y&5PA2X~dpS>(oAV05o40mWFdCmtaH1t?Ho* ze9yse>jFR|56njHVPO@Kh3LI{2Qc`y_S;0#^CFPGc(o&r-)j>8y}YYRBYN1VPdBt1weL#g^PdpZDDD+-|FJ$FfW2WO#g=?!)(H znx9r;MKb-}SLg&swvFzi^$X*Qd71^j3qkW^IXyS6J75bXuC5`qXIZ7>0-uXBcr@!I z_!A=HwW@cFoXySpCSM1Hv0U_6R7#xaQA__4k89imY`UVcjckTX?fcCI1=%rKHAL(1 z%l)6=nD$E^Q`xFQkab=Pz%>K9x|oSA(2?_>&=-oBZvmGR&>v`Es+j^nJc>;EukJ2( zg0o;c&~5>G?tqHY-)25Nz3L;`mvX0O^3^%>E0dSPcbBl4^m!5R%(dY|n|WUu4c-HW zB&gvzdU`?C(C|6YFsiF@!#lf7HcMW6?|J~XosVPI$_^V%nlQ*;H)ZwZhMWH`vF&~$ zVNYmq9RF%4K(0Ph*e{K(`dj-t{c_Vef5ivhYmC^Qyu%TipCs5#A4ecpdG*JYm>iF6$fCd*f&;^fX&S0%>!XT z$_@?V2~}07D`Ixht!Az&GCyqOU7(}~%bJOt&~CjwC%Jk0jAbocH;_OJMqs|q>xxhm zk|Ox-78tY0?h6M|Hijrb-S;~@EVM``cjp6QL=3aO2hGHoKXQp5+BN{T$}$G^l(ZVv zypvpx5zQO!j+e$~LAc|?`epz}OY$;mS;(9vGo&ZlJE2k>#Y7h-76>7e-N=C~%Fd&Y zGj@K@BiuPQno>=qJns1P1whp5ZLboUDCr;EY4x5Md8|fp$03R%3}Vg^4*bXY=5#1S z1t5b=H#WJ~4%+7kckj9obD2Ny!)Vrd)cEvOyy=U^v1su(zuNmBI6u#F{P&8Gk#KsM zJr$O!e#G+q5h`H5`v6!wkkx}5)7gVJuz%H@m)-s=p#29d+Nt%k;VP1566{ctvOy-zPg9NYWnr{t?~$Lzeh2NIMYrG zO8G6$ivx|`$`X{)S@;dw2kV|5WN3c0YAoi?N>KTx9RX|t)N8_hd3&N6h3w%1h+l#% zIz00a&yYDhW5B*U=O5}*Mo^4~mUe6bi^eP}-_U=%iHKQ2mbV2etCQDv#5!n!y$`m& zs(^$_%mxhvK>Bu{!AgstsC-NNB4(M51YBMRdVf#NjRA=1*(8{)r1UGW!YuVr}%o8#Omh6@1OGhafQMBRA|$NLW6zM`tu{Dgnrk!mCeL}qdzCiz4{)vO#Uj) zf39H>v%?Q;v0n09J?5k+rj#|EV=EMSO3@pyweP3$!LJ{#2Q{&otoak_=cTp2k9)qI zaoGGuc#>s%kFv~y&cQs1;6b#JA%OTEfHIwUY?8(66x0rBdCUiih}QqHNnKJv zEQ^0l5IgZRC+_>Joi1PTw?0PrY1|w$H1w^?jObRkZ%(squOUl#B)Yzlo`r~Bdk#*` z#AHbE!$aNG82^6phx5^VcMX9HmD#Xw?<~u}afC{}DjWrhwuK|sxD&->XRpJU2=Hb@ zw@!uBTO#if_m?8X@I@tv28T@}oF&7Rb=I0?WR~-nzRgvMh?^-XFq)gMfB1>TvN9xO ziWX7a|2#X&SCXX`V-ZFAe~*Cf_WR$tLe9w>>}@eIkRx?{n^F!AM6lC1YOpb9%!yTHbH*oK=S-5(OR|e1F%-aL3pm|rw68r zjQY0ln*B!Kx$Py2tXxb$VWT%o+HVTJ+&`({420}>^OgccWMEvI#RbMvgWQcnrT^&Bi_1CJ`7IHT!TZeV*1uyih6~uRc~QJhQU9rc zigX%CsF`(A!P+naF69`OuW=+qF){Fvz#1Jl--Y`7HulIhyo}oF!v|fyC*CnPdYC3~ zj=HaGl@hM{oaKDe(j^avR(al)?XHQ&l|usxp18dx>mx+Y7vJM9$BJmaO^?DF-x2%L zU-wCxhBC_Ylbs7+7OsO@XD0h}VzmVC^9?jT5;;)Ps7lIahsY0O_&;zI6=u8bxt_)d zHlPlL^!lYtLobpO8XujoaL_kCq6;f)i2~sgseK>m9QKXQHM;zW6rZ@0EmuWETJZh1 z{_Ir3ivsj`dp|pC25WO^)wBosr75eFsW(%7PV(LbUJXSly4}%=3)$WKoPa@1SZZ zBM9p9kXwP-nHxEiNlxKsPoH&6()E}R(VoKB2;o~wtKU>nT)>cTQO-oyVZTF%_eY$n zPH_`_EDYI$N0&T-l=I9oLoz}qjj7}t^p>r4L!HgVMt(5}#VF&GMDwcK;-yufR;8~g zGd{|jhxvTO@~vpyoU0{>;~onXx8z<>bC`8^7y5<%0vT zqhW_!TAFFMxP%0DM{8uo3d|#s0!>USVJGxP)w3W=5VmcXmxb^?xwLQAfh7TFXT#4{ z3-}~b_}{1+NF}B*;`+8X`6QYOeePC=ypc!&@I-HKj}JzRMZQWw($~*#Rj#`E@)GYA zOMtN^wX0|#lFhH*SF+%y6%Gz;^K-9TFE9T#K&saCB(Yz%h}Fd{IbdTVczGqt^HK(u zP2+rOo^>{!q>C|^kGJc;=$!Im7kN1eI>;{g_w4MIU~CP{@2%~JyKc*^fN`2G+2)}} zofT_AHqiXCjM#p(5BWhhhzdAS_v*Xbs^ZHj0FW3R+8+NeknoMp0Dy#zpPyvUqEKR* z@_WM19wU8rNvpiGvv2(asK7IvzeM!4jYnF>3Gch>UU_qyo9ll*0my$=wJXG(+^g&h zRl34Qi<;zxx{z40QKi*?)+|(gT1q6_K*6yB!#?xob?Bgx`#{}9P-=S%Fm?;hsx6m? z=m0JztW&qZsFH?nh!~_x)Oq}Ldy2OZ@H%B1Z=RI^Wy1_Sq;^8WS3RWo5xI5e#h~uu zL)v{KmqiN-CmDtxFIBB%du%!BgrHSSogZp%GGhul6Pb{27JSvM#Q%Du@0u|BuB!0& z;@=mTFJ2}~^%*&LQjb`fg9k8_Bi85Q?l702k_gXoXduRe1JW0x-ft~!X&3_!YFywJ z21_IZl;m;{P=4~vy(ZNv>37IM5l#~%zyr#k<){{pP3>7CDnHh=eIF@LWV ze+4O4UwXfdC$}Z|)oH}q39pHRPMj!nrgGav$7lmF)ui{%nyiE+0bE)1<@>nX^?P|R zjB6^;_b(y&3bY{Ck#wHl-Q^p!(_@LE^6dN`QNeBL;Q6o`f5LiBKEV#yj%8lHSD*Xa zj~RC6P8+I>6z@2_do>%$CPqWv4nDyL6+$z7+%fS9=~hF^C;`iVjON7X%Sf;HekWB6z#fS zHOaM9KIz}zk~(PbG2K43s||2UD^o>V%WloM8b z=**1Oj*ezus=EnTOrvtIK_BdN&S!NS? z?k=rp{Dy;QlSVH71K8JV3!cR_s4%+1rq*O`nH+sa#QKc1IDOEa_1v_U?g2r zXLz(gh6n=Vbf)Xc0YnD@E_z;ezhG)<_&x0_ zuhV}Pq*M!Wx!PGni(B|kb8n=ECz+AM(A0`-+x9s{V8278>;ABj!|5W*zrSN7A77Up zhl{%r7V;E`&8D?pJ>sspI1;b<{~q?ZKDSsx@X2KaiRXO6GC8(f0>Vy;uG9cn{ECBb z5n~^%BwKr|4%T$UVs062UWVsh?l3~qC!VxB>#$;?IM-wh9}A z{i}u>Dz!Rb63eSs$$c{btii--fu?OXM>RcG;`?q5lvJ#lE8%xL9Lgbui;J@^NR{U@ zg~ke!0GxE49^(3_gi7*9Le9J8Tyr4#v}GjuT1~4l zB^(`70ArlZQ@dKvl~t%Ne_fA3`}d2-5s>cot}@4;VUawi1#brBW8zE>j6~akuPKV6 zb1cm>`!T>#K6M19VEu-(N0Dgsvq;1@M75ESv-v5CTkZtwzs-VXZf>U7rpovsVf1`_ zowN}6%mc!go}Q*vL9A*WjBWfH(eXGIa5}# zT+2sEFvnzpr9zWd`9Gn4se?YxlyN4j*;!w&-IljkJ6Ag`97@kNX2YIALo~)y;HkW- zFLnk{RU}@|D0w@3+}@KIyiC0DH3x?0Ov6REjOnC_Er1w>2pdWUGZ(nYYao!>v>mja zN*emz(eSdNZIh|(^NO~TscnJ4pXE2=ye5WXnd8H+3Wo+%!giEEXXKxgbR!zKsz-Y`f`AFsGI$+NxKHq z1CvJrNnNUS^2?Yw0#O+O^erKGDAwX_wfXxIQ5YBQ^$fKb1n-ZIV9-j+Dvnh@26Lx2 zX|S6cNPb#x46iBcS&5=nH3hL=5L#Pw6}pwZW`4Cb4LL9d%bsb+MxJ$=dE-nLeg zEx{2;YLNeNR7CJ$t?f4!xT2>M2tj5iR{<7DWnfyT)~?$jZDK-{VEfAZ`i{E8iMH|@ z!_6AQhOt^&mDOD*)pWd(^&yt_0``5Ks*VH&FHIcNL8yuNHLa7DJ^RI8K-MY40X}fj z+ZYbTmP~2cBkN6WWBU#??^r#HtEr+=4Gy=Y?3k*^H!`==C~p6rDJ1>|bJR!!^Jvh& zM83hjAZoJSeXcqoHDc2GQAejo{zr|q!#Y)TMK|Vm_lu#gNyIIv4&q_B7u#uUpIrL)#^wBq&#YGde5hM~`**5inUn+EoCZ$h7Iq z_~Y$p1ovf`alM=w^ZdhNyIu?Lc#?{|C7(%g(T7Z0ocF5vOhg~5Z!4|ZJnw(a(9Gt} z!_K^YS9FHF`|a(Vq{s66a?_yB%`pjE{xNsDs>~I)&9oA#-`3wu1Yf)fThA854f9HU zi=qI+CYrC+Y=5rR`QfS@NLW6ABH`QJA@ z3-McPD`3ZkOVs^+{~5LS-M*|^?w8keoBUXfag5plxzi%1RoERh3X&u`-9bU`D*P{}4XjRP8O< zLObv{1NOxQ;il&_3nVNwl=qsuFj@ZWOZ7678P{iF8dO=OeGRcc5nuMD_|doBvuXz@ z(+;n%$z1jYh4GJf6Q6h=B$}-x^`rSUEb9x4#lAx9HO*2NT|LyNJLk0vWJ}FgDm*Md z@B6)Ak&8zzPcmhYYC5b zsNk#w{{gxs?w7MWqc>hxACeF~45&wiEi#2+v;b*q%)H|M@)@mp>==*R2SARBK#s0HTqsKNXCFzBr6o77`bfeS+L6%F$1F9ani8U(G6JtUiiWIh4d%?U$qak}QsK4Y4N;77Dn zgp8YnK!$YRCm;IqwUfDhRz7poVB~Rom-Kq}+p|B4xX6^V}Mvx7e$4;P+k*`JXyWT`ZhoG|kG z7Y-eick8t1#ndOHj6?y;;;jlFAU z7v+fAsZGT3%hF}>p4RhQDHPu~{*zKLbe}He!t6z5X94EPFIjNM=lza<4`#&QcV<{o z@;1J<);AA4^V`OA-Q}-At8s?=aO*ffqpmq1ZfzV%>bD<%e&f4X8xa2*$P!9!vUaS? zbuiC(;-c1*gPfrBCEouxDf-khT^n)AryG2DVqfywt+cH0l*-^R8@v`?{OILf`q7R4 zb~4(ezp^)eVctSen32hZS~@#awvitO-6{GacBuW-w4c%0UVt|O^p}9N9rdpfEr?EA z$KJeK@U8-eaKB@`4!`i^F)(940kyBx^L+4~>{w3m-)tb$=GJb5!x$d#n{5&Dzy9=c zfdwoc&+K+B6LYl9qYAhw=&}`qYq6~6%2$Rlw*7FZ$?KA&eo}I4RR%+_EQ+^gNVbZ_ zuvM9uB9OPR!;(e(YQxOL2OxDxJTLBk!!RKQ&1^biEplVN2q-f;GrVGXJr39@40YCn zznG{2u80`lZ5}|Y968C>7QwB-lEn#V6Yw(J&YLy<3JiwxP@N6;~Gad9oDm^B!;cC+U(|T~AAg9zThYX(f zFtETo6_`tKBWK2Zkjf9O2i4@n*D~%#2|SjE4kC}qX3+RYDSXa`@d`*-tdqZUO zuRvD7EVbT1=v(pvZPdN9a2=Bq!1+Qe^XO;0PwZbQHh)`lG=*?#1KCUo7PG*NXp_EC zaF5!o0Jk;rNw3laR-{{sg(Sdt)tB#4SySFDx^Gv{aR;AhWj=DRT_lQ-+5$Kg4tJBC z&gCiVjn^NuTv$sdJR}(uD^fA9QO_tWavR@|_y}2{(l`6=kKRk(I8%q%Ce>&(aJ{FH9FdF zEKdSO5*qpE{VXi*8&hT*-r0esoHwl+*&#r_d?ElaHG0?Hso~1EIFjxr;|tgAPqLHQ z3Ts?QWk$}v^wth`AaD=_kXyT?ECQRwWv!dpJ7eKF%@4YB|8NU)bwwbzc`jn&A*^Z4 zTCJ<#VI#Upcy#0bn~qNf%gGa4esn*R0!kwk>U31QKNZh0mSJ>d5;yCM#=ehBW^cpLPO!J8klP~8!^M8$}#oT%Dt zWa;bGB6HKJ2Sz%5q`tE9`G=CS+O>J$M{TyXVI{DdQ8Odqa5%SPU70>9RJhF<4ncx(uGIWz9?zJR! zH;wQN)!P5_Y&%`lWzS&bGqDW7WQ2r4?ihoR`TSEUJUnjJ*y}r_wlt6(XIlGV!Gn2B zE$E;xwLj^cdJmm@+dfIusl~baO-+2?h(3QECbkWF@Ti9X3s8RoOWoDO zfu}u>-N-Gh2JQ(B7T2vPmk+rfq8I-~ z!;7M%{`=(C@(guymM4&faE9YIbKlbWq{_Fg#I>T=LRZiPf8 z%O2@;A}e$iroy0@@3Fbrp|dxs57_EBZDs)8_efGM{gg6`^oc zF5^R^tmzcL9NHg1)Jvd%L)2_Wl+a;!zM$Eqf;P|NF8Cv0X5kIgtdJZb$&@2=YAJ|>yNoQerD@pxvQfZ)qC{S@UHs})h*(X^I( zJp>m`;jdRe>{J=S`~Ro$3R)jMM+iT(IDC6uWi8np3#7kHzz3}N&lQ{aNJ*oRvV%{y zcJamPz~Oj3Re-w@&*!rHL$!$o8DZ*UaG)aMd_H`fNOy93|AS#29eib z$+C<`Y4wzZNFZ~xod5}pvoA9K*lL5D?r37E^1na)ye`J;P4>X`)qAPJc2V5)u;=ip zUuXzFmtVZs_$d;8&h^(>7k83L%0m-{W1ZE*5d&U-uYJU+tDObij`ONOaq=VXIzZ}L zbz*g1vUe4~I9@l$pRVhy2z%ofYS0bbhAZ3EdHzk>v_X9keBwyeei-QW-g|$ID$$3TS$|mqPbG2){(!Y&yaa_Gf2VNm zwCad8f0a>}{i(@_%S?{R7FDO_eOjA?c}`tq=7G{GpgQ+O#VtuQj5zWkMaoC6za_Zj zL`A#kZ0!f~f9S;Z`EM|0fA>X&pMRa8AlbR_Wq2;{z_jABz7~pTRQFKUfio%P^8T z`vAI-ur@7z(g?eH9sr_tqWBJ`jI_;N$>Ks7FfoDcgx^C$7`MHU7Ag+mm&)hZJpcr z#u_4-RCgGZLFy{9%3amMzpuktrQJ48XZVNwva3}ryCJ#2I>W&-Nbg~MUsAR~KM=He z4DWfiAdpq`B?yQYI{0tAP_&s5YBuTmXK39KJH+@W{*#vhe!S{Ha|Tg&OQxuiT$X12}SqkJy2 z&12JGhT(^A4VkPQ-#yoH4&GV~4H4>s?>$h0o*`Zr4U+vS>6y_ZzEQLIzC+RUDvI3O z292l5MahM|Zj?^cc;MQ}Z8s}r!1)s!j9j-XXoxTGaAMd#3Ay@V=GVTw$~x8McE4D* z^dYEbA2zz8S;F$>g3AbW(AvUwnpeG&qMzjvAyXLHo@73bT%2l@daH6%YpG?%I0ZzB z3k+iO+yjhB*c{68jy2F-WFwRD%BYx?Q2jjlau%$%~cP<5GI$fnv$cO(E?jt+U{GXA#Fi9EVVAV4z zeNuMVfTX!iv@p0JLM`T-Qg*JElao}HGg@6GzYSxjFnOT z;KMeZV@Gyg#AhN&vD1oWt_&m)gmm_z_@P+OJcdX;UfW)JTYz67mM(wz;ZWf|dJUG! zW=QlY!S>OR+@jcq_AF-_Gj;xb_R!bD?!4=ZMQ75?-r$&Vr2(1L@cg-`@dhS)R&1B? zUij(MG=n7fnBjHi*Dp7TR+1MAPES}3eO#m4XYiAnYK`-q z`z4u_=l<#Vn&U*X7~YyxHS=i5`+#F}06_Z3i>z<_%zPQ;{`kbW1J9dX^3wt-ZkuCLgPwA}td z8EEkD(_3S3hQEgb=TN9!90)y5hL;u)!RWEcw(E2ikJxE9(qjaw)PY7i7YDA7=@FN_ zK0>u@qyqtg$JwMRg_Dh;pV39jp+-`& z`;=WsVx8I(3xm1FB<zE`0K`qh|6C(^0Zs!n)?xDgWG;D9*=fOK7S={n45Gw2Zm3qlYgIkg{nOnm z%U%Q`+_D);J`iw3cRsBxC1>7C-y#&$EGVCY6$$JJhlk>p*c}{5xon0Ybp2%L62N-7 z^DwRsx}dj>?fC|C?#r^!Fd!b7o$AE|(Qnb_8nJn<7+&omdQ{`}ehMDeiQc&!ne>MJ0`bf8eaF zdsiclKV3E|DSxGNfzI2fD!q3J>`|LauS~Wj=w6Z0?sPF6djSx1VCb%5a`O4D7I;nC zKh~%OMAf0KROmUE0{#MAaUQk^?=F|~rQ|Ov%d~WTblZVep6c7#*or7QPGeXX ze`}S1Xb1>mUFMj|^S1WUPGQ3P25-h#KEcS+$~&E;PX#VN+kA`I++#6AGoLv1NF8Vo z6*q<@4-4Vp*39}^hb!>Irzj1oXBXs zbN2!<`g!Y2tZgIO_S%x`2c5&Xn!mc~3EDGbx!Vb_U8}LPsW}y=%i4zMuzK%brxR!6 z(fan29VWh(k@Xm8h)iA%#x^gxa>}9ONr}DWTu1w+Ft>aS! z3wRL1+7!%s;x?fF!`&3&E#fKVCDKt<$bJH3%^YhTtgo`)2;UE9R{tEO?PP6~OV7!+ zm;TbgjOhMhW-(7*NRU4K@r|}Uj$U>mKXMlO2 z=dvu0NjFIfh{txB5$TvtSyE83iT9@KLNX6Lq2GuZ^R4pp(CwS}WBh&t1@pu@d0Oc5 z=>R%kk^A5*aKbchS>Ho8gAF-dV0o4ygkg(v6AH(~s8^3-Sz@gVKfBZ9DKUOfcESw`@_hSkxPLPdMQ!m{x zEU1-LLmjvVN3)``!-qg8Ee2G ze{awW&lKO6?a{kQYKIS&++Q+zYOE{|8VMBc$mgG8a~qa>*P7L zID_ZxSIopz!ge9m^?@#-_mbWtz@5WFf^?uEI)86$ zs1Ap16-H-3KyEu#zSJe;RM?W)FMuYlNuzsb6?)JXs5TS6H>*ETXDVZuLRM5HhKnmhQM_ z{C*noZ#x}tY62IntP*ZFofb;oOLXSr@`T2~Sc@&4GkgfX(2TD&DiQu#h!kMlGjvsr z=TpvF>d_>rSW3=8epUiY)Cwz?C1;^bIHR0cP1b2btU1_k=^C1UV^zv$__66x0IT}v zCHZ4B#f_jNg{ro<*tWMrJ+4q(wFZuk+ciRRk#Fv|?X#@DPtw9L5tDUmV#9jK~-zr-zHP#-EosYL~B}mTL&yYr>cc5qUpT-w>&p?u~d7Xv*|)aOPmi- zRIUo#*}@Bl7s6&^&o6G1#2tKkAgQXqDwS$S!j?(Mc1Alg%shDv96DwFvii=m9adzW zQQjue3$^IiV}dYt$|XyTG1c{Mo*I*?f7o>W{d-~-{j8q8n0j=%L()sTwyJMm zIzi?JAftjs<*H6-xmtOH(Y{mF53<*_l`Nz(zf>$1C|Img{d0c73`UJV+@qXPG&^Ot zDM}HK9cS&D0$mz}zZRN(iCpd^!=9~)5BZHsbk(T?)#H7 z|3+2DnLfs>IdbhkfDIQ|iHx6|vB0wPoa{$(UV7fqyI~G0J2{)peLHb8*$>sQ`fwrb z!BS%J$sQb}#=A~65d0?NF#$W(P5roQch&M`QY5ya zTu$^=!RJ35r0+lDUS| zai|u!eOaI*Qg24h{fDFBU%}Y2=!8-H*zf#UI%+XS=b?HEcIt(+O-${r{p|dxnRTr4%s{z=(Iw{?UHjR_NfBcm zpM8KTSW$Xb{M|FiXm9vu^++EQOB-Eu1t;)D-rX!=$s%|AOlUJ8JuQp}CRd@oXkkgF9mYKS-r~@Cm@lA@2K2)HW z4*r3_zFuUC)jEa}*!LUImJqOFI%fxGf)#{6+ESe-&9Xnvsz1(By-L6mGR$rXDPgy) z%&jSsgD*n>b&jq;_4L&8K?>9c8-kYSs8Nn=;?=k6Ke1LsG~mG zih?Ozr*l+E5`y9oFVPu$ryYo%W;EFNZZ}@)TyiB6`OsfWXM^E65%Pf9$^D{e|9BxvbX97z+NbCxs_k)tuHj+MX99?4f_Q$wW-MXBu;%4@wqW zX1KkCH>NHtvw6TrSrCC^%8bmru?phLyOlI!%_iRQX+Fcy_zgNwtGmLGLZ>Uj9(F(6 zv8wduUAwtyRmg}box*KIYF5ePRfCQfGTv}-_TgJhY71Meg5OK){Y_+F;PIAN2JQ79 zu48iq1Bc(WjF@mSgz@+;Fb9QgaCWR}NAg6?6dJyrU~B0T@Q<)Y6lZ_gX0U&Rspo*d zM(?ZsNd(BimGlszUqoIa0TCvVv!=X)U%l@ob)bQ?^|Pz(o4#q8iRn+`)L8!2=tMpv z2WBC6FdVP6k4^Eq5UO$<*UVBSnIKLOD>xz(e^-x@uqJ{NL?I|K93|=>+AUkc6s-4A z$zkwK{{0g0@Uw$#7fPQaw`H0P5EA-}_#h9e2h@(X3Va=J4|py-r$Rx^j=MxwQ9mI^z_CB5G5F_7QcF)Avyn54b;SoU<_eN8w335q#8MSUy zdJ)nIckHE~Za*!{&|$s(Pne5z)AjilBSE57LWRtn4MiV7L3!YdQMjk%&U_D*kJ`=C z8!}7#h`1V%jSc-by=`qwIkEGgp>plZyYDC5%Tfo9nV&fLoFD!Y86FLwtbSk7v-NNE ztO659gnFZ_psX9aHSxmX@gPDT+fQ`lGg41hDB=HR_FSSzzM44qg4y4x@W;>tF;}wI zEnP5M$EW=Z=Ulb&#JPaMFYlBnBN`k3(}iDRsyA#S zQ;g$wuSz8IsUX?Wuh?^+fL{A%7yt6HQKH61f1aq)JwapD{~wm3JvHfbS>+*t)%oXOU z1J82yeWs7D`iw@N|E>vV^7jhL3zhk-UqB(zUqq}bW>gy2`-u0q4K4y8kp-!{4(ndfjeNpp{Ldm48!@$aHKPt^`@f-*D92 z87NN*`bm=S?@}cJ>!0$9PB-d8w7iY@CU&P?-wTzn`M}Qc-pgS-l;ZK#hqy_zX9hPf zNMg|Uk8*ZMML*d( z_ykjl7p6X8@o7}+tq}v+PCer6R{XS=2(5jJR+dV2WPnRFKk9&lk7OKQ{GyHG8CZ%7 zHKKn>f2+dcX-#LNJ#wU5llxflipm{xxVQc5=J&@y?*~!PgIzRq};of^-cU5{< zqp#n3=b-b2YctXoG~D!B{(3A3uFq^yo=m*hb4GoX(~-nfLl%7_JpOMrx{CHObG{KL zGY;;6*XCQKb;i`3Qa&6kd~O}Aqy2bye%(D$dpvXg!IbX7f6f*ej4*t-v9to#UPLnT z>~r@Dlull!*wn>t;Osf!26hbOVCW98t7*4b4Md&M^{p6T@bceF?D|9B?hoTWF^4zP z0psP4T-QlCs$kaPporALSebi|K3bWc9xV)s4zqM?8gvum*$Vzo0=*ve3y} zYX)1^S9^^4+)O=Q+YR-N z?u{1wnPfw1x&53eEg0fTxO%?=eA7BIg$Cr3z=+@^F(Klpt1)2Ah2}&AJI3ii7T=PZ zpw17TdqXB3$&JE;%G!W5zNEh4-93>>1*+i`xV_Eh|6}ScprZQTxZj^5DTp)!NOuZD zcgO%DT@r#w42^VyAc8au3_Uc`Ak845NXHP;AV@coLk#gA|M$Ij-L+;-tP}g3S?8R+ z_w#(8?}z0q2U*RMl$_LD*B(@IN4N8{fg6IW+FxZ)h(?&?&!9wnilo897Zv6|X2lu1AL! z!G*U4B=s;bL*`}*U!?(Ru89$oSZqEiT};z9t@FLI4AiF zF%t>I6IuVQGwpkFqSPayDmBZd$dm8>_c`fz`@`^g*y2OE3qBlXdqmlIogte8<>~DO z9&~AJmtDU`4*j*XPHZ@wfjsC$P@sv*l7NVBn|5@m7Y$P$l$IQdOYx34WNnU3T4yf) zZG~Q1IydLcrz$F-8V-B8y90s$E~`6lh2d;E_+3WC4ovq2s+iF+W2r5(1AEss{vE9 zp>MZHrD}Zx-4U`=YgdkZuP{#}nMXhx)#Gpi2_N4z#kdf2gYam^iE<#G{<{)hB>skH zrwx`1J*!C7VJoy4m|6whmRsv%U#TW_Lok2T<8S+ir*5=d5(^^_&sIGX!|m= zqKFA6Sv7jy>49(X?+~nwlSF@)YB_4%*C39L52c=g54iH^_Dc06#AZO9-GQx)2l;NR z>R;aoc3NYW20AWV+eoM7=W4K|I#LEd?YHY9d(bQNvuoCvuZ3c7#H$!*%1)jP{iJ#` zRmtXe?AQ$(+Roa0MLmI$)odaL*vm_+XV#iBenTNaf!Sy0(wpq?jzFX^_b#unpfMkr zGY^aGM3acNIY$Q`w}IG6J<2glbp z{%qveCH=H^og~@(#1_r5;2rl_bf~wHW~^W_&Bc%L7UEu`clJA>!dVlKae}$@@$ViC zl$t@+Uaz%un$lmOIzNAI-2E`9P6$DI+A_z>e@Y-PZ#LO}& z*S1L9TUOE;i=|_)mxDs(R~TL9H*AUg-SExs#6*Y6DAJnus9H zA_IWM8loLI6+BEN;s`5D*0vDK$?IAY$D63$Sscw7pru`@Yvc_pmYaaeITzNm=(GX1 za@v@Le5yt1`Wec<_hmUYzs;9v?24}Qzxet${7d*jq^>uQ2WzyLZNCf%o)G3c za?}t#Eq5QPd3XR-uJf_c&`9t>DHKXQQRnSo&%P)&^GR` zbuGVZ^t5lz?gk;96S-W)g!}(>B85oa#)nrI8OTY`AaW*V za_P}Iu`dhHGNk8z8g0pq0lY2?K`TG)m3lDxB_5hwtEDVHqI52u3eXfuKMonbOP%>&N`=D5k!<+uHf|5gN*^Ht zK9_I^Xx!R4=4dI4zm`@Me=jji)jnnoOUn$sB1D}T4QP7vcV?Vykt z@NpfTy3Q?`T%%e&8)M;{1H?8v$_rdysU>;}m6E$9Q*w#M9B*I)!T^{tGbDQs<^pmcdXsVt~0wUdVz;Fd1B8u2Oo| z+A+bo2ac<=Xef4@+;AVzKEM|=Ba8iu_;x-An z#od+SghE2hvrGFkR<{042S{yizF6{ow%{9n#LDfzUS&qPd}(1Ia|N;ws^p!xp4I;_ zBnW7c;DocVzCQxQnDVXOHr($J}lw9jR8@-OIV33Sid?upK^T*&2nl!oS2RW%ESn3EULif?F2fDxq$=+qji8g$veeNZ?C=m8B54`$zF?FEky zoO-me1`o@e$H7e#wG9eOIzqKtYMdN-slGXS{2sIl)wT~}H4Xu|q%Pa+t@kOC z_ZJ~+u>T2?VW5XW;{pQq2Ryoxz2wBd6)Eib-!L z2bt{~^Y0WEGj1vLXDt34drzzWrEP2`II&2)3sOt=)H7x)+s|`MB0jHa(|Wo@Y0RmlD26WkLB#BDgci=9ah2 zfwke|&DMS6-?qC>zrc%g)%&U1fl;^9YC0f-{o@>7iLSM-l*^W@4+N#3RK(XpjJTXzh708RmO-)E`r4n&GIZr6HL%C|UD+ z)_n0YVEe_0x~i7=NyD`Qm9|y&|9b2)UX)GEX6!0|KDco6d@2?+>(IOJJR%bW5psRb zy6$ z{H_2ki^g?R*@E*AtVs^#Oh$AJ2T~im(gc~Ts`YGJE8f{0|9&;8YFCV`cA1M6>8?)X z%&uA)sV|~Nt_*^=E9!^{OEK-R_Vg;SKj#D0Xw3j(W#}f~0WQ%c7r({A!PSM%GE7d9}0T0}7 zZgWj~#%&KoM5qrKEmrX#@re#@S*XWG_TwZ_{8=Pvn4MUKt3Ht+ZHQJod6V4%PMIx3E-Vf%2(9U!5xJZ22}-^+>8z)yw5E zLwCaPrYb#ojgjY1nN7FE2NEc<+LC@Gy*$*fu%^P}5kdS1Uo@*?r;;CjrSafHdPeF~ z#+MGQAC5v<$?#?z973ORw-qJnKXw*LmhUGQvUV_k@KB1U2>G9Ceg-*A`eA;eEd}Ez zcAg@DL&d|>08`{TEH5T!%z)~ujKx0O#*@_OO*vJ)sbtci{x!uC)H(r58{!7pKnr(v z^DhU69(m=R6enx5nc5lHxB44kOVVl>)oi{Nrqq%c1%{l;#U+_Q37d%h)H^jDcQO7L z{GFsrd&Q}@4HKSIomFf19r=fp2XF4haN68DLS+(1?yM*$#QKA3jypq%s|}mhwzQSk zUfx=HSG{@~91%2p7#9Rl^ufYMxIGIAxdqG#>9C;@$~FoEAwRMYKAEwRyjl^&+;&99 z4^evElb;Dbp3|Lc5q4z_=GP;Wthn9iuF$3uvp*agVnXkY5eMqiL|^ zp5?kC7~I~N@&O!BLvN0lRiWlq8GeY|<{+Asn}~+0&S0RW+L`?(uZ0Ga{y6{k-GYnV zn&I|k3T8ltVa`Ocu#e_6Lb)s3A0@WX$2qhO&@Z$6W82#?0jCP3OpzX8a! z_F=stc@)3^&Y?fg88cK++j+a$`JkIvojjjhd^Gq!k#BJWh^qy+6-75XH($oSJ^QjF4 z`cp@_7w4d*X6a)OE)Jb0)))tANeYX`Gi~n%AgWXlSx3RjOYkqIRJFrZS@A&lIsyi6 zR1AbAQMnX$TNx?;!rD&&<>+so`39u;hDizin;MqC*h{#VmwB245OjZHv4z5N!IE{# zgRh8xBjz@I@e9@aOF`=r=n6}rMd4XHjAO_C z;u6phugy&Pt~KXQ=3=;5rm}PXc>A~g_IhBlulDFljBMM!x$2`dVEXjo(Z5brk7xMo z{iIro2C-r1sax#fOCzUOhq0MiOIXof3J$W;EXqyRj>{Q2k{1#t6Wk_WPd^o^d^rNC z9p+WMlFSm6G=Wtt;Z+qW0Ek_a#0FC2+L6ihPHn4aeFlA{B!0l!gQnI)Ts}5G7W&}F zr>diNn0`Lh(o(?*@Vu+RE0F}i1XA|Brp`>9G$kHG;8d~YGLznu%^!Na_6>w-f90xK8I`Saa)xocbWB5rFSNHNd-Yu5T?I-S-fdY4? zBfaq7@dPs`IVc>4Dj4O5&dHR8i0x@h(S_%9BGQR)CS4aDdO&N8sPUZRb_T z@t4?N-l22QeL)k=&ll5CTlfEo0LnltH`h~2|5E|fNVWu}-N=(4II^zOoD%30p4IRt zgo_zU@XnNnDW61#Ip)YrwMn)Pc4~GpXLy*%NpA_8wNKg?o%eXeC&$Nkd1xxl#?Ocm zj7sPmejzD0-prmB7?l99Ct{-#s2kge2wWG4?%W%N4vCT#=S3D^StWeB%@<{f45&BD z@OXXb%xlD+5%H7*0{MeEdA7pgP;GOTaF#3SAVF4?cTy;ff)SrP1|^)`RSFc?UIZJk zl|?1rw=h29;$IHzDYg3~kZ1^2EHp9hw!cmPWR~3MaA~aT=yJI-uDVR2KM)Tl;a`Un z>A$k;@BlaT7Rs?{!A!U3<{n;+dc5J|fcFr$!SME##DK_*dfRvKCVc%GFUWmCV_VZ% z-`~6Pe!eR)P2k6PFaWoiqi^~<8XN9wd+R!~jeV7%%;#o%(JPRtm_6niL;7E_Rkg=vMj(Ydy!!&`rTJJ3jWcl& zXR#VfXn{E6$w?BMRzS+^E_*l;^@wnqQc}4$@6oj0yi3b(Vci(MV`=8k4E@(%E}PX? z=U?7Aal%DxCwUz?JKik3j1(A#=o%YSHd_?bDwA16F@W4Z0jc*)rT=?@SLd@{h^AJ= zY@XDlK@P)Y-Wz)&?vq+pxlP?TmOI*6c+Y_wM$jsu;r#c1+E<<*zDu@JY-OsXe)AZS znvo73!#_D#K-yk0u{h*p;#U?@OcpSB=266gFt5QO9G>5qDabh`&<6`r^p~graM<9R--AUAf@{V%MhUM zKseIN0nRS%2!~WnY7s}O9tWFemsD}nVz&DL2o7N>H9;<|5DK$uZ@zd^rHNj@RUlUV zd!X;}Pmm)xtrx-`qp5B)qbUMK&MzGEp64H}TLJS=EnPc{gXHlJh+?(isJvJ5Q;x-- zOJhs)?p55ci-5NNpBpjy0*HE1A?x?QC8@mAu(v4ep4w4)M<+5@1~FzS+=rLHw-qCY zdXr6tE)A9@5s6qJZ;7-_41nBZ>0D>8TwT1i&a(mLRw|#C$|Mo{##My~*kyF-@a6BT zq|41O4$u7+g1_Z)iCsORSE7wVl-q7W$g5OVGtO^Nu-L(8&3ImK?FMYrA#ObTf7)M= zLikf@nS?9%qhh9*shXv7Xs|mb0-q*(#WR9xHc4`LLv*BL{D$o;Y{*qFF z!zT(GwnwZv-7@4B0rX($vSDAB^K>R3TYY-v70~j(IqV&-B(hlrIN(Je#h;@xg<#VL z(NAMvDS;NjmN}DqM~}t^SGks0j84FccCY7D6OnxK9=l%PYlBX5#kI2IkIaHwR_1Ch zYX4d#9tpw{(~U(k(<3ypv>L`P=uF!0t<=Ns#l5O~!cSEp;M*vQ-A`g)`#%V_XAW|eZ$ z3cY1BBRi@H#rQtfPqmtk?%a4;UiicT) zy{BtDJ8V*$Rjt&Gb-uw)BAAh}1iyRb+h$p)H)8b7Kkto)GGdO6jN3AqY)D&I>1ac8 zcLt9WiV5#5LsdK%154iz~-K7(3n_BE;d&w?u^C#gl|x9=2^SQ zy$G1Rk%NL_aZH4A1Zom8`$?uw7?RXK)CwZalLF6QctR4oE%(Q*rX=p#SAaA}|Ih{? zcKoqj@M!xB#fhs-T?ty5^i<`Vs?Z3W9|KFLjc)jz@S;<9b6$^@NwB#rv_XSCZEQrX zeZ;8IB~^WUvuLS?w_!r_HROcVP#W5cPwZ@eeZZ_|H*KS9uu?5b!Qc! zJQxd1a0FXjA?kJg>4Nc|@jXM3y>Qic#p_7udmfvf{2#RPW^%vK;(j(0gCKHMl-ay6b zt(7&5?kF$4AbwO;+H~+ktzT3bcxaZlyrZSco~zvtYhG1qMCphs;q4$LdLN=a%Mo z229zGNo0iH4h^k`Wvewk@lZ1v^H*Vqgi1IAZJgj1uV}ZN<#f_oW@0VTF&9tyAZ?hYY|c( z=Z35)I~J>WbQS(@1lnjbJK8$F2bK*bca5SywRC+>Ln|>uFsG6W6KNFiwg8Sscp?+@ ztU)YbTUADM>5ZBJ$I^3(+w--e96j!Yx&)BPoU2fBqaq{Do!mOz z(VMg(yp^-Y-bV7$PGW%BihjQWkndvsQjH3Vhxcf18o>Pn_N^;r62_YKac{k)FzK}ae50SfG)%uZTGET4Ooyj z-_v_o#e6k#+stTq=-|+>oecLDvL*2rs&P`IwB)cFc_xqza1qcrt=tC&Ij|Kq5hh^2 z;`OEtte&GkVudgM}%|}tm}0^0vY-yRMI;e6->|LXSE0$OWve|5Dyu!DOD$N^yZc7 zSXI+9=7SB1ScWXM;*&N9NbE)RE985U-7rg&oc1VCaPF$qg!kR8G3=)2@94n-|MA~Z znz8y{Y2Q(uXE}{K7h8YD+epi8*1ipDbYkV!-xrX4Kl`#A%H~0Jd*{T8!a$cQr&gD9 z*}$|z2)+RzlO3P2eyG_gmt}a2sW+V3UQjJu&b64kj4!e-bJDqyyiG6MJX>hQIg4Gc zHW+|}>MdB1cfOI^{1I&HIWDjev6^UnyS(Ee zw3TKMOYod1@_=2q3&{=Ybch@1bgHOXO>WDa_;2_}_>oBMpkpfBqE;5e%<*uKCDL4r{U# z!t`u+UTQ~(apk8Who2)Atb}?U#IZhLH2%?vZ*ZqmI3wrIQeNi4Fz3B*2U`Y1myju6 ze|G_C;%DU{roxd7ac!9p9QM0zBF!lqOv$5jfX<^b&?hmFyO0Z`-uR|`4hR<+SLXin z+tum^4{ykz)X+>DWjbs-tET;ZQB75`18FE37{6WQo4dCiQ~ueJx1_WGojY0dpX8J4 z4lVvn*G-T6!x!~Ev2ywdsNnr zZoUgaA-?`_mb%UQG&eRkLsq8c(lv==fvtc2>h9*pG?Pq8O|iqzv(lV|1_U5nV?>S%0s9`hBq_3Y6O1}7c4g-aI~^3fU0 ziTHf;TXRxc2%uB6`{2K!*-%yF(HSSWZX~E_q9>NaDXn>S6&|$ax)v0+ONvZ5wAlZ8 z&^Ic*$~H4xtAu&l)s6nDP^+=`Gt!{W(jrstLct#}VR}AQUl=D2*hG`L=1r0X{Gvm$ zOeOjHoKi1*MwFT0Hu02hrL|iw-+VmR2%h^UG)*()xY%_03OYfXhV=!#LW_WB&8n+0 zdl&8LRGz+8i1s}{bU+ARZm_?)9&$iVw z{k8n4a{BIKYV|KZ0Ggoo3vOqxE~eS3S=xgt{Y$^JoY>pA4NPmBQ3ef{?a!9+!pLPe zVT12VJT80=yRk%xp7`Cwl&{0RcYii%9~K9o?s4ke9I{p0m?zv9LSDcdCKwEmnxijH z#A{}*-01&eC!88vl75IfTWgnR5m^0^JeTKAna!A<4hqC(%l@HEPN=mfPYz&C6E^dEW5R?MY32blb>l zhsf_QB$=70Ip*K+c2#ivydggkPnMR0%W3v$cIoHjZfa0<4!ez7#3oM=XV*1!{>hkJ z%iiBpy$_zn>5**43U1eSxK^PpWZ%a)Wc*KAmP}7NJD-;`%HI3&@)Y-Uh# zx6Jtr^vx}RH&g`%wfuFx{;*S;mZLY_&7Fa8n3=HNB9X!X^M_c}w`~oQ=yn~o;^x2n z2489bXX8wr5MXez7Rx+Ni-9|QOROo&c5e-$2?nKKw$2R7D$%Mj3{zM0$!NN;bUi68 zQLnID`1rQp9J=9Gmj4XUyFDq5oEo^TG^ZzS**@vI^QVo%b<6zf{xQgqXCX~c+Bi6S z@er@W@&sozcjL(9Q$KAlzTfIzS5ULn*1dQwj(*gs`dX>gmOy*t^BBBWcl+Y`stLod z!s{zgfMJ9 zp_Sxskw$g3>d%A4*}PsZUMqVf=+!KCIAI;O+f7c61bua#%za>cI1H6M;hWh><$Dj&=Qv5E=855Nw^HNxNj08mzAp#s2Ya=h4ya>G#->WL5|FpbB#1wPJ`G(TVDd^(WuVk^5tzoPbM3XBj!ZxV+i*+uY(FjmAYWMan%4BS z!3;yoX?*wCs!tj0bCr*?4tPxG3W%N8D?E~O*L)T@IL8(gx(e(pfI%OwYdbIw5tqej{X*e%I3{viA#}~c%43%Om_S}7AP*IQqB%h>eDdMV9lGJ?rRqW=JKFM$dBIw zdRTI%CLize>c60sDgWEMA*

Kh+Rv7Dkh7Et^H!-6V7mR0^X{$fU0G%XBMac9S@G=NW%5 z39*~%d(&`*bAtk0HF~MOT`zEQo!lAB`JuiB{b8u?ge@aDh0sGEK9MAmUmwfmIcaq@Pqo>8<%M*z6{#Fu7JZPQrk%L35XK&R0 z^BSk@O1KkHk`Lo*L#Xs`*zz9<}-{nKXXG;j8EkA7DRDhbj;;#P0m7YmL;6U*Q*=COT8)!U)-Rf!o>WjptcpytxHU~= z&L9DU`qhC(_qoMfqsqtc{zQ|MTc6DW_7n?c`)w6Bu10dtw5|;Q6y<5Cx-R$a1NG!V z@SF4*i%F;=0H^$d*NT5`as28jGrk;>!Ul>N^P=Vps6~aN2qqf2gBNCjdA?&=B?U9J z9G0VE#VGe_Ru*Y_^c+j_)&pP(RHBc%#ZV#$e@c^+gNHm8z;0)HDo=fMkZ**CkzDMDT z<&THmdZ6YOYo;?eO~c3=v@COqPP_JR$D$~OHx-;4p39jQW}~bunP`&0C6wK852z-P z4sKv{@y}9DZ?D1*(KeIy*tGkB!*-ADp)M&#&*eCiJZ7AXa^{FJgh16Pt1@{_fI<@d zTi|ikgy7AT2DiZEX-5fT%V|We2;3|yT^apjGQK)EEKliTR#E9-ARL-a4%IwRFXfD~ zWB)QGT^1BFW}x>NSSDDrcwNz?@1fSA$m+r)rRng)4GqUv=X;clb|qRWY=^zrV|HK4L~8Fyc(Ged!TnJJ{(8%$0Di_$$X{=i z%ddl5aI2K2v81k%LwGt!IpA^^FC$QSyP20iTR2zDt^-!bHe$r<6AcsFq4TJGwaI3S z!)DdNZP35%Wud|?$ig)@E>={*81n1XAWx*fnJ0Zq(}9Jp78M#`EVASG{*;<6_;k73+1i$t3Q*{H3aMNxUY)u9M-@4@oKGtp5^Ax;Alo2bK5! z`Q88G=38Vh4Nxi+quD*`zByag6dsHPMIjnZs6S39hm6<0-U3-EWc+%y&3>~M29)^p z7mOy?7X^zHYQ5Z2DJqBRAXwvZ$7DMP~xAt2B3R|F;tU|!sT&q$! zkswx+_sg!PQa6v`;BPhSPgS-%6bK}N-V=clh^EH?DI$JOd~(3e{&3lVerGvXqvFuV zQ3DF`;jUPJwInZWe#*+c0FeC};wrO-CUTb3Z--`$;B*XQ5EYmFHG@GhO#e7m02r>m z4YC+1OlsFu-!{;CC0s zR>`V5U^vpz96ZporwVk6XObN4wl`sUIcAsVKL+DbGSE1(HPt4E*Hrp(#xRtX$DW?C zP4CD9XoQ&#i}n<#`AIAi<1=nF?OK=t`^-=!&@s#)30n~i*v|@fRUG~A zD!V`(mu|JhS;eU&WVzdgjJ>M5($TXca3ZnlRKu?}smy+JJRoK1mI@FmX1@S=wqpz<1)iCpJK z7HSz1xojR(7_1cMl!d+la%j6o9&VkIm%H!6s1c0MdeX?CfGBYZN%5ZJK7Zq@S+{$m zd1Ol|iZG@KiQi7d>Io^O^+E~kmRLb$7YTe-{tP2b6UTaM2n*Y#!8Ykf^wLTjd z60FhS??9(t{k)(Zm5{U3%Au?0&}v#ANU~*x7{sM|9^w_)tiL*);v8TORH@4+DSeWy z14CWn*aWRnA=IFYbk7kW1ml|uLp@iOb-fA#u{rt-C@X|R6)26=8Dc4p*Y|i<#@j(I z0Un&^=@i}?YaL^;OHDXr5DxQ}*%YowLD-IeUMLR3n}Wtld8YDo2rF`^raZH!?4gx+ z91}`bX4~|p5dOt$V%ucL8W1uLnt^gH*?Ny`MiW_p=b1udS2Y zjLBsCtvUR?cfaa68yK2b4(a2}s^%1X;DLINlXVS3knbj$??3uw(zMvJrs|f*4 z2EHBB#kg6!+$)eYpal!#y5-xRyKf@)iI6Yfp$!$VPm7XfT}$zO)g6IcYGXO|Ge#Xs z9!v_I?S(BlQ#U>M8g8?%p2Hhl7%(CWLM#j3tTs=UP#B`;W-Nr~eIjV?sq1<0$)!Lf z-FCT7NiDb8LxuZ`u>E;xg5m7D^r@6xb2Hp#2p#5r+gy$ zX)2)n@?O4uwI(BG(wl;088viy9suv8!B`_qCqO!VIiWIpi@qr&4%K@ijf!hJ=on_k z&)`!|51?~A)kJ&K+u&Y=Ra!9BMH)~fq4ndCeuVTdvW2j=HU)hRGe<}zIcekaE7+=R zvtRtHwH5Xm8s^;0?xT}2e8SFVFJgt-N!EELUe8*^uK20KBUop+HsR_$&u|7`w1gqe zC+(f@;E@7yoGZZ+63GH=_={Y9vLmng_4wpKk*|f?TQ>zPe(sg196A|4QscPXyd3GM z3vsQ1iPu{lzAkcwwlPmR%p!5}h2BF(B-19vg2y`QP{p+?1;$SBT$c+T2YDNbPT7erT1ZfhmRtJa@UEnw{!o^Jd@i(|IFHU z3G9ON!LCismq7mhuGWV&;3xCd#_E!S`-}|7fHUuf6<~6)yydgGxt)n`fV|`R;1#jT zq93++r~Fvk%2w_hOukTSDQ7)+y)SUiysZfwpyjJeRQc(k2Z@m=$ytvx88C-zpk}Kg zc}*^9^3Zn$c=KDspAKZk_hONW?Yu_)^&TTUH@pT{JkJkkV-FupI6_pvm-G_P*Dc~( zM1?}1G+lIG!oN9GPK7Zx==4vC5+I|SCJE%+#m>>{7aR10G$fUhjOXSr`jcJ!XRZE58b5ooDn0 zyV~1spR;>5t;aRZ(uxa+gH5!tforl7#+zx6zEd`B;`D5y{VJC4%pS0(fwqk?*?s=Ok8K}-`-$6?9ne9)$G)X?aykSLC-S_(*3qU1NfCg=y_&*N zKhdlF;h@(ZdozfA@J(y}={2z@FpPY?6Jh@4x0;I<*m9&D zq0L0%@jcaj@yFM4JPL&tQ%6cT>>B3~hf_k}AdJ61Bs*I=m?JpI*UqBMX}F*(P_*VN znZ@a30r7^H<tMkn;7?een zvZRSqVm5EwIs5r>_p^yyek>|gW&gz@BSNanIFFnebxV^c%~w;*X4P3+R7zv1eLJ#b zn!Iia9qPn#mWuYsnjKx0Op_Y2IANe6&UWC z_aRa0_7f8a@8ur9V(pfZ=()lA(qtQBx)8QvlJ2(3p7p+0iD9F=y&9Ds8y_K?FPdke z{_Xy4%UhT1j|Zo5t82Wm8uY}ML1x89W*I567ReU7tF=+o&m(#Y88<4e12oo}Rz-_m zevYXloBUN@aBE$3_cjIt1)MObLwb#2L5PDPQF3~f%a^6?|0y_n8CHr6R7giYV&|hI z#)WrDGqIF(*B3t7oiiH4wGqC1o7|`KLtGby@^Du}V}F@g<5Rj{mXVHqdSj>|u#$>1 z_^Q($2j6bTwZ`SLFLI$M?2k-D-TBdUoWd2;qDyFCh(JRB5JHFrk$Jk>^ZBdDZlW)V zfDH3flKIlUPcrX(6e;<86gB+l-1I-4T0p9yF$+$TOTcr{z;#i&Sb zvN=<15bTpV>76eUOiYvWIiE+9@I}X4A_s;SOK|o9)#9FK#vhA}s?^mnnzGalUu)E5 zUq@bVO9}^1GY32z|F)fu-iQw%k_es6h);xIQMhh?`fPf1f|EBc$?*A*$=)l<2z<@` zdDLJ(w6&nEyL=lxsWJHsJBiP$F$L=mwN5ZWxFniJB zA=!?&7k9*a=sd^M#~O}5qIx+mP1aYe-%%f`4XO6nt87fQjLz;@7i_HBhUxZSS4Vzq z;rYoyI7P-m$P-y1Cv?%>-a#C*;MKdW!E^C|*f=uxZ})$6#@)K%J7RsT^Jqj1(#ZUC)5Jwh7%}q6itXl>h$iBF^jbQ`?0F9 zJy0V8@`kY*S8Sy8sry%(H1uc3pP7phCUVup+j`NIOG=5_ZoC5-=8Z@=Kc^3@(5S`# zQkca}p)46E)l59Gg?{$$y(oY6YgEB*sv1JD)%E?0||KR zWtWXW5cA8Aw$7Q&RuW{Qhs(a30&x_dJ4%ap0-bl4KnkhuHa~6P6e?I&EDYgvaW0A- zz4^dEwh5B{Hh|{boQ`HPT=6GsqGBJu@^sw%S3#qP#H;IgtF#_`ST7tL8KejN`)BUEuM78iLkE%M3pO8c-05TngIiYbGF!g%&WA*NN zDX|n$_Ps+n=2Q6Nz5dr+!tj47u@5Xxe;X*@s^Erwdyh|%;3#RuY&jafa=4uM`Q`DY z+E?H6LAh>PXxpT|=4{SaH+f`^CB}l#G1HCygk$wmM)RyCyvq9Hd*;-f-Ke*I5zkU1 zQ`%o@EKcq}n>>9S{N4B@z}rk}+Wq_Wf9DD358E=t2o|WzJe;P<@S7VoyKrQ_i1`gb ztx6<0E=FflOo{D0Rs~UiR!THOImj)t=gd$DPcQQ{U9`FH+I%KHq~}nSnjGTW_Hz(bAAg z1And7|B_8;o*%1ApOA$#slF9RD&)TabC+Zv`^E~sIg(sh+Me1LFs$Vg)TD0?Cj$Db zc|ZEfW5xzOPtHQ!PIjjc?u2VU#M=Q`cq0hmS z3MR2?J2C+WwLj*+M@px3Z>DG|R?jL<)tjWPI^>wCEV0ooX$bn&ZoMTMy_V3R(~IVF zvakvv6_uCaZR!~WX$g77L|;{g)k!#3vkH7I%N=>#_)}4i%%K;mnE#HLJL!wzmgM8A zo>(Gn7o~i+by9PwS8X2+%fIH3-S&v{G%CHFc;fNiqQK{3gE*q901%Jh)8;t^rPn^7 z(wv79_ZFet>@{<&#uVI`89SzQ=b(iXZBlD|?b8#;XOcZ}m!)`~%v(u6(LKK=syoe& zq{rVgKc$_|m)R^*X~Jr}*~^ZZuPNyuPq4mt`>NMso2x03NXXH;@;E2KX_hSWb}zdj zB%|uM#rfF!Mew4rbo~4~3$ccz`J7tRozusyXWf|J>vRNveGCKc17Bqfrig`zQwL80vXHg>Xap^zd+xn6+|i)z?XTY-$C$a>InVQX*7KZm+rak0XWd^u za%tYvJ*)4lmSX`%bs+a8NfPn1vnP zu5VwwaY>+-m}W`u{GrBM#*e+Zi!Zo}YPK}g-nL?5JG-QVq3zZ3I~Sq@PUI#I9gpu0gUgBKb2ue$<|c_{ZGTo7Xzssupf7siqqD55ig((- zC`#aL3>;pshn$RxB@azV(HEk8TCDxG+5|+h^ZSlHr&fx&Agmc>1dBImH*P}ID1z2y5B0OS@Mk8<86*bG0}xHFk8@Tq(_sH9DC4pG5`1E z?Q6@A1XpRjB)pGZ;_*$Dvb)*3&a)%ZvcGLQ<8$(-`qS z>qHJIkhDqSE{uF>T9zy>$;olGL$FUhdwKHAyE_6L^g;w)IJG^*h#jtS3VQH)?gMC_ zZhqyki1rw zPjP>j!_wnh``$<|P*z~XW$4@=P=E94%a_gHs_fV!HQ1M(5G<4d8RflT3I)(EcT2Cy zz^uyqE2Di^Co)U%EQ@^ZoXR~fBuziD=H$0|0g}^`J|D~xAh&QV-S`TuJAJxOYgqB+ zphsrLQ@uXTZ8zRbWA-dwA=WW)?oqB&#wERV8{TqTX{9UFhf52}P85U2Rf{9m!a9X4VSN_ho4pc@7Fo9+zMynmhvqmi*JsuDQGIV&*CRPn$gg z5*ybwJIiip*vz^n@#@(_!_VtVG_|j82@nao$Dy&I_@Vn%*{>^g&qNa0nHKpw-<#vt z9`*jsT~!Wpfb8ZxY-Q{3AOV%2598%Hi*zIihF?5UDyi9&3$)QkrG4yKjoB0~xS z!rvcntlb?$EUA0PRQdggudv5Q6(&|6U0$)6csqrH zEPklN-koYrXBPVFtTc2QjvpxB5cs(97=UW<$yawC#a}{Ub z7Mt!`^2K;}0HMNs5xdG&6T8%7ZSykvGxdFI9((Q;?TG!xFLJOr6b}VD*SdgPFg^Dj)TORAL3IAEu*)u*m=fGdgQ&guhIq6=JxmIzDX77m~hkl zxck%Z9BEf7jK0D3D_HcaVo9kR)&hrYnqbWk#{uFEAv0C#@vTMs; zl5c@D{*ib0j+;(9w<(b$orzDco+siU$61?g&-SMl=PR=9!9GYn z7wz0D;;pY^Rb6L`)z=?*SL1?T;qG+gT_`27I`4?@lRk7P z8P|JkQ}yzuMN5wQ3!PcKqUt6;mzR*ej-2U7kCsEC9VeRwI@UXfZYo^lH=uYoyLb0X z=W?5<#fxWy!k(HIC{MXZ;d;xh7Y1*LnR+su#j@RB3Kykk70BLttXi!k+o;qb5%yqq zU3<}SlZ`dvtBO+^dsY?(?zt=WF|E;om^WjSOF>Q?A@UjNVUsK2afI{AMvlM-C&8Z9 zRX+EGzhIs{gfoE&Cb@M@S9#1_q#kpM+FNP}G;n0&GUv>_6Jt-1Jsap5E@rZ{gEx{* zRzdrkArt?OrGl~I^6iTIf)*A$G}_dw8_y~84ZOx&zxD`7Ar0_ZHN~68U*5CEIPP+) zy}w#fvHaCKd4tV;m%!GB2b?UwF4}3c>q~Yrcro$mthlg8wJhy{pAuP`;+Ze=1g9OU znUUO)02ak&#ulFDHf{GX;Md{~%q-TeR*gDTTkw9vTa#@k1~s%*?3fq%4|ZIxdi>ei z<`sCe_RS69`G$2zAIxSI7`UM5{r-YOYT?k0M6;tDHS5c+uJ5`jDY5Wt^&HKT7V*Q5 ztG~&_zIk-C!f?dG%cAbg>)4c8dCK7twI(HJg-v&b6}!yUPHiDP%baF0$4sb`)PL^4 z1uM20Mk6E74@kWnR$XJYi=!)kX{XF%cYw>x&k{ls3_GfZJT@fm6KBXh3Z6~8<_(; zb1oe7T_LXD>G?rJ$n2_YTv4Nv$N}cv_3Sf6&Aa3lke>DAG|Gl|9}5gm|GK>D@oD*`=#jJg6!q%T#yjS~jUXNYM@ozph4U3wu#4}{A@3~3N?XlZZrNVo9{k-L_ zk-`NgvlUw3JMi6&dUA$y`)YPI!}KiG^mhXXIIeLyX-ddeUuZDdQ*tYwzbd(-X>qyi z?5oKg^>4b$`n3f^t%K7Z9CmY1co?{uvfykcc3we{V1 zV}=m^`t|!Z1i zjGxU7evR=Jt=M;6E%@fCM~I&odS%9FQy78Jg?_03xKCD=SB`PJUmh4Q&GgBoO$@TOVs%>4xP99hg$ z7j4Wx>Ahn?XQRGsnN`aAOw;6$dk=Q99gz?YE3$Y!=fmFZ-C0&QS8Kn_F5;fOpkaZR z^Q*1SGD|L|SYBc@D0;7v=AJHLr?EW8e6yObK6zhpN{pfR9u6yMS@T0nKW6NCYRoWu z?FO;PL)YH(@QKT|-j=G5ER${Rg+^B)?%mAch(9F9c~3MiBYD`q*n0Y-iv_h+-MmKO z0%7FaR*a&${inV8jy-4c;;%I5NQp7`w`5jXW%^dZNY3vaJ0DnPJOJHkTVVpj5Ps=) zdEC5GcGtn#ZAVsujd0qYtX>sb{3`mbnj4pkm)~YpmJtoxiwhLO%Bu%!Do%2*UMiZ0 zk-nw2K=G}K%#Q5aYlci#_uMEy-$HDu2xnxue9u>O^O^^8`WL6EXno~5LB_brT}jJlS(cCWc!rIj?TE@M57ei76$W ze8Hj$^L^fsw$9r+RBRZ-Fjwut$y}H8!@1Tj2bQ|Kzv8ZY!oT(q>j83UIh$~)v#jH- z2fYcUCl@rgi5MNM?PuurZY88BU#)kOmpB+ZP@^ZX`dnayRr=ye>*pj{zb6>cTPned zoXMJ`4NZG`@}9h9R@X_CR`A}tD6{y{yRYFA@BKE0u$||A*ub*NvA8wIi?`6Gkjuxf zMiXOad)6}cQ0ro?+-29?nI#-oFTd^1{3)Z;S0%1SJL%mTzYsyUqP|?FPuI+xTE9w# zdTNA!V9$(?*^@QPXaV~Msoms?VIZE7ZUc$Ti0ta=Xw()kg zmvI@TYOl_JdgXqwXi~Do2Elrj%hoZDZw}pfBTz#wU6{n++`7y3)yIA04TZDy>s6B3 zgcMdZn0}SW9h~#fjHr1$jc6XwVA{3f=JD=V2C|OnUJmD$iKmuJCk?8vy+m&6WvXjo z$n2EbEoCJTeA#ud&PK4lf9A75-a@%Vwu_g@^W)ZRyHeb&Yt*IdcKu060rtLcAuE|E zCn!`}woKQStp1=s(55rWLi2%2b3pacuPyjl>x7lxt>93!1v){p;$-jFy2a@qR`uv_ z^}ZKeC~WywYlHEgx`QF@bvw-?+lPi7A4Tx1td>fUwGxerF&VKP$b&wxsi+^acl+W`*@1@;5xnBt4G_J-jZIuR-nn3b%qR7UOqWo~vbyOfsVl zlm2_WBHy3eoFRhEWn+Kc83DZ-ysV2`<*QJ=q1i(_mW-S2$!<@d^u6y`he9)Ex3rosCCInLfRwp9(|&! zS-7~H?%lAsZz;iNBOYm1yZOnr8a3zVpNrS~D#I)(S5`RFQ7xHGJ)D@4bKhCe;oj>- zWmR=bGArB~$-88k11mJEPd)3cGkfxAf#mMQi!xWYCp7n^ay5NZ)QL+X<~BqMRP!pF zd2Y_6(X$yNDptkxw&Cn1!PBg5qJk~kvz$A!j>|H4I!PMnU}uPj9pWipRCODe#&gjn zR_%{AeCJ5u@YGd)u$4FIvZtV)V_%^eAM4v(e8{DnN1h%RzWnuo)!;j^H{QjI87?1< z_uhOa*JPGTtr`0pk98^Udfzr2k35@ulF#zee!rlO&2r51?mE2MZ2Ek&sinUC zbFS23fnX2j)aR*c$+=Ds{W9A-hHO=}^tQ`?brUrisB70$*j~2MCqM1}I$h_x&K0xkMxV+2z$!k(*5Ft;Z`Io~ zGtPaoV>23>u{lqfU%8NN=C;gSKT$~s^;4-#iC>J@4>MJzGEMJVD9Cz5SFb4E$0EP` zid@s)d%6R?kC>+&owHl>vg@)J$>*wleFbIXLsl+iz#E75kP<$Tw+IOV4_fOS&V>niCEz^n;mc1C zb>GQ*e|@9cwD5Ckf-8d3ye_sJ(f7t$nJ1>ITv3cMG|xM>-|daAFnGMHLP|$EIYhy` zSi4J7rP}=o(!wQ$?rocS~YQyveRXEVooOQaD%m zE}t#=ij_<+Th7yJ@OE_r-ri7`efx$4ou4#m8Q*1zNo|zA&{aIJzqXk)VBJ`BpUh(1 zDI|BcLuh(Iqgp~?ds6Cu+2D^O$?yteVZXmn4I38d2j6WB_QtWzKhEe zQ#1!xD5MY1l@T7EZwnVo^r(M+cEl>b(rMc`HuN=p5fY07GXr6?5xdyxxk4b>T{uoOp4Tf zOlus=ojb*A)f|_c|Gu199hy^XBlAs%{qm3iSt>wz;2Tc1w3{uodG^;+4wYNjGEZ=R zyrJjY5ufHMP``?+$Y0MW5=}(0MX7p((yzX@&8E z)Yk{<7KZcY_Lm(SSuMEU_wJmKW1LN9CekNUh;eS@#YQRXbLIrwt;&*6(eC3YEz8&Q zn4Svux5!OVUc2U+uHTvSD%(Fge~L}JN$L!#>0eQp5fE3Wr=XT(f7k@Ws4rH2OCm|g zzjeC#?)CNf@^ynV^)E8K5Na^3Rdyn*TJH1c1g1y*@x8E9>mTpMs@~CzZ1K?fJb!vb zy{A-JEtrcbblJZvdf#2{(3@?%d>*{U_EAL-^LxpD)1=R5C-qM07_cdi%^#{VQKvl$~le6!G<+%*NS#-*kh=awsVIkB!eY|Z`bz>xL_1A zvXq^k9oqR^P4Lb8lW*O~!7RAmR5q`nj|nrRZlM}HdJK1}fukho@ghlOv(yj0b@)z`Y1WpRL~e5vMNohxP{_~^|EPJoK4WiK zv}sXiNmOSQclWV-p|(fYE}vgg*Vh}jpwlG#TDd_Hx3r6dyZfSfb4@j*Av&{{Zs1H^ zP>Jx>7!KRf@8O+ZTTxNo2xLCV{?3>5Fh-i9nz4k(BZo#~S6YLE6$}u)_!Y^KkTYop_bv}3X zQkDBmwmcqzZ<`$-#D8xj?MY`j$3E1vLP0P{w@~}ex`2lAs}1aYQ8Prvy>F>?^(;?H zw?FsvL&OKXtaQL?#^Kg98%i{@_^O22ktgLy(~kk9Ei ztg8ZyGD|Eh%U3Vk%UO<*)R@6hE4X*4c#h+S<&KM*YvtHEx_1$FtX^ALz?7~K!o}&t z%yK_=^Yu>`3`U$a=I)H!)NfEXXUUP>vQ1%rct`!M7czHeG~6+9H(|QRC%b=c;hwOz zE#C)AjO6TJ$CY-G+*L@;P32sd{= zo!>9Nefn9VCLtG3uZs_y>(UwNjLYJg!Ki^*=%pI$ho>ZLu@(Uv|#1z4*g|E zsvn#`dQ&m0fhAJ@zlWs9>md;C)3%n&=9h?23O~BjEGv6Au_r8Xug|h>%^S-XXj>@6 z3@>roA0`*kF`WBQo5OQ;MrgSZ-@&F$YQEx8=XaN_X-s(BF&wkYXIaXs@B4OrT@%uM zOWAo&#Er7$tlAvfx+vOs9)-tRJ;WQ_o*DX?pMfyv^o{7)Mz`GpeSylG>^5l>&c7$J zudYeE%WZf1^ZcCXLi?h=z)hPj&(qcolHas*k<5Ti3h4U{-;F$$d5!4KKXtHJ4#p~( z=^DcjYtm8L#KHEAhwzN~rpcY9DgUKygU$|l38=o;#A|)Tr&o&SBMR+`vhh6Aj<)g_ zcC4~@(b#2KbY9Vr^|tkZS>zpv?gCdbFEnXq+BsZETz|U@5I*~`?1E`~<%NeZ@ezZ8 z5)OTVM|%#~Mm+Z47(Y$4K~}qLFdv*R3eFE0@VZc>ztK77BI~NT(;nSU**{+(a9x^4 zL_u72<>uWt4v8GPw)7}?MBqC63&{qFHK%v*Kf2Y7f6~ds8j~mE^!V&G)q|ErmyR?} z&pR@_`JOFA8@7#$!Tr^I#G}^b=Y$v957Y^#&ELqsqNru*hq#_odsOvrt~E9O`0SqH z$}W*eFCSAMP8);sOG`iGeH*S=Sc#2vTWzf3&BYm&fy-KZEnMiGwKY$v{F1s<$t;Ci z98E6;SaS_lJKu2}104TO$1>^0n8%mf*4DTenuI+%$n?p)p!|)ipsK9i?G%|%t)di@ z{->ELiulObvg}x)1ao2Uw$LyB%j8AXwr$$8V79y>UfznQV)0&LftB#m{#*r)`Bwd_ zI4KV)GGE4W>%m8$Ellm7w4PMn#j9hZRI}-Xq+I8JMr#ctF>xEt4=P%9BW&dxc}me%4oYtAx+bveoGVFLb{hlv1xB5o}~DaADb9aE%}Y zCA*@A1uJ;$cGsuWGojCCVzpGRH$t5aKcRYSml;pshn`JaFEv|BF`OnJy3o(NMa}>4 z^qx&8t71goxL#0Dw!Ze{Qo`eptFmz1Tj zo4ZJ0`f2q+r`+!Ho(EY9n}k_kH7P?}EXeNoYGh-7|58iZ^||raC6yYs1>Ilp&o@6MDwk+5{Spiao<<`mI;hL_gkTUQ12yJY~*;3eya1X=Ds zfW+4zX`2`0@R3dZZe*u9De@cxr=MzdP6PHkB=DZCutau3NM*w2t?BLG9Mc~g-Zt4; zw4rQzpgK$SuCRw~M8u^T)haT>VTpUc_)cx8rf}=cxO(uW(KDuG-T?JXimbtt?@B5xY!QP(iS3hZ!(s zg;Dc?j*L``3_d6zrxDk%ph&=L4^w)U(K4%3khxE(l$2CD{MfM4gz)5Ms`4fta(wLR zU9Yy>Wr{D{_n#?|bVY42AM}rF^fN@-o?j&m@*zUe|c=@$~nq#fxVt>Ri-cyzA8_ z{bokvy(Xa#cd_4%+4b=5^QF2Ce5WAS;9;K|mqdO}l&GC|ctQM(upE2qu1OSaFs z)2ABiF_70S@%pxef6ZeL&BYQs%?{o%tr(W6x>T;D9L(t{dgD~a27ZA&xpNm3k}oIU zaZ=SNWNZpuP+jTm!yU!%-<4^jan#KJlv(_2^Sy*UE7($S{IFtTVQsgM?)1tkv|s-5z&v&mf7XmJ`LLj_Jl-p71>>?~BNA4QR3F;CAYr3(?KA6$ z*!p#gfmW~j7PdO$(<5A~LWb1`jR!Bj7aeM{QkRiC5+ZEVd?MviL19d1$Hz05nj#PD zKi(**vrJb&x7(h>YQ%qE>8-2z;y#;Rezz57WOa16C7(K)xMIUGiQ0Qn_TI#Y5*tT)g)Rl2AI$G^;bvLB z&Pwc}OtYovth7ksX;s-0=?%WIPD`GX#W>0;&O+|t^@hTZB`mi-eBaMjzQ%}|d7$?N ztNr2Uab_XR0#YGcd{OMbUMReHuI|6%&UZ7=*Wfy5oRp^eBpro8G~8i zDM=U)C!Y9>-8{~||Gf(1RLOLDL7Xw)P3x3?QG+^g-Bt)(2u}Fu#?_Ogd@W1~dwkJn zH|7Yb9_5^%ED~4DHjO#?Y}2-++RnK3A4Akj+lS88Teg2~Qrs@db!%uf`9J3Kz4DjK z=5sx-SSd79P{&4n*4K~B+y$HOn`p4B%;mY^#ru8 z7B3G|Yns!zS@_zVgWNK$1D1}q5yzfOZVve%ZQpQ=_Zp+bwUm{TC0f0%XP-~M`sS?W z+VU_$i=7>}ZEYF`+)*$X24xY+{0)X6yd5p6FV~)#ss>fN*RE@SgoYSA6 zSd`8@bI>O5EvtIDkmg~_I#%x1)t`%X%QZ9AIr_l)d*1{yp0-&-(3%x@NJNrvtyyE< zqxltmdEEu-1{y#a*&az9IabB*Ru;rJV|l;d&TSR*q&HueX0zVVs$k4@yE*2+G`Vm~ z=Q}zY1-Ex4CRCN<&OvdQwsi&P(PQ~Xybjm6rtL~JxUl$|WWdEetvr|C`}cS5;>xiy z+O}Br#U)NtAw{eNN7?gq7|-kSUI9BksFm`3cUjO{mg_UXfUJ`E|}vs6Kt&wKIDdqhGPMuqZ_b zuQ0MbBJhAuTQ)?LR7*zC`MLKEe`^A-gSe$fu;*SI&1#RCWbQfVvWy~BV}(_j9~o!F z>z}1~GtPby%@2m{F)OZ_T%RVrc~eSF3~Qxt&8a<%9>%fkhxs=01nptW%vvwZuyTbF zYyu@9q@AyOUugjJ#tQ+=h32UDOZ(rLH5xN@3Ubx1Fo#Ds<f}m;X z)0a!6uU$<5k1~LJmVScaLbK{gLd-|YbfuuU1U}P)tT(G1^E%;ZwIC?8*c;=+`*dYfMVQ(f?wvO( zy){Ipr7Fdoi#N!(^EmvRY!+gr(okTcEF!a0;o8b34~X|=^IacS07YX}?8vdM=cUPeI~gV%5`%Z9JIJ~9gp>UG>SGB|v8aHRcg@w1Vp zn?BFpe{7DuI`Y=y>3f}*Lr;b`2Jm;f6b?RlX=dCttd;ci`|#r(8;6sM{oPB|+KLXA z76c6^xsH7Q)-mJT)A!G%UX;pSy@oCBnkUVxeDQFOi}dcK9U(7NleaAj3XGK5ajC*7 zXV1>vIN@EzBEhRNmj?w7b0`$ zetA^asxU*s&6(qalKtJIv!e}`x9!Lu9x+&aWn_CaS95EIm;dsthPUsVMpm3_vDtBR z*7?wu_UniIJ%YY;<{y9LD*gT2`@ZOnLEr2=0*2pfS-Q3qE_)MJ95nK><1Q8){P^Xr zp~ZVki=7`j@{i|i9QxdMIOnOk77}`bnXqGA&GmZyaeeJaV>F+3iEwwAHKukpoZck5)BxOB9cMtX{n0&W1BDR%SnuD&khN zwLQN{viEx>Tj6YmGsBqR&EFR>eq~~G89G19@pZ%bn9|-ySIxNVKX&EWm|4V1z;rkoEyLo`~sXaX8hwn}d^*JUq(Rx0f7oHD8d!V30Rh z_N?rB%KlTfURR%$eI3Z+?>4v6f8%VJ^{!>dp$(^u1eaeu9Wdv6S4SmhjhUNe=AoEV z#}`{jXHG$g#@B6%8#;x4L(6C|g>S5No#6ZTZut$_nAO$2*F% zd(!ZY+!nHacTRk%Q3^T5`Dxwj3nCIS!5KCILQJ0<8c$ew1*b8}?)Z|vj9HfV-ox){ zha%)v=D$&6>5cI3J=`MCz;X3i#b)j$8!LEUikCkvYkTljt+SKWJGNM3y}M9!UTa(M z;+HDES3iFy3|RQG^xs~PzblQYlzZ9d%`v^Fl7_fHTKCSh{+#Gn+B(}sve4u5C0v{D zijBUvOP#D5?&5voGG;v!JQa3a_XKXoPL73y!(d(OxwBQ;Dm8=~PrQZR2pAr|=O?2Z z5#CwsCffdz&+k*~y6^K;9^a|pGnrA~j+to~8gM0B+}b0=zWf{#t^KYPUk;#vqTVT^^My`y{G&&5D}aHs?s72f^}=-eIFU^SP^Qixs+< z3WpnbB3!$0p>=CN-WFf_Y+Gp9=Kijxw%FF@1Wnu8+b%M*dmbHPmk%}#ay_(njhB;N zWmHOJ!p+PTZ^EKtt*hhf9~|kK=PedW&MdfiD(YQm7Ds(ZU`0-ho5E53G?n|yBO|8w zd<)^MbVxttp_QJS$`vPd-$nd0V`hz@)v`lR+h>)R`t_UHC08fytkE1X3CvnvcKT~J zr}^*^?SsXQ-6OtdhrD+@u{&X;%zQxA$3jRtG?Vv-#hOA&AYo_$D4DpmCHHudtbd$53emsEQw87 zqFL|tmObuv6la?|=TMYj-`&^UhRJc=cL)mkuTSLMIiBqC=1@>jWW=Vc-`+;n3S{rE zS!{9T`?uD^LXVf#Zjmc+IoYRr_rj=bKq_TBrf2OgH} z%C5S$x6|EZv5%r!_@(Zs-f}NiZvxih-F5%K-Lt*1&n^dk|I#HUR(j)#PhWTIVT)^+ zYsaIn1`NN95DWU+*Z1iDclZ1epJ5i)?_Ur2l~>Q0*ZizqkLA9d-izx}wcO?J`Ffq% za-MCyH5?Ub*{8bU_%>C;2;yF;#Agkp-6O{yHZx@1$U0%b;*^US6l)%Ff|O zz=aqb?irc>@L9udI~Q9IPj@@3Z5Z&MtF;q`NW$@m@Ie2GlL$Ec=+BfNB_)Bub+f~2 zTRGTaHSBC1tz=z&cuYmWVR0g!2ZxiunPISGS5GTXJ01xUaGtxJizg2O94CX{?L1t) z+->YUz-_eMU2SyjJWa9M@`^lIJv$#yaG`>ar;;vmEgrc<(G^@Sfm|;wjls%+@8FIe zJfiS%@Druu5=8I=)bD@RMVts_Sa2V4B2Wj&7@AH>jPE5*1p4LQb`ystB@Rc2mN*d- zweJ*a;&A_-oHz-%6Ig_tcnDMD$ce-KKu~_`x0#{=GdS(AXma zJ$pp>!5$I*y*(n(*dqcxdqnub9ufY%JtEN9BLY2pMEJoT5&peBB8otwOO*+7j(2k442GR|OJaJa7igg9oeT zXzRgaib0$iI0r?>AW0tZ2`5p&CmaNVPdEtxJ}HungM(Ny1_=_uC&g0YVWR@RQ@VkN zl_K~KD;?+)vHW=0i38tZCy9(foDlE{8+q^v8&T*JIUg|s;5+4hh!O+eDfdH!kc>e< z4n8TFBZNt0j2RDLr5DJ@;U%Cn7lnlo9#ISg=U91KZFO~^l8EB<;5aYBURo!D?x1@ZhASDUU)2{bVr=jJL7dz`-}ACuA`q2GNjMGLeWO!u-LKaYVob zNIPN45+WF+BUmy?1cOi-OD2e52(Y+e$zmi7qNczdNFXnYT!tfI5dRQdhR2ZLqzbqU zk0DV06%)rG4mr3?9D{HaJXjn9dx+q{Bq9c}h2SzGhCu0#xCjQ}CYCHJfm{o9xRH-h*JK=;{m3S6G-A11n=N7aSY;TVaehcSYd#F zh#15X2ahFU5T6G;mI$zjTrVbqK~M*VBLa{?c`ON}hmn6pNf^Z20D}|((5GC6#~=wO za5EABGUYPxT*`xqcnruF!<+zFW&~)U58@a^Z-C3h0j{AF#u$twTt_)Pp8rS&mU@^z zt5Hmq5W`5o2%_PRgak$cj-UW-##ln14>%DV7%;4l!P#S+K#`vwp;6QoAEP6EGSQ{*-`6q5M}sOrK)(k&B`i3a%(jC&0Y!Nf zR>h{0?w=*q?9!(D)z{fM)@_IuqG_oTvo2H^sJ~ zEI*D2#zUlxhdx4ZBw%QeSeeEVz!5=rkpw?5V!sF&90_z8iP6DL$J_)Q5%d$u(tw*z zfFSzL0*(ZRf;g8n${8mL`j6yJpj*;M9LjsZk-)e}6zz$EA5Ii>8A;OsgFA*fl)Ax@ zK<^QseoA)&_W!7``Vn>TOceDnjY6jnG?Z0EDRh*9#R26G8U?w(4Ye^>5(k`3(2yIV zAT5M8Tz0g+teE#RJb4G)mVd;5Q!VUeJ(}=rbQL z0(yxgQGmdXSr@zr=mL^|0VJ8qo4|{J2f>LK5I#-GRX9?kvh7E%!s?QG7!Se_(2yaZ z+%!BCeNc@reXgS98V{}njY2K7kfXdg`Y|LP+y*q{QW`*@jmwzCiwq#3QGL(z?gw#e*;qoJz z;Dj9Y@c+b^YG3GP?tV>-;p8KgvUCXlKQV@V8v60w|Ew`&)lo(PU7h%2z7wg@p@Qvy zV*D4y7!ES2l>JeR;i4nz;s06V|5@Wn=W+0GB^VVhKcWfF7EllWPmKSb7$c=^RLcH9 zc%;^idYB*rW+#zF4IoCRn{CH~Fb6aw^g@L^^wVDi5ioCwtTdp>gG>+^OMplhnIH#| z89GcRfGHr*C;=ZTNRj}tA~FRJu0TgjG|3VINH&3nTuwih!by#b z+~?P#EW88=eI+O(pdWG(z)TTn$lVDd(6lr)OYoQCW`YRlKU{VLJP?{78XdEN|M8P2 z)ab^|NO2++N6yPo;)9d)QJC&-qiV{Kc+WQ+D;|t5B3tN zb*COCfFJ@iipPO=RwnXy31FfXG~|j2JYEnv5U7#EFM7Pdi6BrX;z49JL3Bks2|1Au z1V~AsPST?sBKjUL0eB&xA>;a6?k@ol2sGrTQ_f%!z<5DJ&i<+CECQ&l0F83R)YFgz z5PgD%oKNF}0jHR*V@v?l0u8z17v?09g$q=e(Lo`EIqKp6p^#cY{XZ1`T@=D86e`Sq zL?K+*LOuLH6#fr|aIODe*M)GgCKYBsqL8MFfC%zTpixxx)JZ2IK>`CB<@~9QGZ9p# zf`*(=1i2~DkS|jfeh`T`ut6#0V-&e z^FeVKky;#vN?s5_b_z7)q@T!65kYAxXw;j6FVspgl&vO$ycKB3N%V6ZL{Njx`iXrwNu zy;e^TN04z95rG$E%G-I^xI4Oey1MfaDe(}zkr#9U*doZ$-NRFEi=kB;!C_rp?67`z?ygu(tfJZ2-I4HW{P4BZ<+U}{ z;NcVL44*)$vYl%9gt6g+EVCGx2_q5lv_nT!$%I3P4*&7c4X|=p8T6Rpl=t{C8<@x_ z7{X)5i_;r3#T-mEW;|-lB4E5CwBtqMrwPXk9sc9-LacVP1DnLTc%ny57wF2WCYo{v z5U24tdZYeHpi7`eO#q{ww9!%oQRov=1Umf36oG-SjkS05w!<1=Jsf?oc8(5PJYk`* z2m9$^J?y;gT+qaVXKlwr#8A&rLq!%M7LJ})AW+J&r-BG>3?rhzeB!~f6zG!#%sBKv z{0R~iB(Q1}`d2P(xWDFcC-k^+roHBo{X!(NJj^MRA4xM#NPpC<%I_mn4hA0znR)=1iU5^L z0J54`H)yBUt#z(1w*pbQmQcSQQV2GIZ8*V53RQr9pM{o%uZ ztf_Q?V5k6vjgC5ioTvlXYrx!qIRNuV9s{&J!j@6AD^vV4iicr<)uHG-SYAwESs4>U z6c^EZ?vC05SxsFfIbFa-S$ZxmB~vpM7x7}FXeWVXZNJ&Tb|=4dEiLCJ50>EE*B^6E z9p;+?P-nV8jp+l^tA|yFJQl!F!!Z?yp{gb&tjAU>k1fa%LmeOr9w3(m?e8J;ljFy) zrez{;tOt!BPtQ~nkgXr5)XC_O8z2pAo&y@~xF-*|VDI~nQG^OXv1%yZBc-n6$1W?Q zp{WRYDPX%5I=sgt8yZhJ_Az@z0+pVVG+KI09!8<YS5F9EZ0INAkzusu6H+dKZo5(*#lQPgm8bB{0 zfq*8^NZLRnDFC^WLt_hGLq48tCdTSUvMMmy=xKjErHpwhvY`_kAVdecc9KR*p~+(= z^jhoR$CnJy*4os79QJtN5CO?;0CfzZ4n->Twhg0ZQe8_;R}-R?yciuJ{7D(ajao@j zQBhhtOdcVj7nT1$9e}+-4HLa%=jbqH)fD9n<-joI=!p?!i;$_{Ktzob=vdL8XkuV9 z01}vM69trnK1pEv0q8eTkmiBvouPY(fgL+YU_u7^A3BG64fRtTObJ83LyIxNZy5L- za1DGPkg|fVhxTNEwzGp80qmIo?nTRo$s;B30Z6B-U?}{9Qe}|SDTjr@gXBC+ z0LPU8_w@n0jUXcm-iQKtZ;W;E+GY*%MUD^? z+L$F31Id?(GDDP&2q%iSe%Ph?w{m9saHKUB62UY>;U1YrA5RB8btP>>D94B+&`UBB zDZ4#P1$~sy4dxg~w2cONl$qlRY4KO4dmyOk16_g`zwwEExbkm2A(Rbe$vRM+k0XfE zQvsAMV5TC(m;)*Xid95`AW_@6@3cgjJP?6*q@;BC_r;M+QO78VKsp+cK!{?nT%r(z ztn?U9C`CCXEwTngC_G(V`I9LTl&%DE9hfAe*Jz0}dDMd5W90XVqy_txFm9m06;V?9 zXrjQ?DC3EurJ|^;3Wu(EdZ8=f*To3z=|ZAyw8WS^WI?Yv`F&#O0?i90oM~oG;kAe3 z$1g8$B%=n|PaKY(8X-{j9hypd@MAs|G=V4zEFrXE3kgUp^gqb;X7uM+Y~0d=AO#UVJ7 z#AvBFd1M1y(Z4`Nh$b??*F)z}kj=n;pn{Tus+pyCKfAA#&XwNbxAR)G2&^gr}F3Ajw+U`J#z&_n1u z_4f&8r)XxaNT7xTIuBfn5d~9cP=gj;NPw-;p?g8b9R7V=IRRz&^NBoLbSC2Wv8fBJ z1{D3u0jr<}OfzM^gbZv8Qj;MIjD-eP3lx`uxL6xDI7r+C`!sN%H5QpeE)E=$p?pF` zpeR5PZRg>E!8(AKT7trbF=J#nR`US|=uljSk`i<#Yg9<|74+o|;2AV}**8jc>r^s= zLq`}us{$|VHyVuqQSv+!^6RfeA}V7hpE4l{6(AuTL7Yp78>9Xv9OqK04)FklxM=SS z-Zg)`z$qDnAhIiX8-Ba3uj+B++w%qcW+ZprWP$Pc;$g z8EhhDM}Vn7gR)%o12}3>N6V+l13C0^fvSJ`1g`y3zkZ-&=>B<=E z=;#A+!r>L@SakyOo{%Z0qEIg6#8XkUbeTM+L$9;`eY(KT4IFmB=rJ6dibqe-B74S+ zr;L`dyrw=(863TmDm?Py&i@a}OdhQL@VcwtN}$0K2NT5rR@$ISn#S2dc91_-xu_-$88wP`|(5XyG_{YzLS9|FJrSw5AI1 zH%7xC8`hP*BI8I3RnXS(5w(}VT%YWJc?R{*+o@~h;svLOUSW7)Gh3$Km~(i zN*kpCke#E)^Hj}9OWO#ph!&w|QSp@hil*f0Sa$dqYd!!EL9C6mU#%CRUPt{zUr)>5 z$sHdWP0t!573?{@1m}?M=M!BvHU;t(N^}uuiFr8XZ(g|2mS(xi8z`E*>)tyj% z-XDriKv_4;dAhL1d7nmpcKD-A^g9vHa0k zT>&lbCJ$WE+gtp;nbW3-KRSj-midhrb|qs~85wvch(IrhC&HB&Q!8*3`$3H`>BmMx z1IpW=CSpH)_>Xm~3KCa9iWAOdQzv9m;(%<;G@c}iibiT`P(Xph(?!Bh#%w6{M_V#X zONz-$+R!gG`F%Ky_j=GIfERy`CxM=xv79W73OqdrnedaSK(A1kykG=q3XtL;(ys5I zy!~rJn4qNi_h};olmaLP<5&}Gg z=&!8(0fRZEan6NejLDt)CS>bViY`#inP)$NvVP_*2)?LU3}=8%~i^ z#{Bz|jf6i&0C7m=_Xyy?LveZFEZSqA^5NXu+f+RtChiD6FIF?nddVq9dbY1TB@6 zqZf)&_H>v^q)}S;Cv#C0hD|8ye`R(F)^A3Xg&q)D)Zlmzaz;>Ofmf)FR{`=Sy4pI> zJg+Q0_l&Z;#Z+V=qUiGbdFx-zSyOY})XzV?o(ATWovJl1DA&Vo!Fpk^?ue_Yh;;-;QGt>HM`Q$L0HLLGGC)6#rpCeR zuYnA~`CK>Hu&M$L2CYm{rh2M&z+xI=jZnBj_P`hq92I3XWi4nW5RORCbtC>{w2v~9 z1X?30K6yL?AO2$w&>Bf(pPKO_)c`quUAQKPNH1GU{7IK>%r8fkLC|7u@_83{FTTGq z;vXjEkX+_k`J8c0PP*{@}rBU`poKX2d0nHx+0c15GryAA;uzrE5 zgD9CX%>$foG`--e)A96@)z?;6fR+K^aP)i@JY04;wbO!1bI|&Nv|yP$?0_eg{sL_f zIzXU-2!tj9{Jy*KB+xR^RntXQ6w%A{;D0^o2Z#n0Uw}qC{K+R1;9W5Pc=*t;p{NU; z^g~|nIDXt3x@vMp$gB-L{{c^VXTVgVf*VtgKePS=S<@i`s#QQOAAE(YJO(o2DK&81l9P|Vvg~jw1k}8{e{;M{;|M~?&Abgk3zZs zW9>S?p?v%}$w*QmqZCD=GLGYrl5zIlv&>5N2qiQqLS;uNAqpY8kgY^kk&(S4i89Oo zecvWyZ7#N@Av)A&v#*Hx|m&5fg(vvick|qKg<-z)dUpNk&;)2JSQGp z;)h%u&-OPygo)oDa$k$XlmEzO{|TVK1UA5h53^2X^>xdti=rhli5^_~nrEHY4bO4_ zqj>oKeqgiA+I}=tRr1d)0~#_AbHNnegSGg-<%Ti)j8@Y~Ttih36GkC$@dnT0?&-hr z24W>a$P3yru*ej6TsoklQt&+BJE4H&Fxbif9gF_d;yF?P3d5$|+DQUvl=eTvBIqzwUY{$AGkXcZkLad3tjnh!^P6#^rsl(}p@A{`txQZh&1V)El>2Zlp!!C6vJEN*q^F1p@F-)@7A&>Qn%QU)S6M zY{IOKy2CRK8#nR4&nEyUsEA?p@4!L0WwuaKl+uJukT@=!cM(+jo08#%2?aP-5J-vg zg7e;hMg*|^5PU5F{3-A?_#Au$=fQ#RLC-PgNx|1k=b-06Jp%Z5fCHPNYdhCaBIci2 zO%3p)K_6Ob$wSfvDupn$?n*!c1DNXxIN6x_Sqh|p*9|Iq#l?^Yn@!<;w##&v)09C= zLijqaKya~J^>1{CrIJ?s?xpb~*d$xq|AtG_#+eY((U5Y6M|P_q5m5eC%dD27C>olS zA&Sc#061zrB8!AEA!yMyb^xgOhQAB`pa0MI;dcnNsF?oXqG8_tXQoz!&~Ft86-b|npe5rzGk-g;sb_WXMIE^s~p&=|%=RCt{2~0u&>@_JTV7LL? z{Q>~Mn*gx85vL=kJxqCHMnA9S1ZhPj6-{Vn3KCaQ2XdW}8BBYx2nIH}*NhjysTRv@ zx^aO33A-8?!VGI)ecg&^ZFv>U3@Th0Dsr99$%;bY21ehbfE3nJu9A-rYbFY6ad+e& z+3i1x2taoiblyNZz%#j+8TG4aAf+Iqpaju?2bY6}gql$P?xJw7c)YN&*MMvS3G?+I zfE=&;^Vp2FW>kUV0^j~;IR>kg(HKA)!aL*vGo=HVE3n`L98d&MiBpVpQM|#spa>W% z7*HgODbX_m<~m|xRX9?F+4i&g?udx1D2aeQhX@{A{dGJLg!A{i14H>&By-7Rt$xHN z?3y75SnT>A5EiWc1!us*BaIcbA8Y0l+@oDK>nbwp3Yhvm1TG%t{{P39uaq!iQ)A6Q z0|m{4{%1A=C!S!nfx-QR;zEeO-F;X^#GlmyHW}6o0>H`T|A9IH$pH4_Kt>$n?ZEqx;k_u! z1ksk17f}UKQ88SoDAeBYcQpw!V$cLGAZgAA9N=?qzz0GtPUzwV(l_9FUH~xz&x5bQ z&wvMTjubo(KNinpom+e#o49L+3n=)wyN!z&#)ye82325X7}#Shw-j$l05%OX#&yMT zkwWeS%s~Z)%dB!vp&$g4O~S6{!8xp3SxZDx8!TNE#ihFd7hqkH$4c)3_M}`anl6s} z`;*#&Z{*rIO(9!_p${eo1Kcl|=E5uiT5Z}DRiq`=KxhcTgG+{yPzv|&JPTJ{%f1sf z3D$PH;akTxP6Ax23$vPg^>yorN~kMgIxcakY@YxBxP{=0RXQ*uSZ4SoRAVa{yE@gJ>}raPR@oL8KYQ&5ap> z0KNx22hL;DWo=&>`XT>J7iqv(Rs_@v=+>=FD*#Y=m|Sq<%x|eF>8Pnda>0d5m2(3I zdOhl4B`CwuB8g3pwOw5Ji0OZmk3SA61C}qX*v(~*5mVNYQU&;*t&%LAOFg~>mF zwgxgSfR2H24+1_xbeU)>I>06;P|VP{hMFRvs@uOSRRkfEkRUKx7LKM-foZ(`wzVrlu^f2u^!JW#<4gzfmex|lfi=Dw0Y{{kc}z=ETT&g|H6o6yi~+F- z^88IMVdE4qy}y7F0}%S(4{VC8?SR9}r#DWK#a=>;N3#Safno?lm|>C#ulZail7^U~ zmNqn97#GyO>ebYli}wV7MWR1~}wE0Y4vL^}v)hcpiKX9*eLWHo?|*s-fcXf3|jF zi|({K1op0Rb(5#DN?JqZg3nU6$=-dWp(O>!?6&$+(pL;u^%i@zmd)LBLM} zt>>ToVd(9DCI>EO5O73(zi1s9O-X5}2^p6w2#hvZkEQ2&{Bkk^dfPAnaFjzFe|D6 zOh^EU2=KsW!nNZ7Nc#R+6@VTHe2^n%r|s%%R+5odkOKV*U{M#2DiI`P*4E*+!EEXZ zjt5BW|CL+>BzgJs*aTZUP=I%7Zk%(#25oRa2Dnd04Uk+_sNx0~P6H(xfb@bUmWRRv zFIHSOCK9T0Dww_(9$dxl;JDQFXx5d;VR5+zHaXUg2;if0H%<=FlmI1vt9Dy*d$N)C>1I{jwFofptJ&U-;UtJG>BR;}`y0YdTIZOF>mcT>`9Sl)$Ac5bJfb zU_kKNqrCuc9p~(3@H{Yy7kUqyR%?6k@No@ zrQ8w>#RTjaW~Ca;a3SFH$d<)PQ$bQi1FB)eS;e)uP8s_BYphR$Aril%?bt+H+sTKA zW*a9ONTg+y9YzAckN(|JladutmVgRpa3QwP6qmoNXXJ`{MgrYKya2m^`%j^Lr@(XY zHF$tkkxP$Ig(SESb@4p#nsn)N;3EpCIfNd;&j7hWa2qK2_m=(!^jY9Mv~v~n_p!bY z{toax9>9qJ{}%NB0gvFhrQZg=2cG8#;e7! z-B9F;Y24NTSX>-15lTQn5AirK6A4;$3q);-aQ_a(` zRG@%;pTnI zDQlpPVm$`x@49-isQFKxHuUyC-yG>h-2)IDcGmkXh0gV zuX$~k9^MT1Z~77peXyW@oYyTbt0biZ&B)=#WtDl>dEG1b83p*_t6IoVi_Lm}yw9L> z|IdsKHCDh_nj2o_xojS#RFtHppb{bkF7KUto!c~4t{0cLxk$7>UhjWWh=TDBFfAtV z%f^|-lu{9wQis@^3zt#kUS}Q?cG;LY$JngBwv!DdL;m?TV=M(|Qs5U2M^~5cwVJ%7 zvOK1T6enb}&PZ+LqM@7w_NH?bMD9O>RPej8ad`%E4X~?4z_%bRU#*s+mXs>!UZZi% zoBc5rI{RB~X2~ z@;@$9M@CExEe_V+pm7D6h;$n?7hG_%3YS^*eg1g!K`-H-Z$1c1!;8$|J7kt`u$rWXk}BwY7en=9^j|1h0sB7|1$xg7;*Jzb z(OdAg9v}e=&N=$~flaBkOFQ7xz&9=(5Jn6SAutfJBNUMUCFU?Kio~f!MMPEQ(NO6F z5|@8~T&Eg^;l7Iw5$F`HbzswKZ71=++5d~_L|rMJ!UP6z&;bhEKPRr~RRQM>i!5$p0>@5EKw&eMOAmGJu2%cfa;hQ{kW0^l zt7D62ox{-A4*@OC>-m!rfZqOR9SD}_U?vqp913GZ9(dKuG9xM|q7{@Nj~9U}pyOHR zYV{S1fC6WzfRGJPr^^dgQZ63Ad2Ak9+Y5#_o^G6nKrloSQfe^yh0qpw^(i;JmtdJP z5{j~t3Ls@9aK-i9P?`MSO(l#yem4Zz1X&w`h8kD?nLR*xQH3jh_&NmyPWMPjQbJoE zT>m10OVcCP=^w$`r}-d&5a1Hv5j=Q-svqzGE3Uz=M(_~@zyjbSFCc}m=fGq@Y@lv& zlJOs9>Ob+S!Kr{?Hv_1BKpz8~3<9Vf2x`T&qr*$!5CFq3dvnX&q^zYXFAXI!aF*FX z1<-%zCYZfkV>kMe2L?W3v)J06H++8K#$^tq5QJiasu(7AS{Rs91?a7TViTBZ0@k79 zAekasPE!)ZAjEMM4IdfL&Ntt{W$a1yBov;giMkHvj~Nk|uzPhcOEe)N-}jag>u%R+fRPIS{yf zcOEE_{dazWyY7H7Tp2xwO^&r)?f+(J?&3&3P@$~$8oA+c%nElXN{T_{k_awbItA>R zT~DqD)?kVoN5Ce<+O-;RxMSm-v7}T%D+MqGV8dYifPjnRGBMCnI-)XAeKO8L84$<* zogMzsv@aqzf7G-vpX5Iv4wz(Kn%V?V2_s72N_TAeLBP{AEA$eTm4e1LqH)Dth;@!E zgge$);sc5jHs7r6SpPRWZlxEA0Lc(wg;tpz_UD2>8 zpojn}qXkSl4?somH6QRCII!ulcKrr?QR>E7<@d5#m>j`LzDx~KF>x_D(A^Wm1;9bS z>hDstLX9>2V{B@y?GpnNRsI8ev#eEMs5}&gVk8I9G%)JG8`zeqqpB^b0reV*;0n{% zJHrZYa{%V}y)gZ6=dek)woeS7lelq4!YrTyn;J1I8o?9?X1FvQ;z8on%*xtw>LOs! z1vH7^szF?*YF=Tg#lfGr07@*%ti4JW_1~TB970Rof8ATNx zIT0|rNATdXUdYALTIl|*;pcI~3$_8310;$zZ~$eR8~PqL?br6G0l?uu5NJ7o2caMr zLw-n>rJ#5N1PN4@KuXaSJ1GzHFDc-GV?-VbSHJ>pC4jTR0aH*N0^pkKz%&65e5=8- zD$r5UmX^WPBH#*)dDc0k73O^5)&tm7UE3{(uVve~tS=eQ#p0V4+QMkU4X?>vriqlK zqJ#!iufT=NdT_5Ze}ja36&v2f2;k`({w|<^c{cie>>IXr-~ca*-1rR>0r*)BTJwlr zVtMc$ssQ{f4S3Ki^Yy?MH92rEpeB4{i6nsGgYp6igD9u%1#1UWdo!!E7Epn(nYGE% zLO`$r6cRV_#f<|i`i>Mx5Uh+}Q3nzR%cXG3+Xt2k=rGXA5|Xl5NDf z0hQGL-5-THTtG|=47pr7uy6L-5ej@d#>Ouj60)VB4N6(UbK(e`UZ;YHxEy3^#Br5F z0b}>q73QzpcUYG)HUZWSN#HkV;{<@9dYC1Fmh-K??P{W$+R!pQF}g51xnK11#CnXQ20is}KNW7Mu@^S+j(h6ac)2 zz7PKYd|cS2NQ=DtC#(QoExvJTFWGXKy90Sipw`7iK^XJCGG+wysl)&;Nr6)poCAre zTLyQ;r~xV-XgON39#FaRA}dQSrhZo@xN^#3b-%#@9C#ncvP#!fl@x;px{BgTaIJHm zE9{p4gZ1C2kfUO;>{Wm*X#elm8-!`zAzz3iaz{Z1f*1)+DU_1xx&4M;T zV|ZinMnd*|$u>&?w;SXjNg(m24mcPZnB>v2X$O@V>5|IlMMZwcH|0Z#mC}PDs0tg5S6i8bbg?Qj&rI+OZ zt*j!3>E-0X)!)st&h;}e3ayyCC9?;A#A49JG}NDT67=@sE!{YU)BrA21UPGLQ^&L$EwIdeD_Wm;qfENxZ9I*KiyXg{YC0wmERCQFeB*3u{2m~&4 zga;}d`Mc6si7LUFKp^~s0_tkO19**1gSFlM|7K_GN?3-O{Jvs3VLE~vrxd8^Xh?`+ zYQ=H+N8Ia_f)#?`(4yD`S-VUKm>%&TpgF(mgVlK-kTq8`hnj>AS{|HggvL2D1j`42qH!4u1e8?%`_->F%AivV ztNp~Lz}n%#f3t5@1#(kX+*Oz?z_$!7n@dGaZCOna2@uDHIIVMvE3964RmnI6{rx!< zh9iR;Hv{l;ad@@t@09S~Hze1}SVN4PzT~d|Kac-qXz-{17wdkky@?bBAKm&7 z3IH74(&0p~O;R2}TtjI2SBaMM_&uNejv; zapQuGc-A?=cl}NRG)Ljjx)MHSVdJd2n7Rd_Xv}1>RY4UuPAeg$D2JAW*3}|#RXcF6 z*G|9;1@3GOAk)8Pbg|iHZTI@W*>zV2>#*Lh8rgoBO@uVqXDJ1 zxNrqo-0K8mV8mM0N)1&wufZvxy#BN7U>g>0_#o*O8PSlHQpPyaxJ(N-R1y1k(+cw* zfm$0r%z=H|*LI-)o4uuAA_4oV5jdqmQcPPy461_0r7jWc6^3Pd%EN=T&;|u1FV?ud zpeOy$w-?iZ0@H#BIDEEzhh?SY)zrZ|EP+e#5sQ$}-%JByMGLM~c*_UGl6=6Qgxd|+ z=dn3rZEqS%=KM2}0B`!7ohf|HFKFT+$N|Kt)Br63Q>Yjyc=eEK7>VI!ILj0U0xvnJ zLqiOgvVh7@{w_h>DCvP^eT6)8=5aN>n1u52KXh*(#S1nw0B9kbxf$N&ETyy6Xg5B$Hd zg<|dK1JX?YEEHh1hctjdE|+0LE*2ydkW-5h8H`+jQUZwu>2hT<2Dj7zZtNmt4!OBY zo-Nqgv+Uh!0rwbtZRTpr2D+o-ruN2mW;PDicAVf#1w%_yP7z?(u9y<&(()|B8ls}s z&bnNT?BLWK5WM5%*XNY5Fg$AyJO#$_0R4CNAfN`mLCPs+Xd?yva6o2&x4{1cd=L0C zDW|l9p@o^T2$yhY<A;H}2gHjL+bvUM&L+n0=|~*tTbO?^_4DUN zn<}dk8+uM_^J8_rkN52H35_ve%?=SB!P{l}u(-gT=FA;>0lY#-Wsz%n%0H9OUvzTZ zt|8>;61J^^i^h>YvG^(CCND+0q4oI7tTU}9rjao$18+rQ!bo4?ninatk9sb_((_Kr$u{} zHfFq)_SVz4J!s#71!E6cS~j1$YjWC$lMa2$&I+OTw0eJ%`Xz1f0&(Ku@L$qn1kJ?z{;qif#84t=j=95w6?`=rB*bnV_xq~q8q5jZo2ii}8 zlR=yf!su6z2R89kP1YU=B|Ob`PN1_-dvJE#d}eN#jM->w9cskax^b%e_C=TO_MRxo zrm7?5+mherFh>%fWj&d5@z8Yf<$co*3t}BcV;SyA9fNNj9;Z}B(H1wzH*vnu*iLxMArmZjE9iYp%gEW z;jbfaJPVg0o7*NtZoda)^hHKpWO<(7Rvi9Gh!y3;l@!319{{^sic29OW=AoygBA&> z*8-;O;+A6I4Y(9TFPEhlFm->BVgZ;GivV^-16zEdpcO8@usXc;i!Tp94@`WGO$-c; z3`|UV$+iGnN8g~h@N6A)D&5cjtTGtwH~i9X=-*>xFjRYY?8^-v=Z`PlNw$94nOEs9 zas_|$y|6G^I@%ywHQK78eqbiFGxuc!weCPe_47OC#&vF7*#FtdjE}KLQ|PlbDyxH9 z*g|We^7&7`pT;G#t%0LA-`$CSBzz|QXXCH^3{#OzqZhgkzOg8g3nc65D0e42c%}Sk zkfHWujM(H$eUnb>trZ=YTS5W^&%ByZaWi*XX#D((Jx^vL;~iTCjeiFrQ^5qaTj~!T3;@eF>XsA&0A@i0rhx}&* zx?c>Oc%V=H_PvXH`i0@%W={d4o4F=?&U{w?eBm=5`@O0A7q1r)MaEcV_gIw)ak#cr zj7Mhnj1IfRk3IM}b-B^W@U|7-U2FFw%DMFIBl^Nw4B-n|&$xBX(hIfi#y`YmYqtH2 zo-j`=b+XP9ep%F2TUuUGh=(U$8fk!oKYwfd{u6&{=!l>-A^zlEb|IFH4A3)-VNu-d z3GgnQJ)xJ&?1|w01AC%C%d})jM((>U=1-sV@A(mBX>Uy*j*V0w zxyN|)rkvy5gRNA%o?jniA$R<7TI!_^*WSTn`A*JbuC!F-`)?SM9}FDe)T`V^?pUnt z+?K#sBhJ`PIR5ob3G4jiBwnFA<=73P$uIj_Pkb9?9cC@{*fES&e!t5%dPlg)`QzTN zPflnY;4Ws-srZ@yg%n}3?XzOS=83z9CTNcYh=1E*u85vsR4!9;DDxn_7akqV`-xTk zTvbP_wWRK6nt@cc(u~sww1UZ!ju7SH>GQ}uejFZmtA&5y!SFHu%ef1;J&#b9JV8F_ zEx$RqqlQ4fJ!V(As0`7GIe2?4f0^G4>flvYh1xySE`Jqrg3>1@BgBW>#6$45CB5ZH<4C{{?RCguext8^M1|GLfwsc`pb&ei!px_i-T5+4ZDcby z?V61c51gs+Bp7m1X$>vbQhdKA})~KOWE_HaV8{lkdSn{hx-Ah7n1D{t{uADg^V0d-CYrYRJ^XY zFNd`Kjda9TJx1?ck5uo9OFy+Cv8rSD2@`oSBlE6EwvSxHV6UkXc~aX^-`tVf=t=-+~Oo2fwW!{7*=@(+b3v9pk-% zGVO6bej9OA;dRM*E|Dzrw{;Ort;f-)sZn19l^xo@eK^56%0ABHYI9T6ZgZuOUw^w; zW#LcaQ?XR9`rkFQ=f_WF=<1xXNJZ|-vM_VY=BS9&e3`|)xBc-Iz2b9Shh3?mPa9x$uAW~ z1@v3ke!XcE7!!NSLDg`L1utA7x;lK&-QxWdd7V###tzD=dk(%SBT)`;zTq99w&{IC z6|1x(&5`C9W`T%+x8v7heFW9_de;=#UWwLz$?w;1K{5JVU{pEQ(bZu81-T9zj7H(H8I?bCa?WT%e?SK5%l2~@y=&DSax>)Zk(h|jA z1mg~BXd*Qxv6=9%zv9J)l_+N}+c-Qmv~a{eJ*S%2>MrtfxVZ?lbTsGhsYEwZ9T?x@o( zwxo8i3s)!&U*2Q42tpjc(UV#6wJLD-nG?sevCK9{avRj`(*>6@aqz}|A3V|PjwJe%tTPKYGhs1Wx+%01ZRF3-$)CtPvY(NH#ufv-z-eH z-E)#D8+kN}USlvd_>(zjX0T#<=$mx9=4=!kp<`Ol*Clv5_8+DXR zO`vU?Rz9@`0ShTLOFVP@QPxtKLehI3A@vX1UX7k$+;nO9ne%+qGyBLQ^2*wfhP0ku zzSFkbCk5Qh8OSzYLycwb_w)*CyIy=!hbzF~JT=vKb*$j>++G!2vsUkXFAcUoA`q*Q1|UKK%1=Pt3^&ez0wIHCoB{aUipBe5d;+(3 zA^n!<@LKHxo&Q|cc4McpDJtD<5WT?a*dD2-;0f)-rQ$@r}j0npkr!kYOX+Xe+scO z)rHYtKjr#=UJ@MLMBUR>`jwr5+^_4dQ@`LhHvy-uJqvz!8Sc3GZrU}|)8D{lclx!< z=%p*xKT~w0Kz3=;&!NOuIq6oB|&*h zn()L~pXS4=iW61k_wUIWP*@!NZjxei$@;4Sqv#H?O=4P}2HOXZf2HluJz*oa%gC-1 zJt4el^$S-<=b3i^ppf*sLp*41xk&e-O+u{I?hsF{d(Egf+; zu%6#fIw!dP%Vxou>y>QQ-)iD`W-`ny=!T_rHF??bPB-ri{aWvSE2-n>4yyt^;d7H^ z9Pz=KgmE4NwojwjgDcTDn7p^iE1}WDLC1m>wq?EPqnf$Kvh|^cB7fvqIbm|z%XS^r z6YUh)2aj4b_Tp)$agvA;@U;1A2WB)2{dycf*s7!ZQ~J}V;m&=Hdt8F8)ZYpp$e>RZ zP7OWh^rkT-@W+5=MP=RBsXn7)9fuG1<XoS1!R&vC{Oa* zOW1fzXQ#o4*RF#}do!6l#_#CT+}PzUkY-wuJ<_>Xk~g(*N8`3TKYY7B(GqD~oi_eD zM*gDQFg_)$@+4n)#b?)XtwG!K>d8WfEop-CA{?@Oq^8wGAGc6)xxwLJc>f33y4JGI4)H(YJhrBbrcP@PNotva4+2z~vLtd=|Q6_2uHoJpR z(>L>&pM8kCG7*1u@4LAhPJ2JSsw12WiM~HdV@FRR|L_ENhrrtlXHrT%Gy^>?LTZ`y zn0!A=Kb?swHXNEk4B6|am|l*+`3z@6z9TK=1ISeH7WIOA<-snueMsE1DmbPO0S6Oc#z0=QXkdp znl+|4bn4}}#hrHy6KC$BtWR`|2~mppd%Q6j-oE)U%UR*bh!IPcSDPZAysPL>A(k_E zxSdSNT4&2}UFkNwU%F&kSFT_BHv6FDPzvu&ulCd*_wSuZFO#XK63Ij7$+sP_qU&+J^fD3^+y@2V)tJzs;5 zOus)kA0B0OaJ$i^oHxS_afs0n-(gVw2b-Zx$x?g)DbWc4wxt%?dm-u%0-XLG0 z@|)e;*=fv(GYuU(r{7k&3(ICjcoQGcYgHV2dpD(2rmHwlFx0f@XyK2K3Fa-Mr6~S+ zf02)0_8H%aPGM?paYgQ?Pz*XBM>;XrNj3Q7DIQ^t!Qf7Aw>M_73qReBTd4sDPu~&E z9-C%57P6-bPmU!b>HY;pkMTWhtO?fwl4g&%4T_z1v~--O^J92qEhbq+q)FG+X@^Ko zI{aqa{`*1BYU)1VzPlkb*+b{4&U8MNBIVHTVv%8~^S8c_+*VPo^b}H)ZMMV{VT&6_i}Zc_B}7^C zj@3EG>!w=#?xU$?B)#U|!?(zPh=`e;^LzeGGd%80m-sL3OB}zR+ubuD?@=siJ@NW* z`vn@~!e_iQI(RoS==vUeNY@3ENiemk(0ZIad5V=(XCz3nN9e^~_o&*y__|9uLIBoc zN=@sQ>?{ysJRmw{%wv1@d{R?@@qGn;eIuvq75*`CJUji#9ugl5`0}tIEx2wl-IJgo zf?iv!@dNco!@I#m?H`6Fk6r3|`0=o)(0QjV&vs=el%2nNV{4$*vF$X&ZPIOY_kGZa z=8Od!wGZkaf-k(aiqpK$_zOQygE!%K9gBd^k66>iR8f)?mjy@lpqD$PmrxaMpx^~e z=<;z1;8wE08*n8HyWr2h%IIa?GKER}7SL&*;6)sfk3s<LgL4T=_?7EeFNGj^`D9w{?G^Z$L zQQLTE3gw0rxmnp3MilqU9XH`t8W-Y2zSe$nBu;ZKh`h%0(xtkB&BV*KMj7x}n z&-0%9aA;*bX~MvhU26N)#q&?*OC>*QRNg8rbJ%Zph;x0r-dEoYso|IfJdNwERfxvB zZbC6!G3dt+Czz}~?Rt5J@Z!4^&&6&U&1*2?ImTbKSstSuvab!Y5#K5cTL@i6hn^ApAsY-hYT+Dq9Kp(q+Ja?W!T!=tg|+@2wM;rB%| zvbG+5il=q$M6{D)W!ecnaT>h&*02MDNV0DANpY6G2i&P|`?I{sl{5D3x*c$oJm$K2 zLrKZeUwaAm+!^#e!*sS&myn=li^R=3nP1PZGkok(z2tNAn}Wd;rOG4UD~aUo^?O>k zB}wGRbdPFgsuS_5rR8{CbxvbAJ0D{pNQ~GW#D2NxPOjvo>+urvOid9A@%j2T6AI}i z-ONSNTM8Ola?BZC=H}&HsxILDZa#TXX^U)R+;R1atP3N8%1zpz6X~86WPHB%Bd@VW z|B49p&+x0LJMPDAoNVkI=8p(p9GrQ1C2F9~Xj?#UI@c+-;RivIn`V4Ng1Be~`IVyi zz7o3sw6U?a$$nMfR1x?5s-}X~po&0{4U^9UBLflHx~%S&;J7_@hZ{9tIk4U-Z08dm zQSCg%_kxgR`t#nH0_8gk-C;Fz<@!yX>eRbP|TX+6re32?l(Ue=h8+$vl@Y^$_peD@8U$L5qY2lPNz*=P~Ax zvU9!Nmb}a@hl|Ond8>QTrzKs_ZJ})KOFbqf7vFiSu6TrN3w^H^{h98$YKg$BO^1H8 z`el{=I+%0EyNPk@Pvw33K5A9QJDqMhY^~~GZ*H@#4NT~@&e)7rpQIMM4sz0Thmi8RMAQO8~7C^&wjjNm)10J{*0 zwjTMn+Bze(NAr3Dzv`6~I5oQZgk_Lw`}_LRuPSZ!q|6bd*NB(*_1hC&P(oY5J3DK! z-TqZ#2>*e`1;<^s{&@W=u9-RgNjEtTA=2UxbH{OYb?1?4K1!wMB$__+QMRPHu!mGL zPhdi9&gF_#jh^@d>FL-y{~5z~54Oow>mQt9-+q@Vq$8lxT3#gj)@H^+_xt1ZwsbE; z{0#|f)F9*!6B@BQaBND=swx^M-bmPg;-T6 zZk-m`7wSBIXRKf0=lla+R3oAxkEY454JpneoDR6y}f= z-HT^B-tj>`=;wu)flwPlHM*x$*2hvWIDLB8|8z8XTk!1Yi^D$8{mR_&v*QNoX?w1B zOVvolXTNH7X&aanePDUH#x(Bq5a-Yvrp*i7mv%Foo)z84JO99>0`Kum%IEURl!E$~ z)YM|8K2NirY9zl;N_wT%lQOI1s=<4!VXRYrQHWu4031i>rJ_X)fBNx zcQo~tPqBVa%VCg{sXL|8<8{QQTcxI1^;6W;ocQ+1!osW3Poq)um6YbvehlbsTUxC& zq^2s5lZ~WZ_^9Vt7dB~mY}~So>wVfZsx4GncHx-6!Y|iG~$+cy=^n50g0 zxAv3WcG~k*f_~;xU)PIFXNHX(?iUw1ovUzkh;y$B`Fs8A9fi_0p_G~@UVhW()M0*TQQW&%^>e`dHcrKJ9NqT> zUc3%dQOlVp@!x-GPw=NHneGd0Da@zNdSBE(ryYCjg|Bm$e=JQFX>uA|gC2TUPZO{!xpy4-B<+47CuvMXWB*T{!E2)kwQiRCNb10lL*=5Rj}jK}_CIqW zz~NZHJ4e@aEW||R)#Sm(Ff?|49W0Uo{0eA?B@b@D0)+rvhZXP&db#XZfbZc>*TD0a z;8rLwJ%gzi0t)M}eF&(&5AH*NC;tCR8D3tP3xV&k$>k?L-sVks`1trFi`NZ4KkDN) zb7)4N(1(n`hivmcQoPMxtPDOr%TLINeP*aBx^^~hui1eI{v+IkPe`yC5APrzJ01ld zB_0(X5%5RxHsRgEdw};2Uw0EdIXz+BlmIn7VdnHz;;BvEogNkLZBF%P%5)oLO8C+a zr7=g%5&O+idCw8Kve~0-c+ZQPsu`&moYJ$N$1#&Gtk_1{#L4Cent=7P`(PQzFEJIc+5k zwe{8cRZriNOzotfl?DIC?AilZGtL=;Ra&)uhABp(!d&}7C=#c2@*h~7M=F}h422btD8E>y^shz@0ncC+! zwa0r3&$R7i%kj*kzH^&zDY~mTs$EbyqpYi-EzgUZBWIe!M@lGJS&&#**jhMSkj&_S zKc;<}de@Zt;|SIy@oXcy>{D+X+x+^y8{&(~3X0?F{kpuHt*f+()Cwfyg#66B4Lo$* zA5IC<2Hy@x0<7Y(J4ba`745R>hZKu zQ`_=}KGrr>e@>nv@oVyKxb*IPwOPTb6s2d%5%PYr-m;fe&uf}#Y8y#gcRJOcE|4dA zB^P(hkHdRzm+l-M2jVDVZmYrEexErSAI4O^kGxH~V^^nkkoXXh$CcOm3{CPW@v9*OYu*l8Y($a8oAP&@=0o64a+L>Bm z1|)#b?99%db6BbuTp5V7lGt6=A@DNL-w|(UN*-LNzzRdxAD19`xZ!b0vep(gD$N%h z{?9n5Xx?i`OFbf@G=5~H=2gVMTUnXvx=|q^@0CjGyYeA7+M8aWtv~GXc}Q}NF~N4r zM-KeZ97C!YW1}i=3FJ#-?lC^Sulc&4ic94t^DbKRPYSpyeE8Wkw(x7rQMkC_`e0KE z!!9~HiU8^zg|6H21`P%}72NlI{jxpp_ydNM&gWV3@J@EnSfBX1M_rKY#%!UR*fa8j zIj?AlC-*RSx@;R78=pTJu)E5|sx-a5CU3fU(AF)=*j3{8_+GyAgErS_C8sHSyhFW0 zy|!iAj0m6Zr-8lJyc3|;4aC5s6%;P_@yU}s1aWGJG)WyFuTMvL}k ziP|4^aGu1Sp1VsVVl=zPSl@l&*WRe@&dsqNH3RO_h*PThU&v#Lp0_6w`_W~%el0B` zz8Onqw$ILKFe6%9?9Q~*<$Yx4^ruc3efHuL>3QwVBuhLue!~fU?9i4=H}1aPlR06) zsErPNVquq9#fWdDln@|gVsx>9g+M96vkGrU5OIX}Nb*D4kG>i{&E+9Z7t9}4r0I2w91BLwSbZZOnO&@Z59Y$&|*432T8iCqzoJdyW? zS>?y{*9aPEP3lbj(_34nABvv7wmp^Fc#Eo>ZZME5{y=kup44obQC6QZ@J$@Wh5byA z4_*q>KBLk)WLN#9x2LPx+D*uG%BM$v zRP<;t_l@Rs>feMA!29R{90pUOI)viv??8Mt-A%6h{Z1%bXC-Bp1IdeFqiIDB`=c{#uF}r^KhxRd?>kvr z=sVl|dUml@Q>(a8h;&=`^($>=<09i%eFhY?pPw5|N>;`Hu(wsyAvkgHhC6kBSRRTZ zE3j$sZ7U~IU+D6rgR6_H%aCcUL{ZbsL$o7up~P2_@4;<>kTfUz(cwzo))4)W{99no!4o!~p}*7BX+?k=N#@R86;W|a&0s#3Dwxf81%aS(r?YO57< zOes3}+1`q$#phP$E2mS{#B{@YVV|A%Gd(Js&S`sem{?tn_L}6kIg9aFWO^N;krM^QX=3%5B#&Jac`%QCErnDy}7F2DG7d>4eni-u&6B@ zsZA3MjN+x!VoFTsI+!6}UCEj*cbeoIE7d#V=3&t=Q4Y$)t+$wU(iGlR`kp_QP)pz8 z>}*w%H`{615N2@c)Twjb-5y`jZ%@bZu~$YCUt^=XB584%^1#^UPg^|1j12J_L>YLI z&Ef0}mdA8ui)0gmA4FH93=+cC_>}62)m_zh_C<(jn7w_^mn;8xpoOXKbr5N=-jkpP z(rS&-$B!f_-{+Rr@aTU{{bDvcqW!JcvAFS>;QLtWs`cEHzs~T^-aP`wR zlYt#)39K7c$x`o7*zBU%qa<6JzlGWVlZDgk??GGd-+nfAe0Psl3zc5}m%)!mSiP?8 z>m`4o=1JG2zaW~qIXzh~;Z1pS)qz7NKgsbkO`bnDS4G)lUZ;Y*kYS$X@x_YYx^RrE z{JSZ?G%xMs=I0({YSWG62R{Rot#E~06_e&Xs~n$2@ecT``8 zikz6d7pz*LfG#CMirzd`_ptB`a<*M|s(itC*QC)y&TYqaUR}#eiLThsueY`0_@nK4 zWXNk@q!;Q;Yf+aJ!aWFv#!x?bduLnct{0l5%F##e3rzd+vwBOIa+?2>w-Z1(&lY0% z@e9i_p{{-34!AbDU1}P7D(2$Er_PY&N)moy^vOW6Zn1E9x;d%asOXeowh-y(OROJD zh+DtMooOQHaNK^c?I>SPuYbgLZuOZ6q3*1N*X(z+E+9Te3k2HPm8JFF&i#O2Wj;BQ z)Q33I7$;%Ci%-!URL>q~)|&owB5}fr=8Hd{m!edPRM(Z{>@2>s58m=Wf8u8ODLkN$ zk!-qxxIo}RI2||3&KZ0p-9r({$MaIjoqRL{Y6t45Htj4-1gerkuI#0H{~|NOlUJAQ zAlfmRaO)8bD=NdF8@wE^QiqKuJGi5X362k1J4Ok+6)C%Kxh#EEEP#N{C4D#Fhoon6 zS)8nNx>w6J3v#UM#>*rFTZ)d?rY%e)S~j(~wGrgg@dy24|I*qiY~|_vi<0ts+- ztCzr_+t}gIH>IvGKeC`+YUJ9RXj)8370l)8RXwTQbW|giDP1>-zt*+DhlhP)v6NYgg=-A zS~Nd*u9<7p%=!`;l?gQPbh46!O*x zdGVXC8iBbPUj9S9f^nzJG{TWbQ}F}enUsccUWjHt>B zq!00o{OCFD!c^EBULy|((%cmGcIZAejyFVK^hxj(lf7R5E{=((CnktOF9yE7OqiYf zhSZ`x$u#XE6JL!GcZv$De*dnIm&wJ@fuDGCd~PMurShP^+8hsy*|pomS?>~YIPd*t z6<74m51CPI(d_E?(_R;O?l3%Xx6;<#^Qnb`&BMf~HbWh+3h8!T^kreh{c*$c3-`)z zrkP&pK<1>{i39jkioyF+B*gg+{E|kFug2W{ zLBjj$x#Kcau?ehFEc3?)-Zi$?lh@Ymc|&$K7cX!B)~d+xJ*myYq!-cAS8ex)(P<5t z<#d+m-A=0CG*Xyg{Jo#Eb8CF(R|$(}_yMhq3@179E?&GV0 zZ+&;QvBjOT3ZmXpq(Q3RUt)|JCGvTv)@$DI!dYSXk=agaPrEq1*w@N2F-0+56hUUP z+`R;yTZ7IMh8$5zlTl-SPs&~_lYz%_M`EjzT~VW`8Gg@^u4mNrY{PhXWwU$xcju54 z2=5+!dGNiDJOH1*IbX$p@%}zp+BTz@I1({=W7VSLMKLY{{f`P$WJ~?YY}k(Gp7M=3 z>@250?QfkEpBU=NmGE9AEzilZ=M#EIu_JyGld|j-jlh<$nJ0xP29j+DUcP?HN@!YG zde29TzxrY+d%By5522e7UCV3tj5pfBgiOu9Tu9Boe-@g{$zi>iG2Cof9*Jik(K?Zm zs+0O){^ZN}RKDaNt}X|>2tK3lt7gYOKIq+zpXu*QEdAqsct%Sxkqs^VQLCinWKBPh8`)II z?)63me_Hes(`TJCb0H1V4E^dR8nGIsAt~wNh#tRm4Ney}qj~DyI58%+Ne+h1+6yXl z&nHHQU$^8uuX;K%8$r-48GGm+@za{U@n0Uy=K|5`S8Y;>7q1I=-rYgbG60&R7MTPg9bQBxEBw8jVq<_$HqC0<* zJtyQ6V%}l;$;HfuuvfJ{&qFM|v}j&&3;U|{8Id=eykLme$vn_=2fz}u={tto?Tz*_(R-}b~#^Tnl0=O zFUyQB+e&vn(I><7j#O*sfl&%qIbfn;{(Jfle&_zgc-E(LGW6`bs88;W9f$gw?mZZ4wMCuja5nES#~Uxq z@$lZdX+ZG-t1;B#DB#^q$aC+V%OAbHHC{2wEVq8L zx&8jO)1e)v@9GYf5@(0_Xd`wQX#`d7qH1*8orgB_epYz*eET_H!&>Lvey6SyJ#w3F zSlByBb`qaan{vc#iytEyONN=J^MLtklobL5u+?SuTaC8u8xMGIX{_m@&9L?1~0$T;AZ*IYgn zZ5DZ`*5~qMYsrE}|6y_NxD-9Mm^iDjk6RBL`=d3<7#x0nA{>1tl$X?0?S?vF(NI5~ zny17PahuiWTiviz1gAYGdtSV9%}6dSCR4tuHgrT)CWDZXhU7a%;>Sm+J#5Ao^iTv5 zpCz}mcrD~Rck%#@id6X}h)M@_UJm2aW=J;xy~jkl?2aXs}Ud+pcE zI*E@dnBOMp@M`(jJ`Kv!xRtZFr_Kt+ot5vN@18f{`e2~TiGMSvSEmhskW6r-?)|$v z25We#TRUdn&d70vZs~V*zS|k5Six2GNGLw8bCn@?tY8cQR`_B>acBaBRb z8#|Hs-jAx1B9BhTHG@B$t8a4Bg|*bXU3x#k#Ia9O2TB8+G&iFxvn`xPA_KFeL*EYR zgtzNRY+)s}U2x!3;F!D^O(WOz;{EpE+au4Io%h8yC04SU+UlQ>D+~DLr9t(}pahja zPiyNgdWcbwXoup!oQXiS+ioM$*Sb{OPB$Jomn-vl8j09)lfEJ%M=mV-##1ge%`o4f zS8k`@wOXopG5;JrJ8Qq!p|{iY&QNY&W^?Oj2fvpu209Nr>l}}9JS@rCE}Io}pR=R( z@~5lJJF~5`xI0SFUt>T0Om}%`y@>4QJFi?@2a|CWZURceno>($wGxKn%G#E(6? z(G=o#+QGU_lDN4px1X)VxI`*UUEtxlP!YPjylmUTZ(Tc}@8*|cC^Of|Q|aFkMpUzj zUj0Vy7Ta;#d-dJ4L^lx?#47o3?8`nkDU+0q9Q#UhBj!UsGxM(Lt&Y#9S%>wh0}R+g zI@OQv&)#37xW&=Wh048kTDYQjyV>zu`}42=Kt9vrtM+kKs7ZL)O1u-l{XHl3OC)7| zR1eplg!>mhI0P#_AioezXTU(a#0XouU2{RG4xyob14E( z@-rg%0t@-gP9;JG0~>zI)(7R49G|8Yf4s{+mg1o$!}nr0J#RjtqxM;-X7m-ZS|=k~zAU zI=s)avc1^l@R8$s0|`+%KT5w{>oYh|SVYmbnbSOfc*}>Jj5#V_;-O4C-h-;UdDy$% zNAmpof0mWM2=t8EJRUDToj@D!HSX!7rE`O4a{BHT$xnrcn#rVz{3BT#uc{C!-y-&o zis5Gve0igo@7Kd0EkB$r1Kkq2;uBwM@SdSH3-_2XFgLJtb8~boxh2X-ivM}mGik=b zTu6w>E}Tk2-gKvr!tp*HgsBs$y0(wK6oHA5t8r2%l)t9@Dr~-)sCTDzW5Dc1x?|!#z0#yN@u$j#l1wxJOFG zlCL0%I)7B=M{``h$$r)x_4??1)$_?Vr}if9v9{H2tZrwJtYEl(LH>WNy;F4M>$bfe zr(&BG+qR90?Nn^DV%xUuimeJNwr$(_Qfr^J_F8-GecJw?_Fd#+wwZY`TYKj_exr{* zo-T-|TA4xaP%1Kgp3#ubVmxOGSwf)Xi5m~5|KW27B+uaeHxq}y1(g1$TU<_AMMmlu z4N341(90hK2liia7a!afR^~rn<9`}Buzu__{&QCNk!F_>7$dP%cX$HjD&Gv2 zi_fWv&y9V%cXwUecWp%ZjEbPFtgL8rkzTS~D1*}B1vVjGSK->iT#W-{(T}$Tzs380 zNeBSeu^{2?=g>`dz<%`}*cgfLKOdwYgdj+M2#=)^a^P%GA<}W*@p=F!Zr%zP2#3Y{ z(a`xmBqxirA!fnL^bu_-^nA<w_BlKdI2RRcv-ioCWWeaKi*uV0~WXYn|y*a{GPwFo4 z$v_@X^k$QerJz(On8~k|Aj!ycbokDZwb)pu{f*{g^kl>;A*=RnbZVxHmbGu>JLPwI zgb{rpeKeAw5?_9R08Ju*2<`x)b=fDqsj9MU7H(knVNJQO^=c~#?EFUk@EjwQZ=8wUPBqNELCwWyS2PqL8L z0#PA5pap~*`NlSB`($fyj5FQN2nQXd%I4$alp~8n&Zpk<;wClTxuH7MRuNXW*XkvZ z4nmep#9X2L)lAWXAg-t2IKIt|mhQ_%$m6Hlq#KLl-EyV9p=x2E&^oAFH%}*@@A0$r zZ5*7EWrhlT1hd>~1gb#|I8!unQn|XZ>Piu z>=L;`wRhB+E*T*;qfuVraiM$%Ym(uIgJ2WQ66Zqkl5{eamR^~Z9=Lcl4;8%SrwYJy zmrm4lkU4pgTWZG=4UGE|eq1@edAkI}k~O4oT`$ut8Vt>S2op!f2bt!>{Y8UoLLbiV zQJ~weNQ;D2-Y1Ubz$^*8MZ)(K$jn5kg(O1yYrJNmcWV0vC8X6c#u9Ze`7tlHpH&p7 zLo3}ueERN%U~I>!XSSp}Acvkicxl5aXKQ=euNX}HTl+PvilvV`~#E_ zUAP^%{7FIZ{0jGHMLQaTd<{FTq4>vepY3&-_l3Rb9Bs-lK_%H8M>x_rzRDP`WVhaL zubR3z=WyINtYVZR>ou0o-$-_zuK3J`t8hiu5qZLw$#Obtz?;C@z`v_4nfLTB^A=~Y z@!f(zBcFfWq17)tWq?RIq+Q24-UG1v(wfSqd@&A`rR7QEz|u`>eeQcEe0~Ik9=ZC- z%N@_bY;9A-MTcb0c}XG$CS>U+n7KFO7PHG5c=ZK~giA;Ozw#y~;`iGZ(8QE?eHf)g zq;JRZ1+{7J(%|4ar@XvtcHai@O|B8K`M}+w=UyGcNMgB_wYcZni6@Rvsh?;(R5~ms zyDu_?Q-f~qRGky>X@@i<0nEW$bfb1pv}jxT_Pi0Pg>B$xy+!5;5wLymTJaAcbv1PB8BrXgg|5km8YX!fHBh|((5MnNhh1|NZ($9 z_9^lEdu*YRwJd^Soy2hc?$m7LJA?Ixm#I%PU)J;6REQ|T{8>iFCmW3$AF*x0}pkUR#X5PVDWpZ3wk)p6Kg?6`XN2)sKg)Ls^jhe;^1<) zq>gkUkYl74D#U%|6htaM$QR>){K213CPPMEv$n23gb1Zqi;P3XObttkht^%+of%UDR~G@B5(Kr$O0 zrg!|}`iHQeFCZkWa3xaA>YxcSW(#rzcoRrQ<(_Q5tId`OnWGt{+A1Y zuMlwjqLybdR-oMIWT8c4$X_LjP5^J3L#gy_AUNC9fE-g79aXPhgl&@uDho% zDV={>f;bLxijPAQ%9|YMnY;;{GmSdf$>}}6c=Iq_$`Vs-3so6RQ+pD5rP5_gz}NN5 zoS|9iE+yR2NHh`Y#!Atyh=u^Nnu< z)xO5viB@u1s$SGhQ+YkTCkHS{Tt)6JUWfrF-mJ+Q)ejf3!xQ$$))poaolv;)MhPd4 z9{%8A*p{E~Iq=$ZhJTciMi{136ta$g5OYY~`(_y%nvLPosmpk@)x0hQHc@Qi7>Zs8 zE#{LZcMT>64eznB!yN%q`ZkvAn9>SnFH$XF;BNRvE*~;7Do}W?7TOmM1np?!1}}Pa zW;7EMjZCvq)fF=ea_VN71kI;Xh)z047ayOsjyn?x5@RKe_AmUnQlzwrV1GQ?4PTWT^0`VF-qdWv0cpkcr zKXi*;tVcO-D2rf{E6MaY2HoE`fxYa$f_l*;9#49qF;C(f&0M?-$+n^duqV=spRWrz zbfwQ&RL<(wG7oDW>$iD%PQ+5Q4VvL<_Vw_CJVaAuLtI}c zgGh&a&8AHcSZ6$6t!OpjGUL)zFJaun*$B@DsvoMNHPu|&(AXRp)8Ff-)xtu@MM-b- zo+5(0=NdIhz3HH&LJgzBLCx^%|LEsLG0n^?aji<)lxKzo=&yO@?mM$_UGVJt37WKV zGBC?l5b^4m%_N0%z4xW+0sHZ-nSd7wArhvNsN>k>mvE=sjvltm-lqa|0r_%a#&8+A zjiiDF&V3fUILD8z*HHLTYI`4mat2Gb)K)XGL$@2S2hCD69eH3*J+h^lISmMGOL zHO))gKs!JSnc_z4A4iw+0KK57bmW_&3lNhPBL_H4>El{O2ajDk_%wdVTkdf0nJua* zSVrUk)_a%L!0@Z$c)(0A5KDbAGLb(RbG)Qqjz3JPPn9z_iSCw{7|2ak*F@%C&{11d ze0}MezRFV7gAO)L^IAUtA+-UGN=h51T|>@hQXxAErOX4AC05g9ERY*_jA;qe?nb&o zaId%3t?qqn(H+8oG;LaI1TOhVaCXF533YnT7lXEQQLb01AlWj1JEP`ob<)|%5sW9^ z`ZZR4JLRR+$3*h`{CE3^Z zZ%4x|^wZyEH44OJl_?h;_(^PtncS+Ajy%>}737C^pa`W31F+dR&&9+oNu8xZr63YToP&r`h?Sb!*Uq(J1VwX^V*mCI1FTdeR{f zY?}6tAtC8FuXeurM-2bn=O3sc(Kv{-C`9X^ebwg8x|*uMb_tl#uj2ay8--shLZ6qw zr8I=EclRWB*Gn@GGyB)H9Bd~+dABW`XtqhSIxVzj%sSzVRQ8hVrj9am!k!0EuphcI z)VfNA#k59QW8y$QgU7d+lV-@659q^zB7!cApv`pEa8v>afM3EwQ6^WV0q0v{nX6y` zQvyMvLS=kyxMVz6)A2aNj!;7h>58W~Z>>8M;s%?5tVh;n(Po&NpR32oLshEaq2NVu z)gwifKHJZOTV6U_d8>iEra-30nOuKZN%0)bctuK%+vxnP+VRaY-is-67sDdaS0i+9 z0xAd1W0!x7vao*=DsF#MTT2~T6~)EnmVGhe=X{)%pF3N%;CQ98=JTc;Onfz5@^WY*-I1AU z#W=z~qlsdQ4Zkh0iByk&FhgPR_xis|nl&^cUDjvoSrqxJ`XiE(h)Rnf3ae z@T_j|xTBA?N3S0OkI)++>EW4ZNi(!2YfHn*jDz-N_jv0no(G07N_tG%OgzW}M8WUi z`tbSm5tKnqMBt4-_ve{a*-T|CB90-uSC*@n@gp z2a@bR$rjANoYB9_7XR3t`n9M3ufoMYHmH6{7b<_jf&VAhGuEtNrsHFgYsiL+U9{>{0DG2zVEOgnS6Nx#op9Z@CI zaHY)qp#a-1wF{K@CSIk$#^rzxY46vwaZ)E)f~HPZE#pWe4r$!;gNs64-(_gysen`# z+bOIwg+f^rggy&>X8NFH$x%X7c&HHJzVqOMK8Bt!Rhe>pWyDU>wh$$MxJ%egsV4Xj z0!|;YJ_UWmMOP+5q)=Tqjq0DLZ zPj1;v{FEJApS%K6I|-sX3Bo#au)l`D%Jn_nIU+9P>&y5((PLP)xvef`}D@@uJ4 zUE+$fy=uCijAO%kzE9lY5gdy=qwoW}rjyH|P73$#74#x7#hSLcK6a+?2L6;KJv~!y z)-p+g+F-ca31*S6j+pj9ZGv~j;)vj{m_DdK5{USo?O&l+ajv#pw7g!637QoPo-7sN za3Jl0l@yN1lMHn7wM0J38hyAfUYU$Rv4n~_%RlM_YXj#PtXngEObTZI{0j$68)&*r zaknW|x9Lkkl)P}^5x!)9?A?%4ySHd#$vBA_ny-9?xbX}|Xnp^QUZGe?9G0OOgjim* z{3qC*uS2HlBuZcF7(_~Qnop8;@D$V#)mgMuS8MW}Se^lq;+tKqh3qW!r?e>qug0*@jlGS!JN9k;(5M+yGeq5D#JB0&v`w`n4OMQqj#IA{z) zqD#fJA%&U>NeM|-hNl)|JLwKd6zVwoOkcQsG@Q7N+C)!mAyn4jEmq41J^P z1y{s{z%oN#j&2*QEBG2?fPT~$eulm3r9s4=tHtvsvj%ED;0-lr41XC=Fxbap26x?u zOGD*;ksN^Hvl@(!17<$(aqS+jK452sP|um>J`%Z^F+#-J2zYpD7*S*6hy*c4_ z`|Vr00wuE_#C>C94*i@(SDzS@1uJtKV@!|)(b*9xgy=bKjhRlYz&-S>szbY*66Xfx zjU-g#p^({4DkT5su~JpGY|x8;iJ$fBQdMP)5+ojVB03isu8htCwh%xwuF!VRe$Y6K z`Z3NH(pEzKCwy6NlE%;AYzSVOjr_C#jet$JoK4UiT@Vl3V5E(Lo*&SbV&sjy3bRzxMgq~bL--~!Iy;+vQaWR1{q!c#P)*X(_7cN`0Q z9ZCu-o-BHY!bm%5Isj6c*UwLvEFi3I%hW=3TCLvoytlrI6WrWwv3SPo;W%LLdAN(kpoUk#?a zS-f|t398jbpSish4IZ|pYZOo}wB4pNcx^nsrba86vy7h!hkxrmhy=YILi#Km!+nN! zds&8Sf)>dQG?03v%>C$l7;&n-jx=$BdjZB@;uQ=Tg6IWb!**wv&t6WRFYbX96E&%R z#NZ0eneA1vhvLVPjKAM?dfsEYm9}M$)p^S)n8S$ubhtyk*HUsivs+p?tz>MD8P?gO z``yz?+ycQ1O|=0tZ(R@1tciqFDVtychH}cwYGs=iyD#qO zwp^lJwn~E?%C=z(ZIyQ9t;v_1_>u5Iq+kXpV7V}th5504q4A|R!}Uui9HyQ!VJCse zErl=fb}YB@Q;Q2n7pZh{=pcaO+-v4@3g*D@m*j3p<4)cr9_?H7C?p`@N@{lO9SK8# zi+lr9BA{NBpfyw618^XIwm&q~NhwDGF^;m`E*mDwa^T{0YA0e`zql6cnvIlTWhVjc z8%mQ$l)%_B#|*|v`4rR*Pp&|Y2S!k*r6Rd?Ax$j1w6@mmKh=%rm;SvL7Xl)HKh3bVE*C+rZR)p9W@M4)~lXI@$ zxf(azx2^sFEeFJlx2S~UX>TV!+8awFZ|nvhPNYVC!@3Oz%d5NyPR%pgN5ATA)DdC0 zKK{0Tt2YnM+s`Z4NM8~3Q;C{1wWG9i=0all2Su$M)3$cOKd64NX?i z&qFNUl!(}#o)B4!9jD267T)2=5PM)I(7?KwAKYg%&NZl+BZ`hjcxg*%N~e_l)Fba%4#*k3uwdT zlHx$RAfSh0Z)VzE`$7z!Bvi0xNdAtJFbpC=e(Y)9SZyJ;vMmiKcC`b%#S>9*q6Um? z)2NN0cBZzpgt>fFmG~OsIlQv&dHkwz&P=uUiI>t!F57uyxPUW;@&Xia5O^Fs^SR0R z-d+NF5YOKla{~wfC-S}SP)+duu?#h=py7C>A`nkzo!VV>BGWoClih)7IU0tDFSmzP z!GUIeez)m-ADINT|9}8$LgUe7n1x6vGFV7Pqv@~_yRU|m>2oq7=_JskIMZnvv5S%Q zp~RbY&i3`rGWu6Z-3VT+A0Wh5D~Ju0TfI^H_KF0-@4!$xR<3_< z$eI3}v-rPuo9&oVE&A7tmG`i)@XLu>d z-Y$Y%5K9|ELoo|*rN7MNTh=aGV$Meuh{su&$(DZiosod@MN=|sg9q(?&I;D$2jzd6 zl2Ttmtq8r%0tROMSPoos#}j=(?y+6@RL1OenHw7b1y0l4HMNcB4vLgtAb`p1NVF;Y zGYyQ+K@17s)_AnFTm?gxaj1)-;G%>iGP;>4X(z^`Hu#HRVtzDwW8$%NbGjQZ_c9Yp z2jN?HI#-0HK$-gaG>R6iOE+*qLTtX6c9I!5{b7!ijj6m4?4v`mp9viz>~YSMZW+lp zX@{TLPP0n4SeUc7Hq{-&d`RGn29U6w3Z>EQR$e8_g)L<}!J4P$-lzzYPgk-13v+~g z298>6n6R@TW)14MQeVX;xqal>$ZMSdu@k_wE)$Gph==@9~JiADj&37 zZY0Usig&Q&B`QyO$zHTe5C;}F83VE9rb@J&Mlv!Cg5tH5B@g{$ z+sVCp^5<-jJ0ZxlFw92buxxavXUKN*;qu*9ZmZ7nCq5u{GSLK+uhdK-6J)GSM;8}K zA>rT0+>E;AP_dhljDcz3bop7;JM>TyNBhcy*fe_8C?Yk2xFlJf2B;Iyjg@uha7Jsr zBgPC2&>MZedVfNWYquZ0WxEDQg=sGk!|+P_YHrb%YS2J8*5iVemS3kCQgL9Yur}_& z3oH(3WWuHx;FIrGMz}0e(XRef;-J;{${LU&?rrvg$%=zfvmc8UD8K z{IN6rTYSp@&tU04i+KMCk^6V7_20h1U(7*&`bz)(wZX#t=Rg3*7;7;kJ?z2rJ*QTE z69}jP)Amph{%h&>VqN_F5=xsDdGaKGYquEmVX*HFL91txc+RbL_LU|ElhrD|{?vg} zk@@NmMO}fQ?I)I1ZnkNxImWCdXj9i)o30O+uRK}j_n#rk0`SGJlIPt!itfKTJD*NL zbcZ%feFYW;W-C7(grM{~W9nM>>xE5lxQ>b|D5NC~UNSSmrv~{b8c6YnqN+bg81&Vw z92Q%KEq4dU%sP4WWiQ2LLQ2F!fqa9w1n5x%@IN^;L_qSPt0p9}Xkc`WgW>kdmm5k${o(bKl|geQy55^xI_lc2>Hu-X{Rh z2}#h;4*8LWT*P{sNw*kZPckwDH_<5@L|ljnQGVj>seeHWCbhS+%|YVj|82q^sB)MU4)1lam{@WPtOgH}EiK|^>quGS2rT{kE; zex%3K=$2+9MC)@bkm?9=US)A`od>K#qQayWx;IMika3r~4q$oD#|QI_2JGRLprP_H z^HU^Gm>zwlBEAp6$pg0OfB(^b`1StFAFTktw2a7av6A$EgzNrZ=|AFrnLkqOSXlo9 zUgYDAKNostmJd(&AB=)u2Je6C7-akPbnv_KF8QIW|IPdNhlu_+Ho!kJ_5Q4)|DR~M z^bAaY295-Lkl>Mw(Fdz0T5+M$w#ug~rs0{*CLl1kW`x9;mLSwi!yV$P1C4zZ|VleC7E)umKe5cUED7F~iUjWAA7FtvDt ze+Qzy;aHWX>7E2LB?>dG2`>c=HyG;}ByU^G$~I~W@8t?IH^7gWm$p@8`Wj1kNf4te z4$l_Vo^ZW{1mf&FA>M5KN!rK+$Z-zC7j(+ZtklYEQmlVte?5T;gADfz%+6E&Jfp}3 z#S`%?th$BO9P@E+Eovbyx^R{S1u(M(KgQ$z)tN>6E&nIut@{2;qdrWuO=L(f9Gw2m zLpj8_nNZbWuH=b2h?DZn1D3q|m(H->qT)*x^%=BwaRQ8hlWEpL19e2K_aZf`v@plu zdY4J%x`?kBl5J`QGodP<8MJqH(CvP>7XvgcOlUdsuge3%0b6=L)|5)TqV3sb(&WKj z?cxe1tyx2M@!0%yZ!Q~g=9yT5GR>4wog@EozdJ1Ie5tyzi!%qyp7Zj;;i8fz$`ioG&42%_BDuGQtCqFh|r;-Fbrd-`9Vhs=?6% zD&r0<)w$jmTHug8XllDE&vud)H|x;Llp!cZtXc7oG^1r8bzh#fcH{NL*qG3<*?oPO z>isT<4)(goBUm@21b5s=r(RC=ljw?&f(@PjoSZYyPZie2OzsI%Dj#h#0KN)r_scN_ zvinJ|ohzrz=_2x^e^{UlmtDB`@>{pYx~K;)qd`ydrVmGcOU?d^RWV5EwwZK^Oj_0I z0|(gffsCuTm0ZqKwL}p|fiFdMRl~vXoA;f_Zbs2XK&M(=A(g0(spNN+99~I!=mIn5Z-tdpo z@cU3!3@KDnB~rmzwIn>+F9_hQP&}Iu zpo9W?{vIZ5(P{dD{0}FRAi#OO)-VDmUF%>k7ntz8F|P!I1Y{{~USuQw(|Q1HFe1Kk zx=iT6Yk)Pn*ulWt{9IxHNZmwq-ti!xyQn#lV^KIbZaQ9g3JP(jlTv`3(4Q4l4O}D) znNW_z5%rPRf|$;NILYG0&NoXXPKlk`)xg8D2UN>h4?eUWj~`oD4m>j+6^%&?5|lZ> zR*j0Pr%q+PwL!*JQ#~5Eqyf&8kLd&DjTjaphY0ooKdlaXuL_c*M6j=akzf;&q>{?i zK{ixMI+hQbcHP+&2#-fnIZGD>V3(7&9KDcEI-DjOSaMNCMuaBVW4|buo zGK*6Iu72M-u4&4sqO^2((fX+-v?9SR4EIQve{(>|jK$Jwcxz{Ns4NcBxGPF;_-@e= zqcv%+e4(+{YVk6B4tl<{(0AZx#M6g%IT-}q_WCm;&RKS~eZ_Wrl-AgKesz2D6D*AC z16g7KqU=sf-UXYIlx|Ah!SPB$&)jT9natkFd#&kRObU$nti-cs^5~WrSmd~u^WzRJ z$xm^Khn>9s^L-ira@Ml>c{Ho*=Ov+cgn*?3yym;5?+Qt>nH?@0Pd?|)+J2{0sXw|% zdKZ0>j+a%MYBA^WMy*s88=LOxP7k@lmfh07)pPfF2Fx$vL^(0oG!{q*sp%z_(=S02 z8K|pvZHaQ5O6e~942A$^44M*mc#c^r8QGm-_>ND~tt%GO(jSCLV``6}B*lfROFDOP zQw`s_wWa*H_4jEVg-wfyZ)AI*h=t~?>C^;ft$V-*zLvs_0g&`||1H_FmQ zBfNq~72}EygFx4^cGX3R3Ac%EU%^bcgG`&1lNsYS9cMeZ;fMqAwV_ju_y*hIUxZE7 zjx7X1sXfGRj7)rCPj))ejYMi^@|lV+8q5LIlyA$Q!p1Ala;rhax8%G5EP_4@1jvOk z0QIv1;^tSvik(-p!&l78VZ1Qoyg}UwOxDZt(!mfzev-H}lXnI)%1P}uWfIi_DkoCW z5mxda9~rMVB|uSZI|L*xZjYTdXZ!0FkUi_f{P2*k@cuM6#j?D?KIPHc@lV~HjmGRq zY?5X`f;fhwb09uBca$+SUqUyT-pZEwQQ-M~f4-=YQ||dFwrto^=;#$KBoU0=GCi%T z1kyMp$&n>hk|bNu>N3DfxyCj~Z<&^yf(k0 zl!}HSro1~CD_G}&5i~@e;Z9A9yE3uGK%^Tsk`=E{?EA(NAwk6(0!xqxjdY^mQsBd` zdw&hnM~x6q;ZaK|mQuxnx)cir*Xm=K!2_P5#p&mdhn#FPLJk5riZUd_hOx_wc>z8< zQ)!Gp`FV49XlyVRUV;T{i@t~mAqU^yfZU!LoJ=0v^O@R(E(+YPHvx$*Ik+1UyMMa| zTZStDd>!j@|HmNI7xXAFd$RmTl3c6LkMuWSLV0fUFc==n0nSJ7oDWa_vqE{F&iI|? z%g1(l6*g3pmFsnTV{gM|+1asBzg4Qob-1RG7#w@Vu5dg>V$V)nezg*{_Z;aU47$r) zaJP82b;*5cd6GAqe!0e*k;RW{aEs#6;u=IYG?9Tt1lGBbL$f&i)M`SseYWQ?c9iaF zgP9Jpzw<4%U{p$S7(3xqdn(yh(^1nECTMN&JjP3;VP(vVNkvbMhQDiyFxreFRH8lg z8*_neU|j#8P^x-M*s)C$)(Q-1XBqE`&BCU?sNQkv-Xog)_;_iSfQge!<0B_~j&os2 ziZ}I11w@ZM^X6eMPJI)%Lm;B(;9AzKhDCS5YPo{ zn4X>DzbVc1zk)~qo6@YVCZ#GM{!411`!|-U-yBmctp6!F^|ySwe z6!MqN>i4dV|3jHo_(w$S|Lkx5mf-crw$|VKwtr{v>w!#M<8azy#6t!xbPoh@u$5(fsGxs0Y?p?Lv1gHqOxVS__ zgdRpO#WNX=A9}IkX-Y<9f$~JVOfGli{8c$$J-s`%MI^h!xai*|o3O0!!-)g4VsHtC zwqg+FB@p@)dxDZ>T@bf}FZ){k!(F1#iNC-TA(Tin#j{b8Vy{2_;Hwan&0pC?CJTgYB1&<1LIbf+UPw6M~ zU93?x+H3la!Nudo9kF8_8{|6^)5aY450?1L`yza$|rYx@AeP6p~0gOmEu1rldN9KJ&fwt2-W- zKlmWnlY6BjZ;9wOJCQ*Q+u52tq_*;54ds_W(vAxeB=GivGv4+}V1{%WQ0#VG_wl~m zT^@T@J$j)Y4*PKC0Zd~_v(XopywA0igWQXO>7g(Vy{r4@fJS?h;3#)D$*R*S(`(}{usmmVL^Gd#s&U*2JxtM5j> z*38l;idm%^$q8M=!%Gnv$a3H1+SDWOB|WGNm+dm=fU_hNDSgErHj&`1;81v=dQY0W zGmbQNG=a`0M-H{s=|$NhUi^HG*%rY8x~;xOS82D}PliGriO zkC@0RhLVY*qR@t*Lg8@MXfMI<)+k5vNnbFfn;lC!dBf<$k=&5AQ9G0e*MXo~pO^}q zYVBoGbKMoH+5{>SAgtS~s_N(b_oYOeWEM1JLGlZ?HIu^d53KfnXn;e)lu0=+CRPv^ zRMwFd-qGRNVd;5LnhnjpriX^d^3!%1EZDN|UBy;0m9kv^UP|X~N#Bkn1OUR)LAj0z>W|XjdR(75z~CU+39`Ke%_6|i@ZBic!inA1RFlL z$*?G^rLWNJKKHd)DEMhG6A!OaksCc(0u3oCu{#$Vft@+5zi38^|*3$vO4oS}tAJGY|DyPcK)hWX+dOxQ)TpIGM;!k?4I zGWa8}5OzKR{|y8{LbmSjMex5?E~R886=Z(ZKq1z@^_{^srdR|+!Q|A~Uk!1!lt?;nA~pCD}M$3OCh zYi?Uxy&T@9zMgLCo&1}~;ZBuLtMDI@!{Q&2!{`_o=>8bV=ol#^mqXRaZ>g`Vf;WwW zoi2Bkr$~)sODzv8g>~R#*lhOKM@7%;(w&kX#!q%HOCiUUG|oB)9f~eg93qHkvrU8=b=z z!%@Iez{*^~-ND>VGQLt@rCqZ6`Gn~>v4}b;^E;`r430~&@PL5gz4D=st?2iO%RwgEVR=Piq!N()a??-^{uSek5%ioW{uTTDmQ$YVK z?^N~o$a#ie3-iC9iC-y4zi+?)!$vOg7vQek|AcY;vXZO*6TADbm} z>vC;<~84oyceq=;1(QxD!LH_UX*lc!uwi~|Q$ZdoVB^&#`(s}_G z^%q(Go$#JIeIZ^VxZExq8#R6(NTPMvH)VpP10tgk1-spVQnV!uZpHG&Z{ns;ofH9ppUY7T-c_5;(FpuLF&AL7a+35+j%m-CM- zgd%g9s976NYA>=cNskcAwPA811Xy3$i5I%L~1 zaM+FKJaj*1;j;B1Kc&e%dOFER^2p%coP=U{@YD}YIU6u%V4Pg^90;H24HWX?@T9j#w)~vkzRiv}F(i6_&EGYm?l_WN zEMvd%k>F?Yl!SDQ$6>C|Mk`AliOdq5vQmWm0?hZ}Q`TX0e~U6AtKkELi2_iC)x8Cw z+SL2p+5Th_w7!?)?<3C}@2)2|ufW`VyZ35_DjqXOVsDX}hw; zKh-?e91kp!4I;+iboJd%-L}fIz<&1oTqnJdK#g^rT8h@5u{<<#9G`=UGq|#$c|JPj zOs?DIj3&`HV+PDUV5m3dbHB_0$*?(-V?za13=;syY_sgK%6dvp_1T%UB=sjnZziDW zYGx^Vr^Lv(MUbh`9H&Nc$S?GH!B_@?t{m+3CmOm&dqxivVBW+45-a9~?AJ+_S*5R- zRc~5(YYP&r`t|H=uGp^qL499Nv|2ehlaHi1T+D=7%M4{}uRfUh4j}m5x;PacvWGJZ z92^lzWMv~qOg9Hy`Da(n<`Jp~@Tiz(_5#+A%GTd7Hh?uv7_g&OItIB6V|$MQPyxuC z1$|6s+oo9Z^rZm%=_q=Zh_lAuh4)UuqOGh6HR2wDOz%5>Xs`Cq@MfXm^$dTj+mvqG zGwwhL<0IAe$X!aT?APgEz?Ln#kN)&u~h zRgK<70YF)Ob)RjB8rrGu=c`7xp$7rH`^|3o*${tM%+Y`vy5^2tsTww;ZrBZVwe4C3 zc8+5bcM6=DkopbGx=wVtEKi!IwS9Uo->ul{tBwq-0~^tPs<=$EiR!U>qxB6hj{0?h zh;oW(4m$}V$>#GS=4H+e-jqs?x*IsN=FLKzR(3g#sx_NPhEHZRDc288X>V$VS1iTI z6zZT}{o-X*BM4O=hhl=~W^~P)RvzrO&E`wmUOZ?WoXZH9)vE~C!ET))NXNz5(c2q} z8T~N+rO}l3U_x6MsZAI<~*O4!7fwTOkx*5=4iSz2@F43*x83F=L z0agsOj~*d$Q#|1fB0)^SlnVqL+aw2pKPe}LWX~nXydKXW;A0yUhzJly&-~@MU)Y@` zQi265bFQa1X(Qyb>b@VbGfNhiCw2e>z^rc3`(>2;_k=tDQ1iVbKRe#it6Vhl=2#Eu z$(Rv~W1X1vH|I;F^Qndfa7o}g?sUo{!;0{j$*6ijn83-`Qi(+mRGhlc!?H#pCwS2` z#$)pZhkgXATUu}fO|3B#T&kdLq(`Ams#q+l^DwK=``kY#m~h;wG3 zh%0$9oNh*>Jy4<`dH980Mf!p5ME&X}%cl-)gBR^>zCeOTI#Rd-LU!!wF?*9#f22}2 zo9WOKr8c9=Ae4{P5$2d-$&AJo?+QNP%kWLy6^A|$(cU+oBV8aVZ;pvU4ZXpP{0!f z0N+~+(_mv<#ufsSy3$yTY)d_6r<@MuF~hWk(&*jOSw5Q%8w<*unE+mY)#G8p3YVfo zFmm$;rTXMfVjB1cM=^s*blMBEU2F!VMDK`%6K*wyY`fyvM{})G&!H#dY^`aaY(zJv0tE_5KgyBw)3FQ~RL~WQ^k;A1?W1QhLg-i->LjV*I%q9`# zI6AMd;*)9Gs?pP6QouU=}r zJ>>dE*9g2Ia@w}G&Z0z{dSg@$GH^|UVruF7QP!3(qkf{wQeCJgp#$A*)0zMLu)=u9o)5e=3<@%$Wrx<3j*cE{32i8dr}%PSkUa05et zBz<<%faCZP9>t_y!swWsWTN8{azTT_!A0oFfobbf0_gZudF+eCOW(^2-`)jFpTv-*?VuKCpE^ zh{-pvW|m7W7yr&2rsBU~Aq``_2bFl%$&|<2v(GbhLyPhe>-t!hYd0j#QfkA z8CuQkx+rQdIOw*AP|vnY#)T317fu}$(L+ZvWUra>B=KT}t}?JgY! z5Id;?be6%|fH)`x6SFy4nebH56D3Mhw580Pz@f0|2OFkyOD_u`Kd9oZ8;|4jbuDa( z2Nd32RF_dNXdgcSj@8QLcqZC5*Ov8-ow>&FQ)~&0p$~Q^6Jp87d14JuQii$VPL2ir z`OfIHah1ZY$ZFqlX?Qe~#OWZ3;x}FFTAV*~xo#?FqkOy-G4wY;Sp?#pm>@w+6?Z}S z%H=*xYI4pfi3h&t<{~*eS_i7+mDez5nJxD2lMj&+{WT6+@bmTI<@E6OEmG-4j~y*l zEp0<-;i7`e^M^A+7KxXaso0Bk= zwowTXKPm`nv>TG)g!Kv9>jG0Xn?%@Z+GeKRaJJQ(?{(1^CPaAHAg*4Jwq1RAWx+Kz zrkhOWiPSG5|6bT%afR_%L^CXt%5{V_j)x?)fJ5?ipOrKV4)QCOstpx~f#Rae3K|^% zw<)H}i0hPI{j#G&v2)Q?x$n-3AT{3yIdCOUiKQ$)1b3MR1UYPF9yyXSStJ35 zh$$TKy6w^AwNLm|-r5k3Vqu~%{$uzr$#~d^COQ-S{)Y>1yqZ(Nq4XlnP2&M0McI}c z5QynvKe|M9AwAYIzqzcep@1Mm9eQAAoZ*0;+BLOQ&Dd0OT}9IQ1;w1u3OAp0&FWLM zp3(XVuTvkz5X=n{=(UehHb-xIXnl;$LLmIKqb}vxNWOIKe(e2SeFd~l@_gLjMfhVC z&aGBIhb5ZQQ-pTzz-GOpb8)W>hMt%x8v!?suHvn!k>7Zh z`pHG)#^)|nAM23NM5V$Ls-zt4+OWo8NrEySas0QRrKsY8Wch~M43p^xQU#T~0`6Kh ztVwQ25@8B&3DpK7gCSj1B6hYxngE9|VyZu+&*3MaGjqz>^2S2v6l*H{==p-j)!$Wv zrAXENh*bInG0zn!%F-~z?fuH~U2U&ik$atf3ER=;rKS-2voj4HwsDUySki~7_TU#MlSWI$zL zCLiCg@nyoi&P#a55tOX%$YkwxPiGqv9mbA#zn?B37a*K#njJQUpq*Eaie*dYxcH$m z&?D>f0=!|;Y#Jfy87l0XRm{*Qeby#CR+cWHe89MdZC@eX*F6H74%jX_FgECUNjK1? z^*J{+Zhx3ZGXiOIX>v@Y9nk~|m{5h|qB={MtLxrWJ6a8u(HgV*m@?dv8%8Q*vs1yj zTZ$)JgSg_{zQu!bzybb`x#FgKmgYD~Ej7c7({F^p=53NF>lib1H|#sLL#8Z~W|Ls? z_?EK>tfXtQFXZQ+Oi9KE1SB`bY4E<>^L~?ZlKN-Fit#_iD`e%RrN!0W@d^RE6+b-*oQ3fL3&W(Ye246n`QXe^09aeQonsjN(_1zTc(pYX2XvJ}XB{eIq;j z&n8xX#Do1A^7CKvcT+o~_s}qBYubO_1^v~ymF@pz+{(np_-mwnnX09#f=WG)$IcE? z3=Gt2}lxXmAFTk34YJ|>5jS}HAbij2H1AgXMq=Uf$It0@+YPLf+ijeMyroR zpMoaX%GZbxchLoIlCyT0VxJo}%{+ATO&77r=NWbPHtpEnj1{&4HwdY5!tt$TKrYG~ z3K4pR)uXPUVqbWog>WyNNaURncI7ryVxhQmaF}~Y|AUqa8}oRJ+U8u%ES;kI%}?lT zkichV2%=e0sc?4J42ch>dUgeASW_4!9fZswMe5^HxIlrSl5iH%K^;fE+`v~;O0;6X zTA5RN@JShli1BGM2Uplcq)pEAaX{ik!{_Nll^9|)kf|M(IfIWGYgBAvU#HxVOAK)#GlfkyF9rss@xq2YiCW7m zgV%b3G6k1O)twjHPB?*VXw<~d7rME1IR5NtwxylN5VCeg{xrIolf;F00+MiSMDjG0V+rJq7@6Y;o*h68{j z{~>s`-2%R^%p`%Cw>!c|1~w6sFGM<`uTO|Th_F7c4pF#n+o+^AsvzhT=UnD2bb<6a zdnHW01mg~?XkAA~zTgds$!A_Mu2juf^V0*z`1Sd%(6raU+#}7B21#bx*+VC+& zB+9&>$Yj!5^lW3jo>7EDN3BJ+7lgL=e0$H-Y2Lh33^#l}1ZY6)3tjTMce`kbPVril zWTIK$lbh-}Soa|R@t5Nk+=hd@uA13HDCPuzmD9}5lExv0q3c&LS*y4S)`uam#vwhI zcQr=4Ah#=bTTYySTt2l?n5uFGwXxF=uzAaw9Uo~V3b-#!j`;+#MbRY<40h_}@<;K& z#rn-2Pa%%fv3n2LuR)$kavW3|)iflY(q_ZzW_d*TT&|z;_4b&2yY@uLB5 z6a4s~_W7ipSt%p|mqo-;D0KmAV>qV$ ziQv<7M^^XOt*BS5prs(2|4Pr1qV)Oda#spvQEI6G!Zj^(&ju&ZHseKz)#bIaoT$ZA z@~dkZd(~%0X^)ZZ!02LOBlu>y%3y&W|4!DK^IV@spUEur^Wfa|!6aY&+DkQm=LN`& zO@glttd2}9b#rN~4hb2+CS>=`aJ6KqjH%Nt$_)c(Ge?NUxs*JMlrxg_KC;)z_v)Qb zE_^4P77AH`6XA2b^Da$+BT#F{8dO*wgBOahk?T0P*UaFb8t!^Xf`+mi*mY|_v9fgS zDll;}XVzX)Q7a#x_4AYFy+2rNf63ABP3L-sqwvr!RK8sr!ER2+HAzpvjJkB?(l>GXsEf#_-rwK8ac_j?XK zeA>fIcma`zC)!?}J6A_2i$Q9`|F9N@=j zrwh$qit9pq?h`u7<^iJ^t}HJ5!S#*(7NR^m&2=5A`nfuy=Tn``gZDH?#(^uzDWfBA zx(P1(4~1MO4ba)%6~~ss34dEII1^G_lc$dF*CbGp1}@D5z3hoyX;IX^9%h=_C$d+f zm0sIyUv7|E@6hm(W`y5JfSy)7OZ@#XzbSfz&vt3(a&t9iZSCJnJTD#5T;flC*2u#H zN9}z(l(q32)2QRmn%wf~*6nWg^Cxw8?)qM@1th`&a*Mi$ua!yvTmgCZ@6OmIMs+N325QOpQ9(^visCcD^2 z`~vhrW{?QIXxa!ArtGRU#IyEi4I-@W&MGE{&n*xE3;0U_qEj{!ygIs<8~D|-FKHR7k=%#TzutKSOWG0XduTtjTDup@GmJIZE+r3$L=7_&Q4l`rZ$ zhbKa;;BP3p(>rKC3!xs8DQ6mQfiuyIH0h`B2>%S=79~Ohs&fqCF9?{e0d@VsrX572 zzB{?m`CbM*h+nqcc`_(a`<(B?Whb^4;^V z5LFu7fHM<71!@K#EyG}#2+efz;OBWlY)c^nYw5-Z28U4p3=c_Q%LNeiVzLPa`No_ytPX^wjd1Ll}vf znBK6!awyeU=My~j9o26T`y!D+u?t%^A;Qz-EYzjn(QpXyqFSXg$MK^kTn(_>Db4t9VbfC?3#g&lR~(r0_bB)ZYoBo_=cttpy(1=!aUV=1)7ANC%|s8T zv#e5m$Ej`Z@57VdUcMjqmSyMnLT=(5lt0~eH$fJ77YCd{U4MH+cQ=sXg~5T?_V(!L zmTwp7PLddl+BH!+95Ug2If=jN2KfmhQG41p00N@1|78z8)rXdrYp?W*GlKD3gdQP-2kC0<=>v8dkV#VP$Uv#(i^~KH4xr&=t7& zuH6N69W-$aTd~(;{@8=ch2RAXqeEwU&xOSkmreBTh5M`wdLx{OmMKhV#4>SmZ(S0Q z>AkJg6gkeszvYEJdzu76Xx5LnJhnyQlSS}a+=ohULi&`k1>}v>I(sa>^W&nBm5x1U z%QJ5CB18P67=lo!8)B=wh@s_Rv^9VMmQVzIK@W1bk)EW5c`Gn)gmGA1fQ5IS#G!tx z8hk@C0-0%(-Ad0&Y1t?LX?hx?DbpkKLA8^8T)#A5C=WR_EgY@-Vvam71y^+~3CZfu zk~OX5Bc;zEnt6C$fD)-$=hO$Yj!$3V5u_m3T2HT}`>&fFgAi`>lzXwGl|;@$<_EsE zE5JcD#$1mf`IdxIqQA902wa8$87Ql%KRgac4eLV~jh3Xa8jkdfM_+;LWb+%46Cr-_ z$#LE7+6|*1EZ&xwpD~Y13s~T*n8qnAmkPo+xcbQe0}lbQLa(Y&781OikU6|>U<{n8^tP?MN%Kt zx5RIf%3?X+^OE%?+SKyois_w8b|Y1Y(-4k3X>1HDejKPc8pl;#74B9V-Jyd(9As|O zbnt5>>+m9ShNCHY$b@o{bK{d+S(Y+!+2B0F5ofXAe-H3i{F`V^#aR=)=r@3|~)(%!sD<51^2W2J6B%GcYS20=VJ6cg0E~6l3OAE#vj#8kObE3GDN7gsi8Z}K%5erl6%}^-VGc0H5ABuXsGlxJGCe-<;w|o&7o?&9|C{d_ z@PG#ktnFc$d>&)UrgpAbgH4nyyuMvxGe53dWZqWRy7YEnnNfb5Uee0;4bPM{7oH)n%t&>W00v26(2ja27pV#T^phg)}Yrtg(+-K(u?LthEg;v$7U9ug;LO!LE?8pTzY9CEp%x3QP( zG}N7s+D~w1D~#g+GVAJfgQr91DWYn|qkGkiUij%@N8!U8J#uRju#H1?513maCLYsnP}o&H)aQ4umwY{M~X4#<+=)5pe0I!bxiR-P6M=U-vou8KF*R<`s4O=hB zk(E)kGH1~iQhbfnuN2nm>`|M?-&F4)uP8kU+s)-w)Q8 zT*QMp6L7B&3C#tb{Y1kN*7+IT}n~(U)*nsmc zaLyw?C%I`a(=dlo?ho%D_8p!}5D=4xbwbUZyo7bcCv~^XZx~ulY0c%|qS*JT?K*!n z8mTEmC{rbh*wjAtw}NNfsx@r*MzejOqmD39&R(<2)fj$N?5TTLzuKF!Ijou8!s0=l zOI6)LU3|k#FafdiagxfXl_er7J&r0QESfq|Jh3l0&O=3Ng$>*PgW6OOD1&1YaTb&g zdu;$P+9?N>4wY6Zjl7Z(rlKf1oAiga%FSoM5Kw9xBp>@uez^*(E|bwfy&R?kOncr@ zqMKXL){tFZ8}$5#AGQ`Vd~dv1k_}~ZS&Qv1+1lG1u=(E$Y1L_>eoG-LZUZ1nlfUiVp&zpryI&3^La2F>mdeq0e6yI zjTIP(ty_(CHAJrmP69yUbOq^Uza()&9Jl?@Zk+3(8SJ4d=?2&P2FOM%LHEy)BNOva zLiK;b6qgcEmlqTH$rNYe{|$7crf2*~l6v>@c(>SOrT-f*kM|dT4BtUR18X~@-y~e@KRJ893iSW@#s8Wf&cyz!s`q~gbWq^*Otz!% z3_4qfW3Qm+ou}l%<*}aCu^xhfzRu}v{EanelZ#5{w?ZU0JeTyh9Dt8tm2?b@RdjSo z^lWrs^kVdtfMbTb`h&)WMyfrFy`(+OcMF7g_>bI|=l1ny8|us*O$^f25ieS!-J?BT zH7KuNk6)?hUQ%6SmSk$M+fk=8Ot{MLX(nIRlD_ZTXJss$tabDmAJAOr?6i>Y>E6bS z+Rrt(b&NQ+Yj|FtOes*dLppok?cYD7q@OQzJo8@WY*-qpqP)~6JYa(&JS@@PuE+%D zeWB)|r|TkLV(y}3E&TGmh4EriuEy?(X4wPdaG+sb;l%SMwYs3-xm4MQ575{e)E0tY@41r@Ps+rbA!(s`W!2 zbex39Hmr^Zjs)i(>_tFAR? zEa|RzQ7w*dFs1ip3D>DHgb%DG9mz(~(v?>20(aK)R%;34CTTJQyk#%reN$t%HE*O* zrgtr0G_nT-BiYMm&!^7p25;|RE{5w4<`3p|wp{4$#U^i_o!ewND`UdcAsng2rgh`q$y=EZ?Qc)*TX47nSObUd~{Mp;9K+dSXZlV-I= z5Kp`>V2Nvx;gljow%F%EGeIZ0hn7lcy)%;!LLQ>rn|VGrI@x?D?Vt|Dbl-rSF~}?k zL_tc18rH{gM|yz52BGzx^gJVlmv$$56HxUU;u?!4 z(KOTAakgW{oue;w*HhRR&i?853-gKHWus{074ikTKJu8&Eb)h95?T)TJ#Il>Pb4vK zP$>ny4q%D);V}QgcyN@%%j??SV_Ho})I7LUq?}!$ECoF_Y=n zVFKW1J)^ZKgk_BRS%8iroyMtzOPJ#(ZyHQrCY@a_qj3MZC>h^Q;YSvijyIKSKU+}M9|3MtA|Q zGS;5yNm1@SVZKHgu-|abyi!}H;oaf-cowmH}QU#gPwS?Md z$Wpa6QLV;tDwRYiqP^cT>@=y~64Dv&rh@v!L-eK29$+8VZt2v*7JU<|FgMh&+*||O z!Z_Ritb=)zAdTab==EV81#&M&%FZ%R5O&Q9$$;^R>fv?=QBW!%_|bUdcf=0{LLG%h zH%#wt>O2mXFf66t^kWn^MZMqs98MP7*(-W8CU-^+KG$H5S#=FXyA(B2s9H9}WQ7Cj4&U8f!t+ z?fKe2u2cFnWFl7)F7T?m=P|1V$)eVZ3=JxNqSNP1+8DPo(x&mlkWuaBEo;h1KZ}kv z&tbA!E41y+B5g@uoT;kCFWqJ4VJ`W$_1V5nBJbV29^4j$g$@q79(DQh>qnSbxd{}z z7)PE2a8wV#4rqTPhYAxqF_6FolB-pigfty+ZWuQM%}|MEgWM8A{xt#86`8xHQlihv z4Ap)DOM_Fw=*%v622qE;dP5kg!5sPx+b0zcdwOhD7&?QM9g{8N(DvPE3-=oA?0HqN zh)HVa(>6!nWd)-}B|8q5|W)x|HSJ6X?)Lkw&C2wxfD+LA zKLk~XE}0O`gb}}Qtk6P)wK#QT8&(QSO~6iF#@uNn+!jc7Tzq{0iEF}rU6emsLLghN zbn>{aNm!bl{YM{&X}FIVJg^eDoG?#IES}}@Jm0-(PEK~95P8iIW5|rSQ0o*p1hI6C z58gZiyZ=`*ybO|AA&joUE^uP{yu}L5if7U-q<2QOc3$>8l?5bfiGhTV!Ua}=HtHxyvC(a(ed)Tfugpo!7$PmnL@CCM;up<#) zE<*}_OkNtF*-3bqjJtDP6&)GoOCtodD@kl|AX^o%-*V}4DQg%+@l{D}=DV#O;`S__ za+aYhky5TlaJ}I5Ezq#wWd>KQ+))&nLM!d6<#SC+lY*l#Ylb7w6j<9XZ>at?Ei0?6pY1YeYosQxChG7=1k)O1@NG2O4*rGhj0Ld-uDsF~*9>ogRzE zJbR6+mM3SXv)96={IjzDVn1Am*(AzlbPo)FF(#1mW!k6w=R}z_?3c^cp&#q^HmeUB zJ$091yNJzk6JbypA+J?~?prF*>^YG)({KfI1_Znnx(3SFUJysRpS^c&`fSEvIV;Ye z^O%9o8Dg}#+x)Y35>{z432E>yE}n2vX}mk?q(Ladp#j|YiXvw6W{5k^o6eJ}W$$Uy zz&x05*A6!?cBUvVKoHS810-{Kzh-n^_8~`=>&*I|;5!CWpmsgg=V5qs2aZ>a;vh!dkOPCF)SXT3wp= zB?e6I4Lehq@s@I2fEbuJIQ&q~FtD^>H9xnzm=>gb2ib6;Bc-&_-ag-7PS(Aa5ajr~ z-(;&KX*4scH^Q_}oHH zTnGm}JO`g#H#wERNQL}*-GQ)JjBj1o5rKScx(LB(VO%m~ToZaK5Y)4Ida?!EGhvh{ z^4fjqpqSU?ZdukEv#)YxETgN3YA7tjaHINYNS7#RhXcci@##I-2JQ{;6~sW{UmT?W z@nk70E+QuJhm9)3KijCj=dseiqc8Mye=}LqzfX_9xTyYo{GSf?rw;9311~>yZGURg zek#(WXcc}RD1Ta}{dRW#pFGsqf9p^^{!v-cTu~~vq%DsL=5#z^WhDM zd>S3PX{bK(qo(=k!tXSf2h{JUeRbOBzIAf*Lm=C%bd~f4AeTa*o~(iEB1=Wt|v|CE1^b?sLZk^F|t9@6Og6K zy&LGjtqE22Oa(AGCnq zh>f$}(x+1L&}{e{PB@lyKg%VkL-&5kS{y3Y9N znNt0nGqYoD{U^t{2&FRjS!;9{0px&7aXyWGxL4V(vVEZ(?bYG8;W8*sR!3VqTYRi5 zSSSu|Tvr(|=B0`d~WNV|FmG?Ca^@^Ro;P%!DVmcyp##WfYA$Zkg z9J*IlQxWq4Z`!W@aCWLMbm>x7;v?v)1Xs&@U-`eLz zm!th)%BYZqU`kD@N)M-p(dUPuMa9uKZXxojV1HpJnHQs{-To`bD{RJyu9T|fPX2T4 z41tAt!9z2|Wlh%>eeU>1npg4eB+tP^+s1R+AQUKNXa{-c;d#u#2-FJc!021=-V_;j zbCjgo8jwkeaSSyP6J^N&)3c?iXiXKVSh&h3Y@C7>V&u);Z>+s{LY& z#@yDMXsJ_12#=t;vk3CkO5^9y9d?&$JW-Tq#U3q3>0dpksj`>Ww%;Zr0q zT8eS89j1bMg55kP|MV{oJ-S~s&HvUmOh`zTU-Bo*m7e~0IF0%}$LW1~XMUgDe@^dz zXHUZZKAit@?)`lH^W6IhEdR@c@4c1#<>V8j6{h`f-!Pf?cJj{zYPCPLx4&4I{6k{< zM{v`hgZ)2KSKmMTUyjaSBCh@y(>?QVV4Sq#2UAQ@6l}F=v(sg>v@j1lH8Wi0V&yHC zswU|&#jY^R;vPr}wWC_eytGI(B&uk2K|w{VWdS~#k0`a9(FlIf6bOY56+9F+e7f=h z5G+I@fC)!7?7mUK%S>BE-%R#8?l#^)43GFh{Ic)HSO*3Qa!tk^ zykt5g*UcVNco@%Ex4mYY0w+LfbAjW-VYD|kv*i_tpB(Qw5NAPxwkM{{Q!Q2N@?PB&?5L~AnD%V zx&a08G2j+6U??pqF3?{6RrC~~`jMUUKKb@+%G3Ud9-i7^2E(K|-`n^jJsQDx?QS;i z9vW73uo(JPbWd^Z@8@oJ$hyzEYG3%FumWS4p!P6a`4Zavy7k&)03`uWZ0WR@GWCx2 zr;1|v2|!L`+_H9B2u=ES?u+e(Ra2B&B|0%}Mg5QC?y0yv*NPx?z+HdWquhoR zQ8yF_rR3GW-e|!!%@e?<&WMhP&S1m7>{-KLf7CKf@YcZ-97A`TpYY}~8K3ruBE(H) zp9zwkxKxWINc+4r8iTopnXIjwV$TA#aOV4N=ntb@+0_Vg1zy?b3(kA{qEp=LEOOPG zM5HXmCgBjD*2otvg%-6!e;yxV8=|gimlNlc$Yk=v!xAT4f)LOXtu!751B&E~v-dW- z;z}1BAkn9a?b>ny_V|Wsk$zN{uj+C4jYiQ(x|Ps4;G22Eq6!$I12`3LwnOakIl}0* zUH-~u&0L%NtHiAIi4#xH{(USZ9R}e?CO|2K;){y5te>UP&3^$7bT__2$dv2*)74fpCOc>3dtD{cqJF3PQ7gFf@ zmS!kuo*~T;jXxhBtvvmyKNI>~$iAxOo~OMbyJ&fSt=mbBI?ubcEz<>Q<8AW^OamMl zP@}!H_D~C1jevnwV(Yo@WJM=^14{#23w}cd<+&>lK>6bNQGg^T&`b|4m++cQ-KC>m z?%I0jOUa22lSh@3bzqU0hris9!^wi8j>r#qd2q6?%AYibYtg-&@W z$H7B=EFA$wx!8~-ewnfsgP4~cF9BlstN2hN+fmcbb?2dnk^9FM_5;(W3_4JCZ^G6L ze!T~@Z#l;P@h0|8F1fMg`FGa})N?S}fo`=ZV>=4{%g_0I8sXHSSl3$R0aq$PiM~zp zee7S2!QFZ72JX#@Z+H1gWZ5`zw+#?k>rt7Ch7ntIVfW&PSA9&!Ev z+HMt7(8WHIwJBgC21`*^tC;M_fIZII@U`R4qRzsIbTikM_%c$=5VO`xe)dlg`(Hk@ zJK}S{`wrMtz#BBglNuNj3Bh3!gr6isj{}kD137At=QexWbX5X+K{d26r&a19Ou(sh zsl-#ny!5_pCpc7%G(ZYs^=u=A4{eMPM;&P*EB99JwBQMWBM<0HXB-B-HA`Hkh|mAX~^skWcIKqY1axVz&D z)f@HvrF`{4%l*OmFn41K*3+cz>3*b2R%~{BQ_Rk z%q#U?{vA)|+PvhAn>VBL(O!%Ha*)vCKBnRE{L%H1!z)VqQ>szhI7fQV_`>&c;SF-H z^^qTWS3^g~Cgo}CZ)Ok9dXZKvJm_CT&-?ZHI=o=NKHOZIyjp+vc02K4u+YfLX<6*p zxMy`wo)_3(s*0Vtqit`xow<|j;2>^42LTy5$3y>mZsEOS^iucUu1OS|T*O8gGH zp9%Z^#&*!R6Vw;{!Q1qXtD&48_q%g#ukyRk`yoeuOPn%8$g9=cM~8i z(Zak|Aup~UA}fUIahu=f%URTn+ZKiGUL$rJ7SR{+j`w`6mhDPoKTt2Ke`P z|4k$N8+GER2ky^K_P@Pke%kKo+vypY8#(-uK>A-l+kG}Mb)Yq{wy?IMeGfqRY;E{o z?lAwhnfvdTLOZ{uM8kFg zQe8-sU!Gs6j0`Pa5^lDaPew)nVH|bvbf;g5Xf=nw)WsL9#1GPJuDo`!*|CK@g!Z;->%U`WtC0 zss}wzSQK205X}}oVBA6=yxuxF@C@O-^+SVeu73|#TKf3yl^)UAw~|?wyol*IKA2FZ zZ)vfVdCMMrm6k3%(eWc=5c$0jSe?eT>S(D=%bTmaI&e%5w`|()FvhcO z9^Xh>DFNypArvPq=#>vBOeMi{4Uyc?*<>o!Kafohl7)UEV`4~8Be_8mOrCXW7IFV> zbN4m!pq-ey#<9ww^`vIC4LJE>8%tmI)85xKmqQvm&P9PrNMN6rkf2@OD7PxGz>`2m zN7w^*rM9#68rGJl$1<3fqUO@-*>XKal;JD@qUgb1(MULO%31&a>#UZm4~B0d3TE`c%sQJwPBg;JZK|iU$K|&jIjURW^%X{C%-iFdd~RFb#Hsf3ZZ+lziaIEveL4m3BIGJ1~zdk={37wNgnO zQLx2c#q%J!4Z&lRClZvAibswamyWkai5#3sB8%igNE6yAQjFImoPs~ewrw(m{8*#= zEek%P4w;|MhN(n6mS9SCKRem|axd9^%bD8kN~nkQ-D|n(lcusFu8~qqykcC?ktoP& z<6Yjun9eIN!lIvYR+W4jau(1agrc29&d>rp0T&<0_G|o1>Qd8r`gj|5Ds6?s+2Q>I z?&2;GRNwJ|1oO6SH~*w2rK}kwe2S6_5>-?nZvUIDg7@{D4#yJnNo=N_V&RuH3|m*w ztt*vBE74p7YW_{pC!An(awIW;5JQ!2Q#PJ!Q>Ibll57mmO0Xdrf63^a1XBklEWER{ zs-vl<2D4Ja{E)~(5)OiGj_s9$KK~P{w9_m0dr?u%wD0XhFcVw)c%Egmdo7RM^G8X)Vzfid^cB(kJk0y z#X643##vxF?+va>-*XLhQ}KfPL#rbor6c|Ov%wADIs~qYZP7&D2o3P|x|ZvAb?Fxg zWXEMG7vs`h4-Up(IPL1iXToBYG~fngJc2<}FIKLl-DSU{j^EnfsH7!YB=U>8df%4$ zAA>KA7IIMrkU69rNVD)TAB0|=mxJh(uXFG)w;}14GmiK;``JC} zn#jrWrqn8UnmS7bnJAz5Y)BmF7z|H8QgKgdFN4A~o<&Sc*eXE7OM0rpXC{)HXI}08 z>3KZ7re)%rvITHz=gD=Haw#cKq@JMgiMx*$#A0%KSO;-Ea2u&=f}*KW7Fsf%5kHIS zha)i6P$#T?((pOBYbr=}w(x1yaEIz5$K&*P_aQ$~d|A+k>zPN+HD@l9Gv5KAMlo%; zOiCLQro6QA>H)<{Rcg-j>nBUh7K4h=$1Yag17{uRRZp9{mKvKtn7|o0N$+|)i|_aI zLKkOXgwK6)_=O}_9DtNqw`DJD`u?pVw4E6yMMc>3^ zUP9%0F$}-^!+V4h1IJFi7$|E*qnvzyB4;y&iV!xR|c6Y&qO#vgeWX1xNf;E-ZN0$?Y+ zn>OGh@Jo{pRy$P@b?I0+w_KdD_|zDy$hkyPi=zw?uR?2DC9Cv(R{YfS?Y9USlej-2!K*VP%% zzL0gn&H8(hPYX^H%YizFJh=_zP8o}?&ke3>YL^5ON;yjA2~2r}VkuOjPZOnjKG1Ah zWeqg#tv@WUcpc^VyzNc#tnlg`kySwuh*n^TP0m)UthMIvIgi}Ezg0r>>bQx_N6#c# zqWb#jTH6|HXRxuBkO_(!ZU!At1vL^^6fHv2d~tF&H?S9+Q4=+nR8r?VYV!B9geb{jqo5-gZt52Z8z?e~E$T9-6`pfDzIi>nKW03} z8bOnzV3!7K5pkLBq2)3!ix@c`P2z*W{&09hK7`Rac7O2l4%*lsQ4vKql_;38#hE}4 zYG`RmfbCLzanFtV5Qifb=($4PbM5;A;O#dA2EDAg*4AwAiaHmoU6D&(9L1n;s=*eT zPtj~p+cY-pz~pw9rq4>N4m~qU#_9W-wihO>Ht>_ZNr5b%@u%cBqO>Rn8PnuwF2KoKriF@mnk$l9f%OYZEP36)#=KZ~a=6 zPT}S(N;Q5WCf?(3gKZsJ2w?T}1$(*pR0R;Z=^MpKqj4IYOu*dPbaCN>h$WZzPl;uhUW`jI-h!1g6j4x7 zag_b)6fIABnpF0~#s^*&Lo``6&qfFnWni6{-W&S znmkhW7W^SVm0Z>-@bS%vG`Xd9Hdk|GkOYJRN|LS$_(wpgH94CpYWiL}(LG#7Mx28W zH5&bkdk)?wM5OFPLwBD0cN_!)CxXF31nML!El<7u5$jhPJ})FVGFG<%!dJR6F1vsN z9(xf=0QNz`NMhn6u|Xer?_sG)TEp_iGF=IlRixm|L*VqW;YC`dEeHUEiF-Y=dA9_^ z!%BHeSXY+LO+g$c?C}Le4V|T!=>&z=;?kALJ>U zjz6dsc4JoQML~B!(Q*|hKAyZYcIa!ahZSKHY`R-QbF|poN@Fro2JzgibindX3&(R^dJAc#3jB%Q)TkBQo+4{Y! zbFAg8rF`lU6br&Q<}F)C&=jwYPX4N~_q(#3nngRm!Bnz@Yaw9Cls*cd3MMAI8$n2G zpEm8m2%6Xv!;OH%-FiJYG$(e|o@ZrTe;D~<7KCgh7s^9dWaZ1$j}^Nf-wX+Y8oW$* zs|J+I9}G-lk(C=9AT)OkEp9a7Y(XV~o17SyIa!kXpR~qtl&^8mMy-_6WDCP8B5L~W zm~cFfGF(rEwtFXHi)seNnXBjWxO$FdGmK8u^Pg1=)ZZa>j<`MV2~6Im#;W+JTIC zG(!eED_aot^guN15dr{~RD$4ba{WliJ`nZjpfK6+a21BrV=uAjFOaJrlyHms7&>Z% zQL53}P<@ishk~bH{HCYpc2DBW>P38s1-hg{`nR>H_bwTo8mNK739H#b!LMEX!Maw% zR3a)cphf!mcPDdH)QFEUgy%ek8nacxWDhOOxRdj@nc_?eCd^rqs5*00s_vj;)G7HWT&Iz__4bp;9MgM-``63u;kbTu^3BznoM zl{`{zVNRa+Z2fK%Eg}FK=a>!q5NmSSqk4c;8SZGla>Hp)Ys5;9J=(g<>AKT%9Q~BX z@)Fsm6V9hzEdli4z?=EZbK#_1m8P}xE~qx0&Djhw1Xmi zs<_vL+e$YTs~mCq(H`?MFv4mH{s7H%+WP!`F^{tzeu@%vU{c78QRO(DGS&^=%tq6I=d=8UAOL_TS9v ze>cPbeEMI_6aVO`^=AT<NEZPCb|4Q0qS>qawAhG!@pms7~2`@IvCo~{WqoB z(BA$Zw_X1=c>FW1+D2FZ69n}?IH-R!k!1NywSQQz|NVpi^%)owGsEvoNhxLMzmcJ0 zcX#oD1H&2ybX+w9jZ_g;`KUg0(&?zgWK z;Wzz5=wYdo7CO@O8;s7WMG^B)<_A~`Oqxcm#H}#jxOk-4&Mqo5tax&7-?58E`aS#x`S(dAY?Ne7tX(gl#sU!)(oCZXiCUhIQn^b9LmD9~^@+G{eitygM`caW?6_``P*;Y$SAiuPQ~REqQR-qocA zyQULSc4IA)K)tk!rpT~I@pAZhaaf+-s&Q>GzSx3Zgqo#!>(nM~;(Ns{fB=7l`zg9( ztzRfN)WC(te(nS=1S!Gz*>FaUzK@t8BDfvLE^CnAa9nKYqd^zjL(%sKj@Mg^tC6W1 zC0ML$&q=h_O~PhC?NqzL%ooY^W*C)G0+6XGpoM%PBq?jA;8pRKP~DAJb?)D`MX_&! zaAr5oF;A^<7k$b6Pjgt>C&uswx~ucRIUhFYS_;mGpK0IKcQL&4v`J8jPD86ry1JZ9 zbEtW(iUbl%$43?{)-vQ1WC#0`MZ8fZW^8}t0~;V7=4n^^i>{QaQ(?*+!WIrb)2?-_ z%s z@?RpayPC`LY0V+|>s;emKs)(JiK@CyydlP@72e!!cPqV@cpZbf+DjM{apUP$ah}@Y zv^fOuh=ZYVu$!Oiybz@*fUjq4P6M7tdjU*0;U9y9;=Xc#kvru&Fn`3hvdz{X$#18c ztm(DWeplyiE@J8upN+9xQccefr_vq>tf6`!F}K*g`Eu!cot z31mbQa9iO0^-g}Ec4dE#;NGRzPhZ~U_535&x6UK%5$%<~r>ovW*9#I#URPB&cD1v5 z&+a!x>lZ^!|4_QXkzlZ=O^J0 z@te+FWg)9|`PVZ<4!yApaZKU+3T(jLFw3qx1TO*Vk4IMCog@oLKi=DJqnK{$OCar# zR!B-XquR~P4^jjW$S(rlYnpMm7WL=?-Vor(yP5WuI566ThO6;0pm&!Fd}3mIE(lI5 zhy2!;zm5&g=#&EWtJ{cf3X{Wz`6C7(rC4C1-|yu!+RAmn_PrG4RT`Qsb>!#nS?5`@)6v^UG$L$Lt~{EQ;qcER^PFbR9<&A*j%b41a^fiCDyxgSB6Sn zb5TWS1}n@mpu(oTVh|QQ>FP|eF1UkiB8H{xRF>X858HOPf@!J5FWghp5j>|sT2k}H za@PC0L`zRgh}Xaxw?lDW!?jR)@v-Y5M5i&^H}_{mc&gMiO9~;6<<=K2KRh-x#HZY7 zAtlQhL~8Y8F)2LuhjgH!|o->7GfOtn#Ad5`!0Y zvfQ{8@QPLDDk@~GSLTzv3vM}&MVrcAChwS?G_7%2BMyfsv+VJS3!JnkxMeq%X3#h4 z&mUiclNi|(-`7;%ecCzZk@=59`e;vQwkJ5!6WtrLi4*Qs_An+=+e0<+75u5cfTT4> zUmQ3UVa2D`i7VNfkc81{HP8@{IlUznxW>8y7T6=)i!7BeE}`2QX^IYtJfT zPQygg5i|Fot$$2L;)EK+n8d(n90GCBHslvYwn&VTc-B^BYL&Fs1pOrGX}oxM9`7_p zJjl39ZgMjP^PajBF;OrfNea6Wkfo~DvCw8D>ny`-0oRC`Umdxh#R;cPa;5${ddlhV z>^Lq~qi=k4=w*j{2;nYYBY%6{KvY(Ms3Fn>y_hi}BVZi>be{m^;O+CH)!XNpWbNR}5> z>29~g090^3)PvchY-k3cwr=W|^*tA6D>=jgbtT9fm`wwKp1s&~6)<(&qjj5yCEr>r zspLY}bgg$b*R!j{g}=RHX>Pq?58a}Itg_p zix+66yPhbHJCX#Ms(VEr5i-)_8;P_MW6~9~A6bCQ2Iw&H8aK{yPEA|n7W;g-M(X<3 zM|}nSP~ye)=3E|sX=9o{!?2~f(>kuT+<8D4(BDk(u7Gn|&EMyJFD@&lIni^P4N4=Y zjnmXlNgOdSLar3tQq4)m1*V%V+wzS~$EWw91FuuHk&i6L)iNd43`UB(kfD&El0W^X zJd6^$6}z-%ZDrz7tK4V8f@dyqPoH!LXFNE8n_qvc(Qz?~tBt_+H5go$KU;a~l4b+Da~SjDb{T z$j;V6!q(}0;S5y#g|KEjp&qP|g%|6%WAWw{%VrC=+P}av&FgO75=^+G-401Y2x|-( z&tR{}c%Re+&ub=rHD;LEvOKERSw>6Z6d4&4+b_fZ%=AvE4tDHZWPQY=6OY>~h}Q+I zy|zWLbcwL3HMHA)1{NX2D&;6KT0ZyP!U#+1w-b3uK zcOf@mX!m>f2+KAp2;tazyBWbp5B`2N(D$-SsoR13m`Sje&GAyd?mbQ#6YJLTsk6u2 zcuZ;86D=j?2kfAnB$tgWqs)v;Nk!^fBW~kewDcF}_h~&JO0ZBov72kF8a?UsMFfAY zy7EASCQvI0m*mdI={c8AL{dWX-&Zc=k-+}|;)?+dg?Pv6*{I|@H@|d)lHQyK%gL{@ zGa99NlEU+|yD6 zy89dMBq93@HiVhZK4=V!Z#j5UL~pL#r8gArP8Iqp0XX+2WEHk)*i6BX_wHiWL?;`X z@*`D2DgB0b#l)+s!O=35Hxy4%uqhk|^fyqY()cFIy{8Bd6#-M~;Ed%!SgK5F?-Xd- zDAL(31P#3ROOsP6B(Y|^<>}tGqX$M#y$JpT;L>WkUR#)63KExCC}_nc3JyX_8cq_S zaEclGJa|uw$rY@Y9YgvI5cBD@8j!aR6ZR7~ry)*H_9Gts8#e3lkVTPPS8~~=R*t>e z*ZoX}a~}5_A<2V8r!{&vOYqR#chJT}9^St;z|8;Y`a(%qNl5v(qi(^!x>kO!E`B?Q z`852a6S2@Ww*O67!~8iY{jcu#^NZiBX;?V^pkVv_-9J@@bNo@jCjM!?^k>JV|L$om z{?N?+gJ=AY*7eVmS(eX^{ZIYs{|U{&$VAWnJLy}7lDi`EA`Y&OvlH>c1Ch2*?d9 zgP3;tJZ2};ztoIsI@&PRS7&GKIUllKhJIZ!=D@9ZqO z1IHa$*7fVOW1-VM2Xc|lbZ`Ot)J(WQ#~p$#@A3RVWl9uBc+GA>SQi4TM$x)wdfgZp0|1(xeec4SgjFi zPa|F7ygJ9Rkwk}d+t#fOAQ|W-$IicM9Fa#s2TYL#m0f*xs7X?)O`yQr_1Cb(4de-= zMS}08&jdvlAt^Ir5zYotomc=`(4$~gqVH$2?Ec4V}d(28hs>kin!5fL;OchkSu>v*{GiH zQy>M>kEzX`lv##ZI+KWfU#>hIIC?k9ZzN&jLjm&3Z1AMkF ztL}ANqQ9W#dA9Ok>Bf8n$vq+F-D=Z2KnRwMPaC0!5dS(iUF5uLph*m!$)Cj9A`u6T zTeyb0kRYbn_GCULxhQ4OgBo(Vz%oUCes8O6BySgpwxMt;hlOg$nKHt_cH>lc8C}gM z8hcz=3luH{@;9!@oUKJJVvgg3bfUCV7@oelXa2J4>Taf~WlKAJ2Lgp7UP>M|M~Tjo zVZ1W%ReP|>g}vG!7ct=8qoso+d3;tr!k9-YEe10X6AsxwZYC}ban;o;edy4Yhb3{0 z0?;tCTW?<#dV9ivrTUrBGStkVrDHynbw-CtGH?PBe^@`jxx)y|^U~{wkFQDfPsBR1 zLOuPnq`uU|)h~r!@otQ5G*v83qOKUfNbxxV`c^i7;o-B|JiO?`>vJC7zc!9HM)$lB9<*Kl+#4l^d|<^`07vq+VBP<|01<6)Rw|qT8Uq(EhDU8ETF6;3<@{e$4oAV z_7vqYPUk}pED3<80EDi1SMpV&G9x->O|aZ(+TmN6zGbcLyA<*)>o~A|&^F8A^2r2= zh-p_;Jta$oto_i|OEux5#m>mfzILP>jL%ObjS~brzy@Qp2VLj|3U4X*@rIUmo&l2# z&Tk}9VqY?+rK(3&ZT2G^l(0VrDj?ZtMmog@nLdy3nCSUg`Mlp+ zI9k=Le&TiGCHn>;$kM_Cv69a}i&;K`u5|OnM`woq&Uf+af0m zK72uM%afln1abhn(aj=fhD#i+lO1ygQN0DT9IQ^y)8ozK&4?62fe+x4PIIn0-%Cng z9?5hXUlj1C2}M182sM)V=B=_+&GiwYSbtw=knwX)(7QdbaXPI(R`%Byp>4L%Azm(Q z#c<^jiJ;+Z`&gz7Hs>aP>!dySjXfA!q>rf1_Nuy$8y6|IW&m1?lgPsm_9xpr57GcQ zvb?%H#vO%tw)mC=iGta~x%xPQ1m@6s1ynN*9ng8$$giTfj$g}hjIyyj=}hrWbPRXo z>AwV=MJypFA~!g_jYTRJR9jClUS8hrxC>< zFAQdbdDed5dSrzs1=Mx=fv@$r)%(S005ZOZu-f236g;nVfu$dtRGtcDkK8H@`xn9e zIJSb&_xXXR=njH+P!C|pOV8OR6#7@8my?c}4xY(Th2jrW z0!;*RqDS-Bro*I!T!ah|a(F=EP?7QJ?Cx4B?fS2w)`^*&^Nxitvd%Yw*Hl3-&ljw> z;4q&8U*H~;@#RyLdizZ#EK9lT!!2@yM!;J#8{;#}&_Z$zzIpIUP* z&O1IkXrJiZ=`K#H5{%~{HIEx!UgdvTY1;_mL|Zf+&}Woc{9 z{F>JFpmYT#2>-3Lf&k$JNzZT5t%JF5n2S*^@pibFSPW?9b{SJXzDfikO_1tae&R0k zUUr%fPa|fimW!mzt%p#2r+)mm!qqM&YdCc5C^(5qB zaXSA1R{7Qk{z30@=k{U4Br%J3#7hR}#ohdRN$Af8F%A?VtJjT}_hwmVKgKnrU2A#OcHdx4%?2d{%Mr8*W~H^vhZ>+1xY-a^}$dZaIrgn5FG8p;pqGe%~WKIu(o7dbJXGY zRfHw(i)MOU3NR2n{BPrKTvoPbLLLSVx5jc=gJ9NIY8M#{Ujlqg%r)+$rPY8&Asvd? zcW(uT6as5Sz86+bSjw_sZMsvk7vShk;@{^ZNdwye7SDTEa0ROW0zHtN-5g(fAs`co z20w$=Nt)&#(bc`4GT_|=Z9jf%+gl?*QvgdreTI0rTTdD#0*}HbIyS?S#<7tO(ft58 z_YqzEYlX@1pH`TnYM&MxGM^Quz+Voo8QDM46-<9AG5@h(`BRC>O8@&3^YiI{QDZW& z{NFY#s&s1qCE3=uwzSm!ufo*O)=}4j&d^2QLf4Y+Uv6HkOh1(Zzo`ZOxOA~J{ksPI zpH;8_cJcBjY7q)m0;2rS*MocdTfjLr%7kwt*G}#kOhNFSps( zPZj~CCIo^qK?#2NIxt|Dm^cO&o&_IK4QOGM&<_hp9Xd>cDq}&KDym|qDr42uJ?=;M zxHypVYA>Gs#U33#$HnuH1J8A)5FHp&Fj!2Xv!$&q)V*V~w>6Y(iFkigN+fm|K)8se zAcTmB)OY}wr*L3s_AVBEm|tv|a5zso$hvQ-Ul&XtLwcyqZi{0hAWzw_Q}lyk1&3hM zSg+2OFE~3n44!vWcZ|Qe8INikb+F8*;uj*0g*1ik^)qkd=y5Xmr-+Xeu9IklaP({F z;ciKclcB;xhYI)W=|R>cxP+$&vBUR;BJ_*tvHWJn5kay}ywuOG$Gs&6L;52GvR|k- z)uyLplh+#dd3Cdtz(WLNHufoHu4c4kJEty)22=SXXht`(FMg|qNL~ar!VcrEYC3r^ zAnFM7g!O)V$$IGhbw8Iax=y8zE`p2G>*BErdtN#?!%BLIXL{Z>!oKjPOO%~SG}SX= zb~yfHrOr!3Y=$a#;1smP2J=OnT9W`xS-eJ?uK*H6ntMG=6aTCwaVUD0RYaIJ3u@ zBpP;_B$;vlvPQq(j<=2Pq$CArp24ipz6WA~ql^J&Rm=kQxA1R7OCmCo zD0MSNT7gWmOXJWS9%(W~2L1Xs2O}UcaU&`-9s4Ml1@fU2S-txi;^F=bD~$b!69Kda zfQ>m`1yI~+gRJEQ%6eEsOh-Iequ&ZN5)&(A4GbysiwsEQcNp~(^9)PnMq!9{21e{M z!#--!m#}Dqq(%7CsNoMn73;&4ZK{Kj36fE#UUzc!8O?AZv%W9%kqzFBbL=u`NWT_X zY)L{>8fH%T`OJmNge26bC9P72G;07xXHkbG%jxD1OcNTap8z>(TGaJKqJ$IGG!9sa z>Y7V-R?x5zV-tH}x-Pz)qxPv+!{FM=Xq)Lk}img3BNaavbHT1eeRub|+d*IK_2 zbX`_ZX!#sx25=dI`&Tz5IMHuPJI2aH^44wv*J{3o7Svw~KJ-iByo)FKLYOV;I()AKgt=N?X_#*}s^X-Xk1##a(+@3Z)= zBHtSL4jE_8Icj>j9h|G&MW3|(Y>(+pMSR?^)HagqUSL+$7v5e6j6s*?fp03P$L_>& zJ(4~06{(6|Jo@UuHTT^IJTR!PyS*PYM^X^YT7${@Bxvn|qhdaXJ3DKVb- zIa>Wfqw?YgwYN#Lb1qK3oDCqfM&1dcx&Aq>1)Nz(2@F?L9ep)EUmdJqZQYPg^up&% z*itV2S9Sm>)b7(#`N}$GqU^w@=kEx9rhtr_a_w^$*yH+LG~5lkqLd%HF5*O9%feux zgo~YepGvs2A0f=NRp9`dvh(tfGG>Vek=Prq15VTsgsmM9T0A_NzGfHKc4(!#ay9ff z3$<=D54rM$mt)}?`O3Ro?BguEtTfo0557apNOfHzhnvz3!%KAtcHpK?802OTi3a7I z2BP#LHyU8C3)`pw|L9q>tuhRDrtOF=i!qyp=P6jZllXQ-wkfS{Q0fJ@!>?gYT1(5H zJ4ZL7W2d=_IqAz^3oD=Z0S|R5FMY$SMmrX2mdi@0#T-JsH)J&?d|K3 zYB0f21ySYyoy{ss3TNrZA>ex6l!wQ_dWqofEQz-^%uqmgU@g{mP`PB9WExhHbw=JM z8heK7h`H=kv65$ZR#Yg^4SHop#n)dj>f~YvMbMzzw-F%X&GippsVZu1q2kr%J_Hq5 zKFL>iL_2PPPsbjEMA)-R8rsNJQz+ok#8g{q9+4R$+Fa=DRWQtn@{uxV%hG7NwF+Ca zp1_e*oBFlkv2yP2Fbg3sfY~(LJE_YF?%3try-(ByI2~@^} zXLfbkhktza0fbarO7FJbYa)dw%R>|kAKt#Y6jNB*gD+~`RKGah6XtgeF%hI9XCE$f zusPE%CE+%);Z$y@kN5#xx3(2Gfs>9~Zjw?Vnm+9zidA8Ac1HgWk##F0n}qT;ww$+7 zd6nwmelzLx35n0teUGq-jp%GF&gPl1_rRhUf;Gy32rM)+1%L@Rug?)6LFr*59kWgs zp8!6p$dk<{OONbQ_QMnEoMA5JO4PB2Th|u;-bK=h@=17Td}7c<%^6 z4gE$-B(Tl37rPsU0j)2L5OeO8JhMEb;gBB%G}(oqHP9_BU$|N9Y#qjA;hQ-bv7t>n zI%1@@;GjPHE+IDb=A70_zhF#XC4NM2f^3qXOasUSmZ~Y@#7I%FlwUjBu#edVd-IX%Bb_G^Sg6?lC|KXymy^j_Lhn3 zDBfpLdm?xn2{l&$Z{Ay2MEKr_yc{mxZ=TU%C^`g73Dqo1)>Rj+YfN8QLD^0_(PdGl z!oa=?OBNel*9(O|@!!pS#k&C}BSMf>7*3sXCc)lWJa#r7n|C~@aPb)R88HZMrfJ&K z2=83U?#kxP(nqHl)suKjG9eMo1d5Ui@lUKG zPc3|E=Lyu;ToY>HOPPJ+na@N*wcQA6z3M)P<|2R^5I>tT} z!7vWUOs=_h9R0pL_?%@KUtH~pH&p*3UxaC&QUI6l$4QDp5uTwsBS#>ZaS7k ztI0`(3=3S={mgxFT@jQ4OK?Wuyo2l8C0E$j{6yI;k zs4ik$I5w-)dkRA`<#Rf20jhOWhb+;;$Rz59h*d!#6KYTVs4tf!OEA1`4CT{ZIy(a@ z;ME%Pb2FS{n;83DV}`wbs2e1Iy5|{tTYz+B9J<;yhw`o9i5f*YJIo^eX|^#%CcFXB zFi0XF-bnXmM(c(ZBV+=)`bmg7Uu+Ef1Ujq&U7Q^;FG8P@X$u4~$T@vW%p3|h!X&-Jt5CO{P{bJ zD;*RpkGVHQ4KC_|8lJ%EF@|Ul($p(*nJhpDk%XV9VsuwQn!k#P?_4NZ&YnjDUuc0R zEqiLRwq38H^{;~^O=}qGiM<2h45TR|v)yBA6sx#zv_A)%ew|vE*q(ZNH1{-?8~eJ* zQD#M}^>$K`o1j!6s*CqFuW$EDczpd_@MHZl&x^Okft04QyW&-Jng~!K>J{U7DREbHyH?RN;k}p@D8NtWXHM~9ak1D>Kt3Ge zFFsQOB(dRBp*s#SDjUq8O{}7e{&b}xIcSnunDP`vrGJ*?833Nb2wA&my+vm3_J;z`73WZ z>mu(OgsQsf$<*SKq>1TX_tig7YHMD+NY61CT2T5-8C-1Rr$(ds3CxA5T*#=<4n@F- zcZt&;#w3&Z3H#>MR;GqydukwXauftG*&HIH4 zlCcPNs990LbUO?dtE(N1VS%irr$$0q9gCWpy0BN$G^n)0srxh&4LR{y6{18UCzVPX z(R98(%w6St#CeeoHMEt_MGuP&q(g8x7jN6Ts=RCEwnM5&6~#|VFp|xlSmg`SEYfKE z@Paa8tnJ3LjZQXZd{<}^35f$JMicCBX%STW%XoN7_}~edr6uiZ%PtM~(oY$+3$Lsc z*wn6Go8j|{2cyv8J=pMZxS4LucQzg_28UZ;JUo+H^4`cnI)YL0S@q;i@6Fa2UF*7{ zn(Nxk%oCfem@<151U1lF>Fh*>&=(xaeB9TsXZA=sgNC6pDc9V%=2J_lQ3_Ms{ z4w(q zx!LbM$sZ#;1?Jz4&p%T+8e98uZAv@TZ76jGISO0NR3P$d@y-0~-~2*E*iypH?-oSw zPnT1GoP>+M|7!6I8J3)q=~wHc{?3A;q)h67Uqqp}9EMb>Vx>1Q%`yA8&@u%qm7T}m+n zwca-9ltE-Jxo^%!zj_Gc9F?@SWs{vbnnaXH0tJaD1-O*G_PyS5$|nKcxIh?^snu(3 zM!lF(sX?ivo3l3L&{bO>fKc)zzlJVLQVm5VNN5N|)ctUvK|{1BXuyh!d6Rr~6#nl1 z{gcc900-J7S4 zWcRhwCvlVbzIk~N(`iRLT|JG*tkUAEtM3D4aHlk=gpo>4sqzXDg6R%6$Wej7}c8}3{>I}IFA7K!so{#hW*ZCV>ZbYSLk?66vwT6RP$=~tMYnR$LI1QX>z zrrw>rlo?nKuNXG>NK45$pV|VS>Rm6B&u%P&;jZl*!>*hlaFg-q(Mb=RoKe-yq8xhN zZ+xHXhs~c!3{KAPE0LU)l~tsGA|6h{`5v0^YG4Qy0?sTJpTEghbW2=KHC(qXa6S^C za8+tzgDiBJ7fnJ;+JD0U)i;6;UnD{KZRYBypS)}?EsvUwdeJQM-2~XI=B$L1=+gWe zNoT>TT}Ooq_uPc%MA$qn_%yr^dUwIh8`DG7m)7pd&7Yy)57H#Dsy_V;g)B>#?BB)a z!55LN{O`vvXg%%*`hLD_DCs#3xxBsW9JESDM<Z{W zl^gmgzdTt%t)lNI?ozA~Uh!-xZ0SR^Vy~mFr_I4}cFUlAn0yqztzMLF(I4rLFh{Ao>BYiI|P%P2CwJ_$|oll$_ml z@`fh4Y0foMjlwb61^52y>WXI@PQyoXWOUz>=KE@tlbAWl{BfxtrKY2+5{*(RU7PZB za?X|U4NM!SSWSt)ApU6qr`T8gx>8_U1FJcXayXbx{m(bIH%Z5-ud!EM2&kk`FYh7k zKAvbFsTaTo==V6D=Y1+3XeW~PR25(`OsFKvYKr>e-$JD%x1ci~k2KnQNqmtxM8}jM z?da1>7?mE6$5}fs*!}R|f!n1~3I95AW@i4~2=w0`kjV*)OG+tzj+_~o|9X_kgwMkM z8!h=?!S2}p2)p~wXvyrqA(sF9e(Z06Pddea=Yq}LoNL31y@=cC5Pd@dp}+|T%%H-TY6d{pUSU&qtCB~1HaWNa zx^o1Is$+HA-D+S!eU2hcVT?NTqK$@>gCt|U?A`pe-rf~Q4QNVV0ymlFUGHi?CWy~E4yD!)4h}iHgWcFD2`}&V+eB-YtR-UimWt-^U0iDLp_HWwMcM)%5p&?yZhm8&B@QcUF5?EjW;|~gD8F|xx z(!DG`e`EPT)TCHME-f%*XJZ!9OV)j~Y)e$zT{H*Eg6afQQhKv3~d^dU`3` z&b|v21I{PC$jU-zM8-zNenWrTZ#g#ib)yC%@o&>PQEOs)Ol*afuo|q&A}>5sfCZBEQ60(2Uw? zx#G=7liZE8Nyrz*@N0v>gak#gQ{^O7vtm1CMH+@3PO>cL0e(`dXV(mrHyIqb39hYh zSgZ_7|vAoWmwzSUrm{O-1s1(^>Qq7EH#DpDs|=x6BHdIY(JgFsnN=7-F5tY zPVwm5p{-H_eryFtQ^$HJzU{XfRfroarujfd{J?_g zM6tMIZDG2Yewh)-6U^0v+kZp~vv37e5*iT$Rns%p6|C)_@tC$7Fza;fvunGPzR~Q6 z^yPjIHSzdz)pzdXya^^PU-J~YZGTjAl^dX-n}e%G4o8BoT@T$6h#lHqP*`R};&+%4 z-0LUgOZagLK$-&A@|eR>&Qi*D?)6LOCpl zMGxb%?YzwR>%h$b$%D=n;GNf9SiIvJd0dV-$-Gr3CTFLlnCWTdG+j$fLAu_6d>=5z ze@$0R?Efi8PJv%dPEP5!bj2wA=YwZ`YWQ@5T4k8+6RRM`UE}Uq0w2z1dr|u_=A9NgRvn@3_cQ*jaZ6p z`c)!8db$-USZF%kH1ED1?us$Vy5q3+F^P( zgXG6j&v%usFUGMRdfZti9;f`hhhr{34%oC1rP>Ev+{dcYt$ErLgbt%e3?jnWVvzYy ziEBfN^_Xe`T>`{NO{9K=5LuzC_R0T1wvOo1Dc>+)_!$fh&$>elMHiew+(nv;ObG(S z(-T`H6H`+-iCi`h~A5`*t+0*a=q9jhk>m)@f>PU}Qzn z_w8gBS81y7kUX$6RGEN9LpYZ*WAn~gth^X$d)+oWAgAye&_leJ^~n2tlZJ_T|DbP3^rmHLdxk!aC1{ zGPR3)u+i8^<8h-6M<8N-9S5owu^eR}oDiCvfDm!Rhtt%rfzk1xOrv75=A5FT1h(5H zZ#~@EU*&79)(+hQ>f_S_lDjNJp&}|cSu2A~Kx8$wDnU^2pk2T4yp{z@DFm{pCD*?C z&9DG~`*blm31b@og8y1~N-NT{o7gg9s$_k-l-y&te7FamG*U6VEbvIxK%i7H4>}>k z$$1WKZE0OzxH)w=5q8QsA&snmGxxu?tiH;4o@H&2FY!tB;8zoOvsM3ENZg({ z7)Yv$Cdsy=K`2g)1m zSNLHez|3#1$7DdVubq}H;+1o$p$^^W(m_8D$?mJb-lEWj-|{^cnP0Y5aJv-6QgYf? z?5(H$d6gbiF-Lp)_}8MRgn}ZcJBy7Bmqo6vhQoCfq+!m1Ea~XN0+ZyT5nW^^j++e5 zL$sK2zb-pa<;p=g9bV_-wh1mZKoxV+QW#a~m2v zLV%plHWD>*!|6`(Mts}&o&4{L*XHL&j#5FCw}qi0u8a7_-;P z8Zz}YJ6XjxsB&2m`ZvZp^w<6xYClB||KOYa+s;5nO-NelZ=sff`Ol&D(|z%8p_Y;L zU+A3~Kb_?MK@VhM`xKe{PpD=1S6Y-mgxcSz5=wN+{|L8#6)^vnG=7JcV4?qA7WrSG zB^Vg~AYe|g{f4E4J@m!oLYhD!i7yCIlQEt}fs6?RB1Rn5SjQ;MusU?i$cKR_O|3>g zTeW#z^I~0dqrrJGk1PSTCQjT&52Udc9<5hEFClP%eR`a_-{e&=lJ;Dp3SN6mndN0t zlGd5-B6;%S!L#e)6MmAUK}|+>&^b8h`7*jeO(jW}iM$qiv1nVS8bgMvira$sxp)^9 zW_VHH3n^I8U-hPVJ@-unuelZ6R3@ZbjPuuRt0}66Do3R1Z`2<^58YIyZA`gQwlb_t zWwTy3f3p2vvRBq$7dnue&=&$P2fr`)EhdRV43JIIHN~qHja`^=K5BKqN~{bRs)!%Q z#LH4vDKUf@Ze$~_J7_h~>L^Q-Bj3Ch`9At(*dP#N$T$8d4(z($Z> z0<+3;wM4gQwRD8nfxxS;mHVE{4N-TWQLcz1R#D8 zbVMHb;SYq|;g`6T+J{ofSt~UyW^2d&&&z~$u#UO!2`y!>HG+vsmaH{u+z1w-nPE;I zhbhNloIjmEUoEt5g__}+hDV&H*)BUR82Se*6?ERs94}<;Alq{mlMy%VZyKWc_P5_o zcU5nOsh-Pn(B|DrqL8oF6cHYsHnA2Z79|dPmygz~Z#;)oU&P85fU<(XwRzrM;wNyUM{iP9Ax(2azqC zL_N=c-Qfr3l;Xt2B2b=P?h5&OY${5d1dY0!8Luq^YV_cp+M6FE><56kaV1W(`ojpW z2`a$N7AjI%MQLSyL+X=W!{fKdZpGQ9k!bZIG55KzKz&C*G1JH_*)NI&yRZu0XAE{S z==m4MThF^_RFY#zy!`$EO{Zl;Xv2kLusaRa*oqwrYi1W0_cJDbvT@+B6tp0H2HUg! z)V`RLB^FaVlVS%`zjBYfIwwU7F|RDq@7ccH{^0v3;h5=nM;HG#94mfPmX-XQtrh)W zY_0w-4*ZSg`iIi@|6d%)`o{~D-|!2+k6tLpF5G4wwLEc^-8 z%tHTvLNznf|AE!~sq<4+SZw6gv$Mmdl&+bw`%c$jR?(<#46Sbb17Qwz0J6l79D)%Y zhM0qFXF1hA4xSWPM3&wU$`4|JDy%Vgq49oRt7={4uJO*XdBfdvLwc#FGXtbKC)!U0?Josi5nd_VX;g#HGe?wij@4luITafrsnd zC7KpC2C6iCY(G^$wjK;a61vPcd{V^t5VjDu(2;&*JxYei6zOq%BSddPEmAN9|4`Xa z4Inzh4|M(r;(&f~!U*)pD)9h#l@}bSEYCeQ}$bcV311<0(JGD&X^LL8q#n?mDF>Y>{u&Irsw&ftd@QU`rPvq67m+@*H zX+anP3-$lx16JXdZmDT-)l_J=XHkVyMk$|MN{Owu{H}4ll90Gv;B$;CxepwwWhJMY z;7f&JxkMZ+wM_*}*md_x9*{gNJ%O?csv@|2bv*jq=XlR)1En!+=6PWua8oDjFd(AyIEP2Y|uCL@@RygQdn)}lYirJ6Ub~cmA9kU59x=dA@W0F-|GUtou0-x&o zQzmN;9mXJJraJ1zI`435Bo&L|7IftuaeOC1X4e(lR`2dkuh>ZSk-E#MjmMS7Ge{E z*xSJ8_rWjt6Z3ajz0!c>Y{>RbKlNBpPr_J~Nrr3~1p$Zi;E4d1DH$fF7*i$66RYKc zEpsMD2^%%mG8Y;PIgYQ>hXzgbmlDBMj4dXX6H{A~S8AlP zk3Y`(hmdr;q-=`M?I$8<;G9Lz=KmjO-xwU&vaUU`ZCexD#v~IP6B`rT_QVt0$;7s8 z+qUg5vk&fUob&B_tCFg$?#i$3cdfUdeo#wU_cff*HnG-^TF%CXQt$gla!9wT>#a-$ z{SeY_KD9(LZCW6G`7-L3OPSpl;UAPIR&ratlvuR+ufldbZ`doaJUQ#S0QJfb>&IQT z5j>3#bF)pJ3{s~3VC={) z=lWIa82y*NiEbYgnpXLYL?d$%Cm7TYrQ;G}F^sXy6=MO=Kv;gI2JBPR&IE zM>8v4I$Pw&pXwD9GpNt^FnLR_d0*i*5bn2v)^lCu{95;b=?CaB#D#}0brW*65fcow zR9Xs5Zwmct{bsjAG4KpEQPuz=DA*J~;5M~dI8R>|T9T5y-Gn5sj}_AoUH-$ z)ktyYvto@HX7}h%ELbso{TQCf1{$v^l!*cuS@bbuhlxlr#2ztBNZPhqRuOn`#+(xi ztr2ZnBwlJxqyh?-YOTd>F3I4cOrBGDoBD&LyfGxrW6?;E8{O3gGWWuuR^rkX+wLX%59Jy zn-Dy!!xdXG3+UuzK-zkT^^Ty{d&?0Tby3;*}b|g@1)(^IRxAde1xfeEJBT& zeE8u$@0nBPeSOab^E{WXld*pQupPXaB6xtpv<~h(NaAiU*Wu0uXoGsc>C(?y@oZ^e^aoUX(HJtZc2Age%hJ6XnVHc#L#U>QZv z*2x_2HRO;f?q4V5x;4=Ugg68klHtQ1_7-z?V(xaIHGL%1H zIz!y}`d+xUbFe|Qt9($_@^fNq@3`mw5Z(-^HQ~|6GkE{xa_I4Vvp+kh)~6f}D(dy* zF-gvi-2w!g4DrB70OwzwjaF?;9vWnC`Qm)IZ*H7}D=CwGAW8n5Y`ymF;eeZs4@_&k zJ@WP`(h={L7v@uej{cd1%2^b+yPoTQSNibk?hTpz^)&yhC3D#)u}<%#w?%u`jXkJC zBduU_}EF^F`seKZX=x>;scEBySC^ zl->?jN9RK;&~}xjJNh`>&|E3t|Mh9^h zY!wObmF2YP;b>?hhnWtd2WAk9#4j%Vw-7MtL#^>k(XP~0J1<+oH4r^!9guW}eb=g? z1q)Cz{T9~@d@%r+F1KNpg*Q$-V9lQmOzFwH1-xq+P;-G4WMsmbzgKkY?of3!SSVWC zgwvz=_&&yxZE~`%iaM3!UD`#KJsgVeJ&e{aMs#pPGKpg`9#u*o7fTh)pQtE=1lcf^ z+SsjB)+WSFe_1y>Kc7acEA#X?2~

;oqeqO3WMbdU7p}^1UJf(L^HH`*Q(_jmW6z zG$Hhw+~tA-lyCIT2g$93^GMoh(QhiYRzGZt*|{<`7FuOGXnE1h^xqz_)A(iJw@Kd= z8}HULdF`GO7OD(KFw?(*`ZQ=;U;NlITRy8bZ;G{J+=DuI9BeX*S+C2Qv#7%G&8mCF zxMHc~4AUo@9zOhbpzcC;V&>koD8LRa20Ktb?IdoeNLi$mB!Zce?Z=o& zV%7;4_Q*R3RFslDX8$Ch`^PEKv3)WNo_5}6&IJVqR_(NoU6ho{vF0d7ovS!6XQTFK zf-v8m={Vf0#+*$2Bqq8KLr-k=_( z$``|`9_TYwtQ80se1O#3O$NWNl1itweMv^a-X`j({)|nrqPcR{<9>OX|5?^}ozMrH za?D=Z;L7&0fG`yp+mD`Cu5tH-z|NQz0B`xyRodclx^o7wz zsymR;eR!I2mEPf~I=V|8`-+qr%M?sI#oD0(xe2zORAqHM7g_%@!<(cNbPKpd%AGtH z?P{YnB4sqYp;78b1YwYTB1U5Nj%6d?vQ)@`pAMdGyT!ej^zKwMW?f?MtqyKqj?4m| zIJr;v&RbjS-4c9`NXv&O-BG=5KnbNpZQP6?i9h8{k+;ntcq%TIyp!%poxR0d@+|NH z0)WofcJnH3hCp~WP6+}3){Sqi_81g%Kr(vj*Uk#vMl!mN>%{C6=)cfIuPc(LWZY#y zh0`66f>(`ROqx8P&l;D=WxE~<@Z6piC~)@txzgxjt2j^B9KlRk8~U2cqxm#_0~|md zVl6;?U4jj7wEpQK_cq(UPYU}-jq*|vwBkDYJ=4qMkD(%(G2X{G2O6xH77hxBp>UY2 z*zccnA_r%j#N^R*RR(?Vx9Kv>29pnIQ@_4tJUm`t)v$_Nni533yuLJ@$vEz%rFqBq zo`fJloehL_mqr)IDVBaC_}jwP}|TK0feg?DYsO9-wmS*^p~r<{5+ zY0bDjZa zp@!(5=7Acj=EEGmRS^nDAz!X}`3R|d<1yb!*{vQn+dpHyUvs>T)MGgYhgfPk*(IG) zk4zsABv+Dvp~w{jK9lPXqd(?;->cmd_l}`H9N{AfpBSr`$V8OJ)#8uDK>c+7(H~}| zOAom18I%}*_^}lr@wg@Um5Q$wTwivZBB%Oeiqd#7uzVPksF(*T%VDt#*tOjYI*T9v zD;z-y^3Y#EG4BQ*|91ZVuTYG*inNT1($7msy1&+GzDGr}(*Fl2=6&K6Xc&vW@^^YW;f2uP7DZ~8t zCFg&YVE$CN{tk-&Me_Rhp?F4iM&@4#IyEW~3i5M}Jml=`8TRd<9h0*m1&U?D)SD{S z+Z)S4@qzf8UdS@=Ao`H{gd6%~1Q25QS%3^7ggpQVBe}Xlg^-)MF}06ws7H!tM=m90 zoH}50OM7(Hwe4TZj<`{ERM?;J+TNDd@W48)(KpuD*OAfLmRzM$>R7KLSoxS)N~ymA ziNJyp;jCGcVprw2K=Hld5jC{yJ;R{YyHMGouh0i*w~~f!!8lsmqF?PgMKd0URg4me zgxh!;UHjFglD6#Zci88Ww%*Zr1f3I;B5D2uiE&DQ$6|kaZ@$Lo$RSNFLqjx>Oqi--w+2C^tl$Hoby%x?uu4br!nz*b&1=QXDE%u|!WY z(l}m5oX>)+i7dj4-M7xNJ4IZ>Ja~H995&}a#;4Li(Clf9*E3s&JIfD01sOR=TD33_ z`U*OjSB!4hM-WY@Tt@;aM+#Sq<;5TNup{CFW7uS>e2fF%C+5p!VSvafB5puT9R|Pj zmW@d+8k@nHMJ_B5&$0ik3lt} zP#mhnfB>$rsa{AE%3U@xQ?VDSh^#L0ZYo4TwhI6^V9v*1 z617as!5zrHwwKO67GT`MF97>O-01$Y!aU>Aj+U|Eh9?B#hG)-ttgemIW(A}IGz-Pj z7tjrQHs5d%6Olce_W<<66*c+BiSpL$w82vWcyxhOma%O<@*epIMj@KTZMKbl-Ge3KVZm1M&I& zCKoM=Kkj_!LUrR|^bt@sgNenxeY*Oi1X)FVd3e6l;FMY^?`gLuGMwO2!5IYzj z5vYfw7pF!3<3>f#}H&KA(IA`yupfw3sIM?j2y zFl5K_+nn$?Ed04Pr^y-rw(TlUXk-m-9uIDHAb;N1`_cZPwV+p*B$Gb zhtcb)jnRNDiJKOHMy-G@DTvFXW55AkdUAi~-i=z-NndW0_?nbucmsm`+dyy-Wzkp( zm6j560o(^la;79G!`$H&Zp-+w#oW~+AOW7wNkHUJw;psa9ZCLf+mL6GICe`H$!Hcc zTBbY?JpZ$z6WyA95Rd#YM4+XlA70x8?b)H_HDqaqRyPFY!!2sr0`%&x1e z1eAG~Y+8`HGJW;$s_>-th0%axfv!}i2!%Ob>jVj2xNjCB?40jE?gO>;U^CreNK~n- zNNi_0&9Jo`>q2Uuw)V;lP)`B5yA!k=$Fiv*WsVVcvP6vr$PPNw46icQs~W#H>}ZD} zdWKVlR`A~_2ph>d`ecSY#^Zg2x8d2&+r!JucMSIm=q5SzQ7Nvh2*v`M<*cH+w!0>0 z4eTu^gBFd8*4^a+FTS2zJe0-@E49ULsfC)P{*8mF82~Y>~uq zv#h%$%=YUdviE1vP}fBxK;g?gZWxam4`!%{5n1Ytc(Nx?MEHtREtVGP6IJ(qII0Zz z+t57L3kQb{BaqlX}GQWZ*kj1e<7abO6NyM>jTgUrjMwGtPrtgp*$+ffBb?Y}&Z zY~O|p7dJ+qFXloR12c)JZ7mnkQ-|Z|6HMJ}Gkw6z!nMmFFgekYSh9iZ`-;mlJxGlU zKQS-kI1eA7MxXTsEMg5+1lBlyq^%|b2lnDPW@EC0sN6QtqFrWKdj}SwL+;6ba`!z8 zF!5dGfoCZvcm|WQWXadL+dm_ZL;qlTsKY#$+4~n za-UGq#w_4Q71mO36wR1vitubD*|&HrteiiS6%kd2B~Dk!{ZiiQ6_k3Z&b0%|wF9ku zW1H*V6{(I9LW#&BBrFFOhb$p*j|~+nIeXDuNbF+4XuV0t5%DZgEH}k_EqC3;q$$HO?UKul?77%C)|3M=#^EC)D-2$we}NF z<}*}ObKgB=@x^8>dz(hP{)l8I{G5dPMbO!>ueB=I72M}m&(pi@K*sujn79ng-IUtF z(ixT+j>~plTmUvEBCu9W9%0MSEda~V>wU@_VB-Mcon@>ULooY=ZkbM%XFB*dk)Eyt zxrMtFeNjMsqY3xB4TBroR{dB0qpgvl*hv$>D462XkSV?aVprgfPPzsy!0k2CbgWR&NGW0LD@6?OdGG+Z}uVH zrgd?tcA_$Kpv(r<a@y!$_s~`bF zs^AM4Y8_(hGHtqbH(1}T%Q$z*XOUKC)di{<=*2U9-tTybO#o1$@R<=TJt*C%WO4c5 zNt6vzkd=&BLQcUq`n#Wk_o7RCQbUWu3!zyq_&=O4pHw-K)aZi{^6*=3K;dM_qV00! z`ZVD4r_rG5mD!Q@e;|yV#@A_)gActHh`YZI@NmvXGGXNZ!I6>VNx5%0zICsqV1@ot zaD3I997cBPB?UPhdWdKiW197`E4(l9IYNR;K(i-}*$it=Bjn)27~i{@cT)*YomPqu zQLI(8ugzL&5)DO}S}}cd#-tQrpY?m$^-UO_$wkgY%A{J#2v1LhpP&rO;Q zBpCT7;}1u);q;Bc8Lg+|2aHHt*18j^e>ty_C2#QR3DnH4KJ~7*>!T;<KIPC8s*1`Ow@VX5&qdkec02)%IYH!pj`rb4%KTU7`xm)|QEAkMG}r60JHzf2@t4jJ}_uyr7XvjFJyi-Z<8?y{_swZZ$iQ zngipyPk;(Pib#M4=_QmbgdFD!7$OHO#P=46XgD8)@|7){pjf#=5mBWP3{D94@=sl@X&~Cu; zxtalmM#DRAUIm2LXTqXjQ#eVjAm(7D%5LGfG;6?UU!`n<@&>X+f;NCGzXGuQsMMSp zuI0)U(k&+1-Oo5B|#)i|>EAtpok8bAoQq-gFHaSq&FE~Ko1GB@aKtZ@=kZuGe zlj6b_${gi?T&)XTHP*S>H9&5{8IXQ(B!Fim&X32ivb8(pTG3f@fE#6Gh1R4}a}7A7 zOAUn0wcR`B>*_#-FJ4nZR;zy!Qa{P;^jnaJ zkY6FNx>(F3=2I%;2Bk(3bq0I3XyhPK1Zt3J=PK2)#b+uWlXjCxZC^$XlNIa2Ybi2) zHv={9;^gk)Qgrkeq*V1Ec{*183KD|$ah}6kL&s^V!QB0J30NffGS)aTux~J*E9A)|q;D+Bh z-x{CBGT2U#Op(a@)=Gik92hmVsXyLCOXmYJfo246^joiT;iU(2!o%JBfP6xmd#)ia z_;^iapCM`mjNK7qHjQ;%e+pf(D}E)^CgDH&WrJ;o_Ihi|-0^I=&;yiC#3T60!Pmbt z>#Cb-2P`BJg0U-xValKlRnY?Q6Zw(gk;Z97tA<3km2_>r5t_J??Co`$SmNP^$`I$; z2@{?;IEN(ZNT>QorQ8X?8X4N-Sa>M&z40&V*D+vO27x(%g~K?ZqG~~*q|Yc$YO(z0 zK5q**gXYNm&#VD18y0^CKv@1RGf+-WUR+r09sdygJrjkR^=A+WJ_`%Odk)C&;E#8V zYaW4Yn&T1-5=uI{xR^s zRtmAO{+c$$9c3vRBa7U7_S)=dSrNy8bTBifu0()fOb;9*W!wG2+d@Xo6 zE&{C&eC!3lm{zFB02J^$b^v$@9k64)g3XT4q+$??Jmf}o;sx}OXkFO)Wis8sV%$af zueiS3(E0g67?q|Dg1zB&s#A4*EX(QNk;Mly4I8Aouu8KUy4*0S3g>w+B)- zt86ZtX4H+9V8bDj&@ZBJH<=gh_UGQ+lobVlyX81wCHA83`iO7+XwdMTX7`O3f-i>o zk)2n~J@fj~8xYa^{cbLq_M)t!e{4_XGf_etD%MVe!2(*Aq~)g0{p(=retR+HGE((Z z2~wQVNWY&bzjCgu(RB2rl#O!`DRvz{G&~kVgh4U-roh?bzPc05c0{%%xyzj|qCtE^``}UyJXxk`Xb?V5HRX9mgvg>TgL1i&dj`H3gRRkaw0qXbo=dLo* z8e?ob-H|=x6CHL**t=3i$qm9=pcAvhi_d$pLR^*G5mPFWSpAMhIP>^K0q&{blDy8G z3|m?$;Uj&hSpz#I#5~@)ZH}nX6GHgG(Z&l%HU8#RXni+4C39}62N~uk?x_020g;;& zal;mQ@p7TA@+t>iJ*(WG1`}q%Y-y43hcafSHY+$vzWJM!P9Ze@5YVfwFPl8oj4;cH z7)8$j_VVm~Wr_H6Jv08@dKMCr{Ve#io(2Ax6aHSz4DZQ|EOh^Y=F9S4u>V8zW%W|@>Nr+;ifK9|;e&hga1(DARKHx$Adji13GEDx2Fljy_ig}DbrXUC{&d`|!fW?Jm zOAhWmr;e+&mDaU7ZsKls6}VGC%eLVh1DgapT08ipmHSx{v0*b{33uf?^M)iKLhq|? zDWVcxVw0ECaxqzq1*YP@~NPKOHWQ{CGCWw8~uce~9t%D>*6vOwhIe zt_#DI;TK-$huBL_)c7tcZp+wWGfW`NgYVY$Dh?4);AhLj2ZoI1uVljXKlbJYg%ha# z+P8jngZhe)LFl2-i$FJC zC#Ytc3-29$YC@oJzaLuTss^iC{#cCEaVTg2pl@9l5YVL{i6Li>mxR!JVD|#mQgHWI zhO0$8qgq3jpo8Az7fR*1MNM@;I6JO=$W`!1cW#&$Lhv2zJG2f3Nz^}=B@@Hn4R`#c z`k9|!F}A~3;Yj^&;R+({=p9NZ#s^%{`#F2`$ufnZ&D|NpEONd6DQg~ zesJmkn0ocw!2kM#%f|9M4t|vRS9wM3Ug@Q!B`BI8su=`IAx2*UdD2ip!P_jx1w$_b zZ)y(!7W5zh@2?g^`gJXqO)V{NwT>s|mKY0_D9#Ih6ZipPLP#RYWP^y#U!0;6$VGgK zMR=VS)9f!C=soB!eD~bg$;Q~noJY?p@CQSvP=}(;mo%x1*cH^0*1ms8#~o_c4g|zP zmMMxO{OoMP+|C;c2&Vr<>G^s(m8?x8{L;5}p1dek#F$#m8!`%s+czY#i%CRjIfWwux#2bxU$GXb(0_xr6H%FEaZpLG=171 zzIhN8A4LI}&yTGa9Dy`VQQ2 zaso-W&G6y~?CL)ytp3L98+w>A(4(ugjWW;{t;{a@4YCoN8SYSx>8qcB$eT9#3!z6X z`5U1U9tWdhpGnXiT#{}#c+WFE^CB9mozxRJ2Zq3oN4Gz$dIalc-8rbb_t4pxhFCbM z$QVpIy%biyK)yD#1#Zep%3`#OZS}HN$*jkGd6D&}FScW(A_r0IVo}3%ZeDlRNyrCK zLkMh;>ClqIc}N3eWNqwEH;HDxRUY4_)9;o`3{Mr)XanOj6&L$(_2KMJm)0D#9re1f zQ1sDENKkUVaY-J3TdEK}q8eq}qn*U@%+X8ib9h##ag7Nt>XY~?OJ5%(d2vo!tJ%QV zJ{=hac3sYKPFqks2?PCb%A3&|{%Jo@fpnB(cWtca<}u=g!B2U4^NyPuwS^XOHs|hllZGr$KFp zZPjb5DPI$Q@M<6x+_cylPtC@)EFG;;)1U3M#k!9O<2ZW6QI^{BHI;qZ9RY30dJ8(7 zb@B}z6twc`$W1Kkzk;nSr=xWg!!5MTB^LV#hr8}8fU7fIT(1=h3Pv~Zs#Fb~+4dm@ zVFvckuO-I6or54Eq9QK-)1{W4;g9_SHTydW{oU@CmF_=S2E0%F+A%P*|C%|={(dz0 z>-PUwk6Pv58vlQYFaM+oqW@#4!EXcq>(>zrJ^e5DkctypqVlrXVCPj0u8vizrX|R; zc)pccq9qw%t_A)0kh%pAWr6)-E!8XfN#e_=d8I=UL-tLajtjN={Zt{>)e+PuM+*bBBU`U@_KsaD;SQFlCRdl;icQvsGwhk zwX2S4MB<*n?mj%X%ok@U#(UC!nSZQ6-iheZrC}hjgN)5$PzXo&6*9brKFD+2U8IIJ zOb&M(52Z36H{35-h8Dz9X_VJ8P^Y539RP&==xZ&?#}%T7PFW}_ve|FRLPQ!k9r^Ja z_@MWvYaS6`w#}lvWCL9SZ^KV!!zVm-FQBJ~h zG*BZ;!qbqq%%Kq;6Ks(!9kdMWS)WS-IWrmyOrC1}?s)9b$heSM{W&o9F`V)#^~p(% zaO!h7i|U4-3x_+4yT$TDckD6l9nYEj0wbkXp2)6qxP8 zX~xn(j=iosg}0~RbujL_O`i(xW6oQr@=Tb4)FFGE6b>FZNT;uyfeaI24}k%C7dbf* z8)C$uDKSM^3b7)}7x+gYya;;szo^E)-6SX|s0s@F)+8|em4OG#I~U<^8+b4<|4;u; z{U2p|Kg;x&!??hE1hm|{R8Q?66oY@JYyQ?X{61@)`8R^auYLWmjEld|5};>fXZoc& zQ)ApEkc{31d;8pu;G4U0q^kBv%vU6A2&Ca?T6DrH;KGBOGRP1e7*jss^8?w)OD zcUMl@*wVvYU0s~)>`vxE#v_<>((vEsAzayD-CHtfjV7?a$yYxMf@`_oBSwOyje0(w zraJqcX_p#>gW+>qce;k7fpq~^kf;FHLPK@T>Uhk%4dc1uUFj&&Nf$3=FLoW6Tu<6K zSl!y5Zh}T`^>v|1pXsyMq_XjO?9)qG0y8W0R7?V<77M}V%E*z&QtI2#$3lte12xDL zM;{bV$uxr9l5QFCb)kk2Nh_EKfy_RpTekB|P|tf^}iONZHByp<{ReEAlW(FKqs z&f6=zrLLeI(KQDo#J**<3uH#w_-zQXedI1yO(5Pll0h2;64@9^dUoOA;no45iWVtqa z>pPOzOKT>7=w}f85mAyS-38+UDlg`UP3RLMjfWjbZrObl#Wv$}v(pz98lLv#2XZhb zk(Dv*aNGqu7HCkx*NuCPB?qW1N2;M?$(Cqc)LN1iq1A|S!%5H|^AOcl;5?mDUfvP= z-|0B;t??^(YxM*fgTHB~2#U9(Gh1aX&969R7IrL;tLp@mluJ)jmXoMHgy|e7vErmM zMqRNoQ+L%gL=`YNn)7%p-uGH;Lu)VQMOmcURT(?wX)%r$9=EB4-j+uaY-B z7X$-lb{BW1l*tEaT}R;KF1DQ-1V{kstNKI19ucBL+L*o$m-?&f1VuRnDC{>g4@KCQ z<(0LiFT5077kuWw zGUpTp#f7DXf3}YFe>~CBy<^5KKY#xkYI>jewQ>CI@&5-k(f`(L{tGr1dKWHA{SIe( zSF!&dXZmg6f88uHvHaRB>gj9i=xXchZ$m@SGue%@(tWVDYi#l~d>Pg~+0{AS)rs2D z)jk-HJUb0wbeeDS?1o%HXdd+B_W}S;NW@4)M_0gLLci|VrKh8}W8|RUJs&7fdppGk zCHAx{_dIf%cgwplJlOd*MIWybo?s!T^_HyDVOjgSmUYtBU^){jarLcILk=q~SntW=ZH52(iMsaggp~|O!%2U16eW4ia;5g+`tpmo#i+~F zU24%*lhUeVYd;wO-E(+-F>Hzn(+R6GZ{L|2LmTJ(z5aZPN9&U{|8-NB^eFGu{EWGR zzzl;zbgWrylc$;eTb#;e^3klN<9=-u^z zV=dC!LWEnq%7sQz#FlN}(O~`p+~nA&Q<%k_ngIv@S<5cb;AU}WvdX$#%MkUgmSy+M zA&Eeb5{DtRJHox@@?&89{&4CrvGJnmBW24s>Ixa1GKxN_si9Tc=yc*{il^DP@|S_( zz@oEKwknS^m+&+Bx9$p}&a)=8r!hr_HVqX6m0LH*$OnV_rHGd^8;rP@Vum&x&!ws> zdb$rE^hJ8V|9Pj=v;SS}MnPImUiN2OCL-`B^8{9WW`_4950?LMMtYz4b*umO_^+)_ zP5*vi`pYxYZ(eNw+Uq~NuRouS{%A@=|9>*2VWMaFrThB#b_a*BwjF%m?G^ToMIOUX zChdzOUCSd~gnd2jlbHl-D=Konb1b(Ec;v?gnNU#bk4Vf-{Z5! z)zX&B?(wP8FodIz}f9Ird@X-ktR+x|H2~Dk+~?()hUatklHJ*x17O$060>?!8%`(zM3R5?!vf z%~AEK>dF+ER4RwT;x;={+_jb48d29|`|VSPu(`ONk$8l@p0b|j)%U!C6Zs}1JL!XT z4(mg|R}7>*iD#Wx4GEtJZ-5V)d8HwLv3r?*S@8e*o)+L2lo615-@U@jf84#lY0ckH zCx5sU_(f~}hf9IKO>q9z7m%O!?-87TPjCJgbNL@QCGCG>EYttdJmj~5|Mk0{k(q(+ zmujd{aaE94Zsp;!v2m-$H&>uyEU76$E-46FBt!+_za|VS#Lv=HK$Zul=tTpFu@Yrl zV2|{J2ggSwhojh$&E-PAc+Z2#h3ulEsi`bptk5)S%#%8UJzj6*+@K#tPOv{q^7g#! zdu?f3t7~)r3hw%)v$r>3^NQ7}msZ2{;RIG@1fO|-5PDzoLS##3 zmJD0?r!Krg?r|)1tcaRtpGp*^wz`6{Z%qzwDmQAv*jqWETMb(`b05`J;j*K&)Nb7v zlr>rvWS->hG;b^rCk24ASpk`7U+Sx=QI1G}=tdHviJO@G2tojad`UwFM4%kWVm9H3 zgt9xN3R5Z?kjGFtWH7P8WUz@0M`jpEM067}I20kpfe2ZNz(<^PS`wi8)$PfV0<4ax#^ zWm#bdNC`k6?JzhG(CHy0J81V|DMnDN8V*C}Z zh_Kx_!MP3rxX|HnTo|OgzS@w~JAh1OAhOacX{~T4gzHDg=DUU-%J#|zU&Mz>7$4p2 zXgo7(86!!B*qK@=lbmvGOyzt=@st&HoeaL+?6l5yP2rA8t@-tqx zcjT!j9nN7ufa=%z2f9LeS$G>)UCVY(a|21FW5*Q%QVBg&CA_0Hm{kMNI#aQ^04pOJ zC=MU5lcllrK4vdHAH59DVcakBt&0a7jBr+MSiLO{+RxDVsV)NjQI6vjMz zury2FGIIB@Vm4xZ;VAOZnu%Wnav`rzf?CX>Cc{E<(Jd9OC7#A92qF(AUra;NO&o;U z60IGR^xR2=@l7k&wPV5zlS(ZbR@|Rts#c0lgqD&8Z&B$=#b!tUv7IA>Q^|iq44+3P zb}+3_@ywL$1D0%BNQ{S0o}eva2=LX%fvxd#xsnfzMo*BA}AxAgk*cPae6kxA6{826tri@gP2`BTAssG9Ak|7>w@jE&-bN(qg>}kS~3Hum6%Gg z-riXQ(}un zSJ*`J&lGzN_BIlo--BBQ{i$|zP!n1iA@caDXVfA}s`^Su<~bI0aV|UWtwJbueteT8 zVq)Jv&`lq8)xj3NEXz`PhIW-Y1!IB5klor|fOC;b<2J?I^3&tG0^DbH2Hk&nGmqCC zJbOUCcp28%&Im0G-Qsyzfr9GK3dW@3?Uj2HyTY)&r{*`Zo3hy3?GMO!WX_F(v0UNP zM6T|!hVn3Q7*rbp+a~OH=|b^#Pj+oqQ>To=Q)8O@Old+iMYj@YY!kp>>5M?n&f7`O z6;&|6srxw@oqjf4D9np+kSXwvCis=3V6R)}>%HW1m-Pa@7%7gtrGJp$SOCiTV1^G+ zkGyWP?cjZ1$we-b&^Zo6Z&ZPq${2<$a8(ox`}6L&-Y68z_0B6J1xXFvE;)8(`B>DG zW&b`sh)U-`#{(^{Z2(ih(~j28zb`3h&5R&z&=p zuglIeYtqWy%O3BvEBJ;xN}pQOzk>&R`*8C#>jEGRpMZ|sv4+t|inxHyblTdS?Y#!S z7}}rTpUj}^FtHW-v;(|90sM8~;XG!>YIHU&5-a)D>_i#0vs;m2n>$b0^JV#_GVd@1 z3dP>}MupL_*Z%pF>-o%$0dD=EH$4pYhHiQ<<(7}YOaVBq0b-$2bQEy7yr?chhuGw_ z!a%*!U~kX}P>+q?*Ds<+v#9BlJ;jt&EY@cF{iF5NDvUnHCHS;FyrcM3ys8da47s1x z-5*18l%a9_)*y}|?0e_%isw};h-i_4eL!5fTb{{jwe+!kSAmTo_`+dMr3-M>t(Y{3 zV>b&VAlYELk5v>PbyYo-ZXBa=>Qu5gV5AH{l>!|CSHN9UKA5Za>)^&|TAo;xG=t#E zXUIO+luM}~$yYSpm#?;cSU@FE?s`sYpB+I1abKr!dY(ybwR~!NQvkvFJ}w3jyx(^u z0>I?~BqHgpy|DdFduM4+o`Pj6B@_HA1p;{?AYWGZLPCDymD89bNP zIygxnGjC6i@%S%4y1rz)WV!e`wgZ{c83^pB-%d}(4` zM&TOR*Du}Hq-j!@)6$xewXazR-OmOkd9%F5JlMZo=nrP1?dh@V93TdbVD&XL`1o#8 zAvWjrHDmOhKFh%TF_x5(Qm}9LOX@Bo2Pvfnk@66OF>{FokO^N_#?-!Dk-E4f8lxE7 z3(S4Zb7wz5&cMW_@hyq8&K;9OdR`@4{FfD@AB^R$%noH8r%=5sW|LLhA5}?9I{T6nQh;*dAvyole z{cFbT)ZQJC?Pe)N*5W8s3`rTCf(<5-AB8v`JI!g-1A!ZjZy+KMpto%>#bqkkG>VZa zqN{{^$Uoqwh0bPmQG61P*3Yd{AsCI^H?H{x!ql&fVHZ0N)jKM@BOSxgoy1g9B|(H2 z)zEN%;pb~aSp!)jLFUhFL4>)9)_-}}4<(^!qbeZmcACHbVLq8KNIXa!K4lfIz?cCO z+-6fe;(N%Cc4wLRJ7Lv_hDqA%n&W+e`gzA>%PVymY-ea zS$NT2e!kGji^^}G4;wqwaXEZ4o2d~Ss6|)+aEG!ZslmZGtD}UQMseBDlpUYH>aG}- zKv4H3_k?A^9#C7Q`^*CdF!9gfWsIER@|yb94pN4QZ{}^GMa*EAvKfm~1=FltIIC>X zXef9@_Tm+HY$y?5wYy)K>$IIaUZe(MO23m$t#hA39CrLDHBdBl2%u_Pks=KB35_8A ztvf!a*6H}_xT}ixton3EcmL4aLrU%`Io8_eq&9C;e`&xT{cz5T480ZZj)`$iMbv?D zWCT2NZv?#fh_OLTda&^+vd~-VwQ$u42?U>TRpuNEl8ySd4-8Ps* z=)-gNy-f9@b6(79*P*n1b23)>OC$y{Qx58y_6`Oowa__sDCxS(uX^T2l1n?ir?gF! zO=HV)0G;s3knh_^M&)COJrt# zjt&~s*emqQ+6I*QP^rja?!Z#29(We6&Y=uwjwWCMY^U#Oh^yeaL3+f6tb=4 z+WF$C*2!`4(fy^14&2jsjQP2`+OZ-B1U_OX@paVohJh_#a!Te$6fh=p)8*B=H?j~o zBW!<5<4j5~mVop&52ckQyOx$0(=U#Co|2w7EuCkvb9CQF@n|I`a=i4#^^@}UnV|5d z5+Z~T*)+ZxMj*b3c&F47ATAKXR>JXHeyE1V9$bzY=u@HOFKyOk+Uwj5A0LghM6xJ4 zrK@YLH)O)(Z6kXPJ^fh$%Sv(N|JVhKE6XhR*R{;F@ipAXnhuaZRyz zToWAw1Kkfj0UaYT7gTol z9QvL|aWds~mf} z{ZA}}p6y-m&G6R?{66u!1^!(y@B4rM2Rx7c*ERl0c^3N3dQbE}nD70}5pG7Kuc*sV1+JMRuY zgjL?!l}1_7z_#}w)U&l5O0XHYyn=Y;YpIj3(^q-`r*J*T|3BW&DY~+K>-MSGcEzaJ zcEz@B+qRR6ZQH5XPQ|uuR#I_t*WL%;K4+hO+xhOpeau>IKCI04pJR?OdjEBOwhhP~ z)A3{eYT~TI0-O;f+IYgr{*58n;SWNUjxqBqU9l@c$;YHh!R?SvhKv9w=sJBKl z@IHjiqBI00xx*#yCqoLk3U>K1UdEE3W2o+}&d9ZzZ35;#5( z@VZg`B|FfGQ$cLI&_UM)VxNtrYdMyYN$jxILkv?FzKGBbO@~nwhciUSg&V*YHw*Eu zI~td|?Bc?!s~ zV8DCyoP{xjgt$p{Lr03;LP0gq`)b4vvZh^^S^cfrwx|k~d;#s+B%AGoCNr4D@>@$$ z8*{7>aWpX0Y8EW5OkR@XNhPBw>Adj><)Y5ILU*Oh<-rLAnFGJokI4gjBraC#s4W4} zANk2P+L<`ziT*{*=>nhjeX!#YzVKy&hSML9Zs=*k(5kTFc4#ckW+vpAuJ@zqlTToo zh)e;Iiit~xZ3xgI!0UV`aN$4iXmBUM1U&*vs-o4~1P(+Ot@@Xj?PAOyb$zwxq^sdcGmS4s!}Ax!QBr5MRkDh9jAzs-pPi zAZ2~m8x;j(2@F3@mweN!_bDnwLC@lLT6Ow2jHhSv*l>w zrXgq6)l z)I8uBeHy&8EloyVxgWr$ZETh2kK?!Jr-)QQXN>GYrRVbPefI;Aj1FG(b^CHF@M>zW zy07am%6wPcgK&UwhBxor(BP~1;Jonw2=QfYvvFfaz&Vs~d^}&*3M5=g9Xq-fVAROY zyXhF?XN*dg*9#SyyfZG)L*pFsiySeG{C>)onX22k9jiM-JsFdgQ%}yx?qNP1!FEzA zEDqyG7iFR!BEubA`m%}peS11455qN@r-0A6nuQHjw=8#OsZ@AddQvfS!sDl6W)Ioo-H}TdXxTGC-*?mfyMU~24OknO>Nesmgb%4*KLR*eiR3; z59R*;`t9}V%~CAFWF)mQjF8ZWXX_+ciNGZOq{o$q*vtC!2*iv5^Mp32;KCZh8O!57 z0=D6)u>3Tprrp#qh}pQxw;zk25X)-21Le3^nq^28zEM6G&k>0a-Ce&q&dso2+mXaw zo4A5kUXI81C^1m%ISR?&(+p*wio_ia9Zjn89JIcj+?|xm5YC*~NM331Fk#OaHES-> zh|4~I4f5PMzw2FNr{CI!uXl8tk`_+E-hGZ88+Xc4m0F=Vo*G%w9I}gMugt)rrW}Ucc zFQJ|BIU}j+-8tLYHZcm!j9!JeW(EhR89q7_u53jv0Eaf80ms2`c%+!n0Gwzse`dwZ z0X^LtI?A2>edkP8fN-Lhf!e9X4nyKnpah#eA{gbFWFA|eMa;UZHm#mYn02lPn3D4g z7fGE->~!#(>ik5HCQS6?r-a@J?YuRjrkW=bkGWsd&iDf(3d9E$Ov#3)$*~Vv+d8-3 zE60+D-Y|Z7do-LIJ>7JmY#aT$Z>boYtYK%f{eXR4cGr~dzQjq{wSNAX%>qV7wi3OI z>iPUh^e8}G?<^bd`23pI zt==%z6`C5P>?1@4X>4itPihjkpk!q~#6h^s3X6JvjVtwvUm|A}3IK8?Y8q=vS1WRu zM<+)o!4s#pHw}(#Q-VkOc9Zq@!1ALQ!0dx_5=jzElF3wmP$eZrcnDVjD}=iuTcXt1 z@Zc(AsbHzJ0Ba6}$9;bVHt+r_WF=4o#EeC-G^gmB&)3sMph%mYP62W9HLhPTGDcd4 z0`5D7TqPZIx?2GUn=UFZv7v#JLu@`wxyI_N&-e3p6*0SM|4!9+b#KYHUdwHGIGM}x z6&JU)ohUT@qM0XtFqDXy+cAZ~X1zc6xq?Jq(p*;4}rm&wkqo*r%< zPN2UvcLU#S^z!=eF(CN}TNiG(2Mt|}N?}-MJT`2%S7vKlT4#HAopWd|osH>zelA){ z%|cQ*k?ET>eSE%gG5s zc(3*QT7ER(pBFRLM|dC0>(SY;ZbpCNMvJg^z$=&wR4$by^2UlyCRkvAD89Fa8Z?#y zB!od&ukgy8kEEMl=b;=8T?z>@re9J=C4nbzBHtGT>*ZHF7YZ!h9A2BWH39Of>Ei{r0&{mgaFNaxx#`vk!Q1a# zx?#;i)ks@S(y6ac*myrdxgbgP8lW3Hx@!>;#B1k4T6tM)rko3=T7>l$yTrOc7g;^o zN#jZvRjW#*z@FAE(n|qWP zfFDhDWz_B$81KYUDWcD$@52UbR47o~T$4YCikgk(@svcf;x1j< z>^$Mg(n}iIBj6VnQ{wjG@$xNFsGx_dr@QAWR`+1EJhlHD1u=r4@hIzwyT4cd^TLx5&0t}qEqO*J zHB!+lgF4z*)tC>bnhkyw~%cAt@KG$NLfha_ZE`jUs^~Sz~UL;nq?qh18nEn{%T49_~MUEEu{hVif)iSlL}Z9n7y-tR1WS=0;H6Rbb&#?udN#WMqy#^i)*l=2QlMyB}XSD83#@c%M0w8iDo^B>P4DG9?o(3ykvQdy#iM7XTBMG zZQFEvH$efbp6*x+`$^G z#M`@JCTmHpTos)g@!I1ll#A{{b%F%S8K*1=gaifb%vRnD{$1*a{MJp~!s^V5N4t5w z{qDLeCMIZv!}X|dDqEI4&r06{**r4(_x;Thw%Y%tNg>YsEIPZOIvBBg+DUy2ayKP%KM}*EymKr zk7#zCnQXqmbTIi*fMJD*f$C2XoPmtMUB5i%^sIyG1YTe9~Ym?Q)q; z_AwWo6RDTQHR{k@EV-gKSJgydEn@5PutA6x4qq@2K^4@opFyfRbbGJ)8NsS7ydx(R zz(-`DiL-{smH2%8!*F6Q!ks$`Z(drHjQTC7p}Ed(Bx&N2GsNHV2$Z8^R4ke+Zfxp% zPzCI|uZpYwo~Y*;b3Nbc=PoOCzZp_g&?dA}EzkxkAsOyLk{lPXpVg_ZDi$|e$pVCX zuUVNLWXX}6;FI2xW7OSL7|ORl=IF{<&*sIDUh{HnbqIp|Py_UjAW;ot39l(W@jn}^ zHtag~-62kyAcu`ehFMXPS~7(n@$aJHW26fQb!bbFd`S*U4;w({6ay8c^UZ*%VAq4) z4ke6T!m=xIgDYASThSpi8+7%25do6vF8H|!PUp}o3(^n~5&%OMicz41ITC^)sAqQ$| z2K~_ZN-l|pRA=|LL#W|7yX-hl66VLew4Xdn=S{`@uW)Vd`I=j0wo4k&r%t9!Q&tB% zyw$Srwyc?5u%Njsr+K%Z1RwGwDXd>6#2=5s+!6vtq36N`11DCQdRn=!E^CPFv`FEmXhYSS@%R_Z?-WvUd0!Ji4S%P$z$ zPU%?LoHM(X+jMAQb z=8VP?1?%RKK|{@#5|XNlc5K5a@2lB;=pT)R5*=c`fLtgCPO>-0$s115Y(R4ulEWngVg$Z#GxLj134D#o8G2y4++owIzLV?Zt8+5 zn6S|%*>9Tf-sNU z;!ZUdLVRko-j4<@mL}flGNKUW^)dLfWw(*=n0UVdi%7FB#4N=IGLWE@Q1q>|q^NJG zNeI6nn?X0*baz{80W#&U9nVto-$Cq}<=6gP-kJZ~@-8mVFRvyEm=Fv82?+`)@GO96 z_5XGQz`_bpI{&k_GXN|(|55MB{+k~9A9_zoIyE|Db5k>?|B1``kGX^lzx|wlap3&n z_x&$QI1_-~$m{6jV61Nq?Uw1Rab3zVus5{(xb(akP9|DKTZHHj00|(Y>d4-Pbw4T z9;%wq3C#Nb-SeYk-+Sxm<;jOP#}!!$J5-zmS)gF${e5R5qu@pAnM>coCj6UBSP4p@ zEzMxze*2jjXOnpB9L3{lVK<6xO{!M7FrRy-RDk5c4Q6trv0k_uauc*>6saL--_S0J z?NHGmPuLcfx1fjcVkfsSCt+A0N^tJC+Ik?L2n*X?T&=(oym`e%k&J%*X8eLmW0#? zi)LjmX&nKD1c@GECx|=+zJg&DCPA}Fazx!4;9Rc@&lE%dY+Mp9hHR5(ZAK(2h1N7U zaa-*&Z@ducV2@^#XH~s4>7J~~jS+t>yp9>^zW#-aV4w;K86z8}(2Ceb2Q(KwSPkg- zD_puEP)$(Sr_!4+mUvEQvr5Jmz9$3qT4uFvI)q}`@Xz5Tk++?^GV=sXjN}Nip<74& zPWSuO;jeOw91PN0O<&3fVd!1e2vvTF+c)cIb(;&yyT}MrRuwtZ81r`4)DNSU-Y>w< zf5WEJ2*C+FtTl{pmHw)P5E;77^?mBgM+Y0^UN0n?6(k;yFSFixjYZ7`^W8C*^Tok1 z)v82#WSTW-)X_Xu=~|O!@#o^Q(`&ZC;$Lmi*jxTh^@rXBQDbye?pFy>B1lJrLIO%- z;-tFUTPIsHWfwKvI!o5jI6Rhk^`Sj`Luq-EF#-r4d8l8(J}ulHLpr)s)RRV_-Y~J> zeuQ+5_fIt74ssW>G0yip{PaNRhTPk=YeEx02OTNhJWvaB_&f+4edHhM`otpuuEkY@ zW#yBbs<{%HP=ZMpqtNT#;a9XQlGqO3oK!|UFpz>lKp5(72Qwb5PXF0NW(yPUA^YUL ztRRJJ!nM^ib#DLu)Ly!UL~A|)L1|tlp(%gAXUQ|hTY{ZB%B36%EX4_k%GfZI8X9Z3 zA>Mnl_iGCuDh|$7`#PVG0r0Ej1hxq;Q85gN#Ft3!&bI3<`FO_kwmr_@EwumchYAf4YA)B`ELCgnHjHzF zytH@3fZ4YjeX&d|Cf=%UeMWf{!vXPisEV=2lj}zAzKZ9^A+t)qIxVRl| z7N-WP{p-I@&_Re!7>=`eu-ch=oE{FGV}<#f&TovKWU;wsDtgraFo=AX)V{|s4w51@Zr(NaF3)$;&W((BiEC(+ zXBp>PxSw@u`D0=R*Qe-0(5GFzfNB9dGEFOEw419C&fMgiY%j4zF&Peg@ax{BxsxSF z$Qy)`{OjqT%gyi4=f8`P|E~gVAq_=2c{M<}5%`nolaU>;ko*k|!TL`t4ZyJZcl;9I z!$0_UFa*pjfRgmj%JXNTwgjCN-T(BKihywU-(nn9 z0ToK0&d}D%)`rgb@3qR<-dW%3k6>!Lzne$R>1_U<2>-X8CY=MFqp`L5->&{Um-;u2 z_y2UPf9P51-2ZHS08pv^weJ1?!T(zA%}USyN40mAx;ubRz1U6O+L~XL4<5QJXOSgO z9nq+PN;Uu`luy7;q=uT7lqU7{2M-%bY!E#KBUCw2xbvj$3^GYDXo$Xj1mSp{ERuI;w|M7h*m zk~6F?lIn*f*b6x~ViIINJ5B*~_kr09maM{Gpr6Zu!*V-A9`KgI=YH&UMu;zyVW!V( z@_H_xP@EyNlPZp<>Y5}5Zh@RbUHk zOlyRF`26k=wAxG_+wpuvcQK)7VCoDWL3?=iutN#kUDZ}Sozx)*Zb3>uZ$Yw z4j)P&Uu{!E!+82WtNZ2tBgIQ`b+*SrKiHL~!#EeN^CEXY6>?gh3VZ|U&y#bZDiY~R zMBqa*06{&(9ts&Yl@?0%+k-RXG3Spv9SCK%NG6|+K=F~%} z-%l}S*d_<~#-DQEHZ%9ch~!f^L{%l~oBeRJRJfVL*Vf%SJ7_;7Ht!qOZdKGBtpw%V z)zWm!RLe}0A+B>_Q&w9+bZhOlVVce&#HTS;j@0W44En>T_G#;_`?B=g1=w1AEF`fg zS6jiN1H+eHKXm1|{-vjL3o_Bv@nVz8u(!ZKe&%NiD|AXqB*qc%?G=fLj_U##_+j zT=WWcTLY-&j2j~RMCNaKUgG^~g2=;|w|y=;rr~fY6YTyPugRpVo8Q{S1Oj~QYmMhR z;Yx6O4E#c@)Pu$|eQqpQw0paclh0r?{UmYt^h9HiHodETs(msFmVnF80ymivA0VVQ za=?w+FToI+VrlN88Y+U5h9x3@j@^#Ujsg^L_zLY8x4+68pIl&r-;J+H;OL~B*M5Mv zeW}XN&Ce|)siCfs@`g_gj7W9(h!8yRlx#X|Jy55{bRHZJPs(T z0LYy9$bV_`LNbTiGQ%4_&OvDO@R3@(%c=DNSPu-*zV|Q#ZEN}C5Jnt4{%)+kM7GP_ zZ3hDg%4-o4ri9CPo5hhong~T#r$~H6kI`H@Kq4QV!r&`=aC{4a6n3DaC`tSfy?}-p ztcd}qH+R{N-o{}$sq{}7b%yA>kkko(u73C+P%ydnb+1eiR*_4@8-J$YL%X`7g|MF$ z_hYSgr5HH<+{IU>Y)PzHt@2CDy*Sl9GY2yvvrXghNXa=SSm15rW#!&YH3h+$fe* zI@p@Hi9q3J(aa2YS?2SNy)1m_RCV`s>6dCZ@7#7D$j7yJUB|>u?<=Fw4v;gSDZ#^7_dI->9NZWyjC_Q!jDfkxpUCU2?T1`gh=7RMCQ~el98yp z%;8^d*vSjGvuPaz$z0UC9jqnVxCMVT-3rh`#)*UpBk$d?YvlH*RmR~#fB{~McCc;Z z`VSS!G2mZbKGvQWIDxxZ1^G_o?^bmtH0>uDa+o15eTQ~IpZbJ1@F$F`$ z(&_brG^BJf=O7}5F5N@43-lg7W>>I!p?-3~R2!V;T8x)1CrqSE`d-Z1^O0D-AyCse zaL+*xnoZ?COcQ=AlTXA=ht_aou86~qRNboZ_fA#y3YtY_d@cp40j_|wdQd2yuwH=x z8@x2SvE<@=7F+#zc0ykH_*g{QVKUq#+}H>G2V~atiIBoy{L5N91lrZ#jWDooWv0n{ zD9|P)k}L+z-{5pG>3S|W67#HJv)fFvj@l#S3V2Pparq6(NfyEy-%7xS4)OZrxY{K* z)n30$Kzvs#;4G%rJ5iT?Id`h_Q_(Vt4#^kyVn*=-UOaSHZezx6L+C1Sieg>o|DlpX z3Z>=PF$$mgP!(;Zw~d1>(*-xdFm!erN5i(CMHWRmzrdVY;Hkdu0Q=B!8NZ1v=^5#V z)eiPzv2^PXMSiJBO3lOijCLeKXFhx9pW)NWKxyuKT~SL$`ItGwQCA72jhz_~6f>dl zGR4>YOBhrQfz`;0yYXZ`T_aQldUG#eujRl}iBgKt9&2~t%KQllm2y|-ru1hOmjZ?s zS*0=dF%{N=u<@{@q@;zcs_9}NxI|6_XA4M%*(9T{mBFfJhYWc%b9zhjun-jtdvN3s zF^W+m+(d5(aQkc2eMZgW)+gCs*8}UV&G%nln7KfX#;A;v#96{wpi~E!gi?1MdW(oL zIwV|^t4Ffwbcr*tqKBSC#0?U5u|Cn9WRYl8C|c0^VZ|fEKevm|h`k>h|Ay(5 z;k#C4gkqNui?9YEqJbls_5DO=!sb32Rklc!Q8#*Mj2Bv?&igF;t85R%nuDwAqw?eC zH;*CiB7BpE%r;f0nqstvZW^9W{>224HjG-3f-FYOq~gWGqC!Kj84|q+0;PI0Q?(6m zKQ24G^FjAo*KO|U5%7^fJhC%U2JU_@Z(_2J81K7M@uZUCQuVGHh0+A3RIAKY&ksm; z?~!y&$`bUr+ICC)`LhRmbof4lU!O_MNYY)us3xE--T~QT&vjiw;ueZK_U8>m34yUDB9>QH4Y|?CQl&u@cS&>Q-EkTgSbJah3S{zbRcE5l zRjqq-*ZaC9eLC#Kx8pRFAAGePk-ph%@vQ$LegCWPoffA*`}r-yhNZ@nJuN&$=Y8L@ z#1=5q0i`HT>#8uHu%77jDKDqiPAH!LF=u44E@#h&cCVEBRS5TPW7pKxZ6;5+4hAS4 zEcWRDi_Y}52_*d!J6ca%%Ez0e=di4u8fhkV=-je>iTC@|qOw^FY@O0(aTdn?SoJWx zG*t~9_kEpLo7D~=Wk#8n>w>t7!dv~yOgZmtpdd+|oQT`>B6a8PiKr_pL;BikJ?<0s zT$t3h9W!WpE59X>Hqg2gnnpjN9VoNEiJcV6YpT0=GsJ68Np?Hy+R}J=b{ZcV0d2(^ za1X^xZY%CSb%0=;I7s>r0!M75S1|llA_F#T%Z^xWQtT~;&b_@QJUdJfvf1hVQ^y}q zpWrD%4S^a?FE&!cNpD~mKa{`x3b}$2Xa9D2ZLPV{RBy$Q3XutRNysJWip#r=(dLcM z*F!VPwaB!IMJ)Vj#RfX^$C{Jkb7KM=7{=70D!P&xoqzfMqF=`3J*_H^3~f&h3pS<4 z5?yj87Pla7bKQ-Fu{XcBkK!}#li*5J%PMhW??G2lb`hTXrJpR;WD`PsZ{Ve_O#HUU zGTKxG?tu&$`smV#Rsj#lt3Z>DZrgmz!qdlvu7^l1Ut2}V_s^2{-dlPKz5S?-HIMIF z)0HQ|jdz1@)^@85U} z(tqG+WRxbCzAQxE+|h_Z51&FgaPHFuqHAVoXRvuS-f~BRv-<(x#+~atN9}$lqsyY@ z)YVF!w86&)K5d?F*HQO&V1VE5-0TDa^zpn1!nxw~z-)?vCFZIqHVPm^M;|TxU&*J2&8pm>ud1#+c7f0DmEX^$4oFH4K+h)(Y zn|w4EU?6YfIughoX_y+7hz~rW z3vPv{CxRlk06gBF*p+z>t$Mv%G8;DdxxZnuW7$~Rg|p|?l{v>Vj_il!!Sh=M`4OgXBW)K))M+0Vk&uqz~a?TeF;$NlNKJ9k>q zt^2Z-K%MvO7hofzd)%zsa?evJ=wKC^)&8ef=JPNJ7YMF`Xb^7#c^s zF%ir=NgyF#xww$(yP{L%&i;+Jrsvx@H}XDZy?y9FZO(|9IZgXH5_x_P5_FvQ6hRD( zYJbu6C)$u4{`Q)+(;BoCH19OUd>R?9GIHQSKyY;QPv@hMg+Z5ZAQz}j#xZBK_&)RC zlng}jm^mcqkw*64VzsZzNXnLzo$Q{~4pz>L;aHTLC2ezTcwIuZQ(ew|+X9|f-!pM* z!99_?!=S=`^6k3*7~Wc&c=}#shOio%OrXh(o~B^WvuQE!dDHdKexhDiXP_93lAT#{ znP%JUG*gf8U>JP_lx*y+QeQc6FZn?yoF3%lvMRMmuB;TnsT@bCIyQ^KG%#%i#r!kG zWc=#xft05Ca)Y`Pp$oimrV-){UfEN7S*fAbO>qc31iHlVB}AnuItnYN_=ibp=)U2z zi;lIkwedTP9m+bi#rD!|#S$v5LFP#n(F5eeH4ncJL~vdHV^Cob2^5V9Iqc(kfJ9R6 z5Rj7H^Vnw$U6u|?pOMQqt}eIQ_nkI6J_r~;$$1q%*Y1l;&qbW`GhS)8d`9L3!jJib z6W_zHYt>8EM%i^LTZaTzRUso35K3;gvEfI(dMF6g16IO0_Cc1ALxwRjtAg!^>gk)k z9g#1}_SQDeD$*iFZ2|tp>=rR8eD57roL>t*rMF?&qpz&c2o3NKfJFA1u1JnG9k%Ce zI%h*qM)3@B%H}B>UYVnrOL1mp=c4ryI^Ns?O{6W|{hUpHp`j__17U}KWx6hN1Zg}t zjo5t}_ww*5y^p5ncM5=Pmdq4BeN-~%{88-0cieS&IGq;WNlPDHz6`R9NfBZus1>t= z;(XsxF@DaG;AE>MNw_obC8O?F#+#{LUO=gl^Wl5*=R z-WqaVW|+V|#T_Z2@Qy9IH;q+~6PNzRkmvTKm`PsBx64Pz;_zxTE%tO8IjFD9VUoz= zyA5G(Z38+g3Rj{o|{aQU`K66h#3WZgtpb|Qd#xn?R$@S;VgOG5!N6^(KefJ zv$ZsW^2u`-U1eEVSy^yCHSQ;!Hs^{?!qKW`MW`}GHz@XiFLm;AEwxTv>QZT>qhHfH zrEwW_#iC--Ngzrf3*VBfXDXzIFuh}rVOZTuYhkMsdb_#`xCvyE=m)Ut2t?MF1dUiR ze5oHyjjt4+^!vDcZAU`BYrdm$v+h#ukZDb}8d(NRcap9G(5O<@)^NUpwgcC5wC{%Z z_rLM^FKxRlMkz*>RC_DWsfbvU-*aNfU26O8qqpR&+rO)?^*1g4`u@S_1I^JB&3?pZ zT-lp`J@NAB@7dv%`FhQ>EvGgCf*@$~^Q>80-Q9uryPpp3fa}^2!YZVSf6`zEwhOaW zH=qC~9_4$IVOKNHX8}yKyN=q$#oOxJJWJq9AuLakq>;*&g4!Ee+8~R5q_aiA(f8G= z+H1gTX)bM5#~S?$A${#ovM(LQJ#f=wK4;1IT{16A!kmm5$I>gbrdg7m7O$62ETY^% zF+FGBYczD$eQz8|vthdn@FAMr6ln17E5;5N@{yj*C_jHS!sE5Rss~cF<=x8ao-p3x z{NQLm!b^){Fw1bUCR8oZ11w#13=Fia5pu)NUMa0*H)dAyxi_V*A2aOuJ$PWDvmg-x z5jQgte7G!!lWLVO-0pa1yy4E4QEgzhPFG~!$AMVW z^$P>@zvG<%Ty93dvY+KI@-P04%l-SG|5(8MrQPp09@IZp{r_(FWBhmG?e8D_uU8uk z9Dhu__@~|PH;9@2Z&)Y$JAmJh`mtDlHei01z{bYaCqJDqlEmT-qU!GYfUYTE5MqoB{I8(~)8+lXo zmmrhLp*P({7`vK9_JV~4l1meZ`wutxzXl*l3yVuCs{ek2ng2zuNl);bV3U;|kc_~_ z@}CWVfG_@a#|Cin{o@t`F8-?(8{qE$^Ctf-+yuZ|{}%x!02=mhTdY4M*8cbE4HlNa zC^FKKKUOBUPkC`#BNC+0l(d6CMHG(`cx)JhOod1Ljb~S(rkoe008lN zo>L$9zlceJm4vXw(^NOrwpH|1v>h908o5YV{o*QnmCdg2@Uah-@I^XvmaoWH2?ttcs&zN-VRZ+uE!V-kK=uY|XIA;)p;qOv$^c2;j`92lNkPP|fWCY}oh zfhG^Ozb?0=(oQdjE<>KhyPw)F+Op8y&mFeVd`M4-MYmlyMkcchC9hPA6TtuvsJl@ird@T+X~9c(hAC2%WyHBzKSkL)23$g zQZzj@3mndodr};R(nn1nMY}~BX(iN~(vjr7oSdZ8m&X9HwvYp|ye*+YY{OKq$5wNfVo|a61b(EO?s{s$<$y>(-w?+{-DD=Im4UJwEMF&7atAIZNGQ2^W% z3MFpb$}zgN5w~WI2WRrKBinN$og$y5xtP3*a9pt!O|9W=I7S5a=@}zuCnh6kt{F`L-@&d6XnxC7;nS+I#{$ZjYq` zEC4I2Px78~qs8_Gj#!5QR7e4I>e`EPqyTo(ut<`E)r^+Z3OC=IJ|0x42Gt&1f+7x) z@n_J~*~V^??w3MyV1*=jeOB;gdPoPuRC!DDr{=?CmO*Rfi#u=3>8RRRde}|+L%6`X zC?^A(=dHq>AR8dW-GEfA;(PwS#ZeRZR)^_4_|;sGxdPT^R&86$cF#{6{CKGmlR3BiFGR3+~af(3YD)1kQ9V5qo%Px>oQu500JR0FtNY1)?U(a!R9ZAzh`^BUih$tmg3 zl89&m9>XGnhzQx}`goQ=6SRGO5QC5j2?=*7C}1$&t!0&zuIUjH-#t$_Gr_F@7UBL{11_3NH&vO%>_jmm)Jk zM0unNlN0zHk17!i-nSnBCJ<*wm3AEpxufa74CycR`u#Ip*ePblWIk&JtOR+WLZ?EN zLK&I|hn%6g5fVA9#rL87iU5mR6_qq~I`bebsmg%8Dx5V1tIJOSI>C@GPA#aA99(=F;#d&X+jV(q z&w_WuXO*HAlpz5RFu$Sn2AV&?p=EP1C^$^3C6Wm|xWc?he; zgjX|1Z7-H*#_-X}g+=$Q+rjw4swFkFVfNv=c>Vf3iuaL36&Iug<&a)7ny1 zRCKR9`)9iT$ZJ^YT-3cr2s}{6L4==O8(xHE!X92|l_6<4v}&k7eW>9_dTj-O2j;2m z>72>f4p?R~Cx@7Nu-FsQc89H)@ee7jI5VK-C1(mR%%HdM59?lr4nDtS6Slx*4Q91! zw{Cv>84n&Vsn8i;1f8ZpY@O$8JZQn{$W7Hq?Ht-M1e3(CUTMm z#_NnH%*oiYRve<^v*hOASGm3iGdWZymCdduP7x!eg%{U<7~`?P!)v!M-tpwxxwW26 zuIf-eow+S}x$vU2@;M}QNaei+6K#I?_>5EVitr{YT*+PR(FGd+NIi;(*LyVQ-jTE@-njZyDU$_3*HEfT3?Od$2*g=T~AeEczCXued ztIV4suzqdGbA0;by=!cX-F9xO1>cBRymPjOwEDn`hO6d~t@eNTr0=t5iTsUCLLkVA^79-fSLrqJ|mZ zg;#W+jueH>%)@@tee-;F)6mTQ+Cj=|yiq=t;6O4M&OJ11IFh|TM1$)d=&l-R+XMMJ z|7dkR)42Vdzw9|4W@X33(sHv4J@pFDeM6Fsr4&Xswlxv4(ay`oLPN}BZS7*fzu)wu z&%G9Uwo3heFtZ%{lpGH{TC~@QK|?*djIw4Xo0g~Grj}eHu-H?6SrYYE4jwa{9CKzl zdrkKM6&-)%AmiQTB7Q=L!d1jGC~NkUTZvPYQaV0}<4(=xauf z{p%Vo#?;QbN|Rm`tOS`EB#+~qRiue>qI~MR=xs;4*y}|@S%j#MhBQU z{ML!q(lavE(bJnTKr)EYi!sy!M;YlEm>EA#9uFJ!koAw=&gp~{iQR3jUO_BlI8B)4 z%rdvOcIUO{wKsKkwYHYERu@!e?A7pSy1QEI{@hO1+g;9W%-g((jaA#vB(2M&WB6Rhef_Mb~Bf4%}+MHZj#%2|JBiQ^>eyrdiv?= zmvd&oR4BBh&okHnG zTk-vPc_^u>Eb1y!t}iMos;F!4YOkw-+K(vPU}__~lfB4zdV8pOKs2oIO%5C43<7;B zd@6KT_PBd=ewu>U!f0W&aXkdYu`6S=*SPp|BT7DewmzgY(BG?Ss{;hjC;{q zI=gzG_O2}8=bk6Qwa8R+DY;A&-A29E zdSVe5ry{wAv-T8Tjtd_I1SlPv`ae*;82{^JOj!*@Nk!G)&LI82M_v6r8k6OpB5S}a zGBcn({fE$+SmxYbx4==quQ?_C#_LvaY z?XB(FmTK5@LCPB7$h$dWl_Yk7UyqeZK`Joh3tS1GhY&A2=3X{@_VoF#Y%ZJR)-xDA z2>As>2*bL>*dW0~2MWJJ3&azYX1zZ*!>#>1b>Dt?;ob^0&V&y!UIFRsys&#~fwD077z_|Mn5E*seF@jY-%nu2W2p0& ziXw1?MKq%at}ow_R~F1A0wW=shTnAgP(So**7h93v8KBw%0P(k6Uqv8jn!JosLXDr4~_V$2BE2y>`DKuJA-5YcDs z6%iF!Ef|I-6?p7hTCzU(yrR$#+jH-u6Oj~lBH?+nEM)n73E-0=R|bPLsPBk0#>;HC zWHIO%tF)dY8Sjbe;(Sx%)v`xFWM4@W&o(=lm!K1aLp-bAC%+DtAy)Z2PP5>;UHH0N zU;O$%oV{aoCh7J*oTOuSY^!72wrzE6J007$osOM!Y#W`9)v>KNnWLVWGyivf=fksB zsw(y2Sx?>f-gWH@4U=(uU>Egq_cLb(MtgHNKfZS#r0rbkJH7POM%88&wF|Eaqx9W( z#iOC>p#}Ej=0b>~R0|?e9>{OLY`+C9Orl6ef$YZ!tFPDu%mZdGR~!@Ge*tj#Zwpezg<^IA(SrJ^%rMy- zc^-TJzm&LNa|QmNB~D5~LO@mOx8?PF8N+*w^xNlM#PF6SP4^e^vu_uE1zLYQ{5R10 zcK2T)t?yF$f5GYR>i1uib4J#VcK?(f{HyT&Blhw?QUfc)&vy0()^`6TVc{)n>kk0! zFCf|9FN<`{%)c&Ap*T2EOf{SVQo59Itp)9dwDjg(tK(tu#)?>mBnBdXaVG(BT0sFr z=@6KhY(YPIMY|?(wdPjs?pEjkg7`#>+5}zd3RDP)iY|JxJT)O`CqMe1t`sf$x46_b zo*^`eX_`^3DFbIlt8KUKYZH$zz{#S)5Wv3TL0gUF*Hz+5UZyXEcF5L9t$p5Q2wzGe zWr-igh$WGlUSI)U4;96}$mhC1DT{YBw>?9Zdwf&7?oabq#Vap|7dw+cE9_UVQkD$; z7NFI&+HaVQ^+>Gj_o+%pZ|*5G89uGI28|RAu{WRtwLA17)H}FeQ0*M+WzVd@1@>V3 zsTP1(7U%Begd`y^IWwQw5*E`3aQ1%IsJ8De6KXE92yCk-h68FooXNn?oBW%GAG?iV zP`SZc!r=(n14H{nP+=gUfZkgEYkLxjDG-bnBR&`GbzfWg8K4)M%Zu)(wkKBPFygI{ zAk!*<`I3Th8mv!Jz)I7C`)~!ztTbX;cSUVi#5Cg2mM$ABDp`FR`hlb*eTv+}Qm4qP z9Ixe|>gUs?7j0VMnl9ID4oP05e(VKg{tn^}BHi9gZweHEgPtlUSV#ofK^u+H%YdGr z5~)xgOqrKEps1P*H%*q`k*1_gNn^mX%>mH+Co3OgqJN4Y=bsQ3m1rD`_`Ze*$A0%C zAv^Vz2FODAaw|9j(1I!wp>}!jwe6P70c~``h-JZ!ZV`N)3qT}r-SM%3%bQ_|x9o#( z<(S#Roh%_Q;gf^5o-KdmmG~6=NsHy-^Q3`8n&*ui5C*aFWzfhElEyBJ(QR#O3L($t(Euh%jxTxvs`g_VWExqQkQ`SX*9iG?to%xGu%T6DxzSuCsex$j0mO?Bd zzStg;$?&WF)F9aywv~}ntAr9VQ&r3_KogmI3(*z;;9!=BPNnwwJu|CQ^QNZr*#|A` z2_-8mqIVtw+^_I*L?h}qP@Gnt4`Rm_=DQ69Gqw9XkQpG&KM`7MuvUN`b=^&R#uu~D z^Tu*svJW%2;=pGYIG;v{TspVb9vrx;nK5;MIKE&HIgnB4ILaRO-S|=?VV=p8G z2;+@o*|S+~4NTxmpMqTErM0Ig*%D*f-&DcW`8CkBF0k=Bxl+#h@GOkfeGI=Y0W1cH z<%r1^n<*Afuu=q@M3zmPwELb>G1DshpmURtg`>&HpOG(`=^UJMr35ycbK%*!SAV7= zcV3gvXk)9UiFVha^Atm3G}eUbmh}iH01x*&b%6qe2AlplGOCU?xe=uQ5z ze4;4Ddd!=)Z;ZVjWh2wh;*LbD^fv8Q%NRhs>l`UbU8eGDK{Hw9+K0W*o%BM5oR=p* zenLGERUu0k?IFe(>mrxmp&Jh;v?0q)m3~<|y=ZbfWd$6PIs7I&%zK;9@B`Cn+*OT7 zq8gFnvzZKfpexD>K&x)hcNzrLZ zsCq$DhDK*M1I#jq9;_^Iiki$|Vu89NDvcN; z7VGy*&9pokVkuvK4@C^H{=5#-7ehI;8m?8e28ZT>&D`p!3Wnk1_I)sV#3_=!AnF5$ zT;k`HMcBKXBZ!_C7zXD+xIY(oy1#9DBdMyOCjH(&3;%wKO2zPQdc*RLE5BRgvi!v$ zmHs^v=HJ-z+yDJCNPWBeuj>2zUH_`@@A|}d=hT0A-blXr-MlLjRe#Y7{{F@Gj~+L_ z=5YQWDmW|cuOUfamAx$x_t4vkZk)lO;t5>Sm@A=4lvM{(kwQTONQk5R)xNXmnF{*X zM8vWa#jE3_Y!y_09qGHdUb#mt3WI4Xndz;Q27<)z5?5eH<&%IckorN_E6C&+t%NOv zk#=%qpXtT5>4n^==hPM%~ROLMG13TJPZ4FaQ7UDgF{Ea442 zdUDdZ2xMwUJ|KvhE6kWJ`GuDU)IOen1oX8TJe|M^v5BAc%}4n94L0)tGeR(rt7ik>3rSjFl-+6l zDy*8zkEwDY5cgEwZ$d``F8eiN#wuQyrXgd^+sk_t-qOYS@$6<0vGRDELJyO8O{AM$ zVrn%42Ge0Vw9LVgc$Z+*_?G43zJWF5Wz-8RGgzv3)vNOLU_GG+B00BrK$KM?t2#WT z0*!h$Doq+ax3$}G<2yB%Fb5k)h7d>CoG2VoV~7=kTbl?MAM`9~Q>&&&QPxd;t2Z3j zr~5cHs%BcCQ532{=1;={;ILIjfhTn{u$aci)x^LS5mqjK2x&$*qOHAU3Pk=~_}&0( zmzU|C7vWhq8f;K?vUUt9-^O*Jme^9qC~12*7#51Q%kpdVb|9f_{YQ@~*MANlSHg(+ z*L3XL3H4YA{`jVYW92Ufv1q_gZEX{Zw=6)B=K@YUO zlCBeo)zTiCy%uPcLDZ9|nQ@=Irbf)TwCaE|Asp5VG|lg`CkMg9hSqt@`M14r)Ckn? zl|7c}U_?I-o;SZv3|MKWws`H%oMV1#WV1!4KskB5K!x9h^;UjKS)>lL}@lIZ+^ z9$Lgkp-QGE!Vk49?M%;jT+?cbx@B}Hoka+)cXPB_9h)<~mDak@%F>)k%d>R1omp!4 zY&I-wv- zQ)FS`&Q!n*cQeq=0r}E)-BwGu&`@KHg+9w?{I@V@nPJUS$HIw&@lmE{zoqGb1^!t* zw3Yx=0rD(A{B45iOurlf`-YQ+{M#2EOV0@j8O2&}7RdzChO9d_W{rBwq;r+RhYt~; z3dfgBU{{vz<&L8>Xp%@$CA}XVQof7nMZwvpr4Ng)3YA8fqQ#goN7~ z+g_e6onWRU!lZ}bi_@tc#C!&K>mu2ETkeS{0nQB2p8ao_Q z1e36JtsF{m3$^+nkZR~qDObxkIR53awDNoPy7fk zXW1UjuaASq@TPBLq7CD`#bpF6vqjO~c5f}5U;_n8GwWKqmvsD!bR7z^|0u6;!*2lQ zxmCv+_Joxj^=i<(ha%|e{NQ|7tO+CwPD{e$YAEOx4GD_P7X8t>XDrk;tTMUQ1;o{2 z^@FqLjOscu=MuI{%~zeYqq5LO@~TFo?KqPzL!`J81U6Thlf{JBJ-^$0&OG2KWv0~j z@~L6$pU%2tUhrfqZ9!ESFJJbUvg=BnW_Y8TEUv^?&*Zrg!`Nf8zvy%_8}=jZpr_ zjQY=0=sz0j(Em?{I?Rl}`sr{@dB5RtNC0Qcm92S!pR)zUKtN%jVxo}{5r?V>9z+-r zVX)Cf7ga|JYL|{roE}$KoR?QDE#@4UCB=K8ckM!v5J2RH3Fto(JmtNFl7%d{5wAS; zfJNQnaB`oyU!9~p3-4&Hy!r}+onu& zmEC7KQ5_50<;<8efy?`B69#Bt*FWDU|D$uB#1XFC?S*7*2I-h|Jw z(E^m$<)`&6$|^!kegG5B{XmTi2(fMmjw%%EmkEe7!JO*~eu2Ak$7cEGzMuK;5=vB6 zC1ut5-qxzXpHXurT9*F}(fcdZoaI*|tiOcv{uK@R+3B;@9}vAiEoz28x`q69;{VJI zqhn&c8oMLX|@;JzW5y=C`BYtW2O^1(OigH}^^ZHb7v0!R4SK&Cy~n zokyEhXX27$LQa;PxImT+E$Q&874_=D?wPss#JFH}Sf&g~RtZq4bOBbrbTVWC@P(pu zR#swJTQI=r*ybFh^apGSdIGeO1&jls1Mq8vf&z!xky*LYK(~O>*wftj zi&?4zk-q2)svWf*qrR`99f}$WGjpwL_+%l|PnHw;3>!^)m@HZ>S|sFn13s$Mu_|D}vFLcnSdW>@^XA|PeLM`M z@upwV9l3sjw)F!<>=vU8766;3nzuR^{#*+#4+>MT54-OMW(L#-!oulB91j}bEKxL= zg|B(Q#+Ekt!U%|-*f;_yVZg3mp#(FPSsVE>!(G-$wT`7XS-Lt@v6g^R0HUW?)0v&? zR9V~Rar(iq-v7B)0j6X^L4^VF{wST5g+e@xM`AI!g~ux^?xHUw2#?PPwb_)~I1F&5Zls7ge zeHCn0tNS$wKIlylFNkcJaJ%hnjhqF9d+(Rs8+wt`eHg)m-E&ho$@gqyGJ|mhZhpbB z&Nhgrg2EP2UyO&G?zUbd!1hEUkE8^J^y-RpSaImbnuNFslkRYjK0L3#H1Fc?&^7jt zG)I~IFx4EAyR>MC2iBI4Gx)JsB9&;yZsI1W_&$lNsHgs!#Bh$-sX*~DwSikYe>w@eI5=Z3BBi5GU?S*0VSVZ9msnxOHy7 z9H%=9-netJ@GyLfCX+;|;11rDxcJ~cA2Aw;uMufS#RwMh{8c+_#P<7b<^m_dWo(eq z128$Jvs*O`EhJhN-z_YT>je@MLva+n3)$7BZnbAKRau9)iQ1^w=8^#wYx|M34TQ>Y z+Lk@)iKi-M(0U*%2Sq>e9ZbWWe$paUGD$G~G*$z2y}e}XjP-{tdxo<51hD$S6UVn# zy8Z`Y`AZT#%#h}U^v&RFtSRU?1A}~@m^H#s2dpR=LU%AJ36n*^XO#APr~@b2p(B~Z ziP(-uspAxL&1srDdqR6z|KqDv#rVWb5;@eq)JfbAQRNi#@qifFma`kqk8D=!qqMbl zUKKP3GL2Da!V)iFWrpH(f@u8#oBbT3=tGbgq$(LYfi-s&ks9WON3 z(Hv5RbB0(2BFl;>(bI+n&-Elsjw)(_1UY{i~;s5a2^9uekux&IJ}hpp}VY|DJ< zXvfUQqo-9j=H4h=tv;`evHUCsCF2r;$aX&48}btqUm|;C%~tB2=twc+8A%q4#uu zkqh;9;n${>`Q6F+-%Ts)+qM7d3d#0sF_ieHyR*tK1k2wtfKh!{0=3gew_3^(sMRrye+@3g)9Vin>5H710A}~sa`_90ms~O2Y0g@l2 zf`c;Ci-J^Jn8s*pR64si-+a;jBs57|Z=etZVE>xm~sK|Bj!B$wR#wf4Q?7o?%asCbEIMMc6Pha8`I7!LP->EDVZ=)9rpZGZh1eg=28cEcC8Uz{FmuCE z$jQ|(s~6OC9T8dEiP5G<6Kdl-+Z1IrE1?~_KcrvMz6!(_!Y{{!U)X_Ua{;a(0A0Yd zy?}K|0VI&Ba0DZT?H+iJs5lE{*p=W1+0r-GY_J$ST|R})W=okkk+snyzz^6fBbNJ8 zdO=BwWP+G$~XAYhoYv zdW=iQ_Qht|687Z=)}8b<1YaMIL`-Xay1{;X%ZedO~Wz;;+^#XH78 z-SF{Kewl!CShDEOx)%H5lMCT}@+lb$std9xHg|{wb z3FxSPaJcOE?@&B?1&KmUE!Ma;xm`xC#VdmJ|1|B!Hzm${tYEi{= zM{((*?OKlTiAj}0oodRJ7=xI3(^b9#fUnvvM#x1* zRffiIi{tM0rGxP5_AI$IY&A-axU%eszj#nl7M)>&6 zIP!OMABI0l>wY`&e=c`#LZ)A;omR{nuSySVz}nt9v*9ReKP(yn66t|OPIi(XzsrWT zh7O4Dt50kdhNz^*N|P-d_nDta=2pL!JU9j-gc@V;oSGp~7@nLB5&C_FiZ2a;wLM`A z=5AQ#TH4;m?bhWp*IH$S?IL>GnDXv5Go$vmJv+6iO8_=zl?mTk;Pgm^o$wC}S)i;< ztP=PvHcyY$qcL-N;f~@K#7=>xx~VCMLO2G-^2}Xcjk$sK#;3*tN&#ks3hWU~M0v@) zx$r)=SRZ4MKH>p;Y_OpM0TLYiKKgnBzD^cbcCf5NJPvpkr=8ssH6GK({(yWb_X;gC)*&{&YQoU~ z)_OrvJ=V7KHi^)o9his2Os{ve%4XLYK&GB!rF9QPtZK$i08l8Glr8s#d`lk=kR=#V zBuQ2CxPdR)Kue?(su7IF0x?tuCP3(xgTRlD2KOzQ>i!J(I;FN=v*XU5W=(${1%P~x z2+7@vD6~2-5PEmv*=7+bP2l!L#DpL;`U*~G1bKXJdth;sM%jL$%n2x^izTy>i^0mK zXNgZmptX!@B63@%8`(rD4S@@; zA{v(Zw!JmSc~pytYZ380;$GMqOhmXhNPgB%6e#A500p8(H4*VVK=7e{L-KUg`eRQ> zW6(mSr9*oYH1$uf$-|EQTepX_AlG$rv|Sn|b}8OJFHMHOy)-41_=QAd-da{V`rprN z-)YBmZ&8{THjJ|CRtRH5uVUYf3~&N z8GjWWG61RFq5Vfndb<5fhyAOuLp*oH^u7anL`0Oz39GVPj#s>Z1}DyIRA9<};E9mU@1Q5N%BQ<6NcKuhLW_6%A!gRJ65B}p!EE@35E8Ce;5B}JwL zAxi?SV#WiBjASR7@2V|oI+Y#LL0x<{j3c6JxJ6qX+l4PG!2*#ELqn^`exy6>**usIA7U~0Q za(!+N?%NeCer9Y}><_O>?1junivTXj=o`ocY)(`R47HpDk=S2^aOJ`u36CGG46aGE zVpSUlNLgV1p-C#bnB_pj4mF6;zgR)r5ARHiyqkZyAKZkU&A;gM3!bfzpJ*MR`~tDP zx)$aUkt2xDp`D|PT5OTHs8?LjWf#Bj1<5xPK1a+bgbBYJN5?DoCDS?RW5IxI@EQpP z{D9B;fx&{gKY>NjP^_oLpehWQ>!4G?p7nc?x3`hM`gYnYtF$JqeC~}coG-==A+^V6 zuG23qxzas{;wY13ia@6N43Em6ZjXF8Ng|0aKu8|+6FK-sOL!-kKSeYMnrshB@#nKv zIv2ZvzA>!iTx3#YQo0woeqca0Bh8lv=DZ^e!G&yx^0eN2?Q|-XWO68Sy1DR1V4IQ; zDiNDtyP>-{_j^q78$$O4(^{E)SJ2{^!nbUb%<_BlP7%8Z&a;*tC7&>Uya9Nh&noW@ zb53t07rd`1%YA8Z)~uOR;Er4k9+y>CpXy8(8TC`U_iOJ=Z!lbR!r73uH=Y{5FSA89 zHZ2co9q`f7&*irDmjsq1Q6IMtBGgVC$Wh#_G9Z7u*qG~@s{McpMkB}*NN97hD7Yoq zv*!+$gcK2$@yi+zS7I6U9a8i z3G9v`jkPAsRL6Pak?Hl?^hM(vMd|CTR*42HH0Nhy^Y&Hu<9pn-luN15q}(mzex5tS zyQl5ukk!idMvKietyXu_Tq)Nk_yp21?Ef$X|4sAxPrvVfD^1=)D}KMx|54=0@V|*X->CV&^kqWv zZ-iPEtbr_JJ2uoL<_vWTCn%`ImKL?X(Mf$1=4zs!1Y%nRG5*2C#MD~DAsWJgc?MhS z5g&rkcFB?91U^hpMrR+H%*z^AF_MXM6cA7An+`25nw)ESlpGacF57xHKR&PS-9Eo~ zsel?Hkx@{Tu{u{26kN{4kxIawdO|_%LE#SReP%0<|8|cNZ9A~$d)ARA4pLr(7!)o3 z&0hBzkIWK`LPtdzi_%?62wV53T?#*J=Q1x8MyKr=tP3imUmP#kD%deE2&@kaFHG?V zvl`m$FC~(4{R&#M1GA*Fw>$k8J{LN}F_QQ*LtcsAyecIJJI4l0)5708XbY7 z;k}FE6u@PlfC&sg(+;6aPCFo&vqfUenDe!R>Ev)*IP%djd)gb_8 zD|r(=oxF5EX^cK3_tXZejg~rcfTU7Nq==x~!NSOkfUmo^8iaYgzb&SnBJ$H#F9gE1 zI~_0L!;trWhcX`9?a#D=YOGc|kLBji>hl8_TQD=pzN#>EOil#Z#*Xb3e2>v%I~Zpi zL0^xS;0*{Sses)=c?mU`S_Jl*$3m_Wu4aAVGf?BImuqhc4>tyEPzhkdieIP(3W?{? z9W|$9bOUuMk0%hJTXLdfjY`EfvhOl-hg`b7ESjd=Dg6O^F@np0M=1@AN~jjXOhzhj zOYqqtr#8ssjic?*l`MGp6+z`1quE32IQ;-_8Ivz9byOXLQL6Xcf+qcW9Ofg9KA7rs z<6v?hN+MQ=t97@OTAj_#}?84Yg|)%soPYSjrzXBo`oU9Fr#Eh_&DLChIw|T(N{s zq($x`4?e`3f3QsunhD!?`qnR9A)c7rx1I-&8*Fl7Mqh+;ony!GQ4%&L)u%TfBiNBZ zc}L@ts<>OKmp_8&p8rM7%lM-bpW&AYzX?!Wmj=p_z`jU-X_M`9Ian2F<_3lcc99_% zOtJjZq-XN6*=Tz@-q0A&NXtHn{9ToVLDE~CSj6hfHQ_>%6|J#G?7<5TlNJ9z~} zz%i*-h>jsAM*-NUH)Qhsv%N^uL?jh>cN_C+t&^Cyl=n$N3;b+2rp|O_ottt$5k3Mc>Rw29MmE3rh3q3oWKdSU#7bpc7$cGVzei_@%#AEWKH9;=#NODAdlxmRM*TnYBLN7aA*1>J;h1%8DLi+FPM{_Zf=J2*xw6 z^vfEapKy^?lq1nQF%9d9r~q>7oGVJR7M2#;Yr9c+q3#FmYwaarADzwcxsT@1G?r=o z5}bZ0K7e6`IhAA1Ysw5n*USPGD8DA<*w3?_Mrla~T3rw%rHg7xNs9#7Sdg>V(O znLbhf@ZGXOkcPW$(NxAYXr09795Lu*B886PuoEB_sW+5~=FI7;3)n?+k*2kaB8x0$!S-MTs=d4Jus(r8m9|x$62s(xXG6{1+D@x0!4jh zK4ywJY#E&&$y|k$SemTES`jsSZ6o2Dz_+$AlD=zN*bX2%dtML|s>rXS(POYF(l;ML z0K&{7&?$^9+Q;j)jr86*mnJXkie--EVqhKj7%TdHTmZ3bg`7}(bpEi_V80~>l z!#ggPl8g}mshOVQ);!V{WzoPjU7xIK46BPk>z=v+++#~(#o!!#&$u-Uj7SZm{z+x5 z#tOx>YtrGG-4*r32CRKg-n^iC9()=Acz_uE8R(l)hSPs}Du2@#{S%b>f8uKrLK2dy z(r-_t;2$k_-tEcWy1l*}_?xb`P&$>{bTj;2*E{)2P1-fk2>`(5C;ISTe&IJ? zvA@^Ri}6bd%f73_1=;?z@36cfG;bf;znCn(UHIL$^Q$zKitdf|_TN#OUoBhsX#SNX z`;V6XJw^7NSFiN@t>=$&IEMdC4#!IWE05-DqNS{Y3dVpnUh}PQaapV0Jk{YrsVH`t zEV7A;kw)|be_0!mf;iH00SeM5knMV+-GEq^3Cq}B5Cq;B-^ozbg4}Ghf<>ZGyzqpK zdXBG=J&BGjxD6Ft_@sI_KY+^{y1kY>hDW)YCthDUUoYLe3GV=bqXzPXa;0dtMTG6v z7QR3xEnLe2@B{IId)v}>EeJjVei6~(Cxb_M8s{I>u`zxbtOP${NP>hYbme>ErPr;{ zh2?vSQI#&o>kdiiweM9Yhz%x~|9BVzBgjYxmqZ8zPI{`yYozP4?&;;D8`3E~T+HR5 zH2IW0<*Mh)>)b}c|MT8Q(0;NCpg&p!O`^VJIDht2Cm){DNCJ6*yi+msy_#7>L0PpQX0kqzcKhtxQ?HGbvP!Uy6oxxxaOw4NZe^SHhv z7ZqLiaEeDSuWek8;-JyQom4Xm7g+?g7=7Z4kgo)Wl+|{{V~LWM`rg8z=iU8sH=NW} zrfYt@yBpqPjlJS-p<_S41nnM3*jV{PSx@2S11XG7PUlM0sbkc2xE5x+=f>e`>(~~h zW`F0sHK(f3hb)<&O{5Oap(QEH>0+yf6)N9vm+f2aBRggq?=Ph+&m#yF5Ja=5I3dfa zG+v#qGGUfC^R$<1E+_g90gi{#Qx6fXFDL4wo^Sn-e8x-|wyxh2>emgE&+h8wW%=?XkjUmlZ9$dn>cbQ0A-xU0 zX2*C1VkyO zKHS$y+R#G{h8UArL;R3O5_rCd2MpVZ-Pu;%YkWaGRHTT;S^gP|zWk^qqWY3vorzDTV3c0~FpQX*x4p)mOvhC(YLI6;$x1cR(BGq#0k zC3lqcnbpzO)afLT`-1tsbGp{OQAXSrf7a!x+TKo%5%D`*3mc~B=z;K^AL4EG`?V3e z9~PC{xD@Irn7kH3E%vN5`qj?WreKgJ}3*Rr03hIe}BRW0lnFJpKvX_~)v!?vY6 z1fAQ_+k>a7OWRozlNH9K0tuyt?(g*Civ|=ZYNX^Hl-=tD(}dEHG?W`J&_f96hp5J8 zg{#5Zg*Q9iU!OALn3R}&GLLJZh#a9A4%(KLy>JwB4yny1ZPl8lE|CBc!}FL3j0m~v z?=5hjIt6e;$!6Old==`D_R$}Ot|%6U%m*MGD5uxkW}G?`fMh&4h%k~2j$*AgVnQjK z72|8R8VSMvuxXy#3c^#vL+`9!@g3A63)Z(|WHh?8}%^S<> zU{0d)de;l&1)`n9)qT5vaNPwgUlpzQiQ`cEhoT#4?vtUf>uk6;H>ZekNS7DZ2O10# zHc)a!rC~oF{MiXx75~;=L?85$M7yqwN{Cl`T*3|73wbYgTluyEmj#+Zbrj4?{;Oe1 zB;d_XitA;L2IqVoH~a0enAi^A)+@o1ID`!lGRm~ z!g_`zbN8t028WFOrs=6QS^(j*ku1mx)3z~CYC9ahC)5>MnvFlV6|8?3CzO>DRrzf$ zEBN~qTdKFHcPhF!A2>EQyer+s%JN#Gk_mh1NGLjX6KaZgnhY}32&NKPmJ!4i4fLayrTOwoph3Jit}3x5Q5zEi zG!SSWpzD37ND7~jL$R_(t5Vr4&rq}8t?zMzyYb0r3ft>##%cQLs~3y!j&xIVvm7R~ zAgD1INJRMus51-WT*9D;{wTgJHH86$av9@}kjYn+Ew;g+Sa-kE-T_1_myd+F6Fv4n z?m{RV9?>d?3`pgyR?{JfPMN6rBnb-e~2qA})1H3)SfQ$nBnNdyq5 zw1|xbB&9pNaRzlgr4HBI9~8(1I_#MeOf>+`S!b*z(Nu!IFFDttECxvkxz{40WWG`* zlpeI!Eq+WcaqeM<3`yw8KB78q^yXO;e?k2iApG_igcRE@+;Hq~SKe)PFb zLB)ZIT0n)g@zWEd8D9e&rP<|;f(8YmIAT3?8>goV4x?F zs%f*Op1t9-y3dr+{-F!d+G2r+k)M@4mtm_aYf8_T?;ff_M;Xl8@Ds=oz~)u*YeX?J=_EWB zW4)HRQ<6+R!&EIyC9hs6yt;C z=?%GCoYfwTSqc8w?cBGOZyAZWBVA$qgevBbD@g>f(E+zS2R}HHUo%R%D!e}ra1^Q) z?z2ncj|wFJ;NTD`hIOT(cl0zXC-}roi0`RY;le`vN%cXD)<6W=08F&WCge$NM#W;L zJ|UBNHr>Rk{E+zv`6Nv8F=h4#ApWS_I|WF5j1VFG_VC{I>Qz&cV6| z?nh$=&)Tk4GA*$N+VxV8^K}3lY&9R#rEjY2fe!@6U*=-Eh0ZER;GyC=lkSVUa}Tp8 zyVn?Lsh=B*lPFGs>-9^qJCuEj@HnA1n$=XRP@=TL1_D402tX01l85`XgS#sUkL0!% zww7z}z|g}J08?PJ!3$|YzsUCpvDTn>+z-AEr119lV5*4FUeje_cUEV|A!cW1Yw+DB3H z)Krq(IjAfU^*@Vel%#}$fa_O?vn9BEqILtI5UFv9hJr=tH$O>Gqnh6}P?`KNTR)Jv zlss40Our#&WNR_SA$c#+vP+}2b+!did6x8z>mUWo51mf zH*rapIUK>MxmzezK_Ve3#fTKBg4hQ$VN`p|^CCoy?kg|#Q02+csn%CB8vPn!6q-39 zr9PFBG!|zgM`O)3dj)r;nfsml8v6%@dQkiOPe$B~xq+UA*aMg5EJ|OQWHn%E$a{Wl zxyW1UI$A^wl9?;lms)VNPCCw(by&4qv@fnqTesP72Ia}r@tVARJFE!BkkyK15l>Ub zNW@kZq*Ld?TAcsZE@q@%KH!fMHAOiZS2T=l#umy)uT?|WQ~Y2`aaX2$lDL<0eBl0R z<@}mXu?HgqEg+AWLz#>i@*HC+w=Tfcr?oJuKVlLjO<*+kq{-dZc`k|vM1NKnWzjs@ zI7@WTZ!zc^9cAX8d%P$QW+bwTT z(xxUmp5gfl05|AFa*2-CpXU)I<}q|H|7>y_5H$kg+MO}|4U%Nx_U_K}LW!CW2!ZnL zN5ridmHF{8DW*_yZ6|g#w8Vt5R1wzSl+&9K8AicFLGaMbHj++`ESfJ{$s(Md zj?q&}z#0@-!g}PFAe^KX(<9Tj*h<@~^y<$PjJ9&KEaxz$yHXh(kM)gA*zA`jpm7!G zTD->`<3ud^^CI4u6)-csN1{>^OYvF$%=EO)<~XQ*o+-r&KV!qm%`22FA3F;@^PBam zG8@%lR3^0?G(0Wyj!*XlkpaJhVAw`_5E3VqsN__|XyXOH0wrX?pZvKQV*0zykfe&Z zkch+^#3Jx}bD}pQ4BH!u_HJD8S2I)kcS!6%!0!9uzZ;>yqHg~VM*Bs_^m|L(-*-5F zR39?@PwGRuHzW67&^0bOPe}!5B+mf(OsJH|uW6K4<~0_CGv^y3-N|Uc{1hcxU|Z;&rTBS10%-K2>y5no#)FbSv`)meT#Dey}Zqvvs;5v_IN*$`I@EdJq1lxtB%s z;uW7dQPVs!%U#cCYny~fo=%SL$OOf=ExgUwLKq=h<)d^mQV2h&YVctC_m)O5V6G3wowx$vvw0n5v)Ui*^WOu`^`#yg&AFvar&ArUo%$QkEEh)>fn^ zuPRb#4X%qbt-1I~cWIz43K* zB%ZK+x?(`pXY@9F1T)oDRZFAyuoqGKg*3m~HdHbFSiSBG zSCuU(gTd{m{T5h?&$!`ICHxFxBCsDnM==^!JsRhIvQ=agaWev}8T64=P0B30;UmLA zS~ke6fV}|2vk6rd8-J^rj%OOCER6S$Pt~ZZeKtHUBFz*O91P~I2UM{lg*S!)^xn#2 zUBtBW9^Yp6&S2pJHH#-*tBTrAtM=k?rK2w^+;fK3x7#k!H9|XJY>3pS&OyvaS-@|243?j zxw5mKX{tQS?loN->SW(Yw?ah$@xgDh{-EaOwr+6y@a=DcLC5W1fa?N;C4K<5LnEN`-#_ zX~^m_Crd_<)rxVLS}O)c*64GUc{c^cbmIXK_KWjh7+%(${_ z!tuR;G>6_s|9R(p8yx&Sa9UM{Ux;7qZRh0w6NQkT?VaGu{Fa{aZ{x(bsn@?u6Mxfk z{%7B$`*k4pcIRKbK)&;P|D8_H{I2!>|7d%s@Ibe0Z97iIwkoJ372CF5QN^}xRBYQ$ zDz=(VYJ zsC7SHlD};9djIs%8~ipWV?=FCZANYWA4K&3GdJ@~K>yzq^bUU=sQH-n`S(8D9}EBI zMhGJl>+j#{QWZmGh502;QrkVFP|+D$OxjAJUNBT1!6Hm3SvFaCSsoc)_^$*b9mq?I z;Qn;6fU-OSAW>wDKA(U9QQ{DLi1NJ~w^|P!#~Z-U>&Lx>Z2j==AJIKVu2ZX*UDh2- zZ_g$_GmyYzVq(UYmL9U<;o#gg!}7$5$r*P5$`kv1O~#WVX2?nK0O=T}mT%xWCVV%x zdUuU+!QRmWM*6eAfEPYTDO-%~;bA!k94fV%4?6T1_II^fcCd zE}8OnN93c-bAnF+LH?nUr`6`Cz&8uZ`+=h^%>tkQ1EVsUhC~tJdyx4L*h`2k@srPU zi0lxYTk?Dv3zFza=Lpk*hdt0f!Xrd$BnAl8L2!YrJvMHzIzW^8*4jQ}J@v$MM!_st zEaQ#Ug?xkxdrF`iE3u0vB_-<_JZO&-{7*Nr`xH(PP0fnGgR!Wp|~gBHkw&$Ri|q_(w48YW)&!5&yy;3V5D!U5nIEaSY$Fd#0vz6dg!7Q zK6UXJDKv;sAVTO!0Ycu!6aa8562%Y+=6l&iVrHb0#(Wvv9;chZk)u6VfdNm$m!5oK ziIPY~&hrpVPQBSe=@pgJF7*46isb~+=n}+oi#U1o<>BZHZX_=c{BXEuB?vng9zbl%z3^1j#Dy(vCcW z%!&14rIlr$p%!(X$>CnOdq+j3(z%>M)CSc1vDEA{Ib{_yy%9Dlglkjod6xE_X(g++&9HY33@VC=3g~I8&`baf%NWK-Kd01#h}m*f z05-c)CbM7+<%=Z_MmeE&WOHOLy|s{wNulFRaHMFx?U0KZKI8d`xuD9(@Qc$!8*5d7 zm{btVYV{U`w|RBi5XJH6cLIAlK_}iN-IRSz8y_jKUy%%xtZz8>^3&2NlB$=jpUiyD z7{R-V_`<1q=cdB(>GS245patkqr*xi{X)`7wxwTgMPgw5_{=o>{|a^QPbyasNV?3Psw zl!~}9h;cmz^#CC9BDX8a>{3^pCA=UQ0#I9P4=;<@GkiXo2QpF06p#^n^gwJJQtEGq z8`z+&3gZeNp%_Fb_aZkvch(r8g3zA$@iNaCD4?82Gq>&>kjfYIEVepXn@GV|tXi8z zU(%t4P{<8F$->Knx3FPJ&|3_931D?Bglwv@QElCQ1NN;VDtgT`_p$Cu)>O1+4qwSVkkeAJ2(Tx=?-h7k+-9vfo3XJkF7QJkRl2}p>t7UJKKUhYp*6O^hfAq^bH*DFzZlJR6q8wqL&m zYhZ?Jn8ZpDK}A4;dLvKm0eNx&zd?0R1Wa$rRZ2v|e~lOn{rRbB%VV%^I+_Wh z%F*#2k`0W5bR*g}cdnkMkkXC^gv5^vvIwT}`1`raj@(Xa8{7$~fBNzW#i%1S%UBiK z+rFC0vLGNg8xb*q5F!eGP;e;xv^EdGf&|hR!QAatK6xsZ{xe$9cx-AzY1XdwP4si! zDx@UdN8w>91`s9?hfl5;g`%D@_BqieKSxTy>@z0|hK{Q2H~mjQi=gB~cfo8$ndT?U?yul(auOtx3mx=&*P?!kz@PFJ`kV`t@PpYYhdN zXl^*hn*gLB0ola(*m#H1x?_$Olrkh!TK&)JpVw``ZCS{`13!+X12(RZS}C|O*6jTm zzyk(B((!pwr2_OYq`;Z<F}joQmYJwu9lpLcdREB7!XG5_(M9_DqdgSREO)f zS5IEl12@20Wd#?m)|gv-K;rkYL*Nn`=0TWgtsr0w*Zt);!DF|$ruM@r=ODV%R<{>O z+!>RHDw0GJ1R~4~!lSEIG+8*mK2Tl&aF88;6gVefS^caq0ToYrTqr^T5UGGSr973Y5UIiUMA~;L9Sggb7lpY%uiEr6VS!26lf- zUkfS<8F(kd$zh9nn=2TtTiuHWT@Wj3>z9c4ixPK%VWkzKf+wtxP%xY2h~0{=;ht1! zqCTruzR?(w)1-HO_H7IAz+BL8RB%#sssZEHayfLp`VLxubv|RimX!(PC@^2kV(MIM z+3497u@UO}fE+2@4I$-Aj$2+RgsfFM<7UX65I&1j{F|A^Ra;?iV*F4 zG>B|53+V9LVmpYOt(=jmF zb(cth1b(k;aU4$Y=Wci%df|IlURqwCSE2*?{Fwd3cZu1u&ls#x=puNqTWL&7s8^2a z68CD$e72yo9oF0Difx*HYaot0YLIgO2~UXJ)yX+AV0&7aDKglY!fzHbiXm2?7V9TU zlv}NQph9(`LMo9#?UtIt=;YDrw`Ou?18Thq374YdyRc19IvvFCZaWwGO!f{Qp(uldDTNgkX>8^WZpj``L%l4ul| z(`OgjaL?xvyCQyY!}fO&>qCP-#aQ0pO*Y(}q|Y<7J$^lw>N7{bNuLFYISA2y6xp4t zZY=eS-vHaGeU#GPho^$w7OuEv?HV8GH9|3E*YPDRw0(Q#@`1gfqM{7sfRk* zWI>z~#*Ej8FxPXa?laxoZ`EG@sTJSHlc_Y}T%~W4MpEa+s1rf7QS-&_1WTlt3g_V^vbr1#R*Al=yFcEAZ zV>Mv%U;&gSZSoVJaW{5apJ*E>bm zedMZj%5+)QGf{jcGMn^UA;k3nY?I`gT_tOI3sF5=Jy|WJLchJMqw;En8ADqG*tBlQ zQQraR4^@4##?ew{GAt^Fox0pw`ul^qit*Q@7qS)Vb8@?Z3h=tvqa~vv;x-}9=*cO( z0GCYv>}ZhFw=k)1&*Y8jRKHn?k&FmWxDE}JELF%V5AfYUj@yN-k~>kik^YeiI_dSFezhHx&W9^Wf2DJ ztP)orhQ4o|O(X)e00*mmx;Ot({gci7?4-d$PAIEn$BP&_vh_yYdAYZ)ogij>e}hk~ABuoW#PbSmqwIxg4&i)%LLtES1}PP$Yp;I_v10LmV{UPMU_@6I{LF zIviu8E&E@we2&tsdz$LP3AdlRvxr)6s@_V?>+A3Ea01P<$_qTw@14(PgR@fvB@LH& z(ej|bEiYHrl%9?T4~|(pBQ_$?K4om`(9>#Tb2IuROgR%LOBIJ+EMtQsI`)MUNg<-4 z;3Xl)p;H16ex{`oO-9ckl@y63?)%a|bUENb=PMN+6d@HF91$tD^`%nhGhi3GY%Y-) zHpbVW@IcstNAv>o+^w8_Mrkpnf zruc8`8WlTyFy~G%3wmzj9Eb{0V;?lGM!AzWJF!2wm>e%0FR-j^x&g1-5B&F$nL;vW z@*A#)%6$kNGvA#k4l?Jx-KEOm+!?Q4CfTQHAxgeuXt9mir@a;Sd1PVsh}!xI z-A&w0KmUdqYg@I`bBlD_S-UxlUz+{gfbzo$q^8uH+`|W{2@H3>17ZZ`Nmbcg#$0Rh z(x-dTgY~Qtwh`7aO%5*qJ5iFii)^?U2oU0(sl z@-{T=3$kmbw@!MMjY11NOunM^Kx)QZ?VvFh=V3@?3;D_f(Fk>opl(rx`Z17U@RN0` zE8Aop+ZliS~T1Wdom(LvM`6UGctI#b%3VGf-3Z{9$rV(=5PqJJ~RdBnrvGEYoaN`;PcVBa)_)ck=jB-HVcO&IF>^nDh=1@RcSh(>Cp9-LohAp&} zODBX)wl%?L%nAQ{YtjZd?St)J5Ntm+%a?6I?X!Fr5Ei&YUSls z-|(DLOK^9L^;4ej&ccop#+SG+J^l1Sb|wN%@KUq7=aTs}?NjThc56+`8Z)4{KK_yZ zIky_~sY8zY=WRDdZY2Dmm3tz@VhV=VCT@^pk}AbF&}Ynsx6?R{w;9Z8!{%W1ojB{L zDaUm%255GP(#ED){F(e4fOrvvKwNdglZkpp2>h3`Jb{RW(Y#~>36~>*s0vCPuad-{mIgclaSx?0~N?vWZqc|QbvL>D&;Sa z7=p0BxOw{139_nh*Pw5|PGp1IR_KvINn3vl@Akv)y+qKh;}O3Gl-?aQZ%|11mLN-B zE>^6!0pc=I>S#N@r?V0!+3kLV-!rRO&~D)kOMh^#0JEWw=V>W zRE1-CB~NT;)6;~%49N}c!$+XeXnTIwIxG#43jKERI8^LcWx+J4*?lm@=Fueerd>cN zAc0O9iZ(oBX5%l%A$y}k9ep=%{`uXHcoSC~g|6!KFxX)&%rd3jh^kd($>R?9`iIBP zQf-l`?jT*q8wDS>dXxL9gE|fx14cpU<0DQjyD3i7sz*NdhJt4y3S2E%Ea7C%0lf`o! zOW}oIH&v@0(~mnZ8+@%DSbnk^VVrX$+uQ3`OUBq2SZb*wd{)cinP-&&yNkDna*H4jo=Y60h(>77n1tw^L4)LFIB0 zE-x?>fNDIDrtA>_5e3N(TzsfSkLUNRqz=NzXHp>x@Z^v$`pUbQxzcycxw4UH>1-_B z1o{9n!rrnpFtA{Ct1SSq(s2-rev9RK_=f(1wK8TjZb@!rTzE{CM-hxO9InYZgFFLx z*3U)xTvkwD?^*$ZXlBgKwW7OZs@9Y~3AV?J8erz8cGrA*(E;v_q)iTY^R!lFUT4bC zTG;(FYt-$;%)Hdy5fDksW^gN?Kg2!a{eG&v*U{F3fk}P*7=Y7h<$^-;VxWFFfA(&# zt_3FAK8TovqVy+4>!_E;jX%^L^Uq{QM?5?|u!FOgy}JAqv@>D%TOg(&I! z`!ox7AFiNPoND&zs)eheH-EzWa62$ZUoHT~vX>QBCr7Oc%=_&13C<6pu3TCMV`BWz z2kchc^wZZHfMkS*jZE+Iyp+;b-_}*!gi~Q9)^cbySO=izSpLS&!7jT~!0$6~c9R?o=wG3(*bzJ)o!@ih0zMh$8 zsL>eIO)N*Ko>i?my8$Z$#qU`f)fp|?KP#ijiz?+S?!@jZsFfAwQ0<{HByhM)!oLNl z2|}pwq51DU?%+ssf~|D&dKZ+JM?|2l`)0w$1Q=5{O1}kh@FI|cqZlVpYm5w&i%=UV z8!4HDe<&{aBQ~S>XSZ8Hw%}qw1eU{=Z9O{b&XJv#kH0-AD|- z+7rJ|y8N;5f3L7J{$61J=cvISCiJoYCnY=fNPQaY`;Stxhc9-*7i90CfMD=i1_pXM zS~Q06I`H5r{T)44v6G=eG1C6KC(?qnSasaV?G{xA)o~89H#K+6x3yA688dX1wsPls zpVJwPb>4G>kn(RNPts6cT>4%6h>NE|-QV+&L3Y*~5rc^@ySyw=*Jjc;<`qVu=1150T8yQbxFkvG(COgBtdXoukr;?{|9lU94vd=YvMnU;atvV3W_+h|S#hr`nFep5GWN>$d%_^f@NHKrc+eaMhe zs_eM*_@}w0h54qDiN%4@neli86^R3hM zpFdOJGj@^-;T1+icmo2Y>EX`&ht2f2N64fFRV3wpk&S5?{;c2q=#_nh7MW@POU~fq z7r$?%KYsk5oWXx>mi^uz`$gK2|3i@d=gDh^KLc_8SopthCZ>NI8;jI5lCwtc_jGL5 z@QiDq+ndhQQs}{MNRq^XxC?+_ZrYH6$40`AQI|o!`{1bGF>Hd1!G-+r_U-h8Kx1ms zBBG%9!H#&A4*jLmhT;H!!S}_EJGcxY?b?cK{ru4e7Z&t@mPd95rQLJvjfR$;9hv?U zjD&9>EJk0Hcbh%R#Nu;3!V?@A=aDC)d;6vS)(snad^qOx*s7XI8@gT&J%@HUy!_xX2LX2z7d_ zpW;6jpk^1WpFoO4R^@k_ev-!d&v39Tzb2iVXA~?0nF+^bo>02pb2_6DNg0$77P|E+ zrLU9F2$$)b7xDD8M?+@=T%mOfUv}SW0@Xn+AoMDnYzrBFgBau@y>7;K@mDJ{2vZ41HB#&2Kz4)njgRTeS`gN1Ie##`L7-{-#@0MgsFdJn#Dgb2$Fw*5dJ6E z{Hr6aO0A=eLl$`#7H_8u0`oY(wG^s=MT}gb=LL!*S7WhW+(V; z-X8%00s93RV~MQax!jvB`U4gZ0=vf%$ieu*eY3LbTR%mey*dIMJk!JiwOI;0b`-6R z3)O@Z{g_|Sj*hyC?9~05!OS_x{6=T@kcoh+p(_+59MS^O72%YS$TLDhjChW4j`Y@} zrvphFmnh^W@=KLXAH<`BN}Cdih=;)YgBc%R2w^+W`az@T^Hx>=8}CVGc;{pGc(14) z$0O1$9^15SUcvNnM`U4^Z9p%~v-3j{LJ}cWIFYc%E$n@kTOHgzN`yoHvM*7Z9AX$h zEN!Y(e6^rzb-owv834455qh3tuO1+4W>i?LFLve*hHtVVCAQ_#;5BGptG`XS1@UQh zDvpjG@va$a0o^XfjDZ$lvqZkzni&P6hmKOr9ZDOi?8+6mu--kk_qJ;|De~4P17esr zY-P(g9|yL*pr}9rw|$PvZeQdnulQp`Lt*$YhSjWjL5J+^)N2~TU7aV=Un#TZ`HJ5l z4Stq`Ra>E56=8Z zOZ$W!DVc+VyihzWOMi44Dl|w-p{sB%cZ~@}I>(Sd7oeIq`W839kN5L?I!AMBP==GF_v)a?H|X%m^{HA^rZh9IwF#N^^!9*#N|zAW zjG{e^R!Bz*`Kb6R?{GC#Oq^KE)GAU#d@8j<=f|vru7|DIsu|x)LmjGqHL+LX5~|@o z1}_85vdoT%Jh(CLDlQ|7R?}uvcdG*k@PY~}g_CkXhJ!&ng?)Ka0-VV)Oxkmoz#HU+ zPjt1RLV1%$x0;8@LVY6_N3WSa{^qctm=g9vj%f(=;YLouK)wEa+ZHQk@_>d0*Ru^= zjcQB_Vuawk1_7lhIL3)-P&B zOARjXHG`;tsfH?xqh7z%RK~kT(f;!Rmm_CW4U&V>{e^LFV0O;6&UG#zz+-d6l>)o* z?GaLDfnEaB>_2KJ)>W-RBHbG}>-!s4>-()a zw-t!2Oa0$wGE_N$BM@tDH92?AoA}vmP8@qWfn&K_-uLt)%gD>5mtvB)N@;b?N=%hf zGnCr;g2C!DcNuOz!&RYDmTx{!Y1csK0Hj#6*@}7=W`O4nTmGmMYfn=MP|PyU@l#!> zZd%?%2eWLWTWfJ`x$SalUOQ41zZDlk_x_GJ$&GVb1*nR+HX3a8{5?7-cX(Qs3@j1* zO|N~2#}m&>Z!Fw9@D;)sZ&3wUlf|Tm#>ETa@Ceqlrj+r%cwKkBNPklqxX!-Ej`VFtLl}jt zIq;NK)q+pd>Xq6iyf^@6C1{Wiaaj^PohDg~(3r$E_yFAJ46q%**8Xk;I&^>yLx7>9 z%}~uO<{Pi>ENP^h?ewa9=C!yFBV^!L-OO@i*c9LZf^3Aa zIYPWN%^e9MSe~2xgES80#EAH%$o1|^xTu3ivV)?49Q(+I8%}H&G#8aDYBL|*Xpvg+u{M4Yj%D(uQX z(Es9TBUpu{_j~JD>?O)+46?4F2@RHCoRJkfb!QS;qxt6;p+@9|o~E>-4H|T&@l%Of z*G~M=`mbSG_S*KgVy`#b_SH*vfGV;z_tFOs`y<3&zbNczCXAoKIY;Tv%!0Z8FLi{9>dPF5lh%>40l1JJ{^jext1FH=YoO3pm8ZX;Pfm zDQN-3oz7HrHjuY>`L;EA;5!)a_dHR7NJJ@=9m4`_I%I!=%u(Fv{=8I5P95IK%*qn( zXE(JSiTiYC-X4hi_NKV;7THd-vbcHGb8~F}J#^0eO0$Xbz^bg1_};T!sj2;((Y8P> zUEw^Z2UoNJa2ZDw2$R9Ej=@R}2ong^E6M;`;EaIHT6B$WU|jT2bPcEdcA)X< zNAxwX&07m69RGQp2aXQAZfSh=$*yb5`|H5+h0oTcZfP3%=Bbc{{a$JvMi*(ys9w~( zO{^s~@|)xip=*`jb^H3SgfyH`&?!>=YIm+uTt4lQH=Q<-T?$(3fyr1Uj)H}y@b{@F z?h=P=0%W=i_Ub`Y7+qg}Mz6nT^W&)XSp|B+ZNoPBm=~J~4Ca+5CWy$R&nNke`4ec; zO+s|!P-bW^R1 zuCtt|>LFTy&QMOU&M1VScK(+xx0n!Q#0%-&Q_9m6%UlgHj+AeS8mTS}Wp=fKefV$& z20Q&8S=+k9RLTR0Y}Xwif-$rD5mW$G)i5qi3>a;T?k31_3SxADiJe9P_RkCeEHXM96CTwdZaL4dS#wR;PxFef!4l)oH zG&bWloT5b2>|5@}M2+4z4tPF5SjxDB+aHQs6IJOG zn;@5(?5M>+e|<>Oe^B`UUZj~<;A3oF&qGCh;qT zQkH19CK$&?XaiETMwsFg2f4No-<--@eNUF?_TmR#?H$jbU(#LAoYR$jL}O@z1fu2S zqO0wP2Pwbnz@;S7uizo@_2m-N7fscc&=l?NqG1?3631!LT|_8yi+Vn+tnODX#6_pZ z5=0G&0QFbgDihZMF8|ooF;-?1(x%ob5rCwCfD-2r_17z9P!SU?(ARfTRrSA-Vu%pW zL!H}9dZ~ae?RU?dUs*lMY=*`G3n^>$voL2e;U(n1Qg_11@vfQH0;lR5Zpd0bwOUX) z{!H}B5-bo$*9KM&T<|gM(re+sOKtUCovNVQgR0K2q_>eVWV?#oX&upb6aa>2zOH8i z*-)kzL-iz|rDggwm|pD%kqgAQKzCI!Yp{}aguWZA1K3I z!G`r6OX~HObVr1}W3VNf`iGVSLXT8UEyh12)42p$3{G=t>(?$-dRx4__PzL zchtroc`xIYRsI3lF@$e@J7%MfeZ_NkJL@B<=$_Cdyg~D+$T!a$(bHr7IC2LR9}CSw zwDI);1l<p-xbgE`DR2%bR*|VaNDq;YzGt0u1+DnJ z6dr08l1m`&+i((nBU?-Sm-+1^e%JRTlbTu%#@Q8+!Bsvc$Bf}M%52>vS_+c6Q#Hui z!0@=vYrGl_0cO4`6Is?;zHbV{-&B&HEWe#uL-?|Cu}M*q$>K+Swx)`p?Gw?_{qgc; z^BoWAm|t6AkouyV#fZk8G{0klDnC<2!h6xY#us-%+NNld13wM`X79m;;0u^_D`jQ4 z|05{DS*Og8%!eD$A5(;+mwkw=qL*R&yc#Z0XnhT zeY~r(gu{N?`B8A#RfI5FP~h7K@u2kVtMzbCy+=jxRRB3?#~LDv?1tov-3EZRn)yyK zv^Es;*;Z=@x#EDqN$9gN-A7_vC`|=w&~2?r4hVSs4oM%MoQot3dm6E8%uko@Y_%t- zCK10@hK~tBhkrGr(PxZD31G}uxWB+*-PLsG+dw(cOEM&`tM2lhxk{WHWOdl$Yz(*R z)ccx@Uxq?8CB+G#RLS&a%_0s?<&|SfQVL*xEf_&*;ZOssUj%@vwQtBc5MqxX;7xjG`tRy&Y@fn|R#4Ac?SpO}Iu z8h{)Ot`Wg-HzH9N0bb;1G(}`J zNX#A$EK}`K90m^mKGfhUnHq#+Z)Bk;Kuq){a|cxwC$My>`r%!NI~FkXsfdT%v`D_p zC_v_gud+HZM6j}5XW|c7f#ag6xL^Aw0nVb}9Uvyj@G&cR1%8SJ0>9gm^rC*iIH`Uj zN-P@(vk^@+8$+h^x>7YvR+x~)7SHJB{CHo-KfYlD6LiB{>N;-v0N-py(MN&@7q%l`#ubOCVR( zCa5vi7|S}Tqse8u#^0=HuwO3Uwi70nPVV0%{lz=gBu4kXUerIMlWbQwHl$Lqn;K$J zpVhHa<~A50&BS!+f8j8ql|_XzH=(B+O*AP;&EB;*=)Sh6Kk{<oIhoDcBp4ms~YBC1aNp8N3bz_HrL^nh=;vBT19zGJ7f&2d-Z)P*BCt`phl z#HfQyo=oZjoNruS9*s<5ovImX$!I8L>3x9zqI!L zjO6>hQ~CFakgRmS!}HCfP0f(Mq5B(JR?ExDb}%L~Jarqr0LE{D78$2xEBb;)na|fT zEE@5uf3MdNS?#DwSZqwAH*}z4pZ*F}n&5AK>W^wkp->!Od`gg;8w^Q%86PR6vqf## zEFV}cuG5mXL!^1t>fCnkI$o(Q#coi&7#JU)AK=PtMml@!RCTc}=ND3LcM&(Xtf(b* zcDC&NR-QdIik3IaUHY`w_n1;KWxa?km4MT2QX#ouVg}8I-+I=_I2K%No^KY37`8%0FJ0t@Zqw}C&J^;dgMA4R+h69z!|D?q zH-=|>$;(JCX+jBwazPb$kQKqc;_IucC`4D04*JB-$Y3J$!8O2sBeh0^#|V;=6UF?Z zME~L)q4RqIcWxTQ6^dic()SYWgFbM%Bd@_XQmZ(BRdTBZoLG z1=PXugL(X+sgl~fW1-DAr{WReFxLCd_t7^1X*KhH&5v0Vl|@1v)kCsfyY&i#F;wbJ zgEC@?UKOxaOyAr@8PT}Ydb{L2`7g2w{ySZq{)XnpnY31u@-*w+oso%(wF+VhuyL`W z@iNP_b-1}Pl`pT&#(r}XousJ#M4QE1A5rjIFS~Z^xTIjzE zANdJ)+;L^B@59ynNP%Dz=trj#>T4veq7#=RE28<(v~#9nWeiGr#ts{{52TA`pm>0{ zbG)CTZgs`$!eyH*2!dyKid0ZvOr>vFtjoi|7?dn(&m|s@qU5IqHVvy3iA$!R13!+z zIl5K6jvCBBpSv08n!oNfHK)^CZeTt3*}W=vvzIPzOcpAxX-b+ziw%g0DMSI;@5!uK znK7lR`y)|yiR&6(KQ^8?Z?e;Clqp;6&~-VqY)xR=K}W1MGEx* zqN9oWDJ)D)!$cSuuD8D&Zbb=h!d=*oKbl`lOE6@EXF6t-Hxo`wzMX7yf<%<}RB!`o zMP7>i^=3l*w}DXNN&+hK3ctQ$e~u>naWVPz>Sg|K)@9~jNrQjpd_Ke>zkSC3`^Dt< zSMPtx`TQ9x$?)4f>+gTR7#V4PyPW(p=ktLSr62u;6t!`CcV@88SJtW;^Ptz(R-lq`>(Bj~xxZhO_)h*>cMM z>IP3^mWUmt&(m47ZQgevVu&SEr?~Sxh0c9Gay3YcdyZo#sZ(5e?mEXm;zdVWM^{T% zZ_`F8Da}VqOC{4JPixb5Td~_27d;AJm;0Asay?FUL2Zgm+?WPt+sT#j(<8Md(c&I& zU!N84^wVs=7cfd&9$B80otIfy7Ft>u{XC&K*n1!!SDa8^T&Aftwi&KDTU?zckxZl0 zU)pIzN&uu>CGMW;y>o3hR=0I`H7(bZH+1(zlF@#SJxf}Rc`lGjX3Fwh>S=uCR%ja* z^D=wEzuNXch-wy=#$L8Edre|Rb6vONl4vq;oz?L*xVOAJTbD>WI_o?0e-dqf{3z6= zp*o+~tfP2*IV2R`a9oQ%ubOT6-k&|LRXsJ8-rM;K=7#E5a)vEHKuZKMiO(~7d{;4N=`4+FhlcMR+y=Oo2 z8FF9aUMhlP+$%R0J;BO8lZy)s41mlD&*ndDB&J_6nSb1i|JN%%uZX0i;xCwppx|H1 zNB{o^=7c`-2ES4Uzb*x~AA{?Etr`89mG;4U`{zIQ_xBVnEzR$!*0dOR2`qi={_>hu zjaaV{tW?o8nkXZ3MgU%79n(hG!xAye>%d1$F~)iY!lbW=q_18y+A`KdASZv|lf^*} zk4lP@uh&yQc=#J%xgQGGN;D)x%yT@#XIB!PXeDyvZL$#ynJ&~})?znad_v@4vrm)? zDQ5Uog(b=t9R)0cTm|C{-5I+ef=-u5_v1^z<7|fMoynO{kCB0UP}|p6)!LboW1v%1 zk}r|iu+|fLT@b}VW&pL&b@74m^!AZEDL6Hs(s*iuN;%H0DqrDK;JoZ=R#f}f-gn2? z1jEhiZ|k+ZtsW5}L-&M|39N_sx`=ryYD8Fguugh%r*6AV{ia56a1OkrG%9RcRv|z; zl0aj^Di}LmBycAI30Fl@KCK8K1+yE|hY+FJv=fdW_<#W7v!SVTV;)Re?1)v~(>P5b zbY)uEK=Bjvqk}}SkKkuH$nN+_hIUdyZyd6RtHYFynEQ(5xgY# z-IS{3lib;5mqx>rm4b}ad)V;$@eb#ajQe(0KIFHD1O9`h^p2`J=+a82I?`1gNrxp~ z>kdHYT{i60(auHCcwh4g9LPlrPN>*9RcwRss-%+-nY?knQA|+w2r(3IyP>&E&pD4f z0v6A3W?KL-4|)Gf{{_g>W%=f@@6r678HFh`)~2U0bpq)Jbt*bzshxhC$7YZ!-;0$h z^@QSx;}WsX#v~G!7?@ z=fe{a-=BNCq!MFO-m!BtXV8wS(r*D@%)hnH`u2s)5VSZP!BcVw`69sgY4MUt7Cx(V z_H4@yLGI>Gi|?>(JP+^L#mz<)BbOWp>H<}nwfbi2B?K+q?^4TAMb1EM@A}#II&~;D0SS zHXFf+Eg})Za(#Tg!2EHU`MWmWKjggse3O0r z^?#}1)6)E&w)_`0TjU=qoPSWW|3S0-S84yBLY%*7>urBwF8}qgqyAsBVSmO$G5pr; z`1>DqI!2b?6VcxkVI;8jF}T2=-H0{|81~8oqv`ni#IOT}c~C*3K9daO)M87Osn5^Q zS5oQW_Sk5le$o#n_^x>>q)tCGvsFMm;G;r>7QFjGj7|Dl4FJ^zpDr9MLeAGS80Q_0IMu_O`BYrEVg?^A|-weXZLCQ)SdUHV1%h?L}qSzAl?e4hlS1 zUvIzD&M~cbEevH(y;bekxLYIXy>#w^$dhd|h-dOU%>{fZWW$btec^L7E3W+xCXT0jA15sE+O0w|7oX~9uyBwdB<$S29FlC$Z9!ox1q@0{ zzoMCN3r1=AT*`v*k1Qrfg7e7!rSi=^he%riFA&0#l46>YqVE(#A$lf1z$wl?csPiZ z<)F4dP`>65Rsd8$WhO{lH=0{236h=m7x(vHiWOyWLRtuX}g@3zBXPqW`Uezj`7Y|Xy1l|zws~daWJjpL4lzH zp9vFQ003SP2@Q-Jd?c%^@rP?X^y@5F;d2dQOR|%agXo%i{N(_KfC5(?A9QNpSnS34 z>qNhm4y}`pi&Hb*A{vI?DuH^5xP|f~UO8J~uG5vfZQ)!j5)1dI$x|72v@szbt%JcE zHehUUJ^JgY)7cJXq5phE&QpfialsYeB6SZU^Z>ndY!^)pSxl^^yp%P=y3B~MorYSt zDe>AKfdNM9&>8!=Dkos$sRKBut~dg(%Nu=&L7=DA(fMGC3J5 zC!Kqz2d_;+!Pc?pfq(2efEqX4kcVJy1o%P>Kun8`;s-_rfFc#|6eL{YXDPv+sC+9w zxJ@pB&}{6;6H68Fp@h$T!FLekA?uV;naDU|zyenmM-N$#(SA?o-?hjfE@2Y^wP2^A zL^O5*XLf`H;l!9iw1c7dl(oDuw$?@qQV`HMpg%)waBU-vDlWrOio>kM;BJzx`&5kt z=CNEcbyCX{0P;M&o0^7hbWu#_tnu`>jFsdH)(iUH@_d4=Q(|BY#1e};fXOMij$d2b zC2U2k|D+*mWgCSntm71-=E`lg7AGuO8*%K<4ra%+dV6LXD`<9s6z8xF1_52+s0^+Q8WD-qlGDP>t6IRw#2AACF|29Ybb0i70X48PJ%;FFd{iB z!?lgA3`E`?asz~O;i{NsItH^GFR=TE95$xdR+C>i9BMZpJDyOF?b4@H@NuSRkb zKw+753=^!_D7Ox@1}K*@B+6QwqUv;kO)>RS_$<_(1v_8_!5acDkcs-KS-M!@sBbTx z&Ae1divpMw33=J%z@%xg{L}FK4V>h=o2(5J&THUT)_5b|7pGVZK=aTUjUxKC5p49c zW^L@uZqC5WVp4Ab1wmX(UNcTI5K_3$b5?Q9L#x;`9|W-zA7g;4Amzltq1+ZP@gBgmk!_wWU{Zz2@g`3)%AM5X$|pYbaNs zzMWo%9(LYdDxv%_lb3Lx2me3fzA3)aH0w9EZM$OIHY=>yw(X?iRBTmj+o;%fs$$zY zss85csqUVh-^{r<=W@S$zZd&rt>^!&^@q^+D{0!~=f!smSX({Ib<|`ikR+F$Dwtgn zqIFUoTf;B~iR>fmQcV^SxX)#bx&IUDhfTN+dYO0N*fM{9a;l=7|W z_3CfYvh*NGk_z0X33$i}Ng3@T5w;49{C8bw4?-($tv^Lag>FfZpF;5?I zYus454AmIHtzX|4p25-h!B&;P4|zgWy4KCxcb7F2b#g;IG{2};23X9C%#@9ZJ*DR{ z>B8Q(ew~XqPsKq{?-$JnEzme-!k8eex` zAOl1qI3=XyAXTc%I9Q|ynVT646tk=ZALSo1r`c9jfk3Db1qU1k=pR2!NG1K@j4$n_ zayxB_vD8oSEiz$%i6iEX8~G9w@k*VKHtXIWdF(BW<~7Au*hK$+9Lb%?-7#x|;y2t^z4yw9-`z^|!p#a!$pm~oQ7GN%1nJ4`OQ2#}50wN;s832w~e3I>U9D2i#A=G}x~ zsf{G}agBWlV984M`7=1li(>1jY*eX@%Vf(zhp$mDx6jNEmkF4lE`us3GZmJ+%M3go z{c<)Ir@9yr$`eZvf0F3^3_~91MZ&My7t+e#%4v$lmg>djLqL#}^8^KPCE0S1NA?Mn zcvqp+Bb>}Avh{-S6DzxFJkI4YjMsbwk6Fn}fn4;3+FB3~0tZTO9LE@kqbE0lKF<5c zLJmpMmXa^a8#MW$`63Z8N-|$Mgx4-Ff9~520{x+UrMjKtE)Oi9+9FI)Rw7EdoUfbg z0`;k08`U}zAQ_VNq}2NB%#{+hb1)$2CvF0)cQ-5K!=+QFkrp>+UH!PPR@(I7&!Uya zl1!ACXc|FeVFEK>Rh%gKq2uQp(#C)<?${%>q=sW5Yh=)wegM{b3HMB|8#ZE#{`_Q{sl+FfYyYFmA#PqCaMmOARz z8rIqopDi!qjcnnyfM0aa+rE1h1QL-b$14~LG&Nh+IOkb^f?c9}bwrgFczz8yW6hFb zVA36_%YmnyH+)rcBpt)&e*l<68Z|x@?N22d>#m)vH_WIL)PV`-?>9Bz|E_9t%byO2 zW)Vf^s{1ruGF_Q!W{*<~B^`}4Z7Q1p{9gk4Af|kt zg>-$31I9I40ToAlE3o0+ly6Tb8A@S3weVJ~wRoJi&9AgdQ4ZxrIO872>iHLYbkPp* z%+@%{kWTjTr6(f@ZTHyRO!vtmkLzrF|DJyJfP+JPNslu~S*xxyt*qAch0WjLB5kx3 zssSLx-;;tsTRRwWePJGm8yZyd9$e>U1-clu_Cs|6Q7AVze(y&EI(;fj*snu0{g+h= zo&+{RuMQ(RiqvA;ZB%*9(mkXb9NOPOr_gmL7;{5hAmL6O?!Ux+vqUTYGUUr_3hs{F z9yxormmhYnYxCA$gn?LTuNT_28p1TdC3=uCWZaNU`I0|cvuEnmZ*r!9TgW53EY*se z0oM>|XUi<-bAq@DbN#7_!_`C_>+Q7g=%VBPK^=`UrL*MhsHo0a4fd#eySUNkJNt#5 zkb#Urf?2c%@xt(+QF)t)HZihtntd1#Qwm3!B%5@HPcM7hQx7eDR|`CAM~(veh--Zr z5H1RM<2#JHyU~0y>vjMGB5;Jnk+bv0A9Q-)?7wxeu5hU}Jk2i`;=MLLAS9gl9TNo}~By~FWBc6|pNu|n%8>lICJdS{3j zjc0O$|v!doN--n zxhv~&NVqB&b~84a#)g#^iuP3|q2OfQR(|M-7TU(o);G((aZ35H8V)#he0wMWQww8H zpuG1gp#?EmH!)YjWVTulb-dW})Q_)xd`VNqarFivd0*GP{1_KOD`%~y51p2KI59(< z!f^kW#c_!u3xMd!*BDVs)KIKIe|#MNE_%Po?RdVZ>5 zF2<)i)PP^n9HvH@S1()RyCjeAQ9?}lXN(#H(_g2y{~-PSKVN_R z=3o7*%=uSC>;L>#&%*jEHzP7yK^)l*XV9jx^(w-MMGY(S##A8KArMs=9_=$?oo=w4 zC=n_oEDCkXPDAU;xDVg!nseg`Rs#}c1YQ}0j_9$#r*Lt~0%Z+3o@<0YylvJuG#PyN z^0Se%C$D?24o@o-Atlzda#jRek8ve6**;9%i=x7G`cHpnGa-cwnlArUH5vA5$c8P?YnP z06I8vo~gx{OsxJAvb0#Qw0c$Tbu;xrE1izl=f(2kmGL#WOIk zYpP3o0`&P1LJ&d{3C7ByxhXZlI5bxOU6pq1crxMij6h=MYUBYqEWf6$$2Du5O+OE5 z?g~3azBxu@ipP!XN>aX@AB7Ik&{xs(L^Mji+JJYPmT?@Kc*r+kU5^JK51(<^0- z|9*cj0_YJO9tbW3o`whxu3{_Mm^PMo{3K@&uLPm~1nX>i!_N-3;Lvz& zFcQm-a|`||bR9EK7apD+o%qpTG0;V3t`lwVH_u}&{P;^T0yS?ZmYvbstj`%+8x*D8 zH>`cf`;YvVi`V6NN>>tFi|6fTtkFeqv;CEIR`RrFu|C;HIz6P|*E4t&PS_C_eoQmS zQLhhH5XnriXQwlrA?UKACdsEH1Vh|RM@0$3Ac4_Fg>8Zk<~6`_vw9yyHAUYXLWB=9 zkmkgn;!ckpx))k}68-4l@a<7cQ@^~_2T52wHjqRD!N6X4OK|KkU{c4GzR!Kln}@u2WJA0B6TCcB|rBB(jPXwBCf@~rGXQ# z{i>5*J=lR0abhjWW>;ncB%a~tKwCCctELv_dy*cIts4`b7c3+brDAk=+;kmnccZRx z)vE_KJEeoFVt8kuh2ZIaQgBdsuy}YFE}ph9g8h^FO`dvN+e)XR9NK|IhV|)Y$xF8+ z_OheoY>zajZF6Z$;+1q2Z93jh=Ui%Qx;aCSOKhtt3*@^YUwqs6I9;t7GZEZ^l0aFE zbaD4`hL&_rTrw3~2vQcMjX*91zSVUZXorr(LoLL}ql>%%y`W0S{EK>H_^JH;Pgaj2 zA4SC^WPa8g!yiwv-^1VE1K@v6bb4RG|8Jrb<2#7{=MMkP{s7g6*P*HlI6c(~BcWXX59FC|^-=`kFS zD6%M`kPOfi5|$XU$R`z0*j7Z+z9UggjjMiPq2+EO2vsyQRcn|W?3;|yrLUV)p$eUr zUz%4eL;(pAp|o_pYMZD|f;@WJv7gxD+Tgf*ih?qo<1bKD`P4i;fBR#hygZ@nv7I1N z!r)8x0)+bsxQQ>C_hk;j^V{T6;Ew9q+o!9+rD>0B`;y`k^MsFu9C=?c0eFGXCP8>X zvjDM8L9+s&yWo`hE3W~~Hx&<*$4X=q#GEv(RQTqfi`>c{`gQX=9vifCBWIPMG|j>o z@mv)9z2? zyp+N<-b}l9ux5HDi8-5SIlajlnRILA8x3CV$mtk@_O>e8|8`U8u7Lhbv_9dM5=zd_ z+yg7-si3-wc@)U%7weP9@Bw#z3;0p)-m-@N$#hphF)k^o1vL??i~ApZc5h z0!a>{kaq&^b(oAMubfR6D2XiUWWa&6pme@cv9!MRBOq1JwhQzWcNXMV@H2Olmcmp- zVkSnp&a_w8&sA^9h9QpPi}6A!e0T(9vHTf1T0NGazU|@seWgqo^^LMp^cLKSB>A(j z6zZhBV{k|LWwC*jdCo2V`y_cS@ev9hA&hYddZ;8X7ahzW!R3O=7S0YHORh*nh-{0+C93T2{r!k@L zvYuH(q#rEIXIRf9bKP#=|rRF&hZ`un!d%_20 zd$_@-+o_x))5_9#*^;Os2w!sCm4!pqy-joTjzWGhlMBa8sa_){i%srFvy*STuB}8+ zhokBLIK`wUS%J=KZ(;Fy#er*Edz&s0kUO*;j)^PhhJQ-M_SMm>CV)_z^OdNsXLoh! zc33Y11 zC#^iORSu%CwBahDm_| z-hi;)9%la9Dj9!`y#BY)L|I-#S@Ac(Pyfd);X4tV@ty1Rlkdd(ceKsla=HJ>ccT9# zI{E$Ozv-4gLk)gc`G~%gG>m_aB7Wm(7&#kR|FKK{S=ht!^TB^TieUXilk`%QGDQ}^ z$dqAgElpH|E~TV(r@ZeHe7E=#K(UJU_k)7kCd}jx4G|8>qa zT0RKsH(s5MJ*J688X}Z(MM9l(hLM3LJ~070Lm1d;q|$J{jnbtpr!&pP2n^G6YfA2f zqZSBhS$bNu&rWA@RW!CB?qss792{PUdiosIvz<^jq^f5KY&Z*H5J%EY{!VcUX2UUR zZE!$VS)5Iat*)LoJzNfiTzt2ix6hGF9!hdw@gw;HlH#DLX}`V-zv;~x0=HkvUFQ=z zLHNPlMIiBh_>g&0sE||8IXy`LshDA%9{fq=-U7(O(~+WFLBCEf99FB(0{!Y^Hj64N z)mMkbC{gSP2WOQE8mM0U3;`Rl#V8*uAHvnqsQ4Z%CoC{8b6ktwrPuV?UQymMm;FW? zq=yMzI}c&ku~wmqAq5R_g`WdL z2Kqn7iM->NpEfRRKP{3N>HiMF{4B8FtMdJK|3onFFaJ$dzVn!VDNBKO{PI7JE$<1} zbZT_JZ|DCdm5Sxh+KJyD{MS{=z{vC~KT#z9H!L$~BfTEo(pcBfS7PWSi$lbURY&a5 zvW-W=o-{WQnKE4MYVmN)OaAAqJ^^Km}iLpXQp@T!?Yjk8_Ih z8m>e@Y|3udS9>ochw2*fGS6lz%YVlow%bN!xui4D2Erj%OrMgpQ(IG9ve*OVdd5?p zQ9)P^Swui8A#3Wpvbt34XUCk%A#o_<)LDU7B-{BiVg;MC`u00BbTg`j*$XRnYO27` zuQkOKdAjMrtv?JdIyOnURq&%m%c;w{9RcUMK|ZmbjX*m*=_vJy#(U~5W43XcyySQv z=NecJOJsZ2MlG7z5rP5Nw^2-<`-cW2N*z#|@+>at>b{&|cQ@E5H;Ns;RCm$k@PsCj z9TB+6Q=$IYXCx=RLAg){Qi$4)4?ug~Ql){Bw$qSo`=We}38O5Fnc_v4b)K-U+S^A! zZBB~Op%p?{9I;fl8ns5wSHuj>r#v7$5G zohM={y(zu?f_5^~?pn9u+cdaBzh!H*_-Y`QSyC-ISFi*ZnStkrYGo9b z$4JxRsJF-@`)4U2;3qj#X^l_$?pk^$^Cc~`jz^Fz0;%+7UBVdqQO+XZafxN5J0NfY z=`_56W3OZZVSRMa>F}n+#L-q3JDL@B@EWUhLKa~+Hwd8&Fjf6BqFTdN=rY{(nV2!- zew%vUM&zg~8pGqe?Od5w3&AuDc&#{iP!<6t{BryksKq_`i1B#n9C~K@G{V_uO0cE0 z!{m^h1XSr~-|D&!%`+NjU0*O@T2rMjj=2ttFQ2UnL)maWVd zet|dQ4bn8iojpWxp1!2ZQ>#AijS9|n$1 zZTrlpP)z&jLqDmokX$)C(JeUf#?fi9r;zmvy8Ba+o8?eR4He(sHx|)()x`Opqny5s ze0cV|&r@~&GFci$$uYXkwS%)ZyybkAHAT|x71nrsWLn3D+KFsEm@s%Sei$%k-PM+O zO{k`KHT-V&^E5ZNOCk?ef)_n^U4=RiV0wOWzQMOyuvmL1R}BTlEH+HFhCiRK6v<1<&r-`9N<>Jx)2)&TrH2&viWVO(y zh=*+x+$muhTRN~7!=P^Y24C&*@0*2BNXEXBF$pYZ@_ss-{051K7Gy+dv^_fT;~2%Z ztzp|xPp;?l+ftQfD5VLPC!@<7YKAtSp{hRUX}gcL8;S9vGxy!8yQ1Hm8aI~ob|I=9 z#0&5gc|gEl4}+i4!oMS#e~Zu*HB{B4e_m!q*#5wy{do}3{I8$a|D9Ow{q4Uw4mf@l z*Zeb~Sd>oeAAVl4|Hzh7dKYN_JR$zc%K9UK`?K$z`%QNJ-K?FT>1~C@FE#INgE+35dex`tUjOu2D?y9+yuUJCo(>ANP-#tA>S5qsc$|RX8>xz8GxSO#PIs-d*lnVuc);m zaJX-#Nf}tClYLuz#NG$XkTMyZH8FQp7~7It6cHj~DrD>%)_`|t&R zcQ%o1%i=xN3JMr4zX|Y)BD9I#ev(vRFU2umn1l!Yd~rb{ZxYc%Xu^JG`PMwq-xJA-k8WsL%%$XNNd&~E8G`u12E z5p&Uc`jP<{R^K}Sf+hN@?48H0YwbU#jX|ZaB96DNc^I(sNZ3GnX6iM z>%c)jhUkdwRrnYwY6kFR_l1;GDNGN)bjuV))_o5`<4mjaV|W&eC1GLX5in{!kG~#i zbVP4g3YO!sb<{X>Uw#38lUo9J^-lrRDt<4%Rx#?32sSx{&u7o zN*3q(wStm`2(~HsTB_Wa3`teX9efUr}~}@y(Q*bt)Qet0o`8Rl6_AxC~6FMY3Z= z!6~X1vmaLlPM)o53_|PU-pP|7v1N&&&6bAHW z-Q;kZc_h2hmF+RGc}@+R!N={p%B{Y_#P}80 z#1H1qx%v((ubJiE5_?cJu{=x^SA|x?r{S_6VMp51lF~lSx(wMt+>H39_<7^e!NqBy zHgRl=e#;%V9k!?lC8jjg0H!`ax>;9~K}jP9u8e`ea03betx`bK`*`x&@#wNc9@ z`ZXkAqUJmd7oOEmp~t(dHFEa^gGQ&`eu+0L5?VL54XM|k+KdFI&qhum)j z*8HIi6#_@-4tI-cvqOP2^x=;(yLThRfNOjj=9}ITSWSGAO%jjcZEOV>uON7;2#5qJ zFt^S4U4ekt!Gego_>|YsJ{_4eX$O*7JL}Wc2U^lf!O$|d*&tZKF*kfi^{sZCrQaw_ z!~8A-KZFB5n7o_oQ)zIo@M$e8aC+*UFE=rG<$nt*#P5)hDN>{dRf-doEek8r$tL00 zB&bFCF1~w?d(hkKtxbmE$VZo#Vb_H(x&#v7-Gwi_6zv6=Mdr1Fza9&RFRC!x*Y6S^ z$%k#uD>k?l6o!MlXTVzwla1*SL*k`3;NQn$pPXVy*CT$ad3(DOK;y{9)tR-YPv!PNFF2dAC-o5GKN{rtr~LbX;4^BK)ct*j=+>$0@N)Ur$@dft2_ z;3Q2YcnzI3jn$1?$KSwIm0I(Fn=BXRUc%*2GZ-Qj?&~#s`m2}PD0<_bd{SiSgm`sr zez>x_&o}D!1BX2+GAcGw?ljU2A4t5hpAZ{q)_@1C_xH}q%-@?7r*Azk)cR#8LGM3& z7rZxyd2T@IdaG%RNkUfw+4d-hBDs(ll z)YK;;QK`{rqfy6YRS+yk&7}-qiOcjrN0}^225MD%Yaro7>P>uXB%L9&oxM+K5t~Yx zZ7w04X547gzbiecv{E4VXJH=No?~%i;X1lZgW8}ob-PpkM!D80X-#i?y1wGEa+^NE zm-gg6@N^(cy6%r=Osj(^H?lx%53T_)Y42-TKH(!{Q^4=nyr|nX4tSmG@36?>x0 z)%$|NZpjsz*WYn~Kr={j>dbn~J|9`A)B5F5VQnHriH3gE^K8FBHoElzs!1*Y?j_|@ z`B|M|mU!ue)4<1^Do&D0k+>Ol;G{>!d$d)Hr|r*DY>%C90KEO(&D8l0r{qz0VPE*) zUT4X@>wWYt&tvF88#j`h`F6)A+0y+yXY<~UUf!b1>F}YHimGpJvn~6=PZSb^$jUV+ zbvh`ZWq|1dU_%sT$=Ga8N892!s-7I)jrnRT3I-%5qg#VFu*l?{QCJj3YM^C>J+^s{ zz+5~HxNJ_HomssVeQu?t2vw{oe0ta|ZfIN`3)@6T)6L)_}Q z%EbV135!XOd}oxSiW^Vx9by%qaRWLV=o#Lvj^ygg1^xxZX8eWx|8F6-va*7VoZ?T2 z{a2@>pR#p^_ZjHlIUTXG{W@)7W%y-Z^!L-2pZTr7&p@T#;q!0Wbr+kz%G6l?EdBiL z!GAql;b3R@WliK1=VOU%gfmddcj}&M1SbtV(^3q=gkS_k=#LGVBO8kkZOzVR>DZD3 zBhlF0qou@Nc~YOm=HgZ9!ey$l%Q=*4+yY8Z_^EFUA4Xaj-4-*>(g!1tj7&7(!s;$_ zpwVI`8DqV(1whD~D6bNAVZ=HaW_Ucf_>Ur)CEq zuwg{IYHjD@pj_ix2_{9q`{jP3eUdm z_iEFDxWqXJnlCa20{{o1QELj_S7wH|5%+JZF+UJ%3&%v@kO&2na-j&I&+QHDGcHYO zDuR|2r&$wd0+hX$q;|$)OIeLQmci0IljXl?MNY-s!Ok;!9lD7sUZ5(}O1d-7l)z2z zX~1@c|7=Qz85N=&SNq%0E(yo+^IEkd_$N)cM3O;tbArn@0u=w3*075>-GEg260TVk zB+GOVk1;(N`uj@*@=z;*<~Zml!or8V{!CcGPkx2OkjZPIP~$oG={yU}-M)zI`2)-{ z(T8!ghkywSUW$DC2GtMvGd8Ss@I292ld|81ouC^lNAu~vlE>}1YsgXN>B6jfo80zS z-fFF7RwNwuW$;8+3anYdS-tgc-gdYY^cc`d3{ zNfl?n#>~UR$?(c(jNZbS*_b+w(I3;$+(O`!O5NOLuB@mq0w~HC$Y8y#+jYfd4{OT0 z#-MOZln)7<$HIN8hLXijYr+VehAsVN-_n~f#%fmV`;XBwo~mrPIPhgJ)35mUxF^mg z{9F|fLV5+JXH?0P`Ub`3rF=POakYoQr?e2pJWR;?X4={CeN{i30wP@CI*=JhciLH? zpX{g%42@ zZd2U4l70NzCA(-&8PO9-!S7enfYr;ORqz3LNSRd&OxY<}-C&*-2)k-tQ^q*F2J?hO za3lTL(7MOSJpnO~nXDWYNAE`TBU^0?Af!pJGmj>^$H2 zTu9nm#dGmFb9-BOjo-k>B{74$SgEk1WTZWi1d-y8a-P`IpIZ;`2)~4KNC+okh#&b* zk7P`V+x!9@!IMjfg?_wG&X1b zWA)4AI54P45!AvaXSuA9E{Q*qDX#T^v?bZcOz?9o3QY;7ukfS^%l5!=qjl>cZ+b=6 z8gnlr2uy%Ua!i4p`a|4f@*hTGzE}qZv{m7va!aMvTnR1BEQA<`j6?G9xm9?`d91xA zt^`BA(76Gc@oz@~OQWbMSE(hENJWeo<#tO}4b!#~aCJJd-y!Da>u`w=$~#ium!3#W z2;H3rVP|?z)OR}o-l^@iC+bMxPknYyXv|hdWrry-XOIpsrB_z9>bf@lK8v;ae!5Q?5vUi-fon=k^HBW79fGCCe&zi`lB~9~ z;Tm-`U4D}nTh_*YzHX1tDGgdm<;5w30}{gAB065IT zB5?yi$O(RY^~uiA^4>3PS9Jg0>u&L|`b$MLtex&yP9ztTq(&C^YJUWosVfK&F|!rqb7V;6*nb@&J{UlPnn zyM6R*tS@6chE=ic_&qvC;?)aTJ$;Qa^ck9ca*!Y+A<@?9qPn5q`9lw9` z`-$>1eM00tc|wj({(Uz657W?p$cXK5+xKyg)cr2}LFWSLAbMJ+RTXLw-l^ZWUGZR6b zD#_!B{xWK1lNJS$5AXtc{5B^Le>6*Is52-lc_{F-*hkh*_ApJ_ExIyvFf<4mq^=S^ zD_5|nd6t{%OPtb{G74$VSNRzVydQ%nIrpf}hgaSlrG+#zPNp3%~#_P9y@v=-1*`U*%mOiZSfl8u{!{#zggCVLwY zC+Zg@$RtNyjWExUAV{urFib7{vyg&&y;BvP75>qfU-A6i!1WZnZK_AlD(`}rx1@?z zP~@-0Zri_0ePcEHl#EEpx{8p5SokSR4Jjw-EHR$mKVA+@<9lNNiOuSoqf4H{6EQK< z@T;rw<|F^zf}CtXAmOzSAGfitb_^hK5g_jX5Vq9ZPq4HesXLn z!3>X^COuD?DMwCKiFcXJiKCyL~?>DsXid{Rb$pZS=E=O7VeK<+Tffc)X z+x>Iy^Cf_8QP&jEjrpuBIKFxT2tO2{(I^v5ygi=jRSj63CZ8!V zEos}8x3*T=P#>eSwvETrllFz-lm4UzR+F^3-7kkEaYGW{;1xybRCRS}t(h6|I!r*E z))8dHvPt6(JI+tLufsf#>ZG|WKPtW;F%0Y*SHaRS2OysER`E#IU zQ|GCk-o3k(z|KWubs9WF6mmV3qo8sLn_u-rOJp%Gt=x7_OFfr7D$LD3WrMeYB1b``Ne(KQNMh z5Tctp^fG-UV>l5s&>^9PuAa!sL!*O}$!IP0ynvktqc}8hw#RC8ep}HiDATS}L`rLc z1m%W}EYsBOuYLbla_YbB`-0LE8j3&rzR(|uEB~-z!=>#x^^ z$mn;byDrAS46{VuXmL=>H%=be?%`JoB>^7gca|7r#x=7Y3@SJpYjRY1oy@dz7G0KE zTtoHf0mX2ikcwdv5l`KG6hnHiRgsDu9yi2vK>iwAzcYB{qgLh~Pg`fmpfCfo5o3yL z^q^bc!qU=Eh;Gee`}~MtJZ5UL*9xWaVVAdWXU;bumSv6g_7(>>^tqTN%UsHmq#8vU zP4@7wl3#b~>X*hF=3DO4cUkF{Qz)I2v4y;;hX|=D6=-rrnI{Fp%ot=os*n;6{y@p> zZ?j8E6QTSPI*@11PF75WMhF8)BB?0+r3VN~PC+n~&e>4N?O5srmP};8Z7;DtZG9vD1M}mNT48o>W7q zo4~D;pq3TCm!%qT$Tzvt7CM=D|mD!JX_vSlO{(tKTlzHazY~0`+*32C#~ki zf)CEUX=q%~1 z=&b2%ew%RG(%I8F&^i31dH&D+{+|j|I;USK1a!`HZhxQ=u>Lvd^0x>7eF@WZ{Hj(d zS9eoGUUyq^98UNglA2Aon=epW?HmFA2ZyxUMfrU}$I) z0YxcM4T^|})}ofY@Zt1RX=}TcjfV%4+{Ght%lv5S;1m1D2t)xGXH0DUr>F+sOf>N6XFdnXJUz-Kw|DBlWvf!8Xo zUdY=Cl0%1_0|5KyO$IVz(I~u;w-wvG2~kXVRB0?WJ)*N6J$zWkr@qzg8A4!4eVpoW zTf&dXS;)v?^?f8g3CYq;h^Kv=Jz>cLmINI{#z>zbO?u+g1V_mdkw!u*!+r#!R{N_- zP?Lxv;3E@566#4t5Q!r1_8GQ$^&~eLJFYI;cTECrTq4~&=f`%Xrwa4XL*lcWZjK1# z1SG-a1asrLyC%O>;Rh#qp)l+J7)->+$Hk=7BH-53Fnm0s=$tYb6HPAgsu}f6f6%_# z2+=r~*{GQHCY%*Qf)%=Zm<%4xVM10>EHLcE==0ytXAe`R4wHxiL2(xr1yl$Zrc@y@ z_66q8C{d(HfRZ^R3^pgNk6-_Ip+Ji%F?fu=qiVSN!Tvd1GBzc(SAMxYfBqX#*h5k? z=@&(nGbH`ue3vkBg!s$ui}Mdiuzq(Bnpsc^x#Q_)l0=h6;|q!L$CR6NHiZyVAOZ$b zUUNZhSA&&?tc;EO*i$!zKeh@0gYZO-YC8arD7}QzHWA7nMhi*U0a8G`q#a4_l z9PJw^R9gv_DZgk*s^)u&G?Z0yull*OIU5w<<1BEBbQ-S}72}1l0l-sENnuCI?Eo+o zz%vT}6_)Ac;~<+2feP>-y)6u^47awN7f(G{3V@*i;54HMM0WMrhgR7q@EKWRya3m0 zM|=;irw2`A07DprPEYrcPlL~@9WjIacrTBRVtWDLg*t#kgL%#DY}IxRNV6>S3)&xA z+z-dQLq^}G;E9)6H?AsNC|$UZY=`g`0Sp@uFO0|P(*5qW_mO=&0EX)9pqTTnm*|yk zAxsU|FcJKcPho~^i>@1}`@XMX4uPb;e}o6bp@PePbphIVZ^@7UoP zVHBnh&8B%lqjl8UG=Urlm>8x$<6L!c-35o-b$K*9XurL^jWYp2;wdckrt;LR|LD80 z_$H8U;S%F=Fz>a{paWe2#s0%(>EU=A8QNjq_!*a7!L$fqG~#*Mq#}AwaZr6EgJ(BH zbDQ{Nztx;JenMIg+%38zVMS|B>%mHBDx)V&y^;R|=pICuh=i50h?>Qw%ET3y)bmv* zM+@NsjRUMuPLzwvs&zeZom`N6b{c#%1b+i}d=ll&rc!inj|F4-*BtcurvKZAYO zV&wpzY8Em&2_e}j#-(nHp%l5@Uh+l1Bn&_~>F&wxoA{VK1!}N<2$$2=5SY?ZR%~$A z2>i~Tq|h^)-9<>GfBNb28=Xl_eKe#@ z4Xa@>*KGs)ZKT$*63be%33Hv~*GH-2exz#YFG%q?CKNP6$Jot_o%J&>RLq9a83K_e zLovl9!%Z(!1pb0bpt@|C0z`>@Ta$xRcJ{@Jsbn)GCgh1?C{Jijna-mr{nCZ#qAgDk z)}JB308!3)v6OK&Fx+?^Q$XOty=y9sH_9ik{QB2LwAHb@+;!3SkN0a#e=OqeGj(}` z^y{qV@ME;oQ4(_SQW9z>#t;-32*8B)7bZ|3!ELSyk^>Iu$%W-5mFUTR>*r#D8{|@J zX5wP>IZDZJLUclu*>(oIJRlFDQ;|=il2!J{vb7uJNa~p@-2D-y5p{C_*i~blEpJ1nwq0u&a+OJ{AVoT^i&Zu5 zIP5a2Y3J^B!dB0Flfx!hA?D)GhEj**yD+FT+g9W@?|CJ05}%4b=&ipAf(3W=WClo~ z7nM(;G(9~%GlN0>8_tgsv`rD}nAg!GT6c zT{D*1JDP`kM-8imR;7P%NcrV$T3k$5if|OgR1!5eQ=KObn(s2$Az8}N$~>WkYDa(k z1_+9`Uj!`$hxn{tEtor?m*6wQi9OO$VwozOK|CoWBxKyZaC-Rn^Y6Z2PSs3ZwxQ-E z)qk*deL(92R8XW|uVxlS`zG&R{0ffLgum?Qess|F!Q+fUb-#2t@p$+6ilbnVk)i5~ zG+6nUElw#)!2tvO+v zQdQrJOzKDKPppogU1F*MR5Nix)CqTXlS)1EfZAKW#&`XQ5i9md(YeVSfk_t>x-w?R z@fI|*Ax`raEosqM8S20dhDDe8lu!8>Cv4+b?kRjtW4a8;zK#W)a66z$gj#(e>LBh~ z{~=vRvIp!FJN{d3ry1Oi8OoUqasQ0)rdh-}Ym3H%R+nf>4OQnN2p~O)|cXJY~ z1|t{GI_gZs8T~{$`k3lulVjC_oxlWq)Ba^#0VLviR;Kq*r$bp?C5y%AkOAiE4T{)3t!EOyQQv6UkeXB`n!Xb z)UWYl59KUuniNg**$j%7_#e&@B1uL^4HLd9C9cvK`<=BH=_TgmQBIS=V18P++yCr(JFb<1}(dz=<;8 zeSntOFkE5>4=lAR&BM|&2;tCOJab93(W2d0{nv;0-%aayvGkMuMmsSWwdU12^UJ(% zY{6L#*B(hHx`WG-1FtrTft_btT6?$?^WZVIhv!IWUARq{rED##qe#LR4Q~%a{pVuy zanx1he+)Z(pel11WE_gfJg)6?PLbJUwiKiEl^*`uz_IG&x&t2abv@Un^bE&_Yu@wg zt9_zqe5PMy@UXlIl4pw*V8`b+3SkZcJpe55$vptrvh)YfT_!nGpiOoFa!+XRcF&tj zR2*gCL5I`iYd5_G8Cy=e6L~^SSiU3X=OP!CMYlJ(;MeIDs)dd-ep@z39cR+s+7?6J z2qM_s@6p_TV-iTTQVT9BNxaWr>SO1m`X-vCuuKvq{w9x*9(&lq(jA#^l!Ujz;p$z9%ZbBjoP{?Mkw@c& zzRb1ww1sk2^_V1Mp<_F7nsR0{+Idh|xPo7|LFWORoy|pO<1^N!XbPatqp2Db;d zhaW)D&pb_o*%-Ax-fmyAT_;)~yVFOi&`kyKfi^d=sfnFxalxom<-ED%kAydwawE)RWIPbvqMqMt*!{^d{f3|6V zU^N~&CG2|D>hnixjZ+pnbE1L|`_2##8lh)K0nUU5(Gqyboej12_1auqj#T_RfLL~@ znB9b-z5$=Mr~wv$njVqTK_S^uu)4c#`&c4+*sf<{1}%!jEHsZT$mTq~Q84vJYtdPh z+6rjoO<_Uj@6D~1qen5A)A5ctu@QBM3~ZRGK*!!K&=U6J(UG+y-kfmZ-qBIc)Q>^= zbBxR3oS0x_kG`ks3YA6Fs5RTHnAcitZ+OL=Mc7Jm;+DB4F&!B5(Uz^7$#f7GIack` zPUqsQKDklk$B=u~-08s8z-!l_FCWGiNf;W=rr9m6k<6qSDQDRej8c&eFN2Z?=6Zd&N=Xl zv-i87wU;z^TDotSNYH%1kyg=L>dfgBbb2}wB&Z9*0`)X+xoq7eR>3&Nt+73Cz)|<~ z^JsiLhl+$mvy61^S4;O!SFru03U0E8LZZ`L8(NMCp*=+N-^rFYO)~@kC8)KdocY2c7k}cOBRcuRv>oWvJ+O!z1OQGa-HJ ziUIP(uPjAii&mUam9ftpv}oB`H!ZGAx)vzzIdVctGH0}7iCw)%8_jpss}@t=oM*v~yH*N_7Hc!WlC>?^DRJ@`5xq znJz}LfF_D-uc?vSN_8M=@e1?@y)Zuj==8h2Z?xR^54!<11SMe)JbDgqoAro>KeIMh zwRP-A9f;G`S=Fd<-`!Kx>acQ8R0wfL>c3xJw%6@B`$(6(Pw*Jy-r{&QUjQv8D7KGgqSR(v4|9Jvi5_Z42_8TRiegD@ zEai;+OtQl#A_57RFY4X8Yc>#9w2ycntyxxE;Ys8jjDi>$ax0qX7V@=3-mv9l$UZT!Kd;`i1seE)PG2ioX|_0q1kdoJVVqX z0OX@5tS07$e9I?+A<5mQi<6i}{>%&e11b!Q(yQf%M0X_&wqPfmg|n42O)V&6*DzNp z@G9`?yeswE5^xk|(TAh7p+#>~1xGdRI@bYfq9|f4{Dk36;wgaQud6V-0g-hv=j`Ti zLKcT2*KgXSlVG?6Sw9>K2|?VlDik~{<9$y*s$YBxc;xL4^jqp>R%K2V7Xk$apQQCz zK3zVQP|=2*TpSbCVID9WSFvV7QUP4HctTpx$C9?B%5%a+!~s&}8qSwAq!l}Ik-{b} z;769ZM;!0E>o0FqvqEhLvcbQ%9B`(AojrOCe5g%Py)L7Zm{_fLNA9BvmuKge_xF## zO}Le_&L8}qm?nCwO*$(9^Te{ShA_|5Y}cN1Vy1eP)4I6ibw0!WmTCDNW!?jy(4^)n zX}q@3J&&LZmx}X9qy6hr`PkjZVOY3TQ0nQ8&j8>%uSz%im#aqwlsB+9)QUJHI3!WE z-Z~XC`})Jq#sg%6I<#0?#qqIro(z!Ew&8Z}iJd)ReA_0(8WEBRcyACIljxXZhE6Bz zZR@c6HxQ|WoRPxHqb4fuj$>n!<1gz|jWSy8wx@1uy?t2hD$Kp&mp+jN2j;0_C#551Vj@=A znUH<`iicAMIR-x#Mh;}m>uR(ag6!%V2riD^R$YP7c9xUlVuFBsgo7OLB?xTb9@vQt zVjO0yS0Id!oh?rF`b&-g3AZ`wuUXcWwN; zh4#PDR~BYwrgvcVpI##Lzag;izxQth_P@ce^mOk}{esl56J=qmlb%l_#lqHFJ9 zXlH6~{yRMSXD^>$LmK`U3v4>p-)ygAy-hHU-_y%RT(v9)V|__q;6OgwEf2&_K~kx zfl28m!NH0e%Rz2TeqaK;QG-!+NprbgO>AznrV0pJt2{|bW_{NqF%89EBUmG`LSPMn z++v}ZVhiTzL){9!MBqaz3t=fw3oX!`(IysoUArT?`RQ&erfGS;G=|Ff7;Cj~YK+U6 znRof+l;r9GKLXDoY4o08)oT)?zFr7(c?u7^CP*f*cWTgOM`_k~z{dHjGFWpZrs9$+ zG+}?90nu8prF}o>807>oZSq^sz>~hOY;r{XeFlO70VPMb=7!|zhc?OZU4LBy?8|}u zxGPHODZu0kaiTj6LxI-oko(WmETyJWL#Q=oV1Aae;-(>bxn28BQZ(D*+DYioU)|HV z@8lq6I0)lwOvy|!6o*E>KP!n%O(T5fW3H#}QXwySY~;tv9Hri#mszE<>{6!LjQen5 z?pBhp7;pX0K^GW#mtP6rRsE%Sw&mZW!sJZK~uC!EU4W;8f$cLRo{yS zm$PE1UaAPK?pz`@)7%iAp%cge%!DxV@nyg_v2kx0e5Vy-#oC6`{Q>J`sjn9GguBxD zA<{swxYa>e_im)da8mkIlhnh;w#!*Kg3ZlpG;x7qQb59ZEV9>#gM_H@GUuLX#C2HO zGWnG4k>YB7B9^*vs{>-;Hr5UMM}jDQvbO9#1633Jg{Z+O`?lSNIri%!zJ+sC)$-&* zjKwK8CTz%nc)fgJGnWm}wSl4!kOtE=glXjt-SpdQXSF3XCYlUwOD@{vVyy89s1YEk zKHPkN3#tEoIWfv-KC#d3sI=)K+K!e)_x6}Pg6p7gFR&F1!`&_f`DO3O2|--63whJ{ zhK&2LyQHJKdpfu4tf=z)sHZxXc-0@qT}-s@sR9R3nLw z{1fu4O|UwgAHc;>7${#@`h|&~2x}g#p4%3&27Dq~a*eW)@8&}27kzdo8XH;$EJxx2 zcWU;ILmx&VrvY!2Pa52)h(iEwRYZqQN9yX35nS3U`w}5j%m$j4zLsFBrW>jmV(o?d zchKcPefmVw-OXD_^`c`7HhR;{J-z*(oUt7R_Xu?*Swy_p%8p8oMw<+hrc0#|-T*49 zm`nbm$^LDPtFWY!ij3eNP4@RVNa~+{A1o~Ib_euq|AjB~)6(Du*!7tGMxeqeG{po%e73gq&4?+!4_c1cXNC<`fjQBe-mcYzl%!#k~z)( zQ*C0RYo}o7Kt}uTbdA4OWh>Dt|NdJ4*-eM#|Kz5_z{vh96QW+({Ih~GT8F6JxzIMS zQLg@%;wWE=NZJcNp<`eKK*spbIMDe^yZW+xY5k(~_0iXES55Y9t~V?QDCD8V@Kh4y zRG~h|7#^~-6l~#)-1D;(OG}Tv%*Vv+zRdeUKK0ViQ_OJ*ctb7wC+(f>oz~}`0uV!V zgv7+e7B@$W?3d@`nbfZ#t3Nu7bHBu%B?JPfl0}PY;Yy2!D|`f?yA}}k9hAcdiiGxN zdwfLae-;b~#}FO~ibKp378M}TogJLo1ffp}Cd>^{4kqvWtcOXTk<2$rXoOtdhpk6L zAC)ZAhg^x&*@cME8yrSZ7}SpZD1HDugnZ~uED$IMd)-o{9n>uH>=vNVpudy;&Snr2 z-(6!}k=XT&6J>&P{d(d2HI754>i9HV2pnCu%(xDWx)!3(MARlpM7(c_MdctuZf{9MSEIyu!c#@X zs@oEP;3QI1yS&DnI^vOGSiTJ>I{`CCIG~U*oz2sq;0jl6V!#nS2curY$r`d{EfknY zAEawBPSvd>S14JyswcEcB}h~%SSeY^D8BKPf_3j4d}XZweU=7D!(@CpehnkR#VXgDNOrc@xt z*;>}Ibxu}ldZn1e_OB)^*`Dm(GiyRlQGpACo%YJ5pD;Nv)yMHVZF39TupFjr2tb#T zl^hlLWX}2>$3V08aYssqE7a6ehh`4cyw7>-Ud}$+X-9lSOeM3KtXV(l@>M%6kk8BR z%_nDRhj*GjaPuZc@6(jGVMlUmxKnYMT=B zrLYeyhgq+MY|B7a!P2MPoO>5{yUunwDvhAm5z^8*t9IjSo%6GjAqH~vM*+<%5IUYd zP7@rNRegG%y~)3~XUm2|?9TpV6TZ5()|Uk(PzCLm?>8XR9wPu@1L5Wkk(h%(=l7Kl zBoWFS0MLlV+!GE^+J_IBHycZ|3m@oQ*(z_PFnhW&AN6bE*W#J)OmxV^Y_V{(&PMZw z%{6JP^`)N_KZIHP4=`!)`kt3|azvQA6dyYsXY9GpMY*!2_}7&4lz}LEuveu}8P)dd z?OL{VLFbODsOj7z$r+of7;Dhy>0^8=Vx||K?}PeOTEtjksW1~8C&438l&-I)qoGu% zHxddP8b50CiM9BEnJ!DXQa5nSihuKN`)sTy{mXkk!#0M5{&&;XV;vFL(@5T%_~9ZJ z(=wGdC)prZLWXV)T|`$NRSPP&R=_(NH03Xww^eTG3c#A6KtMl;g~W$nh5HKPj*zK6#0FyyCN^0rQkm#_3y5#6`frYQ4n%p_g z%5tqKX{jwj%pyMp^8;c=g2pl_0qfi8>~OL%;0`0$;zqFM*lZIUQpJw*IiRIx3)!EV zJYXYBtrxr*u6r2e_{g8}St*M< z+nts+rI9nMmfV0IJ6Q}-tF+pVMUJjwiPwCG(b&{CNCGL{f?7~@>UQ0<5wLWut({r} zNu}m;O+qzBtq>YNyjO`jAI|<%IcPia(pfZPiJxWTY+t#RZEiCGTpU}v3EiO5mpc=(8yh^;eEb1HMH;d&4|{L)-|3}7`$Sp0UR^rFR}K^^EgcD0k{ zJJU0GcCauB3fYEJ?W-d>UEKS_Mevdv?Y*oC2g4RLyiDCxJ&o(aQH$NB(_$S0SQe<| z+g-&SspF8aVmXrA>$d1edSJ?t?W1wRLbcGt69VmG-TU&{|j zeDnZf=la+37UZ&nDRosjIeeP<=s79xGnA%m;6W+IcG*-o*-p16r?z!47&F-u<5SnQ z)n=NHuuzF5>1JITTYBfF+}f}_MEprD1^8CSC+wp3v4`!c)}#30bCXNNJ~C+E`A1)yN7a3oMT zn0*X-?UIB)!Pu*Q?9JWOp3d(%yJ4qJbI!PZNwyvyL^7&P=e#5==-aQ;&uwUFG^Vx? zbQYn>VeMmW5~ZpE>&(??hP{y$8T7`Tn)`Gcr!jlkG$N*qWNq~_Z#RCgJb+<*oD&p; zyf0IC-U{PpGVz+V+5#qDg3b|lov%+fonnBf41>-H?10ujr!xi33t-fyxOkzai$(=> zzCl?%<{h1o?vGqbjayUQ28e!PVyx1xRXC#`gkD8xbA2W1tl?dW5`Ct+6()-PfUCU4 zI>Bwcw#Tad&D(Em9i73NF*%Fgda_z(Y`X|`t2L|>-Bf?d2FbLI;aay-GPFmONlvhi z%cay!&PWyvazHoHv~5$KlP{-$2Rjm(z~GtF-BpiGuL$H4k2IUR>Obew_o3@=&ZWW% zlJYV?bKmG0>3>74ev)t*@tN4(CsW4%<~GgvyW8~7%YUAx-v9W&Or@;9%9(#kncqX) z^bM^XexE-7>=MWF^Tz+0!^ia7@X0kkWj>>W0B}L8xu8g%2FJld6$mym`Hht721&=!CP@&pUgyt(TO+mk*?JI z+tqzz$Hc&(?mZhG!3!~OOPhY52*Zk*b>CaDBk433LS!9nO9U=-XdV8ss=zebpWzzWYJsx%xB*D(~VEQB-44N5rc%Pa8Z-+}8=U7R= zd0f=bl5U0X@n^nKjR9^%gHTWuSjZ~W7FDDe`Q{YUVwKmW;W15(v{f4v73d8XnJ^^q z6@-@Tm?+&;h;{Dw+U&ksM&U+rHw{(P9)t<5=6Uy><9ZeG6k6Rm^ zViNL#D(?j${5yjCvmV~_R({q4{eLl2e1GsOy70&4zw6;$#`>41wBMrX1>Xs?(!W>2 zpG~n?{!gY@EX=>6wT`jY?|BC31J*OEFTsXr2Vv2Kq6kpx7~&A$a#EU62mFz$)M;ODySuz6|yzOBA#;yM28OvJe8-H1AoftUEaL9^6Ex5 zkSl|G8i<_M>})Ii!ZhfS}BP&u+PvB>Jgc8nu42&i<#lV#6h?G09O$066^!<66@jRSYXS~ z<92GRZ+-ew%T)+GM-_`U|8x`BbUVmdx@omTXs5&-pK`QTTdgwwf&~8e&#vFBIR1gCh|o&>&$i{?`K14& zBK)@z>c2_sCU%B~|7qOu$AalM4DpxT*1wM-GO#lL2DT{u0k*&;uV}1`V^L<*)z{5@ z>PYeP2@kGo>xK{l?f#exBBTZMV~>R$5IodJxyv6)76(x46;m}dNNy7>Q zL2AZ$HPPB$iyg3-Ig+Gg8XL>uq5<8*TKt-+$GE%xaX6*R1T~~VV5Utr<0Ysjq2g|l zkR5$CZ$UVcSl<@RC&=gnv=|Tuj2Q9Ucr#x5NwUx4^(|E@m!JY#q~Q(vGVCUJF_Ok8 z!JpY_lTfxuNJ7Pfn1qppt-0044*Li~^N+9-in_1~laM3?wCE&xuML zCpr##S;G_~$}d+#6_iWfEJ!3LA#q4+0H&K{{bl>%;Y$qYwzPZxJyh>jgUEnzY>pqq z`s&({dC`O~ZOBOzz@<#iP!x~XGa|9T#>q35tMag0+Bma=b3Lq5d}2xc!xCr0XL31t zJ17AOv8Z(tVqi{B{*~O70LmFnU-*kDw|Nj{i*mGiDD#GfpiF3Hh*pK0Vr@CD?5KcO zjSI^;|J(Cr5gM)6z0%FdC*~-0wy2<5{+xawq~sj_7wWae8Riw@PqiBf2I!55%7@UV zK^g6GABo@totwRze{_WocM;NVh!axMBsV%=vOL+SYbObLWfF`aCjm^?o!-o5l3Gpp zv4)sm?;dr;eOQ*x;Ica%ys6={2@Igon4~^hSw`b&+V(Ip_OKUxc`S)O%FYD{5@hxE zG8<2vkY+sFOyS;gJF|(pk-QPTLUZ*2?ds?|&0gkv+uNAw##wgLd(@lAhxh+Ttnm#r z${e?zhm+aP^g?!cM0M0=YG;dTHg)DxUzgew9Pka<6E_q8bTAWa$ zT~M`!OoTAk03%tiTH|XXins)Cz9gQPo=}{*zd%3Iw-_g}%at?4&Hau?V1^zpS9TR~ zLKskLD}fo@#Yie&Ypa0BhgH{%(A!)VsfAbjPe`u;*WR)hft`x5kyHsAm7ilj4oLyZ zjY98b%e^Nj@{3rJXuYmO4Q9tx18p3q_@L>_#DHEdG2s(yxmsBQh-pj-!X45sru&59xeouF3q!MYq=}6 zKtL|TycJCTC%^uc?|go-E|^hL8TdpX-Y54Z~SEW|4fhy{vU zorg7-wT$uW?$vykgvpjbUF-?L?IsMAw{mm4PaT1U&LD#c&Sd9fuJuCbo~?C1S%06D zTXtRJYxf2M6R=ur(jLgEj;W~ zU=2}(icqR3nsrqiZ@PS%X72hJv*DBR7HK}MX&$7gkGFmG7NyYT(BzPP(hr;SGABGF zII9RE!4?2Dz=I`@uXj72Xcqm2BJ<=O&<25MJ+ME=$kJz8V%&N&-+G_)FX9M_i^o99 zLvf}m-BdQCFd=~td5G?D6`k^|uDiMbtbF8CSCZ(d4iL_<5^(%ntqw{^5o5``#q@OG z`N;{+7q6>Vzn2x<>iTUKVBKItooPofyzE(VT3<$_-F5KM*xiK7XoC68-t!s(ofzqpHRYixjzj79K=^g%EZuQ*J-t4Z|B8Us`@dxQhup+X&Pp0L?=1G1zckW?n4Cc zNX|KK9Use#kh4+!$HtF1Vcd0|-WHC6r!BzdcY&roBQKw=x*$JRQ6+!})moEiEHk25 zF0Li2VJM?&Ha3&iu?1Jhuz@^`U5b>gzH|1r;>ZG^PwK|!tmdp7fmREC+%Iv7+}4rS z89VRtj9{EGaWZn2`YQmY3-r)2hELsij9cM)pU`kxT3xM=AU8f-p?Xj5xpAvYWY=09 zB8ig@H5UA++0~0USO^5gTv4zq&(+X%2&f%%qH}X(@^^N2*A@+vDRs{OU;`f9ZrG9G z|1!G;hOAcrG6qm@sxIOV7eGgwT7VlLlvOyKU9Knvs zT=QVNbn&vhc+MJDQf5Ys>(C>71%>htH1}g|5qVkql=o#5IkPx$@oBOMJ8i)C{P~3G zJ}g3KlZ66P?7!n$*5ZOrS+neZXO9~rkTo2aaB8V@JNPKtg)gzX#G z-o-Z|54bR6ijAYF^b*VU1uZ9e`*dIIN4fjS9|Zda@PKZ>+3-D6g6^&PS#6!5s(>Fi zG+rBnvP*7SUBY{mnW7Z?_RISt@QQuU$My~*@4|=CMS606rb?pWX07!#R z+TG#!`l4Jn9iTBn9|qDx1I0}<+SgnabJlt()*#6WV`gEATxiOM(whnHK~gA?7SMgW zzJwn9`a*K<90A;R=5GAxmg*jPs|ZIIbzt3~;fSj-&-MWy34f$0ip#Ry@`EKmvM=;~ z-O}+_=jElGVDDJ-jaiWUNGKYRXw93tn=eGlGFk^`+%uk=JM{%Q1#;DdX(Gyi5WsCOt@XN^nAtZdxg~LfUCV{f zugs=6v|o3_vqfxd?_m4K+I$6q!rJ%E&O- z^@uB7eHUoko=|4nrU&~vVrM7Bph^=bSvbNts~Q+9Uxf$XowuILV=JX_FG?m6Zy-)Q zAB#;d@4uz(VeDaM^f4{a{Vp4ty>4~23c^c3Ju?9Sj-=iPrq$|q2y z2g3Zi$ir~4DvCv%qWkD(;SKQ}Z>7G*ln=b7M7Rm-1TZzhpthetxQf|i zY^mP-h1FGfY@LnP7O>$6e{Aoin8GYwU&6yXj zF5tv?)dtRoCOeTkb2(zFkRM!&vu^d?dVQuN;|x5Jk*3c5Yt{nA1Q~}8umv17vy1ns zExB2+X})^hfVuQ%9BY$9eE7KqtVj$v3gK4q-x@i@rm444q`-yD52O#`@mjAOE)jL<= z|A1<-G5$K`JI1P;peUmc7_)L1gNKBCs4SZ)Hzia;@i3bat}>0rR1(*Y*W#B& zj)6_fR?Ya%m{eP9Y?U%4Wl(DXInO)uZtdGJ>0o{#KZ#H^M<(wWqTAC(oJ~aB%XPo?o7p=RS|!4jdTqNu;FQ8SZjFF7lcE{Bfl8 z%W(F|wUt}LW#!QW>}S_0RIjBE*+s%7DN7=jxec;D!Se3|oAXlV0FTy`vLaTKvI*tr z97^7;1Kk$}dCXKu|9+}afkgkyK7i0+$!gf^LHbcRO*%p7!kB!u4_~N=N;UdNfx$gN z++yi>Z_2$)7a8Ki-I)8?>d#~V`UC6Bdh-eT?ktm-alrYn6TV_qa z`0In{yTE?K?>>mbPzEoA022X2ZR2D1(?tr09DP2>Rcnwz#dBpBx?~1RQ$K7UsYj zOgd4JJxtz4iY_YxhD*3i=Mdphptmu?wjW{?Ybq!i10!GX1G5i-1cdAd0>7^d#kV+M z@^6+Ppd|q&7>kr}xvO*s?j-Qcwh?V+=#@JIoDWlqt~h3GP^e@if@BxZB_2E}cqolY zXr}Nv6^Ru^#g05gF&F}Q)`A6{CpY4&%S8Gz95TKfQx1u{#DLaB)+M}Qj9d#}sti?2 z+~h_M0x3A^<|GatdrZb?WsI!%ya#30hDNuI52hOLcrxE?>{u&AB0i>az}20vKRLFq zP~c%7C!2P@?)D6k>{Uq(oQ#>egFo^-t&j2`-&L-Ty|Hk(8yjstJq(E?y?t|a@XrW^ ztu;#TGn19%9khW2N^gngl)-HpIGS&%Y6WdRCWPfUI*w`~==aqARE)hq6b@r|HlG@< z&UMp$E@DjNo&KPpD!TS`Hh-FC-~45zb5H)dJLY*)d%4mh6h0?%CQGE_ac?n4f9z{z zXs#B3L(yB58F)BVc|^xtCp z_nW`=m_IK6jT5rHH=w@+3jaus`={ET`H$$if0H|Y+8F&)aW6(I{tim~)ZPEXcwg=h zGU!k1eWiEzP&HcJe-T4z_3U)@%?%wa42>NA`Lo?mHUGO(-|(MSd+%Y8|A<|BSLwUj zn7qsJ-@i=X+uJ{M`tK_Jf598}7P|H(wEyFt^s8Rq%D~XhUf2kH$yk=Hg> zo^o2bei$N=t|y(}?LTl$TuH!m2b1XO>5=(nx3#g;MtNo3L0`)WVjzC=jj;BK2tTz( zf?3UM1iXJri;#@&Fl57EvGxnG9wR}#*2Yb^R2t_9f5Dn@Dm?#wBRqOL8j#UkhLeW8 z?#0T?ZMdGMUVCd~J*5B5N^}V&>6z#UUIU^an4DCb%n7ksux1~4pVgLTZsZ{GEy6%> zdmr}}M2yr90;(WQb=C%gs~~qb2^<1wpSvJ|oFEGFr69R&uqd&YBr#%Iuz?<9b)XI+ zd7lztkSNJ?u%#gPcz7@g1tjC8=V<2u+5p&k_FB_(&}qaux;6dTx6|N}sHbpFDXovE zqjxE%qgb=Au5k_8Tl3?Q+cLPbgtBC$Ql8l?O9 z?1y@WKgF>L0{ImsZ0V56(6@$HF4###K^|H813^lS8|r)x*C>1PMf-;WtU?FmjBRS^ zQ_DHnhYvO8(-lFKP{4Rq#ms11B1kDZx4Eso^XxVa+NMgW(oPaE*q-%D#AqQ*4*-_= zx!j)zz5;`U+c@uR7m@z(!hTK;=nNrk8U_=}YApE9bz8jIdZB#bEx*amP@>6!($R8T z%jS?MIMHKSo|yQmWUf|PqPV;k)DdT-2Fi*>gveyDneZw#wp4dl5Wja#C&Ec9_>)kbYhbd0}Sd#LaiQw~^sl+438OM7QUu+u+81zinH>D7g)n zxL04o_ne;SXwD*O!Pa>(uE7aBJ0(wkWVT#C}@NS0;5B}`Bekh ztJuVP6hLd(rjWXwq8)D}dmh~FJtgwqA^E^)fK#vFyDcK@NX4(Cq%3L%_r1LQ*qwO; zAD_dGuf5$rxEv(&!in%|!SuJ&YDo@>xmLDM^iP(2innyxc#IypZC*W?TQz@ZFN12& zTH1+D%?E4N`d&O;!b}}{TVTI(g)J*A)PB6(chS}X4DWqK;_(G3jff*X04dZ5{icWJy237Mttjag>SP~Aq zIC!QmX4M*`31YKbXgP$9%+Llp_}U`jQ~bl3=UkZaVEH+TLISdH*>|tyUD?KiEaKg7 z;b@G=z4I5K_kbfoc7hp5oDlZuKO^m#(G6nU_EBE_(jD|S`Doud&aL}DtYY1O1 zEc#E^0T#FS>sK9HYR+RR%{ZFhomwsDx8e(yH*~cm$&+RVMrt@JGAdK-k(VZ*TJ{5w z_^jmQ)hgPTd;n|u(lK_VXMhq*)$vv7Moz@he6An%z|Qo^z!~;&^c4$wRVE^=<@yz$r_p=)P!nW>;hS6xJNF5Z-!GO zx{U`V@%)Yk=H6dUx7gsAHF}EV&&~PDZbP+0KPm3d;TSJ1)!{5%VP*p&#d+{@eYJBQ zMSp22##QcI)~ij)h&MgBf~2#)E_n5^9@Ji*2*-{%iSmCF7f#B372R6O+NR?X`9jDe zWE{;vCAU)k1``WSRqZhYiMfI|0-->sd_fB5qS80 zQeWMshr=2^9ONkzoj{O>Kj~I4S8Qu{ko;oAlS6Q{B}E#q(ef!L~LpC+9`N< z06o6>;SJ5E^z?oSFg5g(q!tH(_=0Xtt3uILtmni9v5~_;cRI8nlf}2kwqhEvY$|t8 zaSn+Fnl27`0C3u3Kf6>pL>X*KomzA=dp{QyKGPC{+v-^6J!pEn-ImMEHU#xF1bsK* zX3*@{q8gXH5oMRxB5J)hO!*K1t>Hitj1Wl-tN33#zh<$ZHq|? z)MTic#odedY5-#iZy4m%t%A6s=d*lq$00x&m2_&u>f;Dt#dcS2ak+z>)48I$Nmjpu zB^PV;h0U5Lp;5-KD#FAI^~XBX8<@QqkF)J_k49^uq2#VCT!{6Lr&4#5O%bs|Cp^6* z)VyobYX!+cx+4(}wIx)lCh;B@&A}4~wt-RZ3lz!v*3|PNk+ia0tsB(W-L0D$Z;6U! zd<@u8%3Q7HU5iv$_+F-DU~Q-E?s^rAcUI9;gmbsuL$?PzAzi1hW@Ko>4{Jj@$Pply zwN^HSTrj-I)vHMNfxR(!(>9urJqB_~jpuqgu4EiCVb{-!^+#|X& zfg-pJU9b+Dc0E^2Zeyz3oZu6AKd|;46y|L!^@pE5G?(J)ByusT8;z<~y5_D>^|S;M z(8A1mj?W78L-eZA%>6$CsnO`=8^Ie$8G+Y;22XAz=D_NFVP0;XcoOJUIi|Q6|4`Rr zZ6jc`ak#K%U7%BjTFL>6d`+ix34883=K=W5A3DaU<jIv75V<;qC2wJ zH#H>?85i@Uq>KvyABL9p^`Wd`0e+r^q5f0q+~qU^igAje_-XEKbnX)}#$++Bm3l(I zG+3?n!sR*II!LOy0>tFZ$KH6|UdYCStojKPk0Z#C2o8DEPcY(qK_x!w-P9BD*{7Cp zW7Or%{hH@n93>mZQ^}vN=|jvmIw66ODpxW|8!5|=I*j64lvn5M#H=j3GiT196v&>>xxWq{@Z+hC-Pli{!FSAK04U0dp_%;W23uad(PMkmADQrPC<4TdLnX~uxHoHH|nzUFi_&| zXPc8@IZl_Wvf7OnbBz~e9*~WR%_s(r+9*vuyou{Em^hcom?K;{_^mL=m6YbTE1w!w zz9L>hLEEk5bK-iUn0jvb8ozo@#IdOg0yvY5?jUo-kus2(JM(FE z&A!#Hp~^9xp0(AW>lB_G!cKK6P!!*oO*7~Y`61ZIlLd~3 zdB~3j({Wr3JU?ylwkkl+1(pYXI4$I?zxz&hs8eDgd4e1e;5ty6`jzaG&y0@g5TgoB zgSz8e$Lo?v^psSY11X~Pw+7%D4{oi5n!KUoy?hXF6se%^$*Pe8bb=v8ssj3_w3yVxmX#`9aoRD3UrXhgSg#q zV9I(Wx<|Mq={&x#S>iPrXF0j8YIX9U_;75_?~k1ENRO&KZ$x#u-%1SOgtWI*_SlGO z=b?s?i}~M@>EyL67q8N7j>~s7(!IRk8%**4*fPi_ly%~OKLL2Y008Nt1z~*>)Nm)07G%)^9Otby-F;Tt70RRGh_iEtT#344d6BQ9R4zt5JSb(FUFoyk!Hg~ zjCf!|E>w}mJ81i8ge4)Q%4mb=Wa*TbVM(6|9$HQg$uCr|LCf@or zB0CPw{xC|=kXRPN8Vw=aA{3FuneXd`;Jyxc%)*@B5PoT#))A|+2>@GB!p?)~#~vOL zAzq^5WdlAzfiNGUS{4Dvd80U(V=Ps+y&H;5skIvOnI)*Qf#q+n%G07Yk6kFCNQH0Q zT=in{mfM@qUi*gL%`y`wCOy{1}h_cKMoP0@LjDM+-9JBU$2i5H!js&5re z&TbJh4qjpU@4=u~o)_3uX8TyAeKe7VSuy3Mv$Ige`asO!C>?|VExE}bY{iuCvR@>f zjOg{M<+!;^WT$MeJD~a-3FkkWaGW+q&^~UkaEvzgzBq5LJ-t(oo30Qe&(+POs?Qi+ zIetu(G1Tga?!~ivopqmda{e&UWjRpC@KcASljl0y)@7cJq0mwqQ%cN&=Zoz>-rN-6~-sp|MFcGCYLTBmi0Q?dEOQUM` z54UzHmX#e#SPcG0(>P0)J|jCn@(oi*@zV&RwhknLkj#Z?mzu*qRr;cVq@npORo)en zX42CH7_t>=n)22eA^3>zo7m3Dh2209CWS&))mOoWSp>=xQ^7)?1=8@#AWgs5Z&TGuukaSAw@3 zQ;1H!oahjRYra7ezQ7r9!Ugiv%}1(kn0tyo@wVZoZozyA-$^l%^y1Z>_#W!SWHiW7 z@*O-d-%o>juUjSBn)*(0R5WRc;00M!5V2zb$h#XS3&nfraDs8?cto-1$Stz~X2%~G zEmGhBWz;MYChFQN%)IBcX4K!_stNGRh$_Crg#3TWCwx~u zzU#pMTCMp0;8&dRPk9;tl~4G-vG|wjOY9%W-A}mgw@n1=pKWgcxbeT{*D^CP|AM*w zOSA|9X=^w1u34;hY{Bw6xi21F8tYvd>m?lM@0!jbSYL%TzNm73Ya(?}zkqsk1q4IT zF)}dH)0xymFpLJm8tCeq93Jes50?p2d@PKBk;pmdR9v5^o;XgOV##;4Q_vQ0_H?!s z5wKTKu-6n3VjWngYxr^2(y=#0t*}(!IB}B6t-JJ=q^^fA*g)6AE|-PqH;XxoQa@D65&cnfd+4VQhT zEx|t3x20|0K{r5^NoRmNl-%9rTTG~Ujh7j|#oarT9!Ggp-EVVC;?}V|$sC1FF{Y$-);@l%xjhXW*vIQ!yv)ekw_2$0fu6$tKzP?$wy=Da zW_)QKc(x9<+6hYe8OZ|5FP6WTu&4JqZpF@F%Te61m8-S4@FP`m`Vv#EJ1#h#Nr7}G zgX47k>mipyYeD^lm8NQIi=pR)vwz|_Qfnup_v`rc;e~Vc*}2i`$R}23?2QAX(pM`& zwR=sHvxo_+^;H@PY`2pZjatta56{}N*7}xuqhHljr@cuZ9{tcfwT_{Y7}7ZdquS{JeJ7l2rZHN%ffaR9_XF6Ef_#vMnLv;tB=FNY`zXMy z`ARh}(SMM}4Shcwq7&pA-rVK!)rgwpP@i^Sjpo)#W8{q9sO6hze`osSd{{*4JDI3= z6n2Vk92OVTVr>Z4lF8v)!+|BN0wP%L%0LznM#&@p|UI*7Jh3JomZwi@iLKZ+;>-wLrg60)OLO+}s6x zcwb-YVyl8s@~t);5BauFot z;@KiILy7dlMcz7L8t;3w)+QwJd=Xda=P8{!_{4HoOywJ$6u8ca1&jqzQB0r|_YTNs zm5~?77f5+c0EVocQ7_j36X6OK>D-iS)wywo5MB(4J-)R=TBo_Z262;POjAYC9Ooz=P+Od{bfe6m2ARiNRc+kk4n%@QU#RUQA+#Q} zwbUD0UfFHkwSKsA`bzScu;5a|QN>vI_@03~J@x7IaN}|(uxz3((%HI}m$X+1)2E|6 z-v}g1h#CnzxG|=l*L`-Muo`NLnBOHoO?gH$bDB?vKVS*Bg%apF2GC$uulMZP(c8L} zco5fm*~%!#SHXm@BADg0UbS3|X(z$FZsY@DA3s1?fxfZ>$ANi%4SRt=F^_ll_xr{4 zukV+jgskXWAwZyJVEh%44Y*%y-*%#m|6J<;Ui?_=e1H6NC;Gel1rRs*q12K8d#L_Z znSt$pQf6ReXZzuD{Z-_AQ)XcNLz$tm$>Z&#q~1R%GqjbVH@R;e#0$Y9(xo26qax zp1Xvi`Ji@kTeiOcs>Nyx{;K-3k?5oJy9d0}C&ANCyBCQ~BvX!?X8qbPd~AbqV=r@V zdakS=NAFDU7rl>~`o=J_copZ59n+GVEx)eJC&$ySR_h-Pm$)@?N~0fz&S5vq+hpp# z>`Tw!%{2Sa*7~$We7*R_FDH?Pag=I;wTE$(g*+o@qY34q4$m@tnb&dWv0@5m)cV6t z)SW>`Z(lnQP+XJUKgfD8a{SvQFDehndvf2-4#Uq134ho@uza(FVEk*F{`-IQ@Q^No06fuu| z*Y{{Nb+>!eH~gnl;xwjx(y zOPU;uy0hRk2pY)rO!@Jjva532%uO~n!?4_{xN>i#QaKGeH?dH5ZnSn|>gSy8&eAlw z9I3fKyH;KZu4M*rXEwc#SG~En_hao*7KtH`-Q1j=W@fFkXRTv%th=)LY{z=dCIgYg zl4I%8x4va6ZE@%H<*pL4WfhC_rGe%-=T5^)>1;Vu1}8Hc5fe3M2Ql8JCZIN@+A8+{ zd(dNK`hkM+UyBM+c|lR7??nau&q$DOJ=XW~l=*kZmigyn`|a`1WBa?H_fvWL{+kWr zclgE6NA_3tB-{VQo@An@|6Sm-8vWzQHhECrz8d+b_RfQs#7+Uw-hqE>?{xKb-vN5N zcYr=m-=w*Ryle3E;`Zht%Ok8Rr}gW^SBaD>*LB|5V6$LO!#ZiRyUx9ZpjjZ}>s?$5eKyQK#fi3^++_9i#Q z$Hpk+GAW$Kogq*c_w20)?k74;ediat%n#{vZ5V1Emz7?{&pG5>m2!3m>8t36%dvQH zq2^LF_IT57_IUPgQqkDt)zSxPLjcvh9qVg=(OFyD(4&P>ff;yVl$p8dVJYOo^xQIY z4U+C+cdM9NRh!4-mG;MPIx#52-mm`QF#T(t7)2=&IVqKIQIP&u2M<8}W1!eHhS14wWFdhmVAQxm2$_-$9n!7U zr`r;$0$uvL_%IuN=&_-3Iw+P;5^*)jJ=M zql^z5a;QqzH4r46tPe&_1BA>0AtFPyErTx8%IUa>dx;xlf>2|Fm=PiXs$0NPaNv& zk_-_Vun*t&-*?Y%QN}M%rQ>M9IM-2{-=>+rj59MUZZGg;1JZI}IbtyXl(DirY`sv{ zZe(B=n9}Kd2Y))XO9Gy1xv6R21yf$SbD7MRTGj$vo8F=ti zx5fZRxNS0mwv+SZrrg#-Qv^zPwsGZd)*R(X?9t5wKDKt#u{U?*I?)C(&WJ<`)35rK zI@zy>@(D?XNv~@NRzG1R1KokhdOF0EqCGwCi{omCpXR}AoGx@wdtr=ST*~t5&TVPO z2`p!Cp-8w-f~;+~Jx;E1_A;NV|3p%rsK?G(G$Ny@&P~5c*HxFjN}=nK=CtM(_slU- zx)cp}^uat-Vv;9<8k1zxF{g*MG>7{m(G}uWyD5mM-iu)&iXjU-PXNE9mCR z8Gg$m2v5;frezF^R2&?*aEDbN3PHR`nNHtNi>^%FxS5_6pE0KA;a}$KC#hchw4dSD zlO$O)As5x7ub$r^gdh-gh4Q+(N6UVENaU{Nio;uiI3Q@ND4#T0HC?Xzw64Uq0o`bs zhC>g9U?@FW%1pFxa3513!9GGoqh&t2or$KZ0&~Dg#xBGOo=_I* zH{K@t$!?QHD)P)9ghl0SJ<~eZ#yWvfzF+6!OYmoEX!s7Kv@NVO%Si0yK7D2TS4(x{ zBA=$ubb|VZ=*2tQXHTVY>rxC^7GWri{9nVA8i>Wp5XK11kdh;J^X5_+6#YXmXZm3x z_g{m#_?tIEf{NdQ`QNoKSO{1Ee1AZY{^x%6d-VQ~lHf1F{I?y)w;|G>9mnsqFW7!% z34H(H|D1Q3*?*oejsdhUFd67)y$A=X&~ z90VqNnNOPHZ=~KVpvGb+Twv$*OqPZy}p z^_s`^Zelnjoo?Nb`x7ru5HT@3@@q#Bur=Qc%x8p1hNZ=XN4G}T^Dn5U&qa(<%6my6JNr=PVerrsZD-PKdWCSbv90V!Gz&Jw%vVUmCMJ^YN2{Pl0 zZvCkAmSwO}#{?a!Y5CLgc!oV`Jcg2JE-8tzxX`&PT?Ri@t{6*M-VJSoVV)mCeE2za zbE^$`V$#mGvSqX>#WmT66_@F%5yr8lyFKSfYD58jm+_=0KZvc{Gc58X|htqj%SkSu>Vl=|JPf{`X zFnN-EceJK+_z!xTZ7)$9X`DizqrOjV7#Qg(7+x@ao7y;Q?EsWC3o#z7)&1<*4sHN2 z|BZO6gA=FP)mq*x)#u9cr`kJ|o_j8@BY%K->%_}Yv$j}|7kwXghMeRage!+hhL~42 z>$YFoL-An6J!+?}rV4p*J;NBBEU_9N9F_!+nQ^$@e$I?$qitz>(%fk}%|q}n!*6b0 zmmljXz3}z#a_~Q~(>9yX`@E%r9@mb9u8lcv{=DcM)?Iy~+qAOE<+1bVm}|ef<=Q&r z=w>M1VCvfEQ1pJx@|yP9uYEEjs-5}SYSro`O4aH|@ir{D)Tc>Xj>$^fsv#Q=cl7cz z26`Z%F*28}zn>?Te|w%3MU-U~zHL0;{My0L06GX3cEG-d?KghTfEPdZFf6Qqc==}! z1Nic9<~To<$P#oaf7l29G0*vfkpMVle-~X~|8)oY{e%B=oCSz*|9IAXquoT+RWN%k znQyuXYaD_V^QpAK|%8eJTXMBKutlUidYJ=TBLB~kU_F@J0U=syz2aLUhs(Tc7^`wD|%zweT9xW zd0{qM#;t%r1VXvHvfcfXR!5h$XEf%Aa2rwFOUg9*fObMe--!rZSSl}_egaOt4(2T& zjdxRSB-xLy9^r%54W6zjvwi#!Dy4ynjqj95tOLcrRDm(9zeN7@m70GPxtD@NsX3w>?C(hWN6?@5aet*5J55w%fX8s7&i+ zQAU`u6|$F~ZkHsLX(jY1)jKu47w%Q~2t)CKwIyU{CSFWZo{O<+GqCt|I+0nwLe19Z z^7G01sjqxKcs7o{bF*WYBm* zVmY-~Y2rH{046r!Zu|;qs8YV*jV`E00)i_VCh%GY5kmGsXm&F%y1YbIc*ka)ds#hon27%|GSFmu$&L>&LCWWC^eBKGe1gchKBVGH*Ms z-xuSQPXn*-CZK|obwiG&dA>VJMrkG!2-DAJS{5g|pI_mK0GtVT8i&;Ce3xYC5&Gwrywm3&!@!lR-9#L=X28+Yc zNVf{&vu*wdC&#QE8_TF5BA5$OM^n$H^iXc@RLa&?GhuY=`qi^jM7F~JP|6B zu`{GP?hoc)&7pedV~=ZWY%{%rCYPkGxzJJ_EL6LuNV&EE^2y8+e8&eBa#_aXhd;Ir z%b^UrS1AS^(m^PMN7~>advO!8PxsPJfXh30wv5M|gmvJBAd4SqdAGcVx`&7z4x0_fkO$|C+&Nr$rCNCC?z)#QUFj;hx zX#~QgzfZYD|9A^oA7RAE9=ktHQyfpij;t_|0Yy^8~FT5A!BC!ar&bRpnSatn6Q4cVEtB^+Wlg( z`qO9uFy{CoaL39}S z!Ou-^Og}l+9V9?K^gSFzJpia$ZmnZGHz{G`g4(<&MqN;m8j#+i>44lSF&L$A=BG`rSm8e27-i zB^}j-bjY`+ryjDIhA-k1xe+dlEN``!xEVc8bvw~QZ`~Rb2OaSq$$QJS=$*An1I4p) z5Wya4%^(X|BkiZNCoZw3&Vk0!WP23+j&rki=ce?Sh6}Q7e9e?SzVdw9LRp{GALHW} z#|M%-W1AXi=0PDIj(ji9dHkt^t*1RhdwIkoUspOoi|1KE&**J%rU1iy z@%6U6EZw8xMNrwbdO) z-;oVwTLaT(ni0U9R%(eL@_2eu^5LRI=ua+MMP-fmh!^**si3)Rtrh(6*E&_I(m6o_r(vk<5EJb@!UWRX>iXfby{^5C zyNrc;uIJR9nov=iv%5}#Mr=fKZg?WyCLGPfC(ImHpWBZ1FPRtqqxK#bS6&&b8)%Qs zvwLf=i-UdNQc%se>N15E7&zH5q(L}we%VYbPF7=MCB`0S=9`kqh*?`x@1ocOOClsd z3D3_;4z7mimmR8iPv)4tPr)W8A!vZH(BS`Mg4cf@c$qazvr9(kcj^nXMZc% z?JfSK4gZ{deg(|{1RefLx&OXLWME?X2{ohOA&R4d+t&S9-}p6Yv8J{rb#(ew5GyNb z4Mi9Zp??(hQ4OuXO#)e!U?o0;W^fKcOc+<^G6kB5nrsZax|*M0C;XHsi8{R?QH5F# zp*m}UDztp!E)x$;Q*rst*E{!@%_lb(8xOIB&>Te31MnIxg%kS;wmauyM{E&;n#1<5 zmLXx5Akhq=k-*jOA{U=LtYnX9ypbfxn6bm>rXcYXMpXXF*01rE5e0MSY>fcml;q36lEwhKZ+lkt*q9FCy^qi4uC3G#azFvrUfM1cU9m z-)@SoaL$1>+!Zt%*jv6#+h-6GMbG}0PKVLLN{#ikL(yITy)&q`*&7Oi;c^C*e&mc? z9N{4_yOY5cmg%)+bC)t?P{F`;F435F{F=)sR~N}3v>Qd#WnJ@1wsDudhL_;=F6tWL z?@i9C8u}VCb3hLQF#1wISrt^qsh16Yj18ah5UT+;s1k@` zRc_NZPZYyqNcP0i+cIx|@k{~lWK8mXtNN5D*rW%_@b~s2S$c@vu{=*9Pd=h_EAAtf zR*8Y4B)HBgU#gZW0of(#&24>Hoi*MK4X9qb?CWkGI}f_odhxF>S`#c8si~`U;zb{5 zc&6xSnJar+;THtB0)fr(_vk5-G{>?h14Ca~`N-IgJw%TR`EL?)7~r>3W2))#&M&VB z$z7w9Ej9^?NE+1}WQpa!UpsT4C@Ux{^z|<;?}U?S%pA^h%M`0ATLtx(bxh+wzGncs zp#$>fbacZ|`50|!&Bq5yLf`{2JvtVY%C_G!+QK%NI_h|S(n~*Z-72UZaHff*-cmn4 zhz&T`>g3bQ3kLNHyV=h3xaGBWJ?Q@ z2lp%%`L=JUFJH+jo2l^y1L2o{5yMpVLVjnu2o&rIp_K$=(Lz@#|Cz zdv79sqzpC6W;J&=SmN9=M%3e8;A&Q6|3(OE>9S-bl8rQ*T(62Xoa6z^XFm6motl&S zvN#HcfmTh3xFV`SlP?7(bE@Dt)&UBLb^OENG9EBgvVo;I`7IeA(3wX)B_zH)VviSp zY=pD=V2ZPhur1+LvV=RcNt%b3iPP~lKSKBUtV~~Fk0e6MYw9({wr4X{u;D3Ri)_cf zWinoro;hm^%m_OMXE%Bzra5{wHFeeyOCu)@b@dKhkwce2+xr|^2}>9ty;YgbJ$DE4q# zo*8=Oy)VnB-^g%exgn|8y-Qo&oLsS@VCKRflbIUT%4pC^m&`6z$a(y>|q zA^pU5S(xc}Hq^$R+tlfZ{D_Nz4ejjxiD%WQedFbw{cWP5HGNOp7yNqvTcoCnlI6Kj zWY%@~(KjJfaJye;z8Zi-1IJWlD1z-zPsgieAa-DVWvQEt&Y6t68|zuvOOkUE_jHNz z)xPYYR4+iIlx!51H!QV}6`QO-AUi`%%VvWBBGVay;}0 z>>xF^-w^CZHhJi(AuTR{Wl4>jm@0W*cAkQ`sf}-it*p>R|OSR_*K6(MGQ=T zU$C+OMn?dv6gGz6fyfws0+Ia$(geVO0PW5{;($1QM9hD4QTvaShA5rbPf{A+T-E*% z($KRsH`n=75aX{Y)6aS8SNz$J!lwT|Pcd?^{5Z+~(?W%!;U)+{5Jy<}-s+SeFZeCm zxPTHO)jK3YW2J5pH$L#7taI6q&XMih7|7=z1E607p~+?ty+9R0l@-)cJ2K)jFR7X4 znJG5T<0d568EJsx+!u8p@u{ioY`i(F0(hr9rJk@OjS{~gC(n}u=~#09I$*nP^*jvG z-=~kKItHpI{E=a_tR8WcW2Fq_bh8f=+NrSv%F1t%u9U40WyvsVQ814;bUS<}a+J6? z1W&A?G2GP{`G`>mmH*NAlqeT@Dqyc0wlmo&H9>5cXocWI!2F*cD%1oYpm=qu8Nx7S zBuQRd33tE9THNb@(bIoNOf!n%#I28j#@{!-8fIb>h{Nr0xy3N8=i1w{b65xJ#*BS+mhsZr=au~KCTD>-2s}`vJaw#Cj#|T>r?BII9l>Dq z{^na>U`+nZw`CK1%)(blamS=m3d2{g?E)kX1t|-ZbCnjfU?2y7FS6rNvxvQcLF z`eH?m-x%x#c#&XdDg)Q7#XSKh4ylP6yDsPL*K;bgvJ|{S_ z7U(F{lq<^Cex|1i7hXmWg^T6rdxU%D1RwkiSp$(OT}G=L8p59$yH8Rm86iv@2jUv@ zkRzWcSd3Z$i7D0^GW0n?J<9e~Ce679-)u_s)Eqt8hv)sxP}dE|Bk?RfaO)xmKZD}P z7wweTE&RaD1z3>?hTJJoftb64EAL$p9F*=PfO54%NcF(`hA;yxUsnk|eMZi^fv6a;rayNI)26~Ch zrERaH0aE4Rxc0+@OW7kKObh02<8@skbGc(#@IhJxcG_#4{^a4B;nD6!P8F18Ajm7aRo+U>DNKk+pcvwULMy7$+_S&*0RQ|gT=qjPPe$9AkREMG}G%GeWW z6=I@?L|6Dy-WV_>UshQoToAi9FaUzZd~Z%4WW$FSR=ioR&mtqdBNmYs{VH}SdmR@k zxhLS_Y||JOvI7abS8X^>b?%A_9KImrEzOFSxqdJ`lU@DO9Z`9>@Xo7FZFpUXjw2VS-YjYH{KxwwCU<7`pZ8}1t$k&~ep&5puV zN5=G){8X)1KI#_2&+X$ymHE{dlSI4}%mFqik0IeCS@$mPOmTMxZ9oSr6DxC4mLBI8 zgN6%VzM;^@>jP$jh(o6<2LGT=;u>qItf)DNmTTXU8+J-tU>yqC}I=OL6CMNg!bNF1E( z>~PuL{Ia{(%lv`I6pj`FV`e}fW60~B%#I=t{HEua^4h5(=3(cDW9-i7VOy@Rz?qU=1VuGF9=|+9N6Xr~)?@C~p-tQuwh)+h-?5;m31@)8f5> zwlqRx?+rmjtzhVV1SfUN1wF3icCO<_@JDdQgqR^>`dD4BvV56w`SI}z^A~C*85AeD zF~{U5AuEBcItG)e9zfq3DrN9(au1NvC0@HHSahwXO^Qk9U)EAC*i9ny)W>3L=|x!y zMd2m{$wP9BRZbBtbZ@i?XQe}gG{X+Vi@@&)F>kndJ2o1XVl;a$YUnh)RuH{SWx>$G z?ceHX>2id+XimjV!wK`7n$<|>&!SQ$9%DT;KQGG;w`6Lc& zs|=VK8fI0)5h%~h59Ntq3&Gi_n{=~-h;5stBRL?!KOnR}Rj3Mc(-GeJ_2s?Je%nmD z9QEb9F9Bg?1Sh7uOF-HnRtpgy*FojF>ZtEm@dIQcS^RzU64Ey;{Fk6hFCeS%a1ck4*T!ZS^T(;6=+0RENG;D3U89JpL4ji#M;I`QvMlS^Q2KjYXWiULypNyS8 zio}S+^+0KxG3K~Xb-=#8rGMfb2-`!1N=<+~s}r!G;lcw#_bhKg!U3z0&_-mlXBtBw>_IQVEe_-XxUSo5YxW&mF=xnfdD_M6Hx{ZX=}^~` zqGDdl91r+SI-?i;R+P}h3Wd#4ALMQePNyP*?heh!oa*R>F{5zztCjqYbT7QaJ1$LwV_(lpro7h1yR>Jso?byIY^8OjXi6>R8s8PG3A) z?OQyDeqHfriO^l6*iKh(g~HW6X1_d>gNm6T zKPHxV6HfRmPk`iPu>CE-@ zZNspr9_x3X0O$!$hmb^9UINyc&6v0#*vipm-WQUgwF-+%Z1n!&8j$2~R4z9AMmEiN z)Hhy_SYUBEz7z$)x5xEbIla+PxONG z1{00dZP-22L|FX{sl_eyv5RsP$>>n8xNfNAXnWJ71xk|w6AbihRo#kE{p+)`g<^TU<>l)fWweOSe~^$TAj{oL5qN(W%L%h80B2UU~3xvs1(q-Pr*l< z)80g~ZuNV1J9|UsBPsyf+cLa;1Hz8i3l4@1ZaYVfcVEihRS`+YP>73$$}B}y3n5mV z(p2M{3$+^YBn61Rl?){g@BsA;0xkY~kHf_A1H0tE0xrZP75Ig}vt*bU{{|uj;En)> z%>S0@005l+u=n{b(}DfRO^?j?9_Ftp+s`e_uVe?nw*G$^t-gHiT*gA$)!3DWMt_c< zVlPAA4^f#_;h?f4-!+>D9hXquYF@V%kXka8G7y34mmGf)w1c%?0}+jT<0Lk7>~H98QuGe!!G|2eU!SFZHZq^7h?MYHpS3_ z)>j&CW+%FkL|+(HUo&8| z(xW^A)5^XZbSh-}%u@=({2}Ke#?>}VuYs3b(KeTH$pz{wJUY31U|;F*G87S(Ac^W% z{k_oVN3}8O57Bq==zC)Lr^@7AiMYZ|6YtCMYv|u6q3`BHqR6-K8Lf@bo|57M;qO{E za$uZb`k+pdSKs2pi+R1v@@2q!nY4=}iCc}JU|Q+3?iKRbW`SyV(Z&hA=BghBws)dm zY6zLrjsWSD+1fM)nTjLFA(aB`AkY3ZMxP~G4c9`u1fuAJdR7#Y4L2>mbkDc6X($3dF;55CYk>*l1TWLKB+wcG}^Qp|nf9xV>_Rq6^~g z{EAt-eny}I*L#*jD!&uB}8vE=gAp)%fp3h;r`^lN#EN-^{NdYX%l_cS~7Lf!l6`P*YxDjAW2)lqNd z6N|D*x!boG*+|99E92DECb}}xXKiCk!Joder`WQGpX@ve1|SnhilSyH4{arGXJ;qA zyFwbb-XL4pHm>Qz}@yvrfqGKs`ydomg8MMP_n_*pzNLXOv1&zp=sMpLV zDGF3yVr9&*ZVHGNRHXobl4cJ~_ccLcc0#t_5D92BcSg#4C*mE@t(b6LUM#qRDPqZp z5b2#($q9c6P-SsFTZIb?+<)Hkqx0aS>mU?8u9hh*l_3(aXie%Hv3Gf4$#?dh@dNp^vV-pqR#9hh;jyvoKhiEV zxvti(53i?^*~3XNCcI1~KJNI+e*#+eDV#L3a-il?^66BtKBKzEd1M+ko@X%UsKnj; z!2r+1_3~2ggp`*|_pxPPNlV7vF-b4c++Rsk+iby*l8)hkZwgy1Mx)Ay3IuLd%5qb! zZAWiWRSy-)^tR-x?4qm%4n%_4Pbi0;oW`0Ij9-wJf}tPm9_JOEd>V#3uXv}bBqZS_ z0&gDTJ8h&)-)Q-!pp zRRKm|FJ?%Pt9@(TG32~)A@`WCXeg}QVSjKZ zL`3{(Pl$j{rAwUsk@fujNytThIQ$vEp=VKDy0s@9wFS=5Jl^~G32!kDygEYhiDaxv zcWcrqhL$}kv&N|8W+-}Rk>{xneKV3B-g}x$1oz5w=dZ!dUp2=0yo~*|@@6eQ(-nQF ze{k4~un^@E-YwGy4I4MFY{umxwcNq2{7^$(mQ~fguEC(dC3mU+kSY~V4>ff{5&22` z0%auJg-CPuehlFXmQ~Y_(CJ;hHoJxsU7G|k`3F*a62@L;lYM<*3{XQ98ptRbHcs%S zB^w$=y-r4}ZY5JktKw;cGgYVLSvk5LD1m-%n_CO(`del9owqXZZFd3E+8XcYpqw!W zeL?x(oLV*QEuD?(EyuysY8^;943jbD*5w|E5c?g(Vhqy8LDa|sN!*ys>})K(&YBZJ z2UY{uADw2=wt59B96xX2SkAfvf?n=YZ>&VkiDeYrga#7&iVSSV&==d1Kb=*c@$Gqm zxFEjVfX8l#&r5&TJ{{yPmc`h@jzX{VW6ey^k;S)F3j5icx>=I{+9If&)f5J zQxjuuL~d^-d9PX?pU7YXgL31@jZvu*umaaYJxN!YA>lg`Z}&Lco)M5q86~~Nj^(~` zE}r0TXjJn-hQ04C%$6kM*ukA?+gYcNOsGd=VWDgpl#PC4$C5BsE)Yc35bUB>0#}}N zK1FCGcHWumv}UqgDaC;(&<1pDFgEq~8ie7;?EGIthOCINn$+8GA>$W3#W$`UK-nGe z_ZvkKz>6OP#`niR0|p?J{EOP-=T#MezDXwo2q1r~r@lk@e!Z*_{>MOQ4s%Pj=AMGHmiXrA6x(C& z9j;Z!$q0J9oSd8rmnYjGm7yU8hxsOUix3<^b_Gu+-*AgJ0wM!?n9rvAK@~A~uQBik z?_P0L;^m|r3Gg>Mxo)$vZV-w&C}R@~i27Y;kjpYG1Yc(&Uy`^$$9{sg!yJeN*~;>l zgKxpe`J`vOUM(|4HKi@;Ayuv|OX{SSKz{k+DN9~1_yv=%n!Mao!=tJO<-H)-1C|Mi zB+)t1o6i*va*P8JdIJtf#_x4=>#6BxIwCYrZDB+?Riq(dIC7LMN=TXpg!%U|DF*ZM zx?9n_vps6aJB2uRMzlgzxaGqS%I}MN7xbqShbd_XX{twe0RRf6+ZW1LtX3{@re<98 z3kG|i7pGgU3=44~8Im9UfXzQ}ARIHAKCNA>WX=3}gYq}BcqmMfzoc5#ay$bbaFo*ynO z7sDZzzXtD%ZQv)wC+_k!|4ZwBe9tWRelztFgOlkc>NFB?LDN^e8chDvt$usN$KKM3 z8xbWl#f+GrQ=$|u2P<$nN#R#`U6*OKNUQr)o|Q&7>B% zm!%LrFbJVnJD=iR6zLg{^CCAhZzO#wb0xNL}BaG@z z!UTTyL~ya1kHVkTkRGYn8z1=ImSa9GpJ?F$XPvs>bO;hSFW);4nDIW|d@vx66H6{7 z+)XGl>?sj&FqCa&wI{Xi2V1qU$eI-RP{>3RJRfV}1vwGz_*wmkf>?byfv|uh1W!Pq zfzIDtv!lY5A3Qm_WTncP&TURbTP&(I zHOqw`qB2J>owlf@bQ!e9BAY*6FEDWs#+npbv`|+bE#`>Fn^@~P>#6YU8>%{WKgY6G zKBOAYeG5W^*022XSrRm<$2vadGBCTdwXLPECs`%Cvrb6GmE>Kvs*DXPl1YlSwdh!_M9>D3lvOL3&A83|04J_Z>U zzS{0tS$Hw#t|ySa7uXy4EyxlC6yNa868yJRpt)#4Ddn@k#g^vwSEI0~@0`%fZkDP9 zWoTJwKi`_(ouLyddq9J!uZz&Bw zuhpg(^QQSp!Wx*p7PNie6Jr-YsOh4zAt~Vrr_D(Qal%8BB zf!gGr)w&lSJuFBi@6$!36^R|qYkXb8V6yBilsAg8iUP_E*IK9WGEJ6}zS{y-UOCBa z9ti$~2w-irg6KpWNVpxDvpXR?UDXREcFLUlW)MuK^mF^=AC1b;U^_zlRqOjO>lRfUB+BVPJY^hzJ@Dc-h zkGkp~GU|`E#s4*<%Bd*|4GXf z{@%}jb4vNEr~d<+_@Ao$AGk!nayHrjCub)HW~Lu&^Vk?S0M{P355XwCiD5mr3XE-C z@|sA;XngHM2lcQSM56E#qBd*n3u}eE7er{z1$|cwJdSQIE%+kp(2CBBF@g4!Z&*>G z24Lq4F+UL}FKVDOEr-~Wt<*S1Xa@7AS`W}RY~F1+^R%tr+?EnhlG8cH}v8j!<7u_uR=rJUbv7LCF31`D-1We~5YP4@ME zIm4_)Ob%=bYw;TCt1KUwL#0NN=uDf*dRM_P*7u0H_|kA{NY|ViE(g0uv*Bs2r@As_6h^(Rd|6diMh|u&L<&hwR?1qx~%Yxu$0et3s19_mEE{J4xg>D%NMmeR(!h9~iSL$b? zO4XJ1Nx0?#^;mw!88HP;6p$-N=+6G(rd23wDzOSc*n5;Tj+Ha-1!85Vs7%;!BGMyj z!+MJjvfH_FS*_DV*RP~s+Ps+{nk;?W3ZaB3PKWPokt-HeEu40;Q@!)y@{XI-Qv^*i z3IU6GRHGT|9$!Hg>c)h-;i(NZM5W?Y79XpZ`Y@e3-L{76%oX~e57kX`o3j+Z`)KZ^ z`4f1{LHG{jxnInjcC4=;;%#Oh84q$=wn-LoCTM~DG4ftR&FVJ7R@wlEFa_|bxAX$= z$KeRWa=nZv!JRy>8QL*9JKVJ8>2wdWGD~OmxKvq}&y0u@n5_OHu%C*o@<~v0s7Hr2 zV%}zVR|d}?`rU+W;%hCcU@qY@YYC_Xju*h?Uwsu_+jQgFfw4X zKE1FSt47vVSOwJdt;n|QXoEp~owj4jd+FJmTDR%@p0iP}uBTSZac83Hw~4{L6^inF zpV(BF!^dlog2wAalzb*pVzKz7pu%?@L5LO1^Ed|ixk_kZUaFxSIdTAU02er9cys^D zk-5J5Od#jvsm^4MBqm~}?Be%SQpo`CYd{Gf!a6=kP0$z4&kdtb1bZc(RI7$?dI^^1 z@nW@jZA|9NUEk>?=%Y=>C@xOmu|#F5G#+!vav*hscOkJeMWw||s9@x5NT;(WX{V!S z`ju+6SO$wNJ%4nq6SJDS-js4+%j(Fuw6}b|J(KIF^U0L>svSer*3MF$*;%w(wp(6{ zRXmEDlVi%g#cB2VH>q=MqTmx~0+YuQ5=}W+vnLQ9jWcctZg8#xX+dyRBSdhguIH83^&jf>-|ax@ZKQb{(D+J$uM5ZcRnF|R#Kp^J zbwds63#fT@NirO~bGYCtx$}qSZc-oO4AnGP)=z)(+mg3@KANgqAoa`^6mVf{w9Paa zxMzP;S-IZ!L67-rK%E@CQ^jjCU0x{3OqqEEtRR5y^Soe_KN4;hflbQn-p-b@yzb;} z6#0Rm_dVIlqQl_o#@=;`o&Be_FEun6@f!X6;zHseidq!%}x&UQMJ*M}SL*anKS$hCe|MFUBJ{ zzpvs#&vdrxNCQunkU~Qjw|yypP@h=WC`U~AGFoQ6HD9R7q~QU=bOyGTQ@4D-@l4oT zUo&tm--U_EMDMd3PSM9)NLDffA0CC=^})~z0wwcjXlM#|s5&5QaCMfW0c4Ght{CtxfU}64IasV)f z{*&_c4Z!#x$$?+wfPdREvi>NN_pM1({v)w{*O4)_G0?Fyu%RHv89h@E=m$ zuMBPWAAbA4pJX$zGX03YcZ+ehLQ%r)V7O zUqbNx=ZPo7)!~Phv6F|^lbeTE^ac>QvJ?SIMOsPPOy+M*o>1v~-n~`_XB6?0-17d! zkYj%S253o3ztBs$1P2mLudV)JkXvv?wxCv#elaXFI@>77}rr`^$Sz+*4y_g-vr(4O#zNYFy{ns)@#Zw`II%SRC zrWNAV-KVG-o*m**umihh_MVt&A7_D6gOMq`V)+nnx!v2oV5r~1Ljt>^$TeV;RUJ5q zXEztWWd7s^bp&IyD%PF%1<#?|05M*0>HlNx9iuBv^Y!7RVzXjZY*cL9wylb7+pO5O zQE?@y*s0jIjdyp?oHNzkGxMK0>;0DJzW4r+z1H*N1yU;EG;s~nN(bZvEeV0G@1Slt zJY1x9+ROqyR!(H-bx-d_0R}fs8nNNkAnxd4j%w2!D`FwU!3rGFWO<73nqU<@+h2)( zDnm((tGsg#hvePml&ooLyhd_X&I!o-m}{3OX`44*&Y|o)U+#DsrCZ>xnXz)1lbss4 zMf`BL{%`?OJ#vh+suQERBdppz72L9aQN!KsW}P=@c$v!GFakU3TgOoGwNRbPI{#GU z*?DKsk?Zb4ObKj~4oP{4{h^5|i{DkHVP&9Q7@-%&+>wh_6^M@7Clpa%z0=lpG0x#>Xh?lcVM&A=JrBHvJz#OC6TLiKqMKtlYvwKKKj%r1NrfSQLX&gOq8TM$%}CzJ7B zUX09SQ^bk-3CK~SHCI}%Vk~;vYPNJX-_0f`wB;N5%&0$o#vml%07}6Ritz%e?Mc_g z_rr{KX-$}&8ZcajpLOoStXl3U%{P;kA30VS8s8P*NsXwJX13^#J#_DDd@5%)Er&nW z!0t_VNowpoqq3KB9`my9-=-p)8xEB0jEPk(@3Au1@$i23kM^&so19;TTU|z&!)MNP zd>hr_Ww@B>j>)uT@>K_=mMbo=5Gx;^Gc%^)D*m!bT%Ll4q1uLTMckK)Ib9^^r5<<; zd}siwQd9KockcnppZI`yG=k7DT-oxnRzNynWQeOy8og(ZPow5VF1O)@*ELAgs>xN$ ze(~0DPJdBIn$-*gae5YefG(U}yoj_xtWqEx{xbkvKn4CCez1HK`GHFd(zJ(*&EX({ z5yWHt?8tnXOt?U%6UEW5d=5P&OWU2r=Da@IZ6)u?n1pIR3GFBuQ3Y4>t2LA0Vx-LU zmo4+SI}Kq(pw-IP4pVs83?6&C_d>4}!4zD%q1PI_17QQRHBQE!1U(SVH6?3LOG`Ip zb>qX~-Fo|7d$)iW{|qA2AcO&&>gBlE@E(U9pvq&vW55+7X z9njXX`u2Ut4y?+fTwxYpoQqbW7%^8+x^t)Sl81Ej5>LEn+8`GWpT_l*w}U<^d?C7k ziEgOZ%W%bygW(9Y(bQqzkhOLQuv$s8&|+PA7rxqSp?>GZeMjx;(O&X;`d(^?YufE* z>pk*ZE>q3J-bFN68%af9IaO)CQd3wL&NOK0-|h|UFc-C2TOJyM@#ZxY#?ab$h*h!=W$C(v`D$ zCLHhhZlM4(ZBgIc7WsPjV(`HSVUF(2v}M9i|3%XHTZeciHTD$Dw- z+tMGGciUrw{;vkvAe1fD!{GaHdv{#WJGFe?1wtxgsSK9x&S6}5qu>tCdv{e!%CjAB z1oGG{O*unUg&iow&4EYM-QeUU?$BjF^UxoL-rOdFsL-oU-qE@_yKR^SsU{G?Kw$1< zTzD)eO0!k8WY6>{iW#(pBhiz*Lqp*PzLfN7ZO}nP9z+){#nBxkh0m?eslW#HDv=*@!wN6;NsVm{rltpd&c`KSV!TP zWc}Mr7hoy;i?8NiG~d|&tZDK4!GBN8W?=r6m@OR-(0p@2?XDiQ<1Tji0dzQThNDTC zM{XFku)#r2M6y@WuClnBs8sWn1WvlLF1WQsy>4~a-gK|XL;{Odu~Le_Y2kv%wW0^p z-!UmcNju*XXTU6SQNT0NhS~C-%7f0$&F33__Pt;L5?j%f8F~Ba%En^Nr~PV_{+oG6 zkIoiiSuKGIS16)~IV#~xUehp@S(JdFn3PpF5*^>&!0A{GLf)8Oh6*gr;Ex8?2Eu9# zD*iNsXvQOkY|aAp;@i_eJdwP^%c8S%bNlbG#}aic9TG6Yy)yx2tb1YhDcBIBrYs{O zct{>pG)_@Ptj@X0Z=Ynd&ogB8MJmI=I!6WRt)0K-61{pr|n|!pT_q5={LL>b)g^TpeH-;(nL#c>+ zMu2t>Iy}}WkrLMK3B)c zL`xCRwl3G;-AwFNNyn^1N=uf}A4b^sSq1V~#>0K|qAVAoAB5^AzNw3~z*UsX() z$vF&3LOuZP)=VfWxD2t!jN|h9(UyJ5P}z`aLLH3N@E~1KTBp{5SJpRo$a9c8z1M}# z)m4jOmGa>ucNCFzTd3htx6PFz4^pI#a-y788yPVN>B*ubYsNA;JJ_J<$tDne;UF(B zg*Oe^1NJHkI+;6d~8*lHe1NtFffYp{c@4l6D+gBnd_E{imJP$|Kip8hqOhR&!NVd(rdb241)`377NHL)T$R^gM_C`Wmgh6bs$M$ zVswOg>$dGjX!p-ZT4Q_tpA&qoqHMto)A}scuA*r(FjE!HF-aJp= zMM!1S1V(EdYMA$Y2qrFvVy|Wv=JW*I30Ll=9Sk{>mI!)x!L!&K2G{2g@1C?!>$Lry z%-MNQ1*Awtuo&k`4;SCa;^CnKO=<4oO5p+)_l2vk4;op7(kMr!6dE%4(}fxYgwm*8 zZfVXAk;tDyJT_;015Suy-!4IrY(n8|I1S?}zrD_w*hrQq*rjXVW)o-$7=t7X|1feM zyZoZ;-`|@-WSpZAJkqMd(OFktbi2BmemXzEQs1|rOp|v@NU1~#qzl3ZA&u-w(tQto z0uCE)0vzH`{*6eU4;n!`tmx}N?l6!>BAB~3Qvxkos?7o-y3uonU}xz4pdjmxx;JS_ zq_(_&*^Qi-TQLugHC`!9^2nTLX38dc+O1Wbg~PSpLbkfQMBZQHHq(C^x77ud#MOWI zUisrbA3#+GkevZsXGVa;2GhTi>tyhbZar~??c;+}ebAmX=6!~|kyiXtGFRhV7zKkIT zarnpkC-!!@p6)hV#@vl3OE4?js(AXOe+o71%<+>(j0^oF&uE_E@z`he)1L3nmOs(Q z*+=_|YvTU?)hm1Ad`fSLTq=JG5u3HB>WP-edxy8m(TAAy$`77Yq)+H{)Pn#Mx zkQe0^F~X()TgDP+t06SY6;hx~jAg@=JjNZ;9Rmw`L?2HdaxKXM(+MtdjIh276MCMr zP*7faqpaiYgX(#|#XF`LOf@~Mxm|yb5CGtTw-ddt|W=Ul3-nclLO&V8M zw~F}UV?3J8T+l{PN#$rN{a^_tf3zvLDQ#|NovcWz^ARL%yA=LS!V$-zmaEsv&)O{@ zs_ks3<(2eI$GIXG(0L;y?A+f{60B&4r7w5oM93j-x)R`t4@9v}Rp0b=0H&suJFcqe=CLDiCkVC%78U0oy<=(n+-fDlN zvbb^3=<;Nf-Q{t2SDDToFN^F2pN%_bL_91Xq`{~e4h!&>k6OCDI)sgN{ys=-G{5rNnV@9?E%gt4OY~wk#us%QcbLHoa<|>SiPWKQ) z>pLl8jx(jp8Y^sI{B3oB$!w0c4Vd+Je0%HNW*AKvY!~n@Yw`AsJHg>ixM?gglEfkR zP^u_aS{7210@`7z3H2{BKn9a+Xq@>2XYME-0IHO9X9%hfRD-T{yL)Bhro3RxIj|#= zeLUV5`mw!%t*n>!<@9j6-OLrN1+;~&p*^VGHCr(!Y})X-C)mDR+pZTm1mK?YyEdUA zl1n@pgO$XQBk}-b1KWWMoenTDJ>mJGGPFPoepJw*LqS$q_&`K;wCEjmbPZK(G%ds9 z&Eu9B6zdNWRcXLjlr5A?1D{b%`9;X*M2s9qlPyMC{!BhO!-v-Mk+s_39B&1D&pybf?j%vcTaKisQ8nwzPrfBCc&I}6oFR`+tc&KIXclQGZeehsV{j!w$ZkDPYs^7@&HvSwVUskfTT@1-c(4#2!Y=>)|?v$$@51AITW7G7O}ID5ExY=R5^Ct5=*0vs|K+p zPTjy;K~-g8CHn)A4)Ua!4n=3ny_9!flOY&$xrHgdG7r=!d-hyiQ|SiJ3l!Zr_u0|G z+ilFu6{t?u_l~7UE-yz7!qOR&?Ep+j0c|v$-cJJ=#PlCD$Dl(Qkuv;I;)q)^;t4K! zczg+Y!XVi2i#f3M!#AzG!iA#_6;YM&xS-hBKblHd1*=H)#@e3ZgBLCOxMt?f>Z^U-d@(kSyVs~WOs~A7nzj}m`!vlQ& zM2WWJgoY|PHn}33kLIYqE)Wm0=`3bvo}L`GtY4u2P~;nso|s=HoL>`TuMLga-@2n- zk+Z1%Oza;bQ2jZLkM1GN*5@Jjt!C9UK`qQ#4sw%{Q@D=UcvHg7U^2KXME@N)ze7Lb zpQJO!UtnDSc6g{DDJ3ocJ8^*Nue&h-%8eOdO~AtZzhd)%KH`5zhk$(bkI^CEGk<`( z0zUXp;U;W=?&Y7WMg2{|!;0=VV=+40KXxU5%yEC#>R|uBX>~BM{A$9%od_kTD2g$N zAG_T+r&4L&|9MG%x%MNXA5uAR9vCP|suRW}lnA0f zY!0Enfi9{%Uo3&^M#B-g*)jz#x>n|)pf!NHc$d2R`ue`!d@N*}0R><_-y79|b?TJr z=xl??b~EI!8tU5W`@=|WjJjrTnC*>&K6EkqA$;L77@pkW#CMV<9DrG03_I`Ly?_*u z2n~w~EB#&^NX3vzus8!{y5*;s%J}m!Xar7%B;{=~>KU`ly!J**5=_^*pRdrI+%KmY(k+*xiT~y z%wteVsdssvm?_VN3RuMB&Y1AQBudXh z8bGN7J^5hFJ>ilM$+}3Y)g7Rvk`Cn|Ce?|@Kl30KnVcin07)a<>GGVaQhsXWq+YnF zVKkKqM0G>#63DnU0z41a!27X56o+VyAjP3Yr zhpCd34>nR*I1#8^VivmVi1J-^)HuC*&J`&!lO9mcOX1r5ppx)(WiLev3Q21DOuHZJ z;lDPRbodz&shj0UE7|GPLdSWV8Q`P|5c#`nP0h?Z%*{hJ=Tg*DYzp)~HZteVPom^P zq|6v$HOdw3*{XAoz4Qu^Ng$m!}h@3nf1`q{fry zf6kj>EhZ%~K36PrU}jf>FDw!VE1WHhq%lYpdL(+mk(}j}o&mTdu5GLrh29 z6a2pR!+L5+-qKJ2dEL1GV0OyAXP193tz%wi#;zg6TLfW4_*xU3(mL-I=c)*^ z>Cd7hBTUp9bkq~h9{^#cQPhU`;dx5<0t^(#bn_SXAl|U=#CtVSRQ+Fky*{a9mnYS_ zcIm15fyq`~>*t-+RcXJrdCW>%E+<(=7V5HFxwp+AtxC3a6ndbtb#ZdZ~vx z*Im9|ewP$78a^$;7$gPaengB|8A&k<3z;(@gFEx%M=Eh2yDvO0Cx8o0-;)nkRXG{` zY)d9cH${27zySQu*cUsI>;mihUr{lUcTgDu5wHRAZz(X~ct$`G66L!_k7 zz^OMP+VXxB>lrR=_dA`#TG&zFX3dtscCHXK>4;SMA~xBSi(7vXo(bdmXPV6y9mcJ(OfuF1I3d zv2kVp*X+kg|DSeqQeyJ*5<-C083V&#r9l_~a^1gKY5t2r9N^;D1o)@j*}t;VWc@8` z{tqq$V5Mp9Z2UKEL^FHiKe__`&i(t9-tj9L@IPOQv9SN@l@S!L`#UuIeD6)mFi|4n z4R$6pG&UpG{A&=uk_80?n^N8=geC%MFYM0IYKMzYTi0^c+1l#}l!l1qW_WMSJgk&J z|0ELDZC=NLAOb&>izOOvHDZTT7C<|8eBbloN?DJR1h5L5K0bnmmRO1$h!Vt$4Qn5I zwU{jQlS%3TQv~1(!5-SD%s){W(HPTyNuTIuNtTEUreF9(4YM45+C2*yM!|qhN}-Yn zq6uf=bQQ`!SM`pYT+|R?)lEA|*q<;75*ScGo<^F1*6pKgNzK<{=7(gh1L<2maf}A` zX_E8D=Jf1@t%Zc4K2#POCTrS#fNE9*Fy2_g9M*Ry$iz{STo^mdG(sFSc+qpCpa^iM z*0tCr8qSAPLVMdGc$po(snvHE`VtPN(l9Omq72yP2qW{XE4jSY09t6;nC1Pm>pSpg zWDyFF;+O3aqXh5AC#!9#rCzKG%4Q*!H z(tyq2q8^ zoe|!?JB%BAuEM)R{+aZ~{Xp4=iJmmtK0aRCTAi&??QRFCppGDECPM)opN4kjXU1?K zGC5q9r-sk^&BGPrH^Wejg+x)m2%WXQN42go(W+hXB~pq2O~Vw?wZZ*85fy%k5Pa+G za`u$fOprbgGC@T_X?km*T8>Qoas!E7&x-%&=w3VojEqn=EJot97fIi?3{c!fbt zCI9+@fBuiHausAn1(hX!d%@}dJZJxn(Zvjqc>@5v{`sW+-y**l{uS`+56aOZ02VV%4FN6HOFajvIeA|GZz~JW~Uf6jTP6VSxg{gfTQWN|*Fy;)h_IIYHQe z>BFBg`gx~!X-z^s;MyvAW`BM?bnljRt{P;HOrIoiJDDr^=;pyYIuhZ<)u++RO4O1p ztyCYDM#id-CHjS9|d3C{~b=hh+r&_lblk~sKbEbwz-M9Q)pyC6SI&Wdx` z7C+q$%6+1pb_IaU+LotVJ_$^6DRwpjMt8R6O`PBrwz{s#u5c-QdNUPtk0vE~freCS zHtFS?s32C#U8Te);a1?dApC=iXXA!}ni^1kpF_9YE3}pK=Vp zq=y7E)hwA0h|DzL!ll=;jfr`};|-jF&_s+_%I7H8UCujko$9{@xxn;tcoRgQuXkTJ z4DJ=NFX3yke42U!kr%sMiaFd=@n)VN$gl6>md*vhw}2q`#gen;{2CpMQ)(wlz` ztqjb+G}Hffav&_GAt3Ra?x!&OUtmXo$jSmJo&JB0tiR5|MF6Oy|B0=C_gVaZ?J@sM zmu3Hj6#SppQ-B}nSH5g!f`=T6D(XOrC`*K~QbR6B-vdTwcoU@tgc5b53Qe9rp-`zD&;$t*=<~RgC~GFsj?LMt;HU#Onm) zgqt7KVUS~GdL>{bZtz14{{(Jc=>x{grY$c3+_ZP+BnJNZF~NX}-je;JBm*%qe^y$7 zk_08h4|4+oY}x#eu?9l|QBY_g2~$P}ivkh3$jAtpdRSRSiWoZY#%$x?F-8X@xHoY^ zWH$M#)}%|t;%E1IaB`UBNI%tqYC!Sm1Hpa2SW#rC!HAF+rdHI_3&x?}O`ko{Aw{5s zoF&GSP=z_fDvZrCMV5HsvcV?TNqDXK8sFk3r3vXbOJ~wyMtI3%Vip4nHLawyiYz3u<|!_m%@sd zesSa2c}7}QBXi|ZiTv6*6iSRah;_ZHKj;SpihP;rZFw8u=cwoGe8D1=v*gQ3)6--kppbpXnBHD5!q3I?p zeTgJCIU!nlA{$5Wm40{<&TRT*NS~j-4&l)0W+1P+vUdJqI5MBJ^6M=ojk$Dq!tCV< zd>OV5k?W@{-K8J0EzbqV;}cOneI}DaOCQO0L>0?XXbz3-cCkkcscTo7wjfzhX;BM` z;*f2qdogXN+z&;k-VT2R2=jnyP=NP5qiG1zNDdb& zf2Pgns#np;UgXP~Umtm|Exo@*Saa=X49?z4cX{>5}^4 zRI_h6v)RsZlvh;k3mi^4XkyK(M?~o%$i}Ahviy2&1O$~&(1gQQ(;r|e z!@O)=S%czO)KFy|&e7UH4Os;gx1$POs+FA8!tKH;ZVpta3<8bZ%2$(XhLBHzY(-vP zdTQ~oeUd+{`-$!YLVUFdTQa^jY(RIKwj~OSRYcq{8d+D%@{6w5y2SXJl_jt4x{k}x zmhXLcCflIF;J&CyPne!W?`X}2u>(jGLB?C6jwgKu!z|tm%Du1JScLOcKD+k^SI-O9 z=hwc~y9nIM{c)WyC)_6`?S`E&%RT0G{9i~Hxl$qQnNbS~$%mQg6jD>-rCCT&t%A+C z_;?g{*nz`HMTm4mO?-`7!s4JilJXglsj%!kWuBMd&0U_P1*^}7b73+wad&@~=q^BD zMu$3Vn)X`h_7)N_F>7J9GqYIrNui?zzk+mP)#Lq>e98EqO7sW|NQwyy{+2KQs?hVB zsVe~Y^GBHOKQKRli+?j}_*XDp*5B+L{?(}AFD^;!e`bRJe(+!SB5Z8GLU1$V-)&Hg zaR#fG8@&LI<@FH*19aqJ@?;U?X~vG}q~yU~z(1)y)kiUAYNAGc`7-U7wa=eUc~q-T zwa@3}>lh$$gT90s9W6N4@H-|j8QMM2FbKtCZX;j`W+j7cE52MoJiOe9uT5Ng^SE{C zl7`dfNRgu0x6bpv%ec9Dd!w~j5jHQu3J1vv@!Hb~Lu9$5Zgl1TfNo9;uddc;qjTU* z;x$qHq^(y}Qe0|K7U=FvSxEgokuv8SDvOsAy;h4;;i}ZW#mSA%a<(~_H7@e=YnkY# zF`Am*ix=1VrUwWF&}wOIiy!-UD*vsSPXMu#2+DA*6bO<)x)lj*bm}t#qU$omTXT9o z$IJuhDM4~oLriF7-K>W@r1b#rWOS}9op(RP&qXxv6?)D~C&91p=X%BKjTqaqpSjQx z3qsi9k{(kyJ<=YL~|?&|Utzy)q{c-iKSGKNfx*Y*5gYW`<_?Ce$o=6}~C-6{d*dC{#Wy zbq+L**)*}<&*t*Q#C-hlK|L78j$Z{$$!4}#fVUvplZ%Cuh4FFd<*-#zm!wK86**=7 zsR_+X^)(k{STCd|;?TtTe0^@N(_?>cK%#ic%Q?w$M$2wBumKe7;Kj?l{H;rtd{D~VqnhhTOIOXZUFs#y z?>L`?j`XETge*2O4%P3EPVgu6XZ<4tSp!%_7-3*w4zq#RL0H>;%rb=2P0B>)gNOZ% zpR*Rv&J4djBC{*xA>JOx@-0@^t5yaWyy(h!Kox6cDGuzz=Pp+mlL)_pO*RhM{53}X zPSXDSoBVG}dJO?d1sMTAh!XmX%@zYd`3B$~!^-#vCc!^K6yW055cT`x|G*Mye$!C? zr`tdV`d_Wv1n7k6!~leIIY4FqH#gcp?*0DEH3wJ={9m*xSbl}JNXO|)DY^jkZkikJ zb=s>4#iy)(gd!pt6)lxP4M&bs6i&u3V1I%xkt&>fiV2|+5UHd7x-v5=Ri_k}Q)CI5 zuGqt?R}MxZX@PQ+dsQ^n^0P1MEsC^?`i9ehcO;jW=#+`jgQGcxLw@=7_1JI#PXI zk`){yqrByqqgAT39j2C0N;S+u+bDZ5xK>K0;R822ApzZ>CfRGk8>iE&@~iSH`~vj& z_Lu5n^X0oInl)3=0W5GTh)i^rUaW__IkyrGuAMdAuI1)q-`Nu@J3QU@RcOSyb}orw zY#5%+>=8rWJ1|4jCxOlN-Y)R!K189VDppHH>tiuxZ+TlP^Jow2c@q(<{N`M ze)zp)5S&l`9sAyg(D#nIXPq}Q%%Q!unmY$`hN|~>vyO8atFyIQQFFrF9w~B5@ zQActH8quYv4iP0U*<}9UTyN-n;?m?jMjr|@S{33G!3oFS}y?2a*dnY?!a`#h+43<*VKbdnpyH{u)qdSl0oS$-JMSRU#C(7?ZbNdzOL z0spK!noe+6!_)~Uj1V5efI_bcdP;kWmNSu`$f?Lyj~dmOir+1ODP8;ZyVMpb8c-W> zla zZ`dC0mK+Fy)i)Xcx#5lPme64&>u`~uT zN-}3&t>^te52IAWhp8$_Y0V5lB?Zo6kC(-SvkSW~Lnz?clI0Sk-UQU5haF#qy0{o5cRASfg#^&8zt@Gl-y?0+j`{!a#{ zfI#q1L&P7dnBUhWzq5>f2QL3^RsD~)|F6*HKT~J{!0P`)!~mEz{)!ZEO7M_URK*#z z*=*{dElE%hQExW?9ylufl~gK&jy|-fji0XY7SCD7zJhyeiU3W_ zbSPKF7S(RxFV_7xI4QQE!dZbM&(6=5c7*0UZ&-`a%a^s9}#&`h$c++XyC(}}Fg*OzLZUG)byg9VGBJ+3??JO>;|y!2wRNebk;8rI0h@O^l0uB-P+ zBHQ`ynH z`iUN|(V93g%qQs<`Xr($`r2})2@^!ctA8SGV`U;`HElSppt!=h!(-Q;HEsdTRy%jR z=Q;FRq2A133W;SJ77yI`(CC>a5fx9|HQhg}A%ucJDL&4Zl1>36CLxOF8dq}| z*l(-y!+uUVm5|^5sZ2UHa{POL4D{?%DPAlZV}|7C0ys$U9u3?h6hSY6pfUNwItUZV zvXW9ho)(?knKeuHeT50nsgg~m8-dg53Dv>s@Wmj)1tI532kYgd`O&)o9R(&;K?owH z&~JPfo=MVyAGYZ^#i1fndR-)-A7U{rv0J>im%=nxw4V%y`oFM|XSc+TAI28$Q zLFQKjDT}NT+A}wpp_IF3xh!a1kfJbuzhQN9dTitJKI8DJtYLi?P-z~hkC)7^FRAQb z?AJxdStzEhgdL>4ey-F7MmX-8)U*x5IcecuSj_{6UZ7|o%XZ4H7pOIv| zZaP_yuUt(;uVWD|PdFZy*AJ21~q=5cX_ygPE?Ilji8 z$jKzB$-@W_=$DaX(L;U7k4+y+ zN&_8X=EDV=Rl>U_LPmme2wLvdD1mU+B*YpGOBX<4@U<3#BBQkHAVh(EE0fHB>wHt} zSi^Sev(0F2es=D)?Hy8s*LNI!BcY&DNT!=1WN%NFwwQG+dZ-J&=OUga36cp%Ep)rN z9vJKlu@>wsSEYTX^KtRs**U#q_z7|by(*nG$39Xv+@jDnYDEw1pGOWe6vw1)j);J> zs#&-@>A{qi71q0#YfHQwsf0*l054FfZRS zIe~jY_I@yVZ|h!__Zqh{qY}F zAz*+0PeVTYZ;q}1)42WycowD;0hng|273NYk4WzCl4dG@tPcOoA!Yx+aY)%%f8C`e zC40yz{lpzS?_GnSGZdenO>VkW*R0U%j~B`mg1elftYITJvnq^2#V?V=tD|c7ScJIO za!%=Z*84;mNq9Rom8lkFp!N|36u;EYz+&61_LkX%W1NX`zs^+O{cN{REOFGx^nD@I zVH`jseeqtue#ZTn8%?N0`7t4({$X`%R_X8_M~)ehB%C-j2K@2}e-PPXxgr|~@exTj zpr8exSm4Ryl}skqS_XJ= zBBNx}VJS7DgyAg(9GJ>T9rPR}-YG9gZb6i}317dbHl*rRxCtPO2PKDJGi_?3O7Jy{ zv1M~~Gi$6?q&q1ESmZQgNmUFL)F**}-^%aunXav;%s~+Ad!Iw z6WhrhX3v}S+fFFYEkg_WLV0-vX@kIW$_oI7Bt5krAX~KqGd&f95Pag?L}R%-Me1J=V?YSamy}Gz2mQVzYbw$!q94N>SDikw(u;579KK*VT9K4C4== zy1!=pB&_+8AV}AfmwS6-TbU>TutgX9M1TuT;Qk^I6fe&THeBJZ^)aC*Z~r!7mVFkPPSpvLqX4(#gN7s6B`4lZfMG7W0bO5T*Ek-cvC}JXpEi@6Vjyjzo zrbx6T?C@nVIXNS&5pn4Vv!I_TK~_6c047G>@OZQzVjwAI9*m%)ekdgwXA$D?6Cc1! zk;%6sTwBGIVjmL~Cpo~J4fK)`qu0F~%x+{00*;Rs@_5qEo-`x>U^87GcE1iNM4n*( z3h<|{*7HG-a&I@f3RFHHydi;Z0aIw+q$-Ga9hT|N@{*WOK~!WQvN@4%@P|c!I6Uc$ z+<8<*uQsYD(}6EH2t2Y%Om=!jZuhCpr4PdMPhKLbLB_f?eCR}lgR7kE#Ps1-aq=uI zDcIFdAr>2+ZR#?8^(=**%A|}*9kHeACJKp9y(b2-e&2K3jebN2QN&DjwDXGee$VI0bjjYeOtJ7L0To9p@%PR)E1iY5E@yj< zoDCk(H*R}#EoLF5&lawMZN0Y-bWKjpnH*E@ZMO~QuxyI=i+7!)*I)P7dAQIezp<_` zOuKV3wOAJnak%PeszGcXoUhc0>~HHsm$irn0aPF-AgMvk^^_0OqS&z;ZLCwTyw8jD z)($fmE#ISOWhVvpkQ=kFMbg#g^U zC4C_E8m=xHppZTu2w10(vNJD8<7vH$O!o5CGu>m0n#TPMhw0|HyGn{y_8B@G>4;zM zf+=&&So6U>)UsNOjL-t=v5<$AZVby;}s{dUT@fVTizuxW!#a{H`Z^X$81 z2_h>%@y8oQjodzJ&y@7YVIFi1WZ6r)HDFnk=cqhgeiRr!;sJi(ElKJ#zFexkYA)Br zM@%9mE3^-*C`%*YA*u+BZxPWVI|@U9lHkgSMdU@|O#s>ZE%1CCQDwBw1x-XC+C z$Su?ab_nBbd(rOq=Dbh12y41Pul-&ws3!a!)-HQ_4AU5;4JkI#eQDOWVuEV|v3ivo z9wnPQ*4g}q-c<^qW^@=8?mw~SsMnHPM3eyWW(__`Js(H=zY~1Jr~Ec*WpZs-0C9sc z`2ac1-kcfa5mpo$*Z7FLIzG=AwEW;PwoAeMWkyWYC@Uno=b0zHnR_FnAXeHtc}*9E zB?u=)^M_*Stk#`UldgBbD5cd~u_%04aoD-yr4>h?>Aai%b)|1w?9*yC<|oZin*boU z;9}JSV~>hPg;cvKROy(dT(IWCqpaMg-I-MZyK(_x6n;2rLa+AZJd!C;HE?Z3nY|v@ z>gwX=V}4UfDiyOva1U9%RA^9<4=6-RU#rZJ4rxm{Ob&&4_jR*I`G92-JOua`ICO=M zAj?eG;ZB#rn*x!ra=8yK+FzNtXBlKZhA@JZRvGO_e$)V_;*O#*$%h*8RD(&PmBo;x zA(_A=Ai)`j8j4b4Nk=vyq{Wvg&vA&Bd755(*|FORT@5c%IPCwb>?l_7; z2;St?yL}BC-Rg%n1O7f=lH;?4iyPUN^6~;YaiMs;SszP3Vz**J4I#XsZ%-S&H<|~G zEvOQFhN8}psps)7BIaf%%#?frVL6%vTkvApW0i_vH#6cuHK9u@gu)mxm4tsib>d>9 zhn>~S7|LUR*WTWl*M`3s&_u5i*dRXBgHD1F4{QNiAf2Dq(HGz)R3t1XdIC&z1LHW5 z#Z+)3?+H?q^kIcL47-EXOKr=n+%v?a2v`UOYpY56IryGD6g98uYPSEJlFbA(t@zQy zPJ4&N66%H{V>RDoGT<^OD*tE32L~*6BNqd%35QGEhkSc7{|9u5B-DSRGs^_%$Nsav zPF6ufivKsASq8?xB6yeqOjf`~lJyTv)?b8!SpOZ96>#@Y8#@N(KX!J1wnh9~hxb4I z-EZ(7jlYN5e+>Tqwix(TSm+-Ez~2mh|2za_`5g@Y3y%742mf{OWn^LdwK1$zvsO}6 zZR3%%w+~0{!s1+8ik2y-sVvDMM{5+5CsG)ljFhHO#SBLZfEIh<3uOpo!n?v+Jb=#L5qlvNL3cng znBT@1vg|PKZ$}dhNqHHKtwMniU?IIMrnk2TU(XIV$ndsNP)M)dh#>pke7QroWBT=G zYB3jJ79!Lz?vT-<$9hc+kruS9@X&(B2GR6#7u2k{Sb)<*xx=7p#1|A7j84=O@zFw^ zz;NJaL3KQ&&~Hd>KEH9jt9vtF5;EMlD%w7!e*9Dy+b-)Eh!&I_tb^lWrggx!|Ij5J z`t{;I%rx5yGP4&3Q|&N|;^Gb0R8S2aVSGTkKwhzI9({^32NZ0Ho6;$+%y$$7)B}D3 zBq&j$+Jwot8xod-Td~X({zwXS^ZP0zgcX-FZ-XdLI3PFTCDtiH=t zn|x>h)~nzrrLx|2XkgRL6O4l`@n^6T?+I8Pv~fI)j2?${yDDhc99?=nnEmIz@~YG)*b{ny%YD$e2(&WH3d~7mlw6@UxNnVAdO2ZJCdyW3>*;5z} z6#*7{{DMDe{6``~B)=+uQUW+!{``6FM?Hw1Infq#^nE7E9RB9u@M(XGC^KzHVomQ>(;X~6M2Us@QW((`N z6q#?=ctQfWP4`d>nS<{h!&*A^7=)(0wnY^!SmUxTdOka>1(fT^OgB$I{tBDWwNh3} zP6Ps_lSn{rMS*~-@S(U!J{3<+0d%)aFK5DezyLcuRv08!&`szM`|3UqaaBLV1i|^v zT=}Ke3g%94bLBc7U}};DJ5R(c{7V@n5ha0>jGsXi)nC#U978=<}jOXI4AwbD8h{19Pa%o6|gu82izt zZZMf8Xq9V@|FaKsVlo2<(U@}0sHz|SgGaEUYmI*m1eIQD-Fn4_ANWeS-bR`x=>^Xa zdJ&lw(jM7~7&)^4B0(EhCr_u$Z2a_Nva~y8JLi7n7G;Zcw;4~1@lo@gCen}UrOuNU zm1kbm42j;2o45XMDP=|2!h8gJSixg+Zl_6?6i|zBn{dSkzAEL1$T4i>q#x2B;V$TnN`(WRWX<@{Jn<}te z5ov3xx38(}(xY5ZDMfi=Kw*Kf9SD}`=o-w<5v+8b5qWsk>g={>au-PCi{MA4DOolH zQ#jdhrP!so&9qm)BaP%fB5{QkA>cbbZTQ7?SX`46u24CwJC3}lk-PtBn>}APeBs;H)YwiEZd!xr09PK9c%?Tc>i*8|G4-Zk=-*oWd}O}x6qQwvLth3 zv_)ys{nB0I++d+-s~)%}o3NMLA$^PiyB7t;84yEL4jRlxoX?>T z`y&e$0l@vgnzwbp|+rzI^ntDY`A%OVKj2&o^Pva@3% zU9N=Z$#hIZm_<5-p6LCW`=9!;SqTYm`%x6Jr_-Mlqkz{fjZ+0lyJ%-~vT%NzKSwR5mU)yw2+}*C*D0rTmm6 zA+8DK*gZbT*2Q&hJ*TeI1-zg@>&8vz@^B$?=F&-SF13C{b5>tLU2L4I`7#DTxfe{H z)A)l{O8e^q=PZXjPgGs|GUFKvrcl$R2~wsrSqDb{<|h|&cQ(t22aDDwxW&JL7$pKr zCc6I-`P$8Y_O#5BS9Wt{N^UyjHbu8qa1U;I7Xu}WfYvnz0PhE6xF;|07!e+*06jjzx2-3u%Sov6aR#Jj9fCWZ|Pp;}o(5n&u`h;!> z$-H&`_hoq?!RwBVjq36E+3I(vWANZB=)CR%{ju-}QVr*D=(y@hKnD~p!SdP*I2|dx za{+&f_&mT<5b+VxJQx5(9VyQSkb=G}%0rYq6!Ua~biln?%G8*a#iqVZ3x98`Bx*cRewvYBB07!As61 zr%i#8Na6SpKd@BCR8y9#kmIZVGW0XjvhuxbdwId#+raF12VK_3uuHP{&A6?LUoH{t zZI(GYPQy+-!8D0K<+Fgw)VL>!ul zPppKwe`UMBlE^Xp_Hg%n2pm2M{9hLdC!)d8~;V=WoLG#+{rFY1Exad4I`o%2- zZhM2*TAIIe>Us>WYB$iJ>*_1x>khntidMJkkg$-tl)HmJlqi3Sj_&wZB zNq0fb>2n%Kzx5QL3PsBC@ySUFMal(aLTtuoO(C*KDW-4OF$MxbNHDv^hX-JpSn#9p zN4e#Y@v>~X;qcK|!`ZP+11j5GrgtS+5LH$acEF|=K>Oxql|a}r5$C;IQ!hoM8Bc;U z(PEsnf?Vr?RSEw3ql*~URupyy?HA4B3X7MEbob>##&Tdq=Xq6=&0=xNcp2L1O7S1k z$kpDe%Q7kMDO$vCaEd7M*h=knEX_Q>}q4F0qSav#gE?0-%&%4D}E0MsBvil zNaEj2xDm@FV&56NDI(spX*Mb+Q>2(cMOaD4h*t?ElPSIB{efN=ben~RRawX4sbjrV zwmx1#vY35?v=_~5pynLk)Ka8Rvm#`SQA{9`OguR@vJ^)n6%wKSF=kK$Ic`8k6u_TkpzLA;wABjnpb+Dt6EsU0HC%ti^W^NIFW-OCL7J>IUey5Cs7;U3Qrt+ zVKUDr1g}P_5acvnj^4h}QkT@ER`9Q) zUqMGlr)-xHKkbZyg>=N}E8Qc9N);5m1;4ZhqH(*RVQUpJ+zK-mod*bNP^{oI4%158 zJv7lIy#PP~e2hIYJR#w~S2pS16p7kcoTni@odb^3g^7ovmjhsttU0b{UQxP3YlRr) zR{{u`Sd5xF%K15_@}7a8OZdoZvbA6(R2VE~YaEkatGI0LNK7ZtbrFn!#kIrnIy(1_ z9+{D?fK@Y(mXDV2Y=m+4nLPSo@#F?>e*sxod%L_3o-A9Bn1yK_b=j{}l5$gbPPd7{ zy)Ygwm5W320i07uN|AZ#st`~iQ0iD@Kvz!;W#M&t~D*7IG)gMVQf7M4k#Q&9;! zpYNMMo~w~|hdYPk{KllOjW{T8K;~F&d-u zz~9xW$|VK}bv zgdKdPLA@_*XhT~2V6@LDN6>s_-UE!n$qdPpa+PIjPj#cIiycSpk3p7KwN8%hl4y!L zw?cZWKEB>aZ2db=P}bk(n*VWbt0Jc?CjK{R4~Bmy49xsTegFS6;`nzopV;rE`|qvN z-^aErpN@KeX^sBYzW6_|a_Ihv=A15P{t3}R>&VY<$EYdJ3vDsLD73Hz3xf`lYFQBr z!=py=qelmz65Si6<5}<*Xf`)?-Bg8m%ku(B%9YvIrAzjv*Fp*qoMO-lt0GP%l27dK zgMx9=UoKZ|_~2ycofx*=IOLjqX`>3;4XplIMjae%=@$$sDCnLjQ>0?Kx^yTFT!rTa z4Um@Dpo8^R>iXrK8|ad+%lh1@%Kho7**wY8dYOv1f)}(GMw_#W?-bY!wE=UNelH2P zpdYSu@`B(6>9GC~=zR^k+DpFgqZV=eQbn|ILj31E333r%aVgQ9pGq+;fE#1DD&Po4 zPfoQ0XBYUrO6#&tJ;!%yqzHoyyBWqc1mBr&Kba3qIGO00e7mWkEc#eyny5hnl*TWA zFtqJ+AZO!)2eqQs7y zu`Vu~VxBC(=Ab%0dwgp^eh+AhhorXu4rNro$X?_!Q^1V)BmPL2tlN0v;DRhFP-klO zmpW4L20#2Lq-JEjqoWzu%f0swMoMWD2SfcxdRdp{PB*+s- z3x=BO0`sLE`&Z(u@kh|*W#hfUj9ZW&Vfdg+j7sc!al4aj?KJ~pbnb$MK7me)DEp90 zxnMm?SVKV$-)_pb#v=BGy5*repQ={9mGVwEv2M=2mTnM!5!){{WBulPUL_7trtOoP zliD^)z24d_69pLnarb!Irt9O#u*cc!PQiN%uqWH)-ePP9$2NJu>MB}Fs^drc>1{|R z%%smPLOotuVr3UD-8|b-id7^u?3jDNpq=}ayptsVnM!8yDTdOSs8jStk(|k*P!eCACRgG*7QvXDR7=&1e9XyOa zi=(|}%Z*f8)nfHx6{8HS3@n@PJy`OM!v|f`{DI5i#H?=z}Dd#j%pexFgX{DG1Fb4K;Q+Wyfq|IUy6XCp7sPxy?)zW`|dXs{}M znvy8fYTN1>8`~M1>FIwSeu}pn+nUnqXzQBVnrYh_{m*k;-`ZaL)93gP!HR#LZScqksEqv|fo%ET1W%OY~3 zoI;0(K*&K6pdi5k0R!-l(Cw%9jHPQBi^F39fdN-!j)v;|gHRak1_ELHDM$*a#?G}l}VDj1U3aAc% zF=Ttdz3k3{msj8XaJn3VC1n_l@Jz(u)_+E8lpgs05`;&B02vG$B-BT=Be+AT!$9w! zBqY1 zk)Vdq+OXU#X2(^YFqIha3_V;ssnPs|62jx?ii6@>^R*U{I4mwFXp8h+`sF8Fiw)Hs zyC4xS)8o7A(H9T_P3+nC>p1Brr$GRf62o!W^U zA|Ex(({I3(qcg!)LVFy@pk!leJPcuT14h-vw^Pd=P(r&z7Gi*qB7_IGq|eE$f*a(B zsE+++H^>%199So!ZQs~ZMP+J+ibiss#3Wy~e`4g{+ZH4^>&8J%2%gFsE#_6eWolf$ zq^)5*Wn$k#rH{kHn@~qj9XQo#9*8y-J{EhxW@gtqRA|gGubqpwDr&oArN>`7MPY9e zu97cTq(8Wq&oD}wz=66-78(UFMY5%Lxgi=LRXTq_cC(L!6zh-R_O-k)9?MNIB}oGE z(XD|^OsYBd(%T%M%|y8?Nr*&jNnB-cF{qVF%d>uY1keoMmnupx)ZX&v7MRm3(v>zl zvee4+@*|Y1hSnA0k5*@tSq&7JhMN^cT_t77J*Re@6f+V_u?n=5 zkdlZD2INmN5gKKe@f4a8suN9>fnauEn!u!aN8TAK>1d%|?QPrDX)vQue*RM-B*krE zx3Wz74{UX0cZY{!FCVX-_yA)D2=&GzPSf@^xyc&;9yo(az8bL&A4Tr_N;D;oJ_PZV zfuA_^4!03Mocy?Gy2JS}U1mm+t*l&j_vfe(I;y5G4I#rJksf|P3M~o9MFPk7`uwW* zPk;1SB^2>qu|MOjv0&(?*KdGP5-J=?FciBbpf2AfU&ad-U_vAcnx%Vp;-Xg_h_;DG zbH%VO*!wALYuD~L9y3}i?LnqWx}J25jcDVvnZ5LNPU^#*r&?op)#+Ue(w|2gkf{I# z`g0G`&m?LVD7gPXta+fpqDkGV=6P4aGg`z>F`8fyVh4y_zN0N2yKF5x9e2?Jz4}AQ zS-4zE6}+v>r8gkQyxA3kAoL!0)79Z+K5JC=J2^D@q4W3_2k;_Y_XBX`BzLj%ip|Sv zi>0Y2*Si`Bso3^Zmgo0;uUw<5379_Yv5hDEAhY;2@m4H%<`Rx;y`Qr!v|Q3)tTc)7XiT;M71r*l(ya6jAKrPa4;LxO>FZ3aw> zIUKcz2p9MDEUmX`=Tg<_0+Q5@F!a@faHi+aNg79;Vp57DITUY#=!mF9F!a$yzuPuc zO649+*qcbCj(5RP?%XuZoOJ1wF0!iJQ`DmOH`s-Fsltek{A2{ef(CY>Uqi-l*w<$d zOhq}?`}u5c8SUhEj^^@Lo(#N&S!8T+mh_Hi2?643k|Br!p$E^n*HnO*Xd2NTAf~>f zEAM4-k5Q_BjX23_XB)ISE=}QVy&Rei!qO2))_spqPG z?0_D3IguASB6M>Zq`axck-b{kwUCv4P2kU2#IBcqHB7MRaI_84ZD(-Y zek}LftZs9(20U3Acet8SJzdz3fI#y&mcSU6>>h?8bkR!@T^DSYs-jyVJQvWi5HRwdND) z+Oj$|zs`}7P+H^IXg*AdYj8mf-c1aC)J}vVhWq*cO(;sA3=*11HWir;fsW(gzL?V0 zKEL3iBZzoA9R{Au6q#O2Et8D*@Yg7>E@8#ROSWq(yZ5(w>@0cMxbOjfdngOfA*cSc z?$y+>_3*|Po`Ox&wsz_Qx*3kgc|l=L)MmyHC<^X(1^V~7rww#%(uJ!Cf+qHT)iNrt zG(7)^GGaL(OGUj= zxntarc|C7j9L(IvpA;ZPfCdGlTOJs(V|9YUJa~oqPl3aa8-+nZ$4lKhW^VC#uwvx% z;TmQ+A4Z+j4o{N2VStgdV-b3PyCM8_%Z+6P5)s!Gtlk$~h!?2;@~e{rShEy`20WdZ z_I_Q{-BWSj?GpTQ+bnz)9@hscmu6oXb+Lph(I+@sWwGgjl;TO z$LYIleKkm$d>wY%k^6L^b-ppn`x5eMzr_H#?l$=IM-LkcHp-jy!@BBfLx~P}5+noog_PjM5c}ULVxe0ZCusa>Vx_JW@VQShk**g@goq z)C9$q;n!lsy(mqwb3Jv+W`h!zRH;M-gO&Z)c;9hVnr9Z56qGHr3p?+=#SZfImBnm0tEwHUs7&j9zF5z6&9md3<(i`zWbpUaSBHT| zA7-Sb%J2#HIPBO&nKYF&xgMT=&VKW)^#j2}KTAYYgi34<)E^jXE!3`%DD|-dhtyYj zD3vKqxZ%LR5WP0oSzmyWwGxT%C7RoySVTdwfXf}#RQUM4)r$^)-KvzYE9ZWrT>!7E zwPLrOh-I>#AU zW+Y+HOaS3_QPt8^aHMv&KKjZ;1GIj}JCAAx$#&`E`evXaOU@^Xa9RB*Xh6 zn~SR;fWWW{t8Dz9ecv3WY}4KG;j9mI3v>kI%`kp57eib9A{V0B@mq9Ej#&ljc}6z`4vqQKQX|2fWkJS`Nk*eI^W{l{IU+F!eonaFL0` z8)Slf8PYk?x~?xwHsKf-Hx%(w#qwxZ!j_Kg(mHV_jCDHoqQo*c$bfiNqfFU+eW)Qv zP#r|-F=6YOVk*LI42)miN8eExzS3SC*{IOSfPLs`l+TdWhe#@GL^`VnNR=TJS357` zj?E%O5P?gh&9DrZhcK zNhyNRY%o>@WnwCYWOSkE%pBI&#jZ)zM30rb?fc9!@G_;;AtK`xcin|;FsyNH&#U2v z&c`}S7x}k5-8yAQ zyhp&3BT(@pzD*B_ev^w1O7;=;LUWQlB$x?HGWe$Pt+$hZSCOg0_lp*Cta$B{TJ@J8 zFH!s{5~P65ZpQd$sF>&vNtc-0qz|Ci`oLH!XR4~83{O3&>oWIPz-U`M7t%S#cRCnN zGil(#cddNnV{dpPB#!udOtubm7*@d;20;TFB7nRvMDkU}Lt%b-xLD0VQb@)Oc3)V7 zq%!mSUrQ8*=j7^t5|VK#bTj}^`alLLziVf>ZeX=@Ce3m$W!6<{cVnjC^hymMQz;kB z;ms{?O3m;Q_*>9v-}Oq?Yh*_^W8}MJ?<)46>$-rzHln7}h$7^cp|qt`|Nkf@1sF(86+M19Cv<~ zEim;{QCd58i8^vppWD?JE>AShe-4wxFH=4)B6iyz$WmVTYH^m!Tns@2wo1c*_Y zp@Y%q1*9u3I|#r!Ac5*FYxcz@OX-+dCHzO}%!rgI8mvHf#qE%9H9h?cyS5husUUycRTlX|ACG_Gb#mEt3?j*F zaoNaXk$D}rR&(}VMxxxH484N@uS7B^87nEh@)wt?$*1*|sr{eHiv0qzPCp(``Q!~E zHt8JCGp_L9fKD9t&bUx4IGmnEJp}f%1Dc-WY|~8c_211ev4O7+vmKdVe}NOJc)FPj!gcuK}<#M|oLIt01ySYy6 zsXR{cFn$)H#{$cu1b)LxuCuV$rRop1@;yv$KVQWjeRis>u(b^#mA%PhN=e){^33?k z5^+DsUCLrKmt3M&-~Tnj_Q<289)^~P-dYl-!;96JTC3f5I8i);iMaSYvD=XY9Pu`q;De$M{yscSBZGCv9V9>xZ%@i9_mixCmkI zcrCH}oEc(L_wng{u5>!Y!OFqDtlT-3a5=(7F{m6Wp3_D&hKC;fYfmu`igw%fvy>+m zr!U8H6CzCC7C_SP8Jv?B49jC>gJ%?4!Ri=oBHSa7-MS{@WnqUe@-%TQ%S_9tTYyyf zBu$^E=wQ_PX-c19Nhl%sTjz@JHZ8pBO?Y_m}Z2;E%5KXebypId2{g zI#V@9@W|b(JI&YI>-QzPB)8IcP^+1BOuH;5hb){h%TIoSv_950eu2H@U-RbP@Yr~N zr}wTQGp=KKHc~jBfVenl-v^B(MURCNnImVQ5KE!r>Is!z#YIL)LmHGqH2~`uDCQfe z?|d}|#sH1VIuFxGXJ zH`S2+ksw?iZHl~dj?&-(Q%|!ax;w z$A)HXkTbjzzW zD=!`}eyB)i99z=!?vI-((hDqtd(~hUK!xRUcJ-MGRXwLr56SQAq&B zT5LbxrH6B`RUIuixbLv|3@x-OD-=Wu>W|=4)t#%A{})*B-|**p!9v`Ctnv|1!pgd;6bzhD4A~0yNYI!*Y2?;uYS7s2n(^ zhRT%FKqSvEl&N&^rPkS$3k-5N1rBX5M%cAnh($;)iYTwmZ>XTk%9ARI)#rh%K$*AH zcf%`Y7mq>glu8vU+Z~n!k6)UU%3e+8-!4hFSf^ra&-UVD$D!*VcnjM`d?{hm)>q@~ zu-6p1D&6cZG*nM^Lp1e2Vt6(d51cI(_XU=rst$$g z*IkG&X99AFZvoF%*fe=JsX@8B0k3j)O)=at8|!eKs645RxtE=qk7lkodoEgD3>9AV z7L2d!_7qmYxdg+b@vu&J>?UL!lF}fEdx>6ZbtEpKn0;wKUs5HB3uY$JLpBC?5kLFd zh7#i8hj~}uD%|i{389I66^Ivy=BJa<);av8qJa&6^4Ovaz1lJF0_^?%=dW~KX`%HbcSDk^V{H8`1kewx?Iv?QnvtghuOSQleK6goh_ zK&~w;tUP{-&&uVT-dH<6x@(O};c#%l7LkuJqV<#J}Qa(AcF@-*bBoRcOj~DsX`LzOwphK`3b1O1x z6whG2A&Q~Vo+WuHi47cG1kM$VIJ(AIL^R0QMrtN8jv~G?J^X-dVUb*NmzaDc-z}|h z+#Fugfw7KH-WAf6J~`YUbtX23(y~xnw}dZyeEMly6xttCv>C+J^=J-ui4=g+jA&MS!w!qzFBgxt9x+E~SD0 z)MA$}6NjS)@d=fRe5Ci z8X)H0#IuA&*Fo1AAcPT&TSVs-M;Vl+ssWDI!=eveFF6NPI(QMbfuZHrvMnLF@}lG- z&V86>!bY>hfQei+G@;a9>R#%pj6>&=+#Rxw`E}7H#N2oxcICzu@7|pi}epC*Jo(|p*i%w=?yXbv)<4jr~e4=KVtpg5)jyb2Ppi(EdM7C zISbuiBtrlG!T+5qz{bGCV{7;6gKQ4rl%}hvrLCi-r?&?NZe2UXO82GOUS-77_GecKs$O@N9$-R>f$_r(P^pG6AXMErLD(P!wUc?DLEMp9W4$`0d1^%fS!)tiNQ@v zVJB8w(FE@0N+rM^|HRRl1#ef0>%@|^VH1Vd?B~Zw%^S;`ZtwGI>{;MMWMK}kLG9bZ zJ3j71I^mi9Hu3PMm|ZN??&g3e6tUL;Rh+i+ZLZ*LtGeEnCFJ0y+e$(Vhe79)oQJ97 z$HK`wb({E2-30j{YV;;BVaE-MN8;&w#oC*?YrkV~?Rb1j+PC)t=-#GeFKACU%sH~r}O`t)%mGQ`;W)< zf1Kee35fH{%KfoA>Hj*y{lgaEa~90P^bcPg7G`Fq-*OMrA1eRaR-%973I1Wh{oCTC z|D5jr`^EV?IY#2|L)QN%ZTrWB^q+Avf065B`8}up_i2cknen%!Y9BReI;({?xM??> znw5V8>JMGY=~NX6ec(qf)i2(5J}V-~f8EA!&U4ZSY_u9vQ7YN~5_cw-~sJU}_o zR@w^A!k5nV7)~eN3AL*O>}D&2>;B}|kCy@XIgA8odUG)QTn8i}>`%=pvc7Lgsbh&U zTnM{54JTaT&y8n18*&sj!6`X3-e9&0V^d{GK0sg_BpF^vdJx|dA*#Uq-8RUQT=cb> zNnh9AZQ-Sh$f;vt*LE^YlOU1Z6%kLrn-wFg#C)$2aYvBJk4u>Xs+_dcddQyLa~{pq;C-LVY~!4jGnUt=aCIOp)75G_Mc zpv~cQ$PlsG@9{Fz^B*&jW8q}Tp`y-~p&r)JV;P4USt>>;+XAXf7t@}ZBuRp+ zC&G``>!|HU-yBm`peX>o5x-dS!+yW{iG%cu1;85fi{o6S?u=bRV=LRs{fJ66-0otJr#J#{>_e#{=XIzk>dX*`8QD7H^F}sRji+IFjj{DWk>gU z;rFQe+vz_Z`TuKF(bN47i;$*OpjG_mK>CZS91GoVLRkNOAThH4jwRp@lP2@EMjQ0J z*mzjKFmG>vpQBvBT*%2sRmBuzhhqPwZkdD~w;DqdjWsmCJs-QCK4%lnsKOpTWAfxV zEiP^f6Jz7lhBLzUh|}SIERX9ghC>486C)WBLFM1uY1Y%ic~qb;BP5gm12;BJ2D6K| z2#co$V-(uaKJo6QqqdB zJ7m0nqEwgK|WbGGB&Zi4Jt0jCic+m6BamM3Cunn)K^BEZL$uW@A&wmIG@ILl2Wz!vm=t z*e+fU^L4ConY;XUHmt&{agALnHUBjOu>J-X`Hu-eK|o1a`Vai1;9spiSw59-KE(&w z*_c0>=Ip=MAHSh^82{L7{38c^e((1j!2X#a{%sOq`@F+%1&AQ+x4)_1he zy8@2lCw%AcRP;Y#IsZUf{tbQezu<8GMBMxx;bUv1t*if=3G{zN-~2^XjfL*FjQW2F z7zU=_jcL9s!79WmC46}8jgm5u3kmQ?`QRyj<0AluK!Q`qiu*o$NvfmuiC?+gjO#Us zzN#ynO&Cj!OqN=mW`GLRClr83OBkN=`WeI|Ncf3jH&d1pj}A#_2^)1m)X=&IKC2t% zJbba)aKG4iY3+P*rIkV&Ot!JH(IJYN(^ZU)j&R&ordltmdZT<`wU8Pb2X3~0RVSC~ zeK&-EJ`BZ#eYzs##(Oiiaz2K19JyM48Jo;FxLDuaN2$6Y$F-Dp?5nbt}Q(Nj}xHYkrZn!4(Mip`~$o*E3c-Q&Xw5#^W zx?*y*8nnNIfKjM2+LX$Lfm@%*?xAXH-U_tfXoO|7PW;v5s^mE#lA?|+XOC{f@Zo`E z)$M%HxF!RYFI&M|SHAjXmvOY^_nuS<_A;~&7~H;D5TrI3Bt`*Ke^=H_Ii`dLAeW2>LkWQM@$iCABYL8QvP0E z@QpkLAw}=8;55Hqrg1k-Vy`PKM&$U=0mOl(rkUOXX2)3zGrehtiza9WR1UVRfDh{M z{K&P}0!_O)hhcHMqRz+%!#dJB>I~|dk2?IlKoSpXPQ(w9;+Z`J|DvK_dd}YB3bt(# z?6RmI4RG`h*wFq_cwUo7if5;wxt38Q8szb-#wk(#~tY8bQ%WGJ1U3gbyFJx2^a>{4;jvwbH?3Gya& zcCirN6<`nxTtz992NbR1sr&FpMe&ru%7KyvS=5Hu#`ELmtS&{r8OTi#+Pa5mO6ax# z$_De{=$Zs-mE5X!LQNarVu10XSsG+GSy2oZ(swq$-ji8~AKPG42lG%qQ0J7_r(lh9 zCpdw(53xJ?UTjv!Qp{7zQ7WKPMkO~gl3(B4ubl#i}_S+BJ^&*ZF44` zo3GvR7bw|TKJl9vxW99x@MKg07 zRuTf%GV;7u{I(C1)n;E35WlzflG zUtv_hJ6chb+?*QVeK52Jhen=9dI3bLui=`E7mz7O^r!7+tgh$L)X_rS>yum=Zt)N? zRJ<}p9`6S8Jba?&y9mwP93RV%o;&B&WTE5l(@&A?^_cZ@nEZf8ydIOj;lsr3X4ZzK z>v{%3ilH@-c*hHV)pRX1cQVqGVf9a#a(WZZ&(`7FAb->C2hG`p2xiy6coKV9YIEAk zZvPk!W{jODtl`c4C2c7t01hU<-!nEBRtJZEUy50A&YfFIf8drvp9BSc4`-<+vg_&H zxle_%L56-Ui$2myX*XYvJRW~&>RG4*DQc>~wsaO`%&!HVjarX*0o#Cxz%u=<2z60(&g($3Q0+Qe3(%^C)Bo`kFtcgtb#`3bvZ@cr{7nH(e%qj7y?x^dbm z?L+*ii3y@_+wTgsx0uEG#~ZC%ZR%PRyk*=#4_{o-iQrszfn3qE?|081-FA73!T7ao z!-XHWluru~N^-s#PsjYilFaP(2D=bWnkB&sW6_f)R|-jFu7dQAsxFUaj&uTZ)dVvi zw-`O<)u-w#Xpv>z1bc^uZIO&E2!_Eczl6|r{f2dwQ0Cf3x4}c|!7q4}&_?~pE1)9i z4rRsXc*u?I)^Jt9`Wh0j$Z9%pIhxC+3q<(hyt*fhi;3MPX?sVfZvRq2%jwiPYo0ls%jFb zS^^HT;u7#{haJ{^qF+h>bx@6!y%DoR<)GUh8tdXE5z@{{9yE3;LjN)?UgfvO%F1pc zpVYk0V{c9H^ZEzHhds(;`e9$TOGh*CibsE9EY1Mkz^e`xH?KOjp21OTIgW_&uIei` zGQb<`_-d)>052fXK5#Z`RTqW6&|J~)Y~8S(!nbA+)@A6cMfp?rV`sOq*u?W?%W**K zaVSfR&}%qbVN{anmN2vpm9?5Cmg&ri>PpbVEkcR4wAkj{GZe3Ij9?dnY~?VZ@mess zJ!@-CyHA5RITLUPT%lF7e6QkYPN-gh{E32}%56Dx;pvY-UM>-O_I=yz4#tU|( z*cl?1m_U{af_ZzC!)kJ#K$yAT6@zCqxz)C1;%y_v3ago&qp8>|r-XzEoJ$D4XUM*X z1b8!TtZZG^H)Co_Vvx3wpj#1$nXg)^5r_~(ug-GKJ-oa}-l7iP?Ji2{7CaT#1G53T zb{$1KPrM2pVxb>-BMO z?)8JJPZi<$AvP9Wznz?e@JDA3TyU+%co30Nn3vb(+-Z!BaDEAyk`f)uovvFsQ}H)P zQ=XvJF>%~DlhO23aYmb=c(mUTkhNIu+y%m zZ4NE{uZFgki)bk#1&e_Yw>9r&3q&kJsp^!92b3d1cf)MkHI9^1y`!2NNvxF`AOY%A z6KU<)DOB$Y3zX-G%!c^a<%p$u`OVECw9&w}5W313%Sf6~g`Ys*s1qUz*G~9&32e@f9i~DIAaGV8x(cM){?N#lDV8dgrU$ZL zGB@4fkdkOkmTCqs9#pafml$Z0`y zo^aaDZsm#aFu;lV0L&I$!8ngL!g+JIY(88jN?C!ZG_rSXrl@1=hCMBg#iWIU-Lk=b zb)|QMB!Ts&Ijw_KOEjlj(JP-sq7q#cGzp(S5;?ld+=tw}dnF>^?FyDA-7^X{LHG+B zU|;aE0}^XX(^oVBK-jg?jzyQnoo~s{7-7<2_J9UpB;dmm9wv`C~Nhwag4*ZV(su`aQXA0V4l}E$VVmy#iyMRP{{#^<+M4X zfNflSXo%SU#{RxMdR8xyYrzdj-iUy>c1o<4NmxwMFe-LLp>jcyW-uUFh|DU=;_7=^vAKV+r|OxfqlupAl2}fyu^9khT}j3yv)G^s3|HlgX3nTB(dh#zGApbop~osmd{Ry@c6u#4oiE?-bPYt z^6=t!<}tT*Xd(kY*NHUOr+#+DyNXZ3-{dMGU1Ri85IkW}6`f~hpHL{)2Q8qQuX&z1 z3v3uR@IcErmTz;hh6j#Ux97mMci~Iju+<$=(Dx>0c-zUwm$fi4pF_h=x_L=&r!2k?jHP5!78MI-ynl zO9*3-sv*w0JWEig&Zp>RR4h2DUhU!Edq{NUtBRApqz1GH?eq)lpb8^JtT9tKDP*oH zX8^KZ0nmHg-cEmlzqlD6KB=*cgYI-1T-vvOn26Mfo#}N(au0h=!^^Rp1z{;R9>S* zD(;MZ!z4}qj%TpeVJm`4>+CDP^qauDmuvHE`;p`M=v8M)XK-iD`^rj-;udt5HvR?{ z{2aEcy{qj{d7~>AIIx9a*0bdlB%C|#rHZO0=w)xOU)YRx6Ooq|C%OP!!J2Z8%2JAM zHdz%dr=rS&7GI5k3S(9q8!XJhsa)kntTvZfckTx*7UG=>51h`YOQb1GOhYjxFqed_ zpqLCTFi(C`n`#>C!$oCNu^y)H|25B>;gyxgpX3w~Yg++)`^ zIDHbf@`nux11|DnGNqc@FI5H>q-XF2%R|KK2PGddiy_cw$QPxY7KJAIo)YhTOfa9k zj*U-E*@A+bRth~=HVz^6O2^DXex$48x-_xXmo;Xkj0T3^r1a$F+7OR9|EBU_+G*jt zYwtLx1krljdOTsD);WA|m<(C}!t4w|5||IgfMgUv_O!eHZ73zn>f_PtQE{1)9}cXh zO$lBDO%X#0UK7XNZ1u@p-MWxbN)Ly0(km25Z5;Grw8PYS>i%-)&h;#_(OrF`+`pFD zs%Jq{1K$fWun?OqY!8+j^#JB*`DrTE=3x!ky!nSq^}de$>T=6!Ju8HTk13E^ z4`|^~!&pMxN%bMmFHz&iB9a=anp<}XGJ(CP4j03^A02n3-s$z@UKl)N%qTg^x}cnd zx_JSTQ6ZPBjefkhNLj_07+Bm~72u%5AyDGN;`$?I<)Va)Q7AErIA4%~!s>V%EdA19 zqihB7b+eb%ba8AfWT$*5Bp&gUlle!;ijlen%A(PVyeDz3(%lSE1PoEC5_pLmm;D71 zB^;&S0NpA%dhy=WK~Nw~ze&r2Xm}3so$?)6qTf#Np{IG!{p3*s>7?D{1BsYaN6!LN z_NVlnoq%$AU&WxTirlS)2O;T5ywY&Ns|TS18nyjeqND`O8sKPV9(lB8OMfJA&wc4z zTg$7!W#Ybce>rnUyV7aq`t#ivg{RV6SI5H%6Kf{^7kc}$77OM$iSXbt#2gB-AUu@+ z0G02S&m-PTi<zbG2RjS9<{l_FX-fJx^Jsmz4k0lF8PO4SS|(8>(r z3m^~`Nan&ZAcPqOzN9bN@b)a$2*`CwYkVWKcw2UfdvNgtoZ&yNgChEJ1OO&0TnON= zz)@v9W96E_eJQM?WM*0?qN*_atUF5CP;a%QZvhNI-=Lu+MZ<0mkOusuawof2p)76yro zzW@$sfi#pT8-0iY-8X*}zF0;vml0qg9A7wYsMrs7c4M|d_~fU?63P=G=;+4w=CY9b z=|c#q+Opuap=`shX_0$~+0t~@9-GaRLhDl_k2h0iDSA?Fi;Ef#k$)T$|EmB0XnV_` zy0&dw7zu8H;O-XOHMj+Lhv4q+?(PJ4cXubaJHg%E^^twv**Dp8-afa!@5icQ&Q+@l zn5&J^NAFE!Z$<&j3O{5l-@kS-DiFLdUpuf{wAy@sJ%d~W0g{~)@E0^yL!&j=^*bgZ z2sbldaZSZI=iKE}>j+_V3dBg{xfS-7(Uxbk=0ZpZW^RKZ2~dM+#B{XYh6fY>Wb5C_SlXI}d-U&p~+BT6HbXcZk;!D&AfUtCspp$1z4fc3*yZA@k? z%S!;}JiGJT9^wF8E0(y=^7y!*2%NoasqqLjU}U!4?*6%{kereusd{v2r(a5&L={K%vha$-x zta8J07U!C>OR3!#(KS%55D#)?nDHx1-R+{i8>19tN8mc;>^vznp#>?6A@BOgIWFj99$BrbdVT}d=Thv~`DzcS-pF1lYr624;Y*hJpj z5@uLFVuYuIVJh1a)Ez@qG3_QR`-tpD73U%8M@Q|8#M+>L{fc*DRxi~2eet*pctMP~ z{|W#?+*f}FDO1=mWWi6Qx{y#% zrpF4IE*8ya+ zIL3NE>u@A{Z)6+=NV~p9B@n;o$_KGARX7WPiHLE5=C6;5UjUHG!Y~ji*mrCWS0S;3 zQXJKjmB=&VDFIC<8ia0=ezegwW*P#rboEJ+rL}L$uKpP*9{eSgxd<-E7{LVLV#D*j zDaz^R`Ityl5_lkdP!#WmCEtS{;|aW;3ZYB5Ik_3Rxm}!z>#2bJ??#X6UL*-#`2_Cx zSi}2Y0@Zx{c&tvC5rKj1aHv5*KJzyc;yVU~tdWs_$9s984o6Rx?&!_#gbQEOaCe(U zFDGJefM0W~2n(VJCw(Yj%cLzO+!me;$_XD<5UDN*X@PCyKJbQH^G9a6#p^M5d#u=2 zJQfiTs3B@)JRWm_b(c#~YpdpHp?l)a(d&DGxiYTksyyojI`ccyI5?hGb!pm2rVF0@ zj4+rLQdhmcc<tt~QNBQx0NmouB(OR4cJ&cFK%;w00C;nl5gMmQcpW=3~X4 zz+y)d1+i>e<+!f;yL1~M$Vs?&b-8+u?R5G|vR+J*F&~98_S#*Rojtr|?<`?lKa_cY z8i#ZCcv0T{n&h9<-D}r46Hl&#!~$+kq^7=F+cwnxP4ux&U;Oh~cqE)}GW{&VAao;x zkU-X#o;_iKN}3$y0bJiXWJcx`PU{{1p*TLp!!l%zsjjFb9G#a7rt?P4Y-UF+elqRq z4?WGY+M9mo6PXzC_tq0?i1ps8U7SPb;FZNHB<Tt3B$$h8lAA?Id#Jl? zZd^kJl=(Dga2`4?1*x0ChnW=5$%Ac!83);l*8whLg$FI3HUv!Y(>D6^Qd)tv=Qql@ zXFc<)_9|PVsjb+UUk-?40U~KEei2VJ75x@}RgiP%NY?bGk@pLplv3f%irPvigBE{BE3tmU1_`S zb%W=0CGERo&?UaJ%(X7rq^&QPqVtqr*TL^3Q>7x6Rh!1dzHg#Hai{f zWSRpA`LvU`!du6KvsM@FNHz%^2#`V5=L%PC(WNSdp~eo-68Mu6mN=Bm-BT^^wm|e6 zO6Z0sOO_gp#N#w{U4h>pJfzO1b@Wl&@%jqKt}gQLik|< z|Bn;WS>8x2zjIyQKK(cB0oET3nSaxl1*nDI@^Tb@vr7J9uJNCS`m}#^sQ>N8f6aWP zrTe8LVz!`ihZunXd%*t$Koha>By=K%MslMDzY}jLD`#3^wBm(MP_s_B zbzi-GetT&kWQr0;M@Q!&qU=%(E>v1(-o*57a&O&2WbEeWo=w?GN)p+s?a*^@-P4YA z!8yB;H6xq z?4rs7V|1M zp8b;9mVgCf!cJcp@rc%N;~?KRemI>K3ON^zKrVTQgPgKfHx84sz+)Z}LDVBbA=ZtC z8;^!Hr3g^nI?)MP6JxiqqyXO(mD6UPKx(MZA~5amN`62)Zhp%P5u7qaP6zanCeW)U ztg@0qr?v{yta|!5;1*BJA_={;VyRK%`N1dgWx$$Br?8oT`6>Dv#loz<_MC|>g| zcZnK@cY={x;I?@9~xp7SZObRaAb{&}gu`ZuVI8qzZJ{JbSlt8(-VH$&{%UXAG$4 zn@}iy*}IJL5sch<C+qs+GnnB-=q zE1EX*OR^ubB-p-{60E;8=byYo^o(VYArnjK2-@C%K-6K=$b2``f4toSCm>O)9$b?dW$W+}(I zJl$IQ3s)C299%#f)&b6)F$;sU?$zF#KGYCAEysD}IJOyru~RTS4=p;6EZkb@D7IR8 zkt(5?2`>fE${1o+?+c9!k^~$-c2AfAf0!TN?6jyib`=pMLzQKX!{Zs`LM* zlTS-W`?DOzF4ja0Q4z7vU}52FrFrC{Shm$B0ikfxWESbCnA!RF2(B69U`o-mi($w1 zdLjM6{SpTDbnH_x$|M!tR9^%pfQsYRiUA=rE9?9}1qRDyi_Gxy3WpkTEvugxIx81y zhF%&GzYf2q*|@*jm?5SLE|}J_=H`C9xFEN&G5BPi!L%<-W$ifyE8%=Didd>o4d{5Y zq1(C5!u+~ER^sQ57`cRIl)>}oOG;c?mqt-Kt@2QYMwW*Z4bkclM zbU$MMG5mU;DgcV;1Bp{HbgAy9u_|d%4F*=z=-VGpji4Ahq> zpv0484VISM}VH=Pf?CJz5HsFX9c!Ct`ygiHJIf`k!RkMqe z6kC}qkulNXcf9*c9{ zpP`$g47wu8BVpG+4oxnzxAxlM%(PRZ__025+QbNQ(Pb|RUt0EAq_%RtR zovVFpk+F4BH(=u7H0KnS=WJaS@aV3u9yet`+v*8~pUO@uWcUo@tpVl^`;kJ z=ORZqdt%bg&Uz;)Zj;092<3V9O7p5T> zirsv_i*RLIEKt2BZ_-~N+Y~t&m(O-kA753Ns5RYlOS3z3dcfvtWnoL*kjZ;Dth#^c zMs)-@I^qhMrmvY_zG=y<<||5Rz`lW%YM{N_^?GZKyu4Y8Cx|JTlBlu4ZIizBG>|f` zf=I6$Jf=WT7#vUw%y!GfL``FLJ#XXnDX}R)qWumVKg5tu$JSa+ue4*+N{H7Ly@8VI z0qkXC^GQ5M`RrpfK4~yQnfxIPExDk#8U2VpNuLr@yEdzul0s1&pS58oK9~qJA%gE0 zesd|YK(9=p?n>Wf0Yz%z{DN12`OD_{zgX}Yep(Oz<8gssLS9^k@5h2q_ov}7?VHx* ztss9BME%S){z-4b^n*40JJ*=;2fO(9(*o_=(cv$t#;iZ0u>O5m5dH0#@Tc&Ux7hbz zt@eJq@n4?{7@2;~PWfFC1r0&VKsWX#iL$b7GI4i(EbLtz>0TP?#_#Lxn9RgmU4}6@ zt8jQ_KxrayjC=J400yU_r+-Uh-lGAh1NR!y`SMmYvsjN zO_^gWb#m_Z{dV$ja!~j1u;c$Nc=X9UeD!huqWPoCVLp?%`PUWBW|xPYLfJg=bPk7& z3ypA-c767WZ3l&$?0yNqLHE@@P{vyL-szhsjqueZkNC=GhS$o_8PEto_XZeJt;eZH zp%;aRLSMIu=tulpm*W}@>{_Aw3k%us(@@h8c7r+zqcosfaakK#9Ww*kapri_q*W3n zS7wLy1FPProWaah_jY+AZl3eQ;jzN3_REE4j{t$^S-IztHDle#yX0*48g^~t>8FB5 zvZ2fR*b(Zb#C9$Z&Fzzi2^17y zftU^dFVOXmvyNnyrFjK^i;bhB`BOQ?h|9?O<1GFQlm91l{ivUQLKo9pZtedYT`WKI zF8+nCw<=0U&)oJG`3dbGy)1vb@m~Y%O^Wu5j;m90N(!^@yIHLEdn9^bD`|@)Pu(O( zfgtn|gj59RAx~C%epo_5LNr+0W#hS9SEuD9bM<#t@ar2|S+ZU8GI6NqxZdy0(>U%> z5^J&vDvO01&LmE^-yCOGc5mN24*hUNs`9cor;T>i^Q$im1+y2+WyFz+YO_?nM{)YX zq_6~!-{jVz$9+5Wz*btVuSze#ERL&r&rdHcDMcJn5$Q4@6t8Fp`#oCF5YJ^Hv#DrG zYA41NpWNS%26a6V6(fv>CUlRE2L#@y5%D9SGAfu69wm{0NVoybvrG4Bj(23xX~Ce3 zad8p+G%_PT9eaT*>QqxPG^zL$cbxe$K0guZrd8rM>kBTP)I8n99U_G#VoM4Ph)d`^ zbvdU7S5v1im6DAMiML2)yJM|qyU7aIn^!moXqcON3ZcZqM%seGL7`pmv#yyAavmz= z&8*ASl#QSFT=VPqz%a;*NmK3QX6CUTVC?K}^-N)p+Mw;sbi5`n4S4-=I>JI(5oPyX zAPMn^hIp6uKGY&#pS8n*G2z==x}O;lQnV)tsx&^+@D52c<R9VKIMUE*fLKSsv^1#b?`2ir#|!7#1+9wkQ?a-H8*jKXi))F+TAr3Xmt z44xV3ZTrNeBhg?=jL(Br!*!wA%eYNSh!7hT^2@C|C9kCx(p z0oUJ*TmSEO`#)}mvMMr4f}ekEhO~cJC@%r24|KOGR@6JE8 zG(SX}|K1Pze`}uom4@b5`2Nui;O!UtU0(XnnM{noWQz$z(i(oyLhNf7jX5nN;&)+K zTvrK58%H)Ri-aZ?^|``V!-DpEca>!c+t{h!2z&gcIwx>-l1hI+11y?qKRQ=AG}6ZK zy-I-hdwAIODqU+QPE868WH%1%Q_A+f*S;vrKub*K#>U1b=k_ep%}s2+8S497@DPa# zLr3>uUrp*2t)o?h?XO&vFDWnfn}_0bWx^~BodV#EqR1lNV$o#0z!VTs%uB#0M7Ly! z5h78tMc!_rXmh zTlRB_UB1$(-k>U&qx%*1PIn9TD|O+?BlgAH^Q#yM*Lc8bo!%fd^VC<&YzzA9r1C<} zxN8g7aOg36p|9ITSYRXRXfyZQ!`9MNL;h-5?V4X$Omu1jq)FCyymq|kd7v$!cam_~ z-rIRL0cUWoVKulxi-G}JK6Ycx*@j(eKUx`N$5kS!O&OFz9cC{uB|ii$&R5u6UwKuf zFH2L_$}n74WhVQ}latiF&2nVg6TYKmrZzcl#ep@|KOS02*&IF#uAZc()V*_LoVcZiUap&sEe@Zuyxl`xw+ATPz<#Lxt_uHTwM;tDW9OjwyWbnDh6$VCT ztC;qQ!z1MJty!C(7)6RN^)8e{h}X_+2;smOV!5nMmIw7Wu)ESi-T}fM)^-jQ0aL_OtLl^R(O{-+^&h|_mSv$PKtc_Jzd)Ii$IkpS z2ev0`J$ovnXXS^dD&#! zT51wv`oaigo5841gMb?bS44}kQ22dT(PTIw=++C-r-vYmOBsZ*ZB67@43nvxFs0_V z+fbZMF!dWI`^nVi!^_TdtyTuz_2TX{Dt(;J{pgI2G{#-%cKY7ZC|{UTq7bw>98o(^ zxezYIX`F3xp+1K>-Ku=gKhy+u7mDv53d&vjP7+eS%Qf6O=1Q0xI-6TRF62X_O=q9P z&p-~RN?os3y!VZ;5Yt6z@p5;vbS<9BpK*b!HgVTlC>-M z1^iACRY~V7!W{E@B##;kFNgm7biB~T63DY=_2e$w##Xv*(mK^+(TbH%TPmcdjg;C? zT-w=zoP>EA(`g6!hHkfGprYxGvfwh(y%`uV{hfIM)34?QKQ8|Vx_`HOGXJQN z|Gjzs#u@$*jQp1s?;o8DXn%48`sZ6F6T{C(O3n#QVOeQZfU{;Ub4B=%>%m=BV42R~ zBysNpJ2N^EDbKAHp^QFXA%`h%Ok!f&*Sp*|INT1}(Hkddb%R$4&hug(W$8k_wvvuG zLmuSjcLX#$A+aToJF&QejozlNI(+(|#rT0ZCMakBuuRCzZ2J!AU2tJ^HKZ}6l!;jx zUdhruJ;1Av3VUqRp-0LhYo%K>DRszzHgTYHmRE)RAiVxYW#PBYzIsh@C;tGV~4jZX0Bju>v1w&rKYrTN$+>twb zdmxbRl6i(+JP0zwq%e7^(SBOxU?KTJ;lq)zZIqCFtXa+J^+0u(gw(O3g`VhWcsq<+ zgNAYChG=s@AE3>YxdQWc+k5Sy_n&I{0v6BH(k@%D)Ciw#K0ruWZL<)Q1~U-!+&hwD zPgD9Umt&6~_PU)Iyh#LSN4qq~$;M4w+g;}RU&hLqB{~P{KiS?yghm8-Yeyy{Ms>w! zk}Fdn-X4jZ2=I44hk)PWY+1g7hg|}Cakv^6Kg}HafIzZ6tJfr>?VUHjLs^ZnE~jH% zMLz00dGfD|o|@ft=v6mg&ZqroTZExTIDf+6$<(yx-!0pOJn(Q z|NaV>J_TyauiTX?N_jXZMp>y_>qF7s61q!*GiE{VJ^$WUim-p(SSJ9U0%t$Vf?h1*mAoN?dV3aiq+UA8!nl}~ zGGg0L=f0yD1F2Cc;|tOhN~;m^Lcl(Bo=e0&bBqIhVp-UBFGqeM(gU4qr?TCuy3c>zwG_I zxyk&5SDAlpw6=!UdT%Ol2a8`z+&>y5(EcQM_%HBE|MM=(8D%E?!3yBp>CUpfuZ2-H znT!JBhg{d*w^45-J2FOb^?V{`UY-~%YydCxJ3L?Z@aJ|?K?dYtZEzGiG|WL=nm`!6 z?gY#M!}lO~f!^aDms~`*xx4oluFiLy94vx+G?;ybD=TRVm4$_o7)V67EX-o$y#k+U ztq#!zg?GLOUvME}#A3>yZ$8vl>9Q)k_c{y)hc@XYuf#}%Cn!g!#bOFs?e*9v7d07R z(Je9_P`c>?7~0Mr=$WrWUH3Uc$8dyf%59p^7ljj@yTyxPCN0|7Xwd&&;jhe+%A73K zj=i82`ndnOX|7m^K}$ZRy=s-78CDPgj+9WXhCl&sVF^d)rtK2}i9QpTA6FSAB^b&K z99{)gFQ=AJf8#8x2bk17%6-Dar-K zhq}1n)6%0y8Ef89HYS!Z^`mzYgo~HK`7FDMARIiQ`bl2j!ls5LhyfvxRxUf)(4u|5 zp4ciDVB`AeCf7(>X1R?IDj$!jut|wv;U^g-lS-M_3!MeaorrtwILw;*hVC#Ia~|@| zpr^aKY_Z2p4NVvlKCrG2^^<9Ze6I=E&xKvhSYkd-RPhWol1P$X@!>R@!;#%Q`1~#> zCs1h92X+QIgC{{Zb#D`^r9+|?Nf@|7`;w*ABaubjPp^&dzN49da9NSrviPRM=1W|O zhWRw(ku9up&+r`;POUWjkTtVmBxBt)7e7(?1Te@9DY)YIHs;gj@YPO)&tw$+ zFmgP$6R>D}=4&a$S|da-%00Nqcs+a7nt?|_c&q7Lc-7AjGSH7;?I#~c{tQo+pM)p> z5uK8X%A$%toap#}4RoRW(I91FdV?n|{a=^DZ*Tkp&mWinFL?gyQ}rtx|7gGPHVyn= z6uq>JKR1ki@5sJ+RM8Io@Tj_GZ}vEN4%Ite*E;$Oo2vFhsO9%9gB~JpHdUx-KTN6; zQPI9~-0La z#Zc$5^pcPIhTUW5aRVbd7Zl_{`Jr9>r9t$JmIeU8hMCs#&(DnEpBi{b^L~~R`*DEb z{j+-@E$+Ya&zS!Dp)oPOHTixzzx;S0|9)tcKkS_Ta#j7M2om|Nqy9H*>K_GAv_E|h z|M{BAO!rF-6lEd&K?}3b!nqlXNZ$h#Ih$)dBrvP0N}Grn$!yW1H)~u=a5RT&dT_G% z(mr|jnabk(vc0OYShY%s0-hh^aCm?;&)v}2ov<^y_Oy%qNB^d08DYlcNyH=97^0~$K=p;@tG2|Zmy_MiN8>;P zeP!T7qz_f@uZ~Sq>1t5+O4zB!C$ZtFKY-W>3C4sr1oW7n+kaK|Mc$Eo4)jhR*+0OB zY;sFwf%bpW68XTF#vS4;(Dsf>CI4lZTmQpiKuLc%Q^s+@S+}nB-KdWvJ~~`)jq1zy z_dWyu3AqHh16fL5HOfo&Wy6)tMR^-|1k zQzV5hh0QwZn+W*5<+Z25`wjwbeiaCqCu}=}QQA#d)C z;%KVWQ$oWysfFDULk|uDW?uq&!{rZ4OqdV-RXA~iI~OZy+sN9Ot?)ZRTeVDa17S!R z>WGRJ`OK1-yYQxn87@f&W84GNH$G4kr>$ZHFw@L4C^6f*u1TdI*Kz8H%o8?An|@Q> zele4mMUkz3>Hq(q-ty-j#(&mZN>j`HMzQ!|yR=zG7zMIW%>enrdb zZO&|!grxK2(k&#DU6Su^NWbh})BU*lDUm}fl)!8Oe^dk_{VeCfWc?;vIxba1`xNm7Yq%4G1{zaS(9eXM2PA#4Vo zzw=4t79%_g6zQSBd`Fwoz;<+gmIRMkEVanLNKoaeArYrEhPqEzb>1qL9LFRnq}T3Y zU86m0^c_jZNT;|j>054S^QRSqCpc)B&1MK3F-MMxA@kVOflp4+Lt9ZXz?icwr(gV< zcF{g*CAN{kW7&;oKskw}kW5=Ma-d;U0Lu1jUn;faT%mGj>eGP|>Q%&I=5j+-c?p(D zf2=LySfGWlOJWc^nw^Ra4235em?sa%7YDZXB$h2!Fpj+=kQuB9V;HvMMynAVLP9UI zUxP%B;ItHAX!8y$7p_)|3MwC8`}mm<(H0*bg57X^3^hkd`g& zA}#cx*sc*9on{J=oV9!lbchpRNZ;m#%;aXJ6BZg=BAObJBw0;jf>G?}IC515&k;#{ zaocXKtK@we7`8}|4YiOkca%mBZ0ZH=Y{c)vmGc$4JYO?o3+a%)T005m5o)SX(Yopz z*3pMC=S+AHD5XG;st!nz#SN+{XU2N-Rw?;ftQ9)ZA-CZP^zWQEs^!sWd~S?9Ycqmx z(N^NOA>I@aLzC>42Y-Bv&WdBL!Z*cBr9jvQm$y*$Rn7m5=x6&T4D<|S&t$iV!Ll>DUhkz(+?@9dlGl0tY?TWP?UiGcwGMAKw`S-Eu|*4S z{w_@)x7G9$R6eudXV#cAzaTJ8bjJqniPIV>Z^|AxrASo@@D-)`uv2EvD#QZSnP-Ac zP^_&XYqzr;8p^M$FF=? z9&XNQm$BMEieo=8E!`*}6iOkII+y2Q1#?O24~2!wZ&_k8)x@e}G8G?0>A)(PGbLR} zt1l<5*;=c7Hn3MaZ%3R>vZX2vngT78w#34ElDeu{SAM>{QC(&BSiRe; zD2HQn*s;BAkX_6>XpeYGv6y$>6=~{GTJLCr3+-W$IQ{Lblo*&m6-S(4E;cEQP;Weu z7XhO4gEOt$8B>Ru5bUD;GE+hPy9sZ8?0_LAlz6h8ewxD~KOr6n5Qndk15o)Tr`EYD zAIBcbhoJUxRTBHR&nWt2dnwQ)yN@|vpc$tqrH?B30h9NAqMOK^&oE*6&+JIWKemdHeT#)l z_Mzfe4`~{71<+6-f4rF2L{DedM*U>`l6k&gsffTL04)UCssciu>n9`c&s)~vK_4em z%OI4~`CD?9H$P_Bw6l72W~s^F`ll zuw^*MvfGzXNP9^&n0OgOs*{R+yFk~by?tI5a)8nJG!#aIS)&8SUC6Rn=`%@|B-HcM zivsE52P>}TbdrYngNi99Aa`_T@eY*psm&fRLN}P{)_JvI17bTAW$nzj=qxwjaI?%- z!y!xbqNL`>vwmrC3XOiN+v^|ESr9k8m+W=gI(5O{Tn#ShIOrW)p+=0irJ?4Clq8Cs z7GKc?@2<+`9?Afv&%bTDOG`h5^JFPtF-ksB@o}xPD+$nR96nk;Npzhmvo^4JjVwD? zJEBq8Z+;d9VQ9ZhTOOLeQ^Uc*)K2`S;~S?9H{E{e>BGqqO5jA>Ba9ghwFXsnmAb$l zdg?$s6A2oV>Ca>kC>=w4R}oAg4m6e59Hq#M4t$IOe86dv5PM|E&kv>|*r}x(g=zxM zA+^;$^;yW0MYMlGsuGjRy1l;8#;7|V#1O{1u6m1iS{0TL%$03?IjQYL!7A3Yv9Vcv zNW*0kj9LVMN~B|rh&IrlRD_$F{0Sx-&5$sNEzA=+WP;X1Se>=t<2B!{03w9NE%+K* zwkK$I0Kbz@F7<0**k0yo0M+t?62i>2rv|4KEg;woebFP}F{2BBg$2C>*Gf`AqPxY} zO?j(B0>y>; z5hwE^L`ES%SYsf#p-+2>+xjkmGf+b#k~R#G*;xW3rVWThTni#sgzJ{*^O~EE(^SdM z*IrqGES+!3^gNLHM?Q6Bk#(plcv&#wu^AKELO2P9RI)c&=V*IVwlx`m3l1ZDNjD)l zIXRYD;0R_B88dK}{4kg#-r;UPg0n)j9=1H#KvoNonIK99s9~2>Z7l4rdC$T;W0&5iY(WO-CJXd|WW=qsHcvwJT}Mkx+$0r(+{9cT_tw zz2{{{@i?m5=cR6$!|dF9+49cfQ2;rj_6ZpdnaKYz=+wK$z!Ed16jeSVL+XWGa1PyF z3=6e}o@>+Ss;}+XRi{MG!kUNyjn5OQuUhF5)vgc50)7KwU(qIZF0#-k25-#|93s<4Wgp z>G!fIZDe{_RUT}c_cfZ&8RD8`uh6B~-SGMAESy_u@kp=K45m`+;(PaA7Hvmr z>b20rU>MrW$7d`1wc5L}z;m}LtIGAY?uTX!M@TCC>Yay~$WuGt5AhQEYDxm$u_8G) zc2#0ysV**$mKQKdHtZ@y3b=o4N_DF}Dk_sGXO~w<)myQm5~?w4T$`y*Y;!vCPLf zeS=#3TB%;e3p!aI>u-Xsw|1{L#}PWXcz(WnW4Uu<>g=jk7*E_@&a+<=i{@kS*j}^F zj><1SjS7YLP8BVUH8J}y19||C6`igg@0q@ufMAk&W%snd`=q=A2DCZ+iS<1VKVJx_ zh2iB9Eqv<+qt{R`yjE);Lgki{SE28{k{i091HE|mzJ>c(Y+hi4wfXC*R%y^)Tt1S% zqH|Z}3r4laqPC*qoU&IxddWM@9Y+P{z30)B*6Le@CAYIgI{#OzYqZG83a7LCFg52c z;fZ{tGUg?=wg;+`kDFNqM8$jKXx%F!Th9kyNbyho)cW5s1n{ed zj!6rT*`QnFPk^z|s4Tsw-!f0vclvJFuD>A4tE!f6slyE8&ds};W^QQWjs=OTy?TOK zaZ3#1c5`N%V(QL9*SzT0CtjbQNu`<@ulM$MvC@Iq5M1KIVvtq^udaxn5gO8o@W;lAVu!#z(?wY|PYi^V;B5hn=g@H7OiYU*H(%;&{W# zBa3q(mkgDk%q3;j%PIK$c|jdBoLy@U_o|CD$2#9sgV%-4y}6KnGI{a3I^U`|48JnF zYOpDGX!>KijWR==J+P78Z=ZXv9 z4c$gp$8yJ%)-=r|?EgaWqWmO#LVuRv1(}A$kl^WdKdnA>k25r+sPP(rrbk=<4~i|bIxU8dRX%7LNrAs zvACYQx(J{ZVv|IG9b6UN3>sumt3ruHbxU)io0#}p_y|agoO{dr8LE08b5ePXn1Oe^ zE*;30G|-lGGDHI#i1OC7D!?C(BH4o_S);o|;^(VJQbPBoGXTglqIZo$-ms-{2uumv4$%qaS)}*f<#;x%`vo+DLpn$4tGutI8@$?kb=S4|o5^FR zW`;*=PQ=3wI@bgna$t@vj=;wqVT0-1v&EdJhOWSkj3-WGk09A^HBpl5)x?+sw?L8?R4uEeSnu5U=WJYOIQZ%~&OT zflagEnwV}&P&XDD7dpxP`OT(e98+vXBdjTEYp+PnOT@zP=|$5Lj4b_PjvqAD!s>bn zNuzhQ2#NrQt>WBHu@yKK*I3xpAQHNWEaBC_QC41W)Krz@PITS#EN1mH?H1s4euhKYcJfa9oHozvO3-U87hF%@9O!?ZJ$d(a?nEau%)OSG5tn6aF%_4LdM;Q;Vn%Jou7)J9ZiKwunpF`Mc!<(9L#sYjqn`l$C@^eSZ4g@q$@ zWb0yT5@g?%R*|=|7n8mR35aprGaB-FCaJylS^V}hkjQz6PpbKGg6mQ%!n)o4=rcVR-06FD_$SR_8tEa;olmGxiHerHnBQd5ZvSTbLaz9?MQQFU3ok zz<{kRwiGqGUDMf)TZVe*m#^f8+vuTHB@C1p2-SGCAHt(w_^$SJ z`%*C+$UT6@(Vvjd#}DzhXX@%qy===2&5v5JDoeH)V39xRL!Tq@Qub#<-$KLffjDkm z>j6Y?;Ps_=A@a1u(&gSfm>Cr4)VQxYZ*R<@6qn(&5zT!wM?6Y6!T9G3tz@bo}>(L5G?UT!ieH8Vq5JUo$Kp5kJ8 zP_kBD>M08!()lRM11XHOuIImDGs3bP#DZ4%6@GAh7yu%PQ1H|x8@?YBMo8gPKaa0k zP5>QHPFq8fz#f4h1iFp0#`jsMHmr%&fs+vPv>lcY<-QB$}^1}tRcFkJr32FViIh9Vl;e;Y77pz9=W7T1Omxn^t-%DDM~`Sn zEK!oQUNtB2TKL-&E(6|nP(QS}nFS(nmU#IBn`tSkuqa6ennvjtM12gxGyK|F2C^t%>2vHB>a&j+q}e&c$51zRJT zARd@xO|EVu%iw!ncwlq1idEQyt+cq7`1ZbYk(lC&%>8%@i}xK|1OGPNU(A61F%w3B zS3!Ya=&c7#%kV3el=6)&_7*ck`-2Jdznk9u`1IdQf@o>pChx!VVMM9LsDE$UzRlNv zYQ_FC+oSs-7Wr9C_uGyCx(oXjTk{hcoKr$wSXK+*xvbeEw~(y5!~{|hd4F18KZhoh zRe#aG8463xzjPb{OUEnNJL1~vu|JT8B5Iy*7CVQ3t_Bf8_DLKiP+#v;0Z3HfmD4km zh##BeF6JmJCgix5XI6n5vZ(&7lY!v3GGP-!z0XZD-}>RnS-n5i;fy;-5)WQ@Aao8S zo6rt{?Pld=cT&yM1S?cR0~F%I>~TW}f(QZBS3`GsBBOf2EM;#Lu0{dLde>S3P5IM>o8!0aM5feO%-WaPN$Tc zi&(`=?nHU;nFSx>%52uWUX7jwaxkP=^uV!X)BPSLK%az596H>k&k>Wz6sfBDp3;|y zF{&=4k-ZI!v$XA|aP-`(a%Ezn;{iybPw2G-4$NPlEl(OCylLgTb7>pCB=(>`Xu`-~ zLTuADX^8MjcZ6k&$MNCtu2IQBPY^f>w-{^N{?26+V_-o>p@^;O(xhFrZZuWu0N#3E z%p{Dy0i&kucA0U(I@0C}8fM7cjFPr0m1ECby@q^CF%FMgMfrAE-|l&v>8bIdGu!;l z`M`8H8Us}FHuAK6x9LZE`Ll$NVb{+5UF$(Vb9j8CzCUzAcXY;ak&6^w3BCB~w>IU}i&Qc=#dZm6M; zw5u-+GpD9$N-(R{9by^_cxe%jtj^eEEk!4Gy$9M;pT|8yuHq?+<l&F6vsr@Sq z;ZM@1-?Syafbqwu|Gx$!3-ixl6pywr{9u6DM=gFbApg0RSCD$Wu<+?e|0p^Z^|-azO_J~wy}QMKaOlbQFq586|m zsHFHd)Pu3-YBp9!tV4q*Gd5?NkB{!{>FEKNj8@nY`A%Ol9*^N9?Ccu3c&_4Fn{ zk*ST(TPwmYjmp~t$7i#9BMyY@S4droU2K4R}e`Ow>2xnms`BY@$w|7&dKB!YtLAtz9 zC>cS*`hv{#g5UbHEY5)H_?V0gWYW*!-}`0ZRg27|4r>kJqt%sm)rEOEu?(q63@IB; zZO@(f*97`;dVVr}w-3zT@8Bh!cgEF@jQ4sk?%72TB0DOaj7=0z9~G{n^{xW7&#^v% zZG{EN65oA<713T}{^&uasVr?)7DD4KqvvZ9*_eZ>=(B*B$~{k#pn}sqgRJ zmEIl46l+p^3(>jE7wx;?n@ZnM9BAeXSiQTeEdZv-7q@(PRw=*MxX-=_i0i!UGw5pz zQS758Hokz=kX$Z&zS|wdS(U}f1N6FiTn5K@WV_$t&bRT^xc*?6D^67HC!l9aL`tx} z|0$B2j<48Mdtg0fU>rdwO}(ZkaEO@K3BXCSZ?H5<*GB36kn zb*LIbR_;Lbr+oNSF?xkO2}@*zT3jyY!&4fw&WN@0-Lln|m#Ib2u>4-yybNV^*#NvqpcHcb)yG@*S5r4M-=rqV_e5;18bp~x~k_uWR>416B zEp5gttHf*s)32+N}bL8#Qe^W60A$anK{6yrfYWSB1U!Gd|Z%c+h>c{AQV#oY*CJ8OWFUyphyouBY z1H@(Fs%D_9SrG#jdbclTX2nS3h^r@)i;e_HzvaID+5@o0<6C}os}(ZIcj zLdYts5RsLgy`?B3qp8rc3N3p^R!TyVQj*;gS(Qi<|MPc0@AEv}*YA1X@BRMA*Ku?o zxAVT{d7am}uj}fNau9kUPS9QCyU1@q(3Q6#&_tsK14 zVJ`k2tJ1DgYDCV?&KotjIPXDBz}#)GR~d7}%sct~tjVIBko!~0=Td9;r<~f>ZrRIo zC?SISfNP)QeT|bZzF2G^?Y${zVZ~=1N(Y`;uX`bM%BkALcvvXrB|t`SWi6NXQK-Y1Mn@^C~ZgUp^k-*5Ovt zz19Ei%H;031?nC7vB`0apiqjyMJ}$dn*6o|{yiPnQYcclXd9}OVooV-yQ@^oV^wDhUg-(UKU#TTINI5(6ALiZ}ztE}hRc_`!&U~%Q zGvZBShZ#0yiCI(b#VJ3m+T#UQILB~$oiAF~bFr>IGI{g$wR&^7eAdsXi0dVvzWLGg zV2SLr6+8hV>gyW!hDK7AB;IQ~(Y0mQ ziZq(dY-atc_oSOo-#QzmkH*CN7e*^CKe(skdS$^K>AVej#G6kp7)KmQTwWqgqA@u` zuWeHs-k`ZbGgnmV>E@@5ShLKmJ%zU?Ulx>3C6+056=oAFHjaC1dCW0h)H`C%S1iQC z9iU#*ICt>T(S&D%qXL~FM`s6!ANNoho6?KrAbS-F9D65~sk-gft&8h+eziH_zH`+b z{Zn6-MqBN($iF3dmp=I{$Yfg+=aiMI?jhZu{R2I#xP%Ps^_`jz*v96jX|^<_bUiX2 zA&rm+WHQ_|@6Mf`qhdeYb$9x4q2$Yg`N`$?6g?`lFJAoeC^`kA)h{!UwzfW zy`G2f!k6)Dy-{)e_D_E`?e+TNu%u5tyt!mxZBoJJH0!?eapL#-Z*FfLSi!fm)y8PG z#MIbD=UK4}7WM4byWgqo$sMM6Y;Qox==ip#N`q#Bu741J|Me~PJJhvJ_F(Ux!HGyj z{1M>j2t^FJqaH+>k{5Qc8T)}v_F;eiDf|AN;KR;i{-u?}nGtq_7(HGIz5jpc;6&!{ zd6Iu6yJFW55Lnj_d@C(IvU%cGb=!jnt^aTX!I3k|?{4rvHIs+kKtLi97C`zui4^;} zF30m1_XVrMWwAe`M}IMXUd!4$qz@?UCm3NG{*A$Qarv9vcr}z$1 ziBG`yC&P~XH?%Kr^{LO8R+Sg&)~(GE$p58~y8Bf}>$8q^uV1`uT|%+;956_}U-wHt zc!#7;62boMlE)*@`3`e)&r*%nnErd*5L_^@{~w>;y}YQC7jl}F zSWoY+xP)ajQ&xoD>xI>hw=BDl-7``D{qcwJ=)NSnbLGLHZu?)ZRYk>KI_)pwZfXB& z=;Z128R9u5eX7AQ;bEspWp@5DAEWPZuR-Dqxy(aq-wPtoOX^;da~uy9FBh5rI;eI^ zu5R)Wr>Td%q2k?hO#bEHJ*OOOep;;`Ee#nHXo`C6(yW)^;E*L@^UyD_s5`!UB)_+t z|5xXP{*{+khWrLBTv}FNY28+F)~fDD^oB|Gs7~pZ`L&tPQ|eRd%1v*yJ6~`1ykR>n zylz~5Setq72x-QqVQBb{NO!~QQVZFGxj#Q7O5Tv|Y=?`1^B;}`RHS5J-tmXbzg)XM%*$*D@&3tDiEg| zSI=}N`yKgM5!rrYXyRr6k(C&iqe-=2Cdf1bU85SFcj@Pcwo>ek!#Na?_D!5BAX?~wUY>b z_aQ+&kG0W0ou#SbHyZK3HYC(l_Nu91w@#?ByB@J*OA?a?|Ed3+ehxqU&PZ8*{!fgQ zg7Mxz-5U-E-5rn@gGuPQI~L%7?ayF)=k%`~hP`e51UolSSXx>+@}uHP;g#@`E8(iA zPXwo_s8o~+H}+VK1W9|VeqKAn(><{P*&+hYky!ud(>GbOd zjo9nWhHqC^{R8Uh80!8t=Ksj!c4}xF7-Bq5jopNkhT{_8w*?`_glhyS|LzI_7Bc_3 zPzTEWEy%Fi|HgoF{_P8$f4{={Unc3IC$WAP>HiB`kI8lvOFQO> z7Qds=qZ@@<>K@CUZSb;mk|m^-en+uXcHr$>7e z{CapiG)ruUt7iV>;)zf*d z#DhKi({na&7B)R)yI>cQ=&M<<&wG~u@2RMSx8rk7m#-Hu;XBe+S5WG&H`%~{Q_GieI{Of&Na0de>vajSB!3V))v#6^p!DY$Ew?x-R3N4IT`ercf(rhNez)& zn|x=x4U2bP43*^L9t%jBTJJTWQJh<b;`}+u-6wWelxX;MtL?}-f1hjo z!?TO>2TnA-dVH7S((b?P*!uZg-k$qH3 zta|3R_?z9xk{5oh(=9!&Tf1A|_GBCuS5NM)jIOkuyx^=BCfVBBAF<^{+smM5BV$Pe zZv$R)9I|n{_rkE__r!jx z?LB>q__&cLtt;I@dB5OfZP@2~Es;EDRf8`b+ZiHexv%aTzu>N}p|8_< z(RVecdHDy5%zt+_6qI zN(+@LQpl^>u(hG}q@LG1L%+oA&Bo_NbGStV>MLz(%A!Y9u8vy`6SuT5Ecp&!{WZ+r zSZ`8sO{X~ciQK5qi2>QE6<#A#_dalRrzt4Zd=uqvO8915Zu5Q9{rl&M&23?N46Yd&T`TewqY-^}>=whSe zJIXYkIIa9T5oE8ef2?zty4X9z2}a!w@qszrY95BS9S+-E8=ZTBXXT)imon45RoYne zSBO%&(^7MjvnS$`4cE7QQg+&R-$qYC>aK3()`gq8Jf0?ANf0=Bbj!~ra|efFj_6)F zO($HpUgqmn80*~hVrXkfwMc!x5ck~qVWcHVXFSd}>X_EVRu_Mr+;`LYdh)H}fS(mE z5-NL7)m)R?M^XGlQaLC#y`Y*B>%?WDYJ({oYWBnn;R(`H) zf!?fu=J*};#$PwT)H!r(Q_Cv#dqJZU@5v zY73aSqE&pWw8pKUQf#O!JM3xVB)#WGSh|2he#MWplW96vI^*)=8Yj=L8(biI=Cl5j z2+0+T#{-7lm$c@}&foaJAgRXm-bb=f=_QH2sAB;-qh25E;u=izp6s0KJbnE0GbM#5 zp(Y`Tu1{^d!<<}?I8C%W3^ZN3NEo|pCLLd@R(WT)h5BILr^QYO3*z^#ZvASo!AAUz zSa?{xt1*LlX~q09(-k~VTSI>lmvwJRE;nzAeRcf(*5`cOZOx&yJ?p+GtS1Vj*X~zI z49r}VR_Ynp);GT9@e7aIrG4ibG;e7w4SIjVT{X$%-pttzg_pF}JHB$?QPi5g=-Hbn z;-gJL@sS57Ren{Cub>kzEIa;7kW8Ak#p^rN-;M?TM|B78dC=0?4eE{xyU8vM1~a@> z&JbhLuv?SL_+8y({rRW5iPiph>JH^UpLNob)rJemv5RE?Jm<6rPCD(C{o}Rq zzpo=_H~G=?t#DVxUn<}KO37i1yBRLg5`MMvNX>Ys__6iURk`cvN>|q}O->!w(6-e4 zSjWpNwIEwVXN{k9EKc9Tn*f#HdT~@h&uD4L+v$7_+i}&2? zYv!z7%gOUnNdKC_POG2Jio!#eQtNbXl=^-Db+9|B`}-kMs+2P6(#b>LJCn*f4-Fby znaz;Dnip;HQi?qBq1f2NQgU~M;e29Hu9RJF+-N1&vh1y0zrM@gbRTLr%6n%Ro9F-3 z^+(q8Ypcud=frF6D)N(54%eG27i_K`+eeTKxvfW)i~7_gd~=nkirck2O-pvomOI_0 z7VvHHxi!>N^OjvDllHW1Hr+INv+tFv5>s|2Z0hpVq95xXnm-Ku;PGKIzm;7p{q>^3 zK;Kxi_B6x9)dp$enP0Q`wrWi#wGC>D=aSy5JDOjTwX2O3$zJa((O`f3@pDD{2(IY| zDjwhUM=#eXH$B`@nsv_k)*{zr9o6#GnfsiZvX(sg-q_A(w!7W<#GScGRW-r+%g?`j zQJ0i=L&Qt@n{!5keRY6yS%)jx<8=NWCHK!oI`-|B={sdx_RIL4;aHQucisuD9r3H; zvUE>sRBUTq{jK9ZzyF|q-Aa$+EW#ncr(^N**v_S4t6 z`M+5GS*`31??Ux26P7PFjHzaDjP{ib9FG<{FvfU9rc9>vYZ|IG_DYZkb`)3NyHGOf z@vHR`Av;=P|Dt@|o+bUO)8CNJUwM+fY1H$p!p>6?g;8(5HISADYd=!>j{NX! z!|<+V5hcE7ORuWCn11}&o-*`KGEmMh?B)R3SGd6}^R(KHpkxmE{`<5jg1rm4TPLWUTPV=ta>D#1n zE4ylky>(LKfkEQfMgK16fuhUtHA;tx` zdSpXR-`+<@2?pPSJIb7cnpV-f2xn*~mmc5ae_&u!ued^<1>c&=OX+nTX(Odfg+rkW zOuL^Q*vR*ESyxKPn%jEX-7gPLrYc|G=tY;`J8$I1%$3r*7W&JJi%ruv$IcEXFf|^0 z=l4BMh&&#%a0S1VbJ%Vp%cgl&-2wuV$u`n+9fX<$V&+}#XmE)*W45Wt@bNxBjtJiJ z%D4ibTV`I3#oBqQ6KCt(z83nQ9EMx#TR%CEklUpNrR5i2O}axZ_AXv^p0E3jsrJ4C zkD3BckC%D9J4%eI#h)JQ*)7I<&eij?=CIVyya_QqOP(}IrQ~<2p;~@HQr%`6n*)aq zg}HE)ZYurqcK++=t8%95T>Yg>IwTUhtZ_M zURlZxhSy{(xjzWv^=;)SeE##QjMDps_vh5E(yAvi2gPpt-uGSF>pxpbBBYV8MblQ~ zS(9hjg2~ItHq9oIgaomXFh1@yfn>1=+lwM+qMygOmakf|%G^sOY{8aC`e$17cQsl1 z&v(1qBAp&*tN+#J+YA4c>>6!@wN^jc)Ux)xGHm_XLB4rJSIMtc^6mZD$W4o8HwO~i zLsdU5EVo+PLZJISuAN-NQ}QU)q+W&(IAn9ou}f+HHPs{OD=_J1S)fuf`+#TU8pW{E>&vVf`I?PWiXzrE4fvdF%PKvd;YvnV|&6dh(Jz z+uC^t7cP2N^tvL|%gX(=ysU(JUvl$x*bo99S(%mVo zx)+A(PCIuN|l6_N1w`)FN%nYZOI6@lGSjNJRrBQS=YSVj;kg8ME|v+(YP4#4;w8%ueh-H zI<0xL#36aNA97Pee2Ooxh2#z`1ie{A#SX;dqfyz@-kO_WAuTLX@APn{U^?EqXY2ogNQlWuOvz8_ZR6jIQ+=7x3ZF7n-;Lwra1a%^O8zEL^eCO9R&(o?bYjTVoV z#X?5~SNB5m<+bIRZZ8bB-Fgt8zTuc$fK;@cw1=MWrH-(snOV$?&EQMBE9UF?<0>s>ZjWiG8D6QJObuE z7?Q|mKGBrT7x`E=dG0}3N%Qr_0IQ;3-vsTS*bvO^Gi=MMjBEYR=U)6mduLX&yM|Qr z^W?IVu_p~rQn>|dHl2L6qIpI0O7hD575OW@R*2N>tJ%pt|MZti@9T*u{U1a~N=c<0$_2asMa+!70If0qK`W6YAPmDc`>u+pc-8kWCcP~TG zzB8%Zs{R!JI#PVp}yGc9XZ4!9;_R;%pmUcIy4=hgzPpkd0G1~lEtdNon;dUNXEF%9I1YP<8cP<6UL_rP#@jb4u-J@n zndhhRGZm4`D-s`Z-FN8k+ZtEGo!_Nuf!m!7d5a4YuY^uHHGD3Fk9b#Be9>xGCtA?_8&6Kj0H!mUvquSmo%l$D?Th z(dMbWDg30oIiVND{GYF%J58H6bHF3`PJ>LwLt{Q=v2WF@mwt6w@P0<=?7~o5sLk9U zf&3?hwR>C2?wwoHs4>RpdwZ+CxLU!8YWfj8n*FoqL=?wCiy%KABSXJKPqkC(Ql*o> zYU;Ww5|CHbd9ISagxWn){>7)AF8y6cKD9@gPiINM-8u7%obK=0N6W7CkVUdAkL|n` z7jwN@cvt7@Ldh02gF)GaGF?vZ*Yag6q_0}{VT;$CM_)PHH@(>8Yx=3^y~|qJ9D!n+ zLotV9e#9?2(B1C)euwkOmsMRxJ<&X0cJ&|Y{VDxjvE1XvP8{tKIJBa8~XLKcBX+Xwj$8@az!~ z?0r5;czixO+xY1m_jNnMeTt?I$z|k!@Ov}!)LYC}?X~fK;Z6HfQ{P$7Jr$Ol%Sk4T zRwf2M6yj|Xe!XFaYTM!`uaB(k7C26$dZQ?%NPaS?5q!q1xWD z=V$f@nG;8Zo7`pvv>3K6ZhIQ8U;No@mf!5Y=7kAI!p55x>jdX#Rnc!gdheIpJ^9YB zB&noG+R=0$X?2sc0pHHv13gm@+^;XPDcL6B@=CK(>lwLrZqQ@FGDXFh#FVSuaZTw$ z4v*%aShaboUxlH{VG*ZN+E{w_prB4xc)s}Ov5&E~i|M1xxI4~aR3!=N>ye8F>NLjQ zMn2}^abT!UpZQ$XFnic1y=sf)9fDZUk;9*JBWfp-&)&11c2xIQdfOS%ao9Ox;b_jP zyms%j!5yzl+Rk0qKUE{qlu@?q=Z5c5BWVpEHa%;iU^#!<(w3PEu1XaU9<-^H_?1R>&O{`<^@!XZi7S*6g9GF^-S3Cml*h`lu5=lo#5& z<%K?d`uJ#(OO4pV*wy@|a{@biHdiVPkBN#V`Y<}9I_>XoPrrBh?#8>1g>UO7ZAyQf zt8;z0Ri|=O$4>JP1DRjx@G{P+sU(yDKx(UOjaKqY~pS$z+WCbpGL ztu9s+uC~9bvM_jC9Ebl+nb3Lf1GSP@gzq1i)zB==Eq6>#*Xo>b>bzX@)VewS^`b93 z5|g{ik7!Qp{c?V4xWDU!{Lr$bW2FoSzI_@uwKF26mdKo@xjeQo(0ID(V0uew;Gv!G zwLj0|e%UcRGEh04%$2u+5j#cvCY$x{T;J3_zIW59^Ar+`=Q*2vyXbmvTNy=FBl)L| zt(3oK#bpBDWQMX{)Rh}&wwqqhpZRt0_`aiwi^g^jc(ra*v};#hczI`K@ZOedM~$x# z6XW$X1oo#Hh&MkjAc+zw`&o6O11 ztJ>uJ*;BYopz6et?&8)BE>%3yG3DcB~e5vE6IYZt5m5B{?rXa@n*Ik5+C! zHU4DZ8=f;cJ>J}Qr%&J0Y}qt*X*yq`Wk$@2@l@s4s>n|ZUUghdr{1P;JrXHV*m*)? z=_L2+^`Cd|f3E)2m{a8VGe!N&5-Znl3GqHBE3uw4(qOx$W^@!`>$}UsB0(4W?Dy@Q zy<{vp!c*XEXcQspTkX@2!RJdPH+>D?)DXNl#jy9D*7?+>@t$)e(p(~(UdV+(H0jgB zb^CUv@HBL6dHW#PsI@0mhTd-ZeA$60DV|%=H&unMu6^)neRiHzvr&nd>D8+uUK-_d zUb|e)bSK*?Q+}!*_Q;EI_3$~`=Lrm^np>7gdMjk~VJuH1IIki&akrcO3d z&*Zrjox^|fSZ?u=5Y_lIxd8{k%T_dXaRXOq$n3J()_1wNyQcJxuCBVj&1T%=+eyFW z3tJBAYaiUZ_h8{IoiAmg>N4EvOm7NFrSyCAiG+`CW+iW%4li90@~ukpyWsH)tK~23 zi!m1TBVLtHyVQO0nfP6f;hv@CtA`I9cjHk~o9P~=E6sbURJ8E&`77r?oi++x_UOX# zq&CyLcPuNv=ncyxNVZxg1YQzxSD!F^elOD0!)zB%e^{hyuDCka5}^<&`w#P#I#fHt zJSbVI7WW-f4Q15stbO{n;YP`at0fD?B{%AS;uj8eiF$NSwoPWn{YRZ6W7#%!5zj}H z{+0ZXW8ZDxQe`^$CBf&g=}#AXQTZ?X^rwsUPCM2g1*wvod}9mVQc| z*KT6pw1Rrs+2?A_6i56=Ld8y=r!rMFQ!z?zP49OxZBEZQB@o;O*BAHpxiGHqbiN7b z<9e0c{n8@Hqa?qqD5KXVHn+q0`o`Jz_cGrFR+v?onQm;^wJO!`TKAgDGxujd_v_hv zi!WG1E!on)_61i>i-P>^WA*oIE~iryhP0F7hcYKAm1jb@zg9)J3xARAY|NZD60%ft zdoM9aYyWnwzGn|Q%m&Q*1HBiNUp7~IdTp!;|$q_QfxsQA5qo9pkgq`$P>8i45P7PN>b#_gu)Zm5=vak+-b- z@yMR>vGK9^at_yHMc-QbP7tMkJ{{VqUU|J!AW`{Rtf6EcmtI$EluP025`iFoVX^Fl z11?UE8%nscjtzKp28#v{neH^ZDW6(NkfKU4*UM~_JFgxQeXV}`dZjmqcOTv3a&@`K z{yAx}FFQXbc`IyGFXcRcc!f{%KucU3kHh2E*qT$^5!?$HeY{KsH@P0dqvt2Y6{y1D ztMhwmo<(zHEExJ$d1fYeWbX6)rkWugL7wTEitk5-t$0Z)4<2qU65n5N(N;&uYil-x zn7!`tg9_uWJst7w2M)ZjNKO|0Vb*uFJ=v-A%F)Egt+opqOiJr*KipUOwzay{Jf<7edK@8X}E*K<-J1P(|9q5vfq>KegbV3E9-Xb z>4_`IEtb3@%uML^HXE3nFmbV{l749Yqr9o=ltuB&^7(z5mR6~waa8m4Zl;#*Y*$PD zFD-W25;N25&Unbg$ITKgaOa&UeE;aWI`vmWd1r!|(WH>utFEjHQ^NMKvx0NSH0N@f zNz`s@(_Fe=@%4m5Vz4r0^HxZdrZMBnk!hJcvak(3;y!K5!Bd#gi zL#LT6vw4K8Z+vF!wD8MUU#H3CX7Zgk+)hm2I<$h`*IY9CwdkvMl(c8IvDm*kPcM@zdAcGWp2Oar;k# zo>GUKX73#-jQW1m{%hmXnRjb`J}6t)Bsx7;)@$35`;x28x$gGuDvCEzb!ARlZPVM< zq0_UlW0SAX@W51#;*YG!qR#=((oUZq*O#}P73l0u;_uMzTQaz~_-^?N?f2J4BR+bT z96EjQDD}CIc%o>9=%L9oi#HtCTf611Tvl9=Oa(bH=iP(I_%pP56T=JIR~E_pY$`m_ zasEze&7H|@PVeob10CsAV*Vz-o>m;9Pt#t^y7Yqn-g0V8tjujh_37QT%mVqfi<%zK zl3(|u;jE8G$GT~b;9!nJ%4KI{slPyg^|+yz zx2LU@3+B1PvXAI+3goY#6e}>NZR>4iW94ndC9ChV-}|7ut*o)OmaLYotG%~_7?HxD z{B+tx7dDLdq-D!$&u1ZNijzoc}XLxHbL86#n!=5Bf!&EKS0O8I>5=A zX(Oqqz_nG;3VODc_P27EwsUlbivqpGY`v`I*Q)H+Qd^4^@R##nfler}#lVni95 zBs2*bWtNp7%_)A{W!Q0ziPFB{>&rimWEaT>BFAK@FOj!a+mPC?<64G7=UA?XR zrCq(&L4T})I2!*|&DBeWH6|HrHy2q)V_BjMLH5s%uz;hdqr11Go2wYsvDJPzA8&a{ zA0I~>IU1Epq>*gM(hLgG8tz}UrArgwpET8$#-x#`bUKYf{j)pw--gN7AN&9vk^v9! zO-|3#&Bn*t)>B?m4`yiO=?EwllNQ_W=!X3*EB4z&0R}a&F#}vh4?YYWY{d*+9erUH z9K8>U>Dq}Ydpde~yE#kB{tIpYSF?dN{b%d}A^Zz{TcM1cwwsNk-NEfv-nI&GDjCqj zfb-38=2?zPl%rBM5r}dG!d6+NBoGZ$RRPNOw*7Ca{#Nq0s&1YJKuQXRu1>CQey&RH z?#_+sDQBNjK$KRVF2_4uW0wrSoe}<%!$|ET(`oRZz7JTI z9`>;v`%uF^#IO$|?86iLaKt{mu@Bq7V5}n2*q^L#`>}7Va&FkSe`-(mufh)4H&%PB z4|v#xK#;>eSVdSLe%POXf5>2eB7Lx`*0-_!T{>j%~p4q|_@s_A0iSOa4Hsf_*kHxgOZST$IK zblw07E*R+oTf#V<)OIPR)CsKNFmdy6r?VZ1S$vnnBh%2 z3In@?`u9GFWGab?)FqK=WE#>3kwPRwDi&K^5}kq_D`tbifn0bSl^sTg<-pB@N~T~B za{N9PGKECMjsgD;BT|_pG6EyR%OMn`E|ErOqIjl|;I?dJ%tShwfzE?MC6iGYkqo#; z`hds2ndq2_WI7W&-1YmINmLpIH$Do5NSGk?2es(jJjXfYoI0gGi<@$;g;t zA?XB!HsB;113Q=U`+Q-#utV5kL<)^TM_^>=7GAJmt4pMkiFBk7pfqR_EyrL|5g3e) zi5*S&eJn&8P!ZAxnF$*S84J)A1>HxmqhWGLdt?Fy817j6J8s)-R3BMCV3kGJx2Sa&(vnf*07Apa3A{$OIBv z4(JNl7*Y;o6iP23w-`9t2$)Cc8DtTiL}BOm1Ojja9M1;9g}v7J`+P|>8WW{^*wY}A z*vpZjMci1ZU@D{K;Km-5?}7k^6KCx00gR09Um}6ZKmKW z15$&>x!A`H8Uk+r0*r>@0O$%?H&(xh3;;cqLBy>&Fi-5j@9%g4+MqzHHyaGJTb!H$ z+Q9J=*q89KI(uDAnj>oo7-dkfLwLXUOQyjVL|{ZveQ^AbNhcw5BZ3Z2Le~&8=LA52**yoGknFN~d?{mYL0TZYD0BxXSCec6y z;BgPy09$)NKd^n-`v9B*ZLp7pNCu{e>ZgEvoQ#4cBB1&P;2yRTd%wUX@j5!4KtR`n z0?H#gX23lNO!oGO3?c|e1O^HMO81~Tl5n~YB%z^v928(C%I`te!c5rvfCXnzarmQR zXV!mTH&Dlc))1Tm&jAe|DaWAT^g1H&SX91&l1)Q#1-c3}$le|WC?2F&~P>rMt_J*CXnb<5+Z#Go&2Y6;z}>Fx03NlqP}Mp?m`lPm^)` zh)f6bfV~eAU=(}V4*U0~?*R;S9NmL9iLl^foX6c z;MifHhLLeJ1RMgT7Z&NF?E#xaX$?3r5Gs2gfO}v>>@YGJG*o1L;r&56x_<%p1e|OH z&W_3t5M(eD_CA0`;BX3*24sYk13`__29eHW;PeBii`p(E5;$>DybviAoKFT|sC)x+ z0hP5ZIzVi8%#lVw^(Jrx(NTL9lY%(hW5y|pXK;jIcd4+^J%J9Szy`zm1-8fzqtHm8 zRI|ZgQ&M5K;$W~K2#f$k&d!?%SbKDK{z9OD3_xWvrnVz859^nSlcAvbAi5c=J(Q0F zo224!%2JY$F|(9b1P1OLgg=946Eg_e%3-^lfunICN>q;k?;jJ#Uoh6rzHY4cki7~T zE8`#VLdWa2pqQY0A52pM;!k9?huE;7fq?6VeT@M3ba3*p%@>0)5jzSqf5ElKRt{?q zENTP>Ixx;I12-`02L@Lk-nIf~AL`Qrtpuoutq-7Oa5%A#1?*fZcJl}OUZ4QCL-sUy zJMnx7^g0wTAZbDQM%n`l8}&&8iv^aClmib6Vmo6}2FGK8TtE|JuM1+3jMITh*ut@w zgJq-Q)`$!elYKqFVgeHz;n^@403_bRKNlZEel>s0ZK*47(M+WT* z^>082pwuAc7+{8>b0aeFzDOc098wo(l8ore1R9fx%39!7V9}s;Vf&)vqkt^KtvNWV zad?Kizfj!*^PnKS1aJ?&Gz5PjK~bH72+Ip@PpfhRnFAp@!q9zg;(7fJ_M5C|_D!7qTU2jBpWm$BxH@Gy{MP!Od9;4e77 z1~63jhCUdGFAZxCwT}pN8i9hdO~L()ldG7&4&4jT9Kv&0?IAiVz(55-Wd#Y0CX{ag z4CM#FA%GLH(KD+(gg*n#GjTiue2jQm3oyK_#o4v2_7EKjBr>3neJr3;V_G%a_%Ik4 zB?t_qRk8pR9d;z8y{gp@bfHSB$0EEeI#pw>}vesnNQ@xE7Z+oF3A7&~4s zf$$c}W3lKeZXbbVfbbzuX&^X(?m;lTQ9lxBu?&3N1w0oB-vHVGWse;PK;saEWP^c( z0v^UrSFodra194rIiNN0(c<%xVwS|6s#_HIC~87f*qHfnbnwV4EWP z3$_8li^5(GxC@Mi9R{=klVgX0Sf%1*02L@2sSD9T;4tiU!88B{%?<-c9~Fmt2*aZL z2#hzpZ<7Sd9x^^67JftefS?dadG>x;OOCENY$KeGMExh<99Toh+#nDG(IWOfz@!5K z#|{Iv4zHI$=m}j9P>Y$U&k|BAsVF~yW5Q^R3QJr7R~&o4V46bklN|=GO(1Cm1{8#& z1BiyA>xOwZ(ESTaA``JCp$p(_2<{CSI8y7**##`qkeRVpiyWz z95CQ!W)ugI(TVzaut*yYFAVTLpt2U+C8#Wek${eh%nejbbgu#ia6AGwIWCR^W;X=F zkoF+M3$@EY?$dBI4%CP0KZr|$qZ%!TWlN$k;B~n6AoU1W z7Zx2^BiPV5KOs;MnnM6#SkRKtK7gCz+QZT|(eZ)D9MP$Gu$FbN9E|3Ll2<@tg^ z3=uA*56J36_db?ViJKdZ1_XoF1qT+wBUmgO*9SOD5g7ov6_CJ&wg+)0gvVmbhU#1p zB*E!x*vwG5PX^}~$`e6=GH`1Ssx6vd0*){IngjnsZ4HRC%v%&F+Gh>Q=+ zebj%2Wt*Y20sakKtP*^s5FA6=1Kx!CZosOANGw_oxCA<8$QMQTK4|D*PNH>bpkd)) zAkk46c-YW>A^8a9L*M~`Q6qIRc7TIn$;pqif6Mig^qK@JZ(u`0U z3Rygm-Gh#W2Hpo849D9+`Ge>zt{l$Bfh0Ey>XQZ-1mMuRK+ZTEfTE3uL6i?y7k7RE zVvsoghXqOTYYxH!oi9W>Pf-?z zWKp4YL4U!)AOR2$1H%Wc3q~SJFCdL@{zMAq_(AJp3A`u_Xc9M%f5;Pr$Q|k{g%t+{ zA8il3+_?1xCoRek0DU+bhm;-E--%hPsGSTjoZQ0V=csNAFmSLT-S6HeL4hA%dhe7lSZ4dG%@#XNoYq%KzN2d@% z`v(|A4beUz6&4SJG#gwwoR0!Lxj5S%^X{VhAHeYZnF=}~%7;J|!0{LCybultpueEB z1|A+!`UQ|;0gvc z+AnMnJPdd42{an;n4on*cB8Zlsna+)2sxFg{|}55NPtG$12qSS16)2A76ZcT3^d3; zz|8{;LLBb@L3Trk3Qrq=Ds(K6EsB#B;D*ED1){$=-3-nnqV_qMYH-8?!2!6baeN$h z2aboq(E^+-1J@>ML%`uYh*F__{KJ_zNY}&n3%Vo9v#~@O1TPdg6Y_hU#M{%#(b?9M zYwK1qS$)RwgdqckPO}AC|M*ZARrkDl5?gBDj-Qf1q8`KlH{C0iAv5n zD2U{o^R0$%=zZSrp68tN+dShbSiM%&tg1QZ7_(Npsh*08GqN(VV^TFP1SMdy zQ?O82=on-2@i9y5n;X~~QgDDP^338!rndUl6wKnLFKqQi^>r=u^aTVkZEUUeUzlM! zMzt!+Sm3i>C-D08@ots-!$4>#bzNXIm-1zzx+KHW;P zBvh#)w`0hL*X?I@FKl$iY)%;d)~sSa`ctmP6R3hq_Xd9}O@50?cxzhgz}waR@3&za zH|uN4eOwL|%Q#BH7ZiU>*5>@WpFk{yowh%L!GBj!6!%isH##nTg~NFZI$dEB?|^u{ zDpdxd8X4ACScL%!Nng@?Kc?Nhd7SX6&p6XZ>0MIr`-N_|@a!PMqh7=NYwQ%etIt*9 z){+CFE*Zq)U8e6Tu_Q0&J&bde4AosMTG}e3CR|$DtivyHBOWh%{q&O28dcoRYJOf3 zfzPvB4~um#eUM_H7(I-Mzarb=cJcPCiOmP7zV*e)X={G5w8`97Mi}sKM$>YPfhsf zI*-1baHUIDx|JmjMDONnO-TOLr-(Bp62$xJT{%eOd7jHSfON)?*o>P)5W9(M?F86)pTgp;; zjDd$jk&SM;g>H*etI~e$Rft*8@z1HAmZ5Ym2X2CbTsrwT9ClOIYD!nH$d*EbyQ^;+ zF{L!uq2~^dl|G#5VD+r&qAtX4=H*-(f7~!r{#I8nE7LbUiGwyVl>d04;r0H-5i27{ zeRDm8zk%Q2J_`5Dla`eY6LARw{SW_zu>G$%uvZ&DP7TX-^k1VXjy6fcQFXh6r4LoO zF1(R_CBMY{yWsM(8^W5m`1kX%THZ2BXKrz68kPtBv;6FlbO(i+tlY0WT%WgS$#^(q zS#_!G0a~uPo1LYJzpv#M-(Gl5@v-Y&s+?`o5!5;0t}~kKr|FmIVJ4>EmXc*dm1g-8 zx>t+;4kY0+Ru(F&(jHHrMOKR zkg^~XyMeAv>(hUERo?9z!{Q@{nXIh2uS0hSaFF*`MB-=v`bJZ7-|ZH7HfP-@ApDVoGOXm6e{X-oG!{rxlZJ z5mvDjyKONxJ3GUe9m~x8soef~R!F}>mRG-mYFdFTlL|>$RPMlD?fCK{VevZ+*|4SE zvhDS8#-h-}$%BBM&Gg~T{YY_0cGb;n&g{q`TsHx0UK!fO8mes0;^u5+EjK$?V~+<^ z%H_^`o9wW`uN@Ygf{ZlX0cKMLozCm9c{jYTv05pNh0U#ASyh!;+-6e=J}$cE{i}}F zU5EG@6)wZZpX>k3{mjqrlP)c~*DKFS=R~Bhp!$R^vfqENgi?o*Tgx>s@qPr`aBoWg zN7rC~9{sj`(XnNLVX_Y&Xw{9&^@x=HJD>49U+!&p7EP7L`o4-$66$TeiyQ?5$cQ z_Dt^2&UP)#w%0Q@MOlBjhht)BbGJ_T6;+=E_l)X8USkPYTEQ8P@2SJ%(78L_OH*;T z3lo%j_)O4bZrcWV7fIs9f4Zcq;~L`8koFfx%CWe z+kK*UWsM@kUp_yb?D;39uUSaBr!6j8s9#d*V`|c?C94@toCrC3W3kqJjt+K@rPU6O z*~h8qg|EPP^u|OTOmXvH3M)Tc$wape64|!yc&7?(jwyUybp52)fTvi-7f0cpY6`*7 zHE0$k6SppvHO9lZ4aGB43E10*5|Uj9*W)Dk3gVMRLBFE>P2lFNI1OOIw4PjXe?scN z?VXnxos2i@GSj%E`VzOW100ayY7(@P3n|hlKE6 zQwuK!C%-96$cjsAQ57n#mh0iaqe`OzF=mQ;fydS)gyq4}^H$Zd3n$_l8=i428&MAd zyXhNoZbNj&YX$dvc#M9geio{wHVp9~yl9q+UHIb*nJraE=+pf#CPEr=Cm$$vL_9PR z&J6B*7lF=p@@!NDv9SpM6B+)jcK@4(_j&^7*X{2mW~H8tNN@Ngjz7Ln5+)v?U=tNF zt%7BoergL$J=P{66>Qw(UX;RF?>#F^f)nvCN{{y31)oz%MP{}XEz*0eC-ye-@0$+4 z%gmxl$;{k6uFaR0|CZRYa&_&XZl!*WIodB+;6D=HNhUiN7umVW7_}qpxT5LfFEQLX8D{%+AeD!NmsAz+{%Uum!4xf|~{0X02~-O95vI zd2n6d#=_28SKkKo^3>WwS3%!ajTz`63T8<&(4PpRm84*PrtfGAIufxkwXjyOe4(pP z!OC^ohnSmF516la6-4JQ-J<|zAtS5F%h=^VIpjZ z-9OnEwi9&#--PphIbqX(&FXAlfF}TNqd0Ll8wzGABRv}mHO$jKAgAM;EP*_x1_kKQ z4(@9xI}EoCWrM*jFKl0!S{NWZL|7)HZ~HFKFM+1SniVP{oGf`x@0^l$<>IXM#(6MlaFd-v|CL!qo^c&QHmfwDl(0D(Z&&%nu{ z4nYFK4z8a93IRQwLPtkOorM*O1SKV(*jdz}NU*Vkxg!AP4n=|kJc|S;SRW)f!0%@O{cs=v zW(h?C*bhYltREBs2s;FJTJY!0%@OlVU-D6|5c-?BE^*pe$e!kbr`5k>CVl zApr&VAOHb#JqOqw_ESJvz_UnjfVm^V3i?3+!Uk+W0$hWf0rUa3?F4L~e*~cHpid+? zfDK51`9V*?%*+hj3W5Y18=HZFfjR^@6%tTZu&79Y!J$ZSg6l}IfzA1VI>% zpnnjj02~|~W@cun|NhgbPr)_=n-PG5iJt>>35*926chxJ3cLjYC{5}d%2Qz$4X zfJFs+hX4dPG7?aBa2){%NMA@iP>27pfUr0RND;uD0O;xIfob68Q$WC`Lcp?}ferZ8 zDNZsXSPvEipx{;ngoTBH;owOGpddsL;N|6Yb8`cIfQ3B;D=RPr#N`>l6j)9{Lqh`$ z0sSBW(gp+p7Ld2j0Uien1t29Qr49j(iNKR5Pry|$00I!O9tga6@d7LxaAO2mfQumk z1+jPrkSCz0aCLPR5)uM?3%msZ77!3fK!FKJKtM1dU~6j&Rs#Ha3SC`Y@GF=I62Lk7 zxHxzSY{Ds+n3%x60dGM9T!x&&*w`3!2|hZ7rlzKag@vlBs=U0sn3x#o0EFo&l$Dji zUBDX=fC4v0Kut|e-5UNQDk`d^q$D69pnme--Me?;qy+&?g#-&&X(S+kZO#Cg1cC&J zCkPV2qag^efJBObtgNh&k&%dq2zUtGa0)IiuIJC6gGWJE2tWZ5A@Jy)Rpw&Rg7go4-{y)?&NOb~l z|3iHMH35XciT@%a5T3xn@JOKS)oE4+Qw95s#2LM@191dA7oPP|WW|XFLDD?@8C0=z zo+IHZ1}RfO3sw;<-Ja0wJn^ zxB`I%A_lqBCoQU2J0oK_DW4|^Br(HV@E_w zu$X7^1Wqpq!aivcBm{;!IEi$3(Ie zyhXCtNsE$4&y+n};e)9maFRRE83xP*tN;Qi6bcu5XL=g0tC2MZyhZ9u4t5|9PucY> zM}l2}C#(|y>H}N>=Ou&;J!uhY1{e;yMV2d|MV1Hf_N*j0SuhZur|gH6H)k!1JUOd& z;FCik2c#&6w@3|f(w>tBFa_+zDFA5$M+G5v3c!=#DX@A-oT~s}JXi|^P-G4;_MAP= z>JzvsM$tP+X$V@t!+@gzC!etBxl9B1qsl12X~;SV9`T!5UCHe3`V1Sq0C(||zlpNQOZbpzH0jD89rw}GNO0#OO-b-4696K!zu1_BoWAfP}U0K^OY0N{+; zIoLt{1co@TzftrND5`*h24?`^hI0{pUaoM!1szP*#Fs1(Ynn2Jpct;AIn1BZBs%-U5z! zezI{^W1W;34}e;POL&y>6j%xFImNktK_2D(pPav(6YptK2d;5i>zu6LIc>sy5JeLM zA3aZQDBFUZKfDE71e^uL;wgZ9fbZwoxd)tjQIj!hjt80GEYl+EchI6_%2Oc-k^>_9 zq2w6AS8)D0CkZwXj{lD1a|#6;4qszbR!5#xfEH*65YWJPz{Vngtasoos{11){YiUX zCLu%Oq($Lgut30gB+kVeYF>d?3n;=3OyNu{fZ2g`g#<`PXQ}D5(g)80qChFjQPMmx z<(wtXln`7IA@vG8;i4!JRQ5(q%>Pm!r*$_N7d(5e5K$urj0=)B5=d$XEy@`L7#D;K z0%s>JaEeFKx&M-Z=VS<;1Kx`)b&y9r@D^1)AtfWcMNRqVMK7=!Y&Qb`qRn$M1mnQh z=oJ4ZXE;G40Z%mupdRahutEv|6bT9J1nYwYDxsaqSzr^~-@$qzfWj4E4MCut0jwcH z#i4Tbzxf$Ou%OT&ipm3O5o9bNtl&o#Cq#6T&&~xtoH|gFCCKJy#0zp8yr4x@2Pmb| zNfiyU7(&;Bssdi4AfzZlzyTo#XYbRZ>R$rj2VORvopZ8q!Vh{7$1Eo;sxbMtj6IhdDB=z% zUm&L7gpWd5VDrJvrvQZu_y`z$3V0ETa!dv;pYaBqt5GE<@`MN8qKJaiLnRaufvQd5 zx$G41gR_(KLGbJu9l@&>6xj|JiKuc0Mc4w~11t*41$Z@sLRKJGoeMS;WdSeRQKcdZ z*#g-DI2M$oaMgw)W`QMV2OPkIK&T>sQna1QqEi-ySEwg)9!Mcjj{=neKjcC=?tvdc zqKX=nLj>STaGiewP}zWD2s{WEv?n+@%sM|x2AzO>fW-Mh1H3f+mxevpU+_``aY%7q zuK@J~lm#g6L3s^Vb|?ZC94COP8Y!FLE&PoI%6SU>{1l}wL7aA>Xb^BSn9V6b^>A_o zj8vlRET^wkK-mpHRXi){S=qqx15oKeodHn;w*Ksl7*voaIu|aDkU*&m&y+n#oG4`| zP*tGRIM*-8_ebE(s5~nR=E-@CJopuY$$Ijdi&>uQ~w75FM3bj%>MgLEaLs1 zvXLJ6x*Et|9GJ|H^oRXFgm|0qw!{7dLfp@YeUuQ%8HXHKm zZV+xRP7VQqvv0O7j5?{~HBNG_kY!-TypKzGK=ABYhR?&hotH0DeZRpn^2X?c5sg92-EzdroP`+^C2QlcK z68!S2fQ>=trw_$|j2fBcTQ{$O6Xu!BLVe9#ED>6_ry(g{Hv(DQ{Op&tat zRwt9i70%iT5;>XT4eNS$Quv}Acz}^7BVfnkSp6yPGYV71f@ZrGy?OYEH9lzE-8+0+ zJvU4KRqb#q<>IjtqZE7uu)gSzFcCMs`hr;U|GxA;SqZVvT?0-<=9AfqYx)}6Pltcq zz&=LHlq%m^6jsbgj4ojt{>@&tA!vhMuqrK| z&TvENgI)jB2|S+O$F}%xH)4CZOa1R@i732Nd#o1%)w0pCpC962ml=?l?kuzm&z8$5 z)hgy9N*tpuyoJ~$V2EAzSfWApLq>X%QCRg*iUlLtyw|3{;U4bH_@Hm7jD0rt#+{8a ze)oq%zg~}tyuFfh5EtZ2#^F*Yb>xzrNy=@!LDJhzW+q2lxg4JB+7K|^9Vn35>ggLy zjjEUCiy7y*pA|>J&Qt zkEtB}O@u3jqWcu>zT4h`xB5>ZS?&wquGGK z-%PtAtNC<0|6H+5QABvkB}bS~bD0n02r9`A4SH7%+)PU-t#Ke?w4RU5%Nn(Fa8C)JCA30JUK;qT`o5>X za6u2dG(p-ft6`${^-@NZfE~80RZjk!8%fI(?6=k1zTmWZPWi>y?tc|KxQMOPxkf^; zX4E<4tb-Gl`|?Ai?_bPn5!?MYVR)u;wDp0V8+yFBL>+nEA8wYa+s7uF7i5^#S=UEA zSs#!U&f=+qBs&pJ3?Bmeb}Ank<>Nh8xM2q9^6y(pnYDvQP?Ez` zQ@aEIw=`KhdGW!R<4q(?TCN&C*L|}!Dl6ji=t+3et_`>*ycm5sYx?ZVSeM<=l!%sn zu_SKREaWJCu~IWgo2Jjv1^;#^e2>J2M z;CHTEK(^MteU|XxpW&>^*lf#JKe^csuSw={3%f=P-@KCyQa}BK6z$%<(Ym}gss}s+3_b5l-c}O+H4f$>fK$MG_CJ{K z@!^}M0{)bQ6W_lsJy3HFXo_QSi+@HNQh)FM2A$Z?FC)&uHF2~|^oq$Jfb*-39 z3%4y9aPa&tZL{U3D%Zny{A!`T-%BYnzr;SV*=F$*3r_T|>{Tk1A8;BV5Uh*GwJT=M z3i&xFkmC5&GHI}PO!WZGKi$97vAna8BGa*)sg*yoRV1~8 zYy_f<>x;7_oE|%yLO!)SYhePW)v?aj1kiRL z?mS4`=5d=`+YCPV-n8I! zrV5MagXPf3i^E5D9Jn+z2RP2SDfN#J_{QwjFme`aZ^;xi2Q4-?rTc*t(p&0l>iWLP z)I9x_ThhD-7d5Wl6!aiExFlVQi)r85M3s$jW!|IU*YxVvag^Y%f22fZ36?r1Dr;qn zQbVu8wNT%C`lg4YfDu;8z``+@tv=7c$fq1o1xpGSO-Mh7UaulG4^ zEHaY6+Z4KR;i0FxT(Yq80_luo5@~gq*0Gi#9Ln6qxQ$Q}* zp)lo^FipZQQA&%-zO+fv$Dy*Xc?2FC+T#55sEqQQy+c}S!j6sSY+p&sT%=}wQH%O3 zcdpv-)HNyF2fBF?eV9Zs^1Z`Ob|#BPxvkd;F~h_?B=#_a75<4DW;avN{@on$swXs> zv`1Jaa?r}s+0#8i9*uDXv2DeZ79 z0CSc0T?2$L`@Pr4b1WKiphG3uSItNM9c{f;TVSM@P8aL;3%>)bwdE zB~>vdXUu!-Ps|PF2W@qkn2%bmyD@9=H*VrsNv;a3%!c59`b>RY|EaNS-Wz`+oZLTN zR3(w7P+|Go5o7!vvJWC#N?2+y>ip){k>Av<@0OmzZ3EVWiU9HS+#ac*t7cc zCW#gLKhBqo_CrW(pwJ4x4*i-jL3iPJIzWD#eL;>Mz4=PSn=Kk9Qmnui7ln;*ocR_E zN!}{&HWeoR4dTgsZrmIm7OJw`-Serk?Bbr>+oVDoiE{H8Mw|*Z%b-Pkw zAPI}aWDtu;(v@wh?lI-_2YeAxB^?a!d)2e8QXPH^PhEBkPy1OlT%Z>0v0GZj{+FY_ zx@@e$w3$HW15#@^xe929ZdbaQelmp^%Mf6x+!$+YfWm3T2cPuF*=X*891@e0WVQcyk6O^~sdCHQRiSt=N0}E9cu) zsE={*e8zZnl`Kgle~R$QPU3)k&|CK1YNV)HC1YVcR_2du+094`=pUP9Q}e$;A54>* z-WS1Uw;KF+(FC$Zn`_;fzKAtu@f%p*9-|pCy1|;{CRV)cKF~xMDnDu={bZ|_mZLFw zGc1DoxQ+_&mCs>-)fkzHd=l&RuKN@$`pp^@BL? z>~|y-R^}`{7f^Fx$l#lAqo*23koV(BAK0S^0giHaz?G#MO^b!CDr6@fOee4>vBL#(G)^vo$ntyyVl*CSN;xeH(5C`N2cK9V4my zd>fc({uuWcU4k-&_W6U0jox|&ddse(F@$yj#M3Vgm|f{LMSN&oHE_cC_a7!R(&?wJ zYI1}PC-AE=wd7e}EPteE-~9cS{Rd4wo!T2k3VRc=c~HXZyg#=bh(m&-qoK@Et|}9G z21eoy$==a}0&V$K?Nip(B*pe`F%XKAC?C#}~b1Nd0Odb6?t zlY4zA|K)`1798dlrMsrAYzYN8S;4H6nOtrmX*Qj2$!RtN^sqk8D9ZFNj_!A=yTzqs z^|o%4kVg@;ZLyZHkvC_WFTL0~G&wXw=BeZmbeZY}BBJjO6_0J6<_AP$dK!pj#)JkH zx8mJdX4|b0IDAC(`-5zuNb{^jg(tcg{uWt~GSjUXJj!^XY7Q*Ou%7FUN-EcbA+1YZ z`usgh^g-m31QL(_6viGuXpwu~TIZbStqO1FLU&tdzGT&=ON?Z6j zn+=)BaX9ZO!cPm}(uaZ;VcErxZ~p&uNna{4$A)9Bp2#oUFk* zBE+H3;TmDpxDvi7=Vt<<8jJoGBes{)dOk9HmX+Lxg#>E+iU(pnUStNnQaN}`6J3SY z!Q!hfO;6EnwRWA~r(1r1za*h_zZ`4-d1Y41P;~ZG^BfZyox_%G51)I$G5n|remE6E zTduHdHZiua)Y9}f!AiaD^CauSomT=y&g4td%X~!|p`2d@8fDg}aaU$rtpZ5ONL0AB zm1NAne@{L*YADq;ux8}p8BCCmXu&4kZa}9ISj4{**_0XE5m@cBG;K}lz^T$&lw!vt zI1GDK%y#rDnTUI-`{h9^mf}hXZb>V_$n(LcJG;-!=Ugzn7>%ifPX)doq}ROjNZni> zjaeyh&-6lAu2D4kVjH`W0nJOBNV?>lNc@YLf}?d-%ocxeQ%7PaUskCEq2sLQQKpwH zm{oSOd4^XwXSV;X5ZufYOS&HB_Nzo7eK@%@Z{2}k!cq!Gs_P)ub8RZja^wk->!56A zppxqQ$wL{38gmWOh*m49uiRh+tVo%NpN!#qJmrfznGV{QIdwFp4Agoadm`ogSCYfH zcI;CDTF_ECsww6JSte21T573s&vAF9gHayf*tVp4E{3u0k#I)POJ7qqcxh?kcD+a}2|rBPYNTtJ zUfpPL$z++7$w4tdShc85Q~jG&WR-!F$Nh~2_Mb<0y>is8s&E@Oxnt{=vPxXbsUw>Q zw|oL90~|MSTwx-2#0C&JfAR-^C8}4^jJk(Soy(@#kuqyLFT%^bWRf-1K4eXL3liE3l@4@=s|9A?MN<`A-}TMbp+)?XxjSETMciLP^NxB!dD!z)+HG$WFW zT0!x~FuN85y|6fw6oHAX@W-K@slftFM4nx>=!hH|t$-aRenn@X`G>zx31;nJ$a5TA zlg+%8Zr|hObuGZ8d4C$0NweY3au09zLiw_Gc5+eF;2L*RxJpVpdBvdkbMpI!O{3+U zJY{RWGJ}rW2MM7EnRpscgVk`9}wQHXIc)XbcjjaNIn$|=fMDD;gzV$7@NNkF&HtI{-{tEKUk0Z0t@!N4N z@;~>KqDpeHXjRDn(7TI(t&uX#6Zo;bTe&I3V178bMM;}cG_glPKzgkYzG2h$H5Fh{#`dTsVJD235jac>7U<}VAWSGl~eT|Def1fx8v*t*i#TTR%m ziLVsHs`K}Ylw5(KUwBd2q_ZYo6^6>L<+b8tZ5j?sg-B~%{^BZG6A2QfOK0BnnP4oc ztTI2R>22X!Jvr=%LG(&cDbaYv^TE&VBQ?ZMy2kZCKWC&WGn%mI&Ji6Ajq}H2&k)FD z3(Pn%zK^X?TXZ-7ftxbC`ax*fL1(T1T0l`SvW(|r`<=%r%2z4{XFddXy$yYc zU@3R+yRGBU5jmH(o`~3AzwS$;y|B~_k630fFJ{=-c!GDQs6*}Vea9)~I>{S`yvF!9; z9+#cRG9>A`8C!Cs!S(9Y@UD+JYjV%OVcD;x7A6?Q=ivC{=G6Kn`_^+r!hWqz{ucKSZ+()iBv@FMWuw!7X@g zQ;ma3ARbIdWN$oX6ffiLdUmRxC;n-CE2o$y@~PkRgYlt31C1sd|Bn$1vSiQM?Ug8x zYbJlpDe7uj>5Fh~%9@cVRk(6Q+YLMGnr3(MW>XFR;Z~5D$fY#FiSg4mlF&VF9`(~D zHxLNJE;#aUe@0B$Xq?5#UZ#{g@pjAQ25X7p%RjCP`l8m1Iwx+?$m$2H}pXg=UQtHE1ff2n#T@*SGbg;ZLoNk!b;&z+seN z`<*hSS-vtDEpD#8?#TJ>i&$dw^P(sIcMa~gO-AqkZeM8g9gT_D5NrKwk9wT-tedA` zk}NlDv#oBuw{xRD@P~nom?3A8zz=Vt9U_Zd_0^@#hpO*`B@eTJQCKhPl%oc zbxQ)Dn&X$p`FyH@T!r_&t4eMkEy!N0>C3PnZ%y@%ITqvcpOl{*PB=rK zK78PB&7cvz?dEUp-Z5V~jCqXJ9o|0kvtchc7w>IIgw%}K79XeOy_}kbckiNh(>$@c zDmSn2YinPQyhLv%Z7<$%gw|Q;elj z=SgSYMuSE(;;1S$7u{Oz7p^z8P%X?5}%oTx{+7BnR17oPA^GOM>PKp9`ncv)hVTb0X5$$Q1?I1XW3k zxp!2fO+30;%<)dGXdZ-?!b(_f7mwX2$x!%()xc%kCC_VzOVEG$+Txw)DY{R(d04B=9qT<4{AAx_fs<8JsE`*DKsUPyq=P~kOu zLW5=dR^!;I|Bb`-q_*df47s$YCYmK-LS_$+Ucdb$RZJ2?Y`{2X?(-vv zh0lHATp`gm>XTgQ+wBW*PZkLibl)w(qn$Gl95>O4a4B>inXl0$$jS~-JFL%etjaDb zGFlmo`zZ-tWA0SiYVTfi_h+O_V%~8Y8F(8?w##<2t6<51C}^LrIGDpmx3HY()(+NO zzUH3e0-IacQyokTx82F^!X2?6A#K^NtXuxh%5)@ZkYjeu(f&!3zf(%f*Vi{~ z?e3DcGH3y9neBD99N8^B@b63EHn(4V&H*jzG-)DHZ>w!Gt-;b4>g5cxRv5Pir=`;L zv6dsR77s@7{g!w^rL(8yWNw`9MejB+Zm{!|V>PAgpbJK-e0;guC#}2Bp`w&3Oktor zhwUqGWaOcoa^x4X^B0sw!`y-Uv*MTN_nq<;Ij9+6TQIc!{**lOtJNNb%Uu&Iv4a!I z_G-JELWE8oOd~gj!$Oq_KqdFpE?~BlPQcmN^?mzj>?rNh+^xXos*wo7fIBsN6bHik z=33xf`ZrYE3s&H`oD+;%wb@NkNTDq==;~7{o6e>>zKk9J<3}qsSf+b$DOD#c{FZ)> zRTc}5p46L`RMm&gMxndmEQ_Vg!ZA$*gt14av6Mm!OppJHAHAwIZ8%{u$1C7X-I~cm z-Z9*iqDyKo^5+oD*Xob_^V>(^v2sr`im#qHiw{SF~86QRC+c zrBpG}Z~oqjwP63XGQx#%Fk^V1!?V$RgeQXg&W1IdAI zfw|X>>yH)vkymXlC9c}_b-8@&Z+^|}Y8e5#xYl!-YV$a0PV8OO^xnp*8pj)BH0!Rr zBs5+QOMOueMZGp6%!{&0^vdg#ZIl@q<7F=%wKj(;X8iT*5sMui+b+WzHqWUZoA4&= zCK4zYoN&4ZOsW<`usTI_H|Crn4@m># z=~D?pEYekz(2JMvG9AW0!*IaPulnVS6Dn06Vp;f93BN9>#DDhtjZUUg#Z~9KMv`X1 zU8aikcg{viYWq3(+{L>_CoCD(Iif^u6Jhi7fS}FjWo}r%NsFv~y^RvBosm5UY)P0P z`0oQH(d>6t)^6ZVVhzt=F%@-td%BorVGAE0P9hpAMd^p{7?+h!!~pna$A5n}10jq4 zdnNuSUrG7z#rf~WL8PPq{tnlFf1B<9!uR@ymj7USX*kM{NK&)&wXRq^yRP@+#?2QT zbH{o#p&E|D4Ex>2L{YIX9qlbnbiNRI$5ZDpGt*KlTINq zyNg`5^*h#i&)}I*+w!*i3yD4T&kO4fIJ=_pCuFavDiM)q6si&kfBvVyl(#xqGm)dw zu)Zfz?s=was3ptr(u1w%&ghIJzK;5t?BXf-n&CZ4>0%Xmn##_v8XgsgMfG$VEBPuX z7qZN=)mu}y^(F2Km#GHMrUWF_b+Y?XPmI7%KT8a z)3l(yD(cxMOkdplel*-%Wyc5Xm9KwAOL-o!noZ?0wJ1j@tHMY+o;4W6|C)@6i_9$!XkHf_l7N zx{Jf`o?;>=YkFV%(HDm(mEG+pncFe}fo;Vr`W_ah*5ypXxJhg@ViNYbc=mo)^Tg5m z1a5KdDZB(%^+g_;S|>h;SLXN=@Gfwp;~Ivi9u>wemTO$s3_(Z~0flLQsi?&M)HMwH z1Y)Yjw>~sBX%*1f#TTZ{g0Of1UBa?R}oUdw>S;;=Ojq-mV%$D&Q z&+7{H&F{3dX*I*04=#m?QTx*5U9k_4^|^WXeSTJ2^*Z>p&9O5 zCORtGf>ra)m^##3ygAj1{9*JPZ&L|~OxpfF)^}XaP@?Qzb7)_PVmBUNo7#TD9XZ2Y zUd?7W5?2+9H*2r!=ta^T)}KZEXrHaJF~fiIlf`oM(j)g@d!vU!%@|~6BD_xn%5<}Z zCUIPP>~TiT$()W4)~1FVc{^nlVl8KXmN-pCGJnxm?Af@s-oSr*PHdaiOJJzVWjA=v zO=+*j&14sC^2(;MSx0wUMp%C2+w zaIXt3%OM3L^^)qCR@K2THqO3&*g_18)&XIo5J{N_fo@F zdZD$v8CTi~|La|6jmYAy0NxzkEMDFZ?J}x~BTkpwT@4V0PRnehzobaAn{ z!$#J4*dZ!c9&oLpoBb-(@VavJ_DFn=!%lxu{#kTP>QKAWhIZw22jk@5Xt$rQtH{J& zE;kpv4%*GXvgPWrO<76;YigCt!mB4B;Vg(PXd-*=l~rm)hsRW@&zL+#71tFnseueplIe{Ag<@hqY-7BVr%Pce^8c}=bw#;_xag*c-Xh4d6m|ejv{CLIotP$eH2psGYD%)7mU%%FQBdh)|K?7kW{jj31G#RG;Yc-~h(9@wDfvUTKIJ=qy8;Ve zR$t)v^&zYK%5SGEaJZpa@YLRin5MECn((w*500-)hsZG(3*(l!vk}iMFq)`v%LYT~URI-&92=RaxdD-3#e<(aJ@oKxEx~oGd-LPOy78Gb;5{r0 zxsHI7rX%Zk!22J?5-U^DzE_g+Z@kFESfbYZt@k2US!~RRQLDW_1`${tzrAL`9bq)i~8C*6EkNIgUXidrc2c^}YRMJ#%nLl|HOnEzlYc)zG zZ6$-*))-s-)-6ZjfoVxzMk_UCNyl8Zq};7re(Q6eA3bcuaM`VuSn?FzCUCRl>4|%M zyOjtQf=f-8EmE^prb0boKdVtykx977mR{8q=DnTF8ee;>>R?G6Lx+^1sJZY4wh^b# zF@@l-N$E;joi{m^vI@c@S~qdR_oA8Qo=m1ks~vxcF$}u8|Lk*=1jBcXdc00`_O(bw zVUHxWXEvVp>U&~0D1VP*?ib7$ODt5GQ&yyEOZ+h5C0enFm%ZBQ#ug%(uJP>>rNW;Y5zcTteS!(sZ z>xZ05bttdAV`a+91Qmub2j<~o3!0a)&B(K=D5%kO$~0_GS0(pp=^DCLe9e|TXsO@f z+#ZSeM+ZM#rjf6jZk`KO^apW)-ZeuqGcrUQhELvE>5y`*l)Z7(#1p83=f_c&kMH|Zyj)fBResjMbkKrm?ms(_>8;RJ-rElxoMb%EOiSx0 zs+xJC^JjvS(2}{UB-`MpGUchX7*>yGIM|YI!#dvVmR(iau7)~xJAJYo_((ZhH~WLP z#$Qg;vuC(Ggt;HL=pQW4pzhmvM#V%sA9LEa-Wb^fhps?;(i_!^D$RtKEUu>tBL|6pq2>*jdP<)MH5G$lQ4v#Vm6V^?i@ys)ri4|So!q;;>h=5A`; zvXp2c2)lGO?Eo+KDo19F2*d9hi~Hq#tpq>GukCN(22y%0uPj3iw)#CirHM$YR77W& zc^@8Zvepvq^BrTv+i%`WF77j7SovC7hOs&EI5`H%y&0J~SxyIGA4BbS)$f9X1vabgU+j_G!TxLyJ?qBM#$!ci+}&rq5iw zZBpe2y}9k?;-;F<$^G`m>Q=MaP`R3XTMpW%7;ZvY{GWJz9cX#|;|xzfdWsxq56Ub% z-4b!J&T~M%nk^ohQcxL7iOhog>(d+xCce zJqzYW!`TOp+RJ9HUmV^Q&EH7m@cctYMC`aLX>g0xfs}W)t2NYUF@kB@Lhf*YKIVao zLym=pwS*RlcP#|N1jpHlG~^{TK1s*rt8X_1Jw_ zM|ss&?edYC)yN%~mEcLr+Ly`dn99~%-#^~7F)rpjTKyT5tmWuU?!9BBbX_ijGtc5? z1+)14RV&wkWx>WnojyE;;o@EM?9W(~O`aqRksiL&!UT2rd7tcb$H>{`Z&gk=;10^- zbp?oKN3=N4C<+#&@T*FDD-Y7_dJ|lCPLRs3-TLgB<{$GQ*d%|k)*YMmrkJ6feXx$r zxGfds5^Se^iio!>Sk+(^?HX}$0F9`|yjkDps*zziNgcl`gW;4rYLCW+2RIKhL&Y@M zMD+#DX#8)jf5i9n42=EmJW-X&UQnLV5)|#oT<<%Bt&G!w_NmWe=?hJuB=3Oso4s`n z!++LqiCd1ee4#0YlSc##TU+51owg zfDciXRJOHk;Op=M?_Afu5$S$B*I%}7KWgJ1V9D_a=vWxI7CvI9Y!iyjeFiC#e5aXh ztQIM#{f?QkVTtp3z}R8Kt7I)eE9xw5K zQmR@u=)#j?I38`U`-INsMy;+(s3;4t#sNsg;5q>CTVWPV%O!xUg%TvXQmD_xDeZ z*6}Bzxv}NSNHWu=;lugEvT{v>CosCqOm~f9^PXJkEq+(S$l2Pel3wO;{wHaM4yK*) z*uhWoK3teCx;NyQMtEN?+y-Ke1r+rdDP3CuwgLq;Tgu zd6Z$d6>)Tjf4Q#il=-_i?4t&|=}W)l%IdwD%+RHcOT_(wG;=tSeJ$S9!ZP3Tq^7I> z>9zRsv68HMavsNwE2>TQOWW~oq{ud`xJVaw?XMZXmGk4}WxknVxl*k`$K!OPaj*O< z_QqvJ2O)Tegn8r7L~ADPTrCu3JNWI6n>yS&W+ntfV2j-``!+vF#wY7N=r3E427oH> zRM*d{$FJ_sqzE<Zkm>Ia(#36E56+w*d9LpJa>MgGtlHWBbHt>VDLNmoH2+ zQqsAYKRp@AyZrl$XxMZZB=2cBO!8&~z8XFg&dqn*#&~}x8KQnn;Pw9)m;FazVgZk2 zxJlDdKq&g3{s*)nvB#1Y;lV@H@ejj8x_?ELWkg2~{8Ke+CjNJLbvTq&E;m56eubuM ze#C$1zAvu$%z|zhRf!*-q!+dARhyg1WzXtqqTkuwh-vEKvhxjSB_hvd!Jx*_Z}`WP zo(SLWktIP^h8?Y+Ogr9K1T`}yl}Vr_?oYjGg24w`;WP@mR$PM()n8sKOpxCFf7tuW zsJ6H0Z4}+z?3PlB6)Rq(SdikB0BI@G;+o*@S~Pgt;$GaLxVr`k#ogWAU4tfv?)`t? z_na~A7E7Kwid zfN9nE_<{dT1F6Y#^jq5p-474jgLLg5;)}4OOe)j8?Y72pWJoV8W_E9wqAu4yAzJpw z>D$`h{de@QS zf09l9+-1HC)JvST`~|gdHdWN%DNt>a!ijF9oGF=&RA)lfHfFwaknl4nU6pUNvp(-T zB)rjDVXRs%Flu}#xqmXGBP39?z1@L$KB}I%b@X11!87ZZ)@%6oXL|23Yr_;mr))Rl zjIYCsbvqMjdJQKst_3NQQ@|y7$XB|e=_4Q@dfH_mHEU=F~!aD$k7_QH)F4qHaOTN=JOncR4_)MqD?I{?+b@ zxopZwY*=Oae!`2I7dI@KFA??+y1NIzr+e^eJU34kCZ40dRr~5Cc^&pD{3?NnA5zb8 z%bM%=w`qbR6wHH6ew+hCwlO*q|3- zJ(AUZO?A8lE;1YLvhjDSZ?POBG*CD2L@E&rG^_ZOe995eO5cEt=!GdG+QNZ`6%HR9yaI%Yd-8+t+m(=yJU zcdi&;CR1`WqNG6o8ftR-bsBYd`02uWWP6&l3Sg7o88Kgd>J*_r_UhDM2NNZq}8opO8#1dI`wQL50)#zJ7Lil!g#3 zA&{a_&(gixw>&1Bj(a)+u;Sr7EQ;}3Z~Tk@uZa@f8#R&s2))mE{JzM>)AgvsD#{(Z zL840M(a_O4fRllbw3zY~LcqPNhG!vZ?va;YFwHSLNgqdJO!h9Cz*6A3Z>M&GPCKeUU&XS0@3elCci zfV+ql2T56*H8IdWRO_*{rQBQndvJEmgEe|Alus?UDlygalImx)h~pQZf8Hv=@rqjf zwHnZ}6q+`7?HH098@3HPk1!pFmEK`=B+qSXZHD-I{o42g9Pah(BKM}3e9Cb0M=0O1 z!j4$kg6(J&9~@pp?(&OCr{3I*hQmxHMAD^W!`sj+eSz|r^?82k5l>=`%<*A6diRyg8y zkD}Xc+#5^cnAsz=tow8uEUvDF-7~ppZ2NktPq!qq{1Y0fvT=mZAIK9g`dEPE_A&QaywqPTuqWaIr-fR*-?}aF$;OWiO{@EN~^qQ!ENv zUQkm1M8T7&u$psbOhfxB+V+C}Hp}MTnt%_OO|TtncjvC1@+LO}{tv54={G2X)e*RjxM)Y%6cJGBsmEfRL ztf?ErVMVzjDYOLJ{uR?BQ_gRMvDeR+j|pzZZmXD|4C#B?3JjmNgwd9ClsWeCIkM`| zWcy>#F3Z27{-SVrnd6V*Y-|Uq+6*8^p zIH>Mo^MdZ_j@GG+c}ap>g1i70dj+hNC#Y$+4mOVNt}urwUtYps&gEgIDRcX@iDy{8 zytmTYGz=V)$t(i_X#NT}*u{PQhG?=a5({(@oWO zr4Tj1(BjJ10C{dt+`TF+e1HGf;PRhBMGyV zq7K>b;aS>~Ph&Rq?243;uLu4ma9Xnh3e^5E#v14-iaeupnSO+cE6wVEehXi_!n*MB z-0v5IYyYHT5vEUJMix_@-b)^U@VcW?I*MuuNL z)h;)mI<@Wl(h?vcHWdIlvaj0tiyi*99ZACSf}?P3`=HKtfud^pl6x6Ku<{wnMDs;3Tcej6i(o={XXi&W;6Y zEek+oO9V^XrDz9%^xb4IDy--i2yRYd#ikswF)y6C$$o5~>S@SYu1Lrpt>J_>cr#X> z)Z>eb8t-S*kRDCne+|Wn$Ip#$9~n-~|Iv|_ToSEY?E@NB*lv#LOHgXdG_iI&|EYIv z$5_wPPZwDFH`>Jk00!?)YI&S3`=}QDlycJPprA}=diCn^E$nvyw4GSm%pkWa<&zFp zZzKJ|INjSH>Wy9l!8|m)88t#+qtm1oamv>9LxBx1il~0r%_s=`mk!fS~7dI1Umf{n^kvs0^exWGftZ|mgWo4@Q&*^bc@8A zy1Ff&7EyI|xl#|c%kCfyb2)l5w>ot1O%jGRRm@T>i6nuOJ;y5L%EGJLYOQ{EHPExJ z(DdGREi7_K++w+DLAg{Rhuw$ReNE_^Lu7QTQR?r&rHNC;h^N(XjX8M+2kLGHh4PoPWWK*c8BR9YUhu#^ALPkauYrV8M z>QK?{ydxG0S0;6etlnv648fix9sH@g#FF!!+oMPt2eM;`0+=wzWq@SvH;wmIDyN*g z&&@MbJ*$m=w|ucq)3U|Ur!sA)3?`eE;O0ftS-qUvS_bH5p8Rx#W;pThzj~I(;ce^l zpqu4o*9x>AsLF7tV$NeY#cEg~;}GQ5m6)nPUU9e8H^K-UIuNd&54W8L*0rGb5}CTO zHR4}IJ2~Afkx{7JWR15JJD6SyR9HvZIelb8s^p{b`E$fS`(3gIWpUC~Q$MqQ&j`nr_T4JgXxRRXZlXqgdquJs5&9kZB zkV3Tuw-Yncsozr*SUf|j2dP7KGBcxOW?;RYA@Z3uN9+C}vXDm`M7A*kmliY{b1;Fw zp__53$2RCWztjl}E9bf2dRtX>>%wPKGdybEI4bv>+p@9pq>}f&hr#|&@6-NQC_O@T z6RpkV8Q1;TwM#_NdNGz|B-5=sQJaZO#VR>YFBP8mS3Q@}$p2JT={ayeM@la7IX+V9 zNt>CzMAN4@pVY|9TX&yH17r96cN#xh_l~Cfbl5reSJPrPZ8t$%dy}QRyFp--xO8e6 zNaT^IUz{N7{TS_s90GZwgjjr5j?C2^g00O}<}~JE9#;cjX~9S@OC`h5sIo||CBRH~ z__64vKX2W;0L4}I*Tq2vU!IV-m^6=7yknbbr$g`|FBnMeHSki4VCosM>H0Ed<@-_8 z#CAkh73Dq4y8Sf2g1^f2IE}IRk;C)yw%?yYz=arNZ z1fDS?$thmm!QO*M+9AUC>uyanO9Ujm|I)A2 zEEfZ(j*h;8?w4D5hHmTROW$b)lYW?Fru2LF+ip5ZhD35w&{F0o7vOA~ReAAk%#Fg? zRHoO(C#%8O&DN)LRIw>Hcg#>JHsy1PT8y#za^E-)CzB+b8a5)J7Q!MV+i~L zh0rWwT`E;dl#wrlXt2F)F6=92FO6I>u3(mt&*q7rP%W#98KN^`ZmyKfVAsj&*JrWd z%$(Mg#+_mwC|mg126$Hv_ zvzyaw^)mrBD=k|a4Io{pwH_NthUHIC*YseT>V)jWL+$9nBF3-$L827DkG~S+G2KvA zL7(cUIz7_EeOumm;aD^eN0&9#tcjhj7 z+%nX>;Nfs&apCC@?IC}K-dAw1KOOGZ!?>rPa-W8vI{u|d`=IDS2QjZkmYUtc zP%#4B^oKA#vJWn71@y}|$=dd?+JDK{zWurqFMZ>oy){3(SdrkKF$h9GfPT}wCcm$z zZ&c-KRv$0cGWg?I9}j!A4MsTyZ@_1Is500!aC2c+%}}|hB8fg)`KXf2zHu%p`O6CiQ(&`Y7w zM25LyL@WM8@!ZALIJcfVEhK6!ERz(xRFvL*y5WKSSiANn z|3R(v4Z>ag#*RXbj=TCcH7m;X_s>pzU4+*IE2tS(q%^o! z;15F7{Q-f0X!66?(#K+GE(9U6WOYalejF6VA9{{|YVrn%uT0ZHL&U%a61O-xAGG9u z#v+DDZ3 z$iny7;~sn39~qsC-Ui@?6-1KX9Y;L97WnU!@$DCt`9@8;d6;@Xe)Ie(&UzeBkF$-d z%fG)rp8n6DVEfxIf9)qv{_I4)$)|;U`0!^wdT!Z6jIa2gDTX#*g!HbzBTofaWN_7o z*~Y60a~mpIg-MpliHc@I-@c~ekDe*B_a|FKNz5KngRlC`WM#Re!I8RCu3Yp_h;iUh-J3O1j2ro0sQ3y&yhnuuBqKCGmlAd<$LjwE0A#Nl5YiKj^5%Cc1#u z7Enwba}iz6=wS@|*bF5W7Ooq2XJ!8>#8TO65lb4qsh(Oq_PT$){}k!xm%cLDA8Z(l zWn0psCmymf-asM(dJm?*^Q)s%t!*Pu(tn9Pd0*fo*Ce6Y{H6AXPWTuMYj4@P{2_ws z;0#*+U2Q}8?oJ~4=gMGVU~Ft{%Z|R8x|n+CjMf$(Z5N39!lwP*_vSQUTwt^{3 zsp+-XBzydIm-7HU<`muLvs;e1latKJ(GA_BP#=ddg3XN`kpL@}PW?R#p+RViT;NPu zdcECnM%bz0OI~qpk!mwNqxVfYwWl|dF0Y7Z+byY<2t_nlu7J3v8;EJi@R1=fy%$D* zkOYK%Px#^ZZio+`c-Ogx`$`-F4I&gvy7rW^3RyoqJ@mL1jV4YM3y^O_TZa5InmO|7 z!4`*2R14uSfstOOO~AD>M@Q`m*3WLD3@erMy9-G!>;y3vN#3zmj|2rzD9Ly}VnH1M z^Q>HA*UJ=nRZC9$$!#rVxe1HK`OF`jdNGg#s8{2)x8GA~rO2cC?+DMs>Pnv?66DQX z4=#TPF^#L0DS?ls(Q#ryU>u z_h9AK_6y2Abq4+-AM69GyLz8~msgznklJ3zn})=jbObSq@L#uL-*%Ajgr8aj1$l8w zbVRYZtpCy$z)qc8{*Gg`lu;!4meISag@vL)wuUnTkvem(j!jR&2&I{8!@Vu7pB{>8 zZO$ydSCcdWkA{YI<1#Q_vHY}s8;w}lkF{NT*xJuH*ccIlxGXknScrKP1x?r^?-&`W zcb0yHIbYQVw6HqyKt{Rb2{mfgy-ha_$*N}GQ6ud8zjw@MAythqN;3u{b%KKWpM^a) zVVYQ44xqYN>ry9lV}(9E(*D}08BEb7sjr4S2@(jta@6YC=t16m@1W3fAT`J;E<(-j zL+y}ku0N@=Y@lH}Lp$&7xekmx2Mro+7vzCD(Ow;OISM zagFz@3n2%-5>lGv>N!$+39!4aoxg=;&5Lx6*va|K#9z*viyrk;1Yzi!Z|GaRF&v(~ z2@)t>aGdX*SUG8*55nNT*ro++v`&j?VCvyQqB}K@eA4QU!_yRFHyO;%)k16;sF~H` zP5zQg9gryYX`D7l{4!p@|7@y&)SZI2*oVgz(=O1qmvwBCd63op*p<(va<>Mn-*)*S z*NJB;c*L%Zt<)W|Yfq47e?>Zn;_RK$+DY+~I>(0;gQ4%2`v3V;_~wiK)}z#$ zLRtaSq%VsrbAaN6`?J^lJ+;jXA;-(N(eg&Y&7R5ekbA+z%`i#7YcYCgc#T7p!5JF@ zrlSeNnAM|bjIj$vkV{)G_BJ)8I0?q)f3&S&`_fBq%4dWu1Vy_u?)gupJy9*+6YUJb8^?1`*fltRSqR8e-IJ*V#D2k%Jk8o0RN^a}>9Ch zs9+E3Tg%VVl@1%?6nK$MNVP`?(OopDc4rJx&v=c1izwZUpCur0QYT#d-QlD2SzO+4 zLj11OSv-67l}dZ0b~Lp%K-S@k-TWF%!Sggz^p_h)s0W-9-qQ}P%mu5UNb?+Fjnh~A zCn&nNEKBYp!G_xY_Duk9&7L!g^!mrs$iAl=SXLalQD(8ls;7v-OdYn6 zw+ciVBe`B1k_B7hCLBG%F1(JN{P-3hDozZnrdp#nxG?(Qy;ST#WqVyIUPTgOEu^xn z_S+j$GQH@XnzBulP9sh=bcza2AV-7%lN=u@iQXY`{(TzvS7@&u(PE|-&`>iVd112k z;ACd^ntH2WJ7WVDGwcD)+3=@9g{eQgos@E-8-LrN1!sc?5-Vp8f9p!;uP*B~U@3)F zpjJ!Dlj&?3`@?=(NqF4;*anQu@DcUW|tQUsBGPh9o@AguB zlDve}Y(ufC0$xUS=5$(DBiH&fg^|x2ec$T!!t~){^Lk(*I~A`=J`n&i0+FA)m)`TO z(tSWT^ORfqfeZ55J-hc|Sgl4GoeJ0h@CGT7zNbf`xgfq*mvu)0t}0^wY%UygaoS~J zSIFsTc-uJG#dD02CPd+GlWSON_|1A+vIBjiwtdc1B^~r8rFm}7v*T;vA9~}zP?C?X zK0PpG$S@}=6ihk4;f2-dD{QTZVCm{|7Rh?Kcaph=>#5w^E{@}gGT_vE{{E_WRn9(} z8;)!93d44La5YI_`V0P^%^yYlpQM>i;up zcIMqV#B*w?U}N8?$F+gY?+u3OP@n4>kUHmgv>YiiK$l1gvF-}u1y?txC$k$HBq>#t z_#*RbU^AfHDt~^mrWDe6>g%|RNl^Tqfc1pRd}(^HL)p@)czRDGOX~K?vT2XWCP;WR z&U*X4jttCKs}fQ|m*KQOHj#@r+(0`P$Sm?H?PQ;y$_*SO9qsNsnbd@$5OeE3W;9Dg zIQT5%3%T0lgj|?r5H~sJAc}WkO)(7V$&NnL z0cN_8-c!x?eIZy51P^#*350~Af^jcK{?CYmFGF~}dRkC=-uf>oaDh(S?elFw;#Yy0 z4T$#|b;i0Jk*UY?)Gm3YtUv<8F` z^XQL{`(Wm%Ttu`5liaPj(rCcbA_56*M7x5zh-gIE6|U??tSvf|RpY`0uUtI3`pEh{ z&~0CBja?IU6ZE!L#@N5CH+8i*t8*bQ zeZ;p=Z*LaES1n8^v(s)mCm1?|Q?pDfaXFCBVBa@I`ny!D=i^z=`V&P}y1IoTDoOY*8r9^aTf%s&tY^4dSWVgJ5Q+~mUT<`HVRd5P#^h856gnIRqIM6&w|)f2&Htv1-J1lj(ip_)5-;& z<8zhvvBJA0AeDkEK7kV~O1k}9jsota294Q`(&&ta4Xao3o~C(QcdCW$mnt_+*h_n4 z+iHcH>^ImmOdLuD9Pu^-AdMpWvL)r4E57ZL5nsO9EalB2dVfi&fSvX2F9sO$)@&sW|&s*fN3nNfQGrj8Zq6K84vK;c-VDphp8o6AeU=UI4OCwX#A zcrZ78RG>P(C+I4%)Qd4(gj+LyDih8=dUy7AS(4hEtzt7o54@;3_N}gsWGuq@>+znU zf9S~-l9Q^1iIp2(C~riy@NS?3cs(x?uS%@haW0)YnM>8OQB;#$Ctu}3zrRws+eByO z`S7Ap=FT#NtZPPZqlvYF1vDF@xK+OA`8~;Wv^Bc_(RWjRGgX~bQ?8#wPk8}#gei4KOH0hF6y@5{oD;k9iETOtqblWo?E59v!Oo~cY zOJ>uU z73$uQAzL&d`lrtOWWqEJi3=J?5+T#Z^`>w;F6yC+=RcIeffwStx1d2|c_?4ip2SDg z`MP~lqkCpOY^a#~?)OpOZTYZhx!I!kN_xv8N@4H-!=s6NpGSzSZLR8v@#oUR&K1Vp z{lkv!-~YWw_Fub(e|E0t+Q0JY+U5b6A$Bw{{)r~$Zw zrO;y;DRDT|ko;Ts0|hEyIb=HJ#GHX&So9;IkrSvv_LJCkmP{2xLbJx*;m}tbaKJ3p zsRooVZAU+PZs*E4Ro~IK+qk*%G6**uw$M6@k{D;IUYTO{1H#%W3#WC1JJ8*Z8xjYq zpJay-W$YtdsW5;cUv1G>L*?#QhQCz7RPPJ)X9c-VfLUF#NvdFuWdqJp*jUhu!;NWl z6_BJycuAKdg+TfGJ_v#xk}i|5zy~lcEDcVVQGYp@S2BFDIq9nf3?yJc!zlTq~pA@WHV|Vz-hzQrj?zzxN|nA#cq0n{|nEmN9fuzWk=sA zbsI^Roj zD`-h+jWg5Q+g`bqi>mtmAG>qU;;PPLCE2tt2ve#)jK%vsR}}@0Fjs++4`o6Z#h<{V zep9>jtJB)BKsFWkey=fLz|7_Pox1=ifWme`e?Sf(zcl z*s>~q>Ocj?iZbRnyzAocemHBB zOH?V@Gxo-r0i4X00U*GwGj?*+gdD^Uw>(g5s9qWi^_a%ThSEr8v+-1d|Qn5*dY z97dhJ#R(B#I|E4f(}bn4(LZDRcmLwM!7TbDSR4jl2{aIm^Duoa%{Kk zTu{0TK1pXIdgPH1v)76(WRy9uT8{^?l8_5V)(n;3^?>~h`y$VZCE_fRb+}5_tcyQ4 z|B>r45G9+*4ACXj2vw8hggBuxodtni*P2RGtgvlhIkczhP&yC;&BH! zpCmzn<=`2il%am1>qVh^x1Wn}jTTQ=lPCSManI=8Fp&j6wjVjJSMVG-ATLEa^CEZn zEprpYoYK!{=}6?u^cgIH;RRq+_Qras0SO3)@zI>VU^xwtSX-Gsr|Y%5aHMluBZzbJr6U zyed%?pe&ncc;EoOn5&7rl$jjgcMCy~t9AJ69rz#TmZF1>pYg+v`{E+Q%4`H9{nXgu|?E)Q}Y$A&O7n^!fcLD+x%G8s| znF~c($vaFH*$Hby1eqiJEkRg9%YwQ;tRV`$grc?u8H~drv653>s*{BR5%G>ty8?-7 zsH}w2(OA!CF4F5zs^Az12T*Qqt=kr-C}kc1BMb|Eb}b zolGDlhDQ*YVj1KVb%$()z4#AtxxRgqyaZ4<@Io6IB42R#JkHYV8J|JFI_$| z?`vTmc{Pdl>{=RMl;LsLC^C@k-^JIg^h1b58T;WR%q(lAu+`9Y+`W?uwk4|9k~rL( zLT`cxg2b6N8pwi`X!-5P;IN*|+k^84&@a!bQJGYs;b@ARg{z;WCa0U@mceXy{>;OR z!=g9HtM2cE1eV?WaM7MNco=mV-q`l{BuQ<;emE=m7@M|%4vDt#`ssxS4S&T_QKRg6P|O z5AHtO8rO;T%#w?T-B$%6t&cJnt?n@&3BVrJizwdLrR{!(H_d1n%|}(%Qq-*%Z_PPu8t1ca8#@ZK1?wF%TT@vgaZ zYK)4Obg4@prAHOy^?0G2yTM?lB$i`b2I3IKM)Jd$knjcd4|`iVn^+WndH zHU|j{H>@wq6}ZRD@prEKPDGv5vHgB;PcJ{lW%o>7T9R6Y2+{*?_bB^`F&^NVuB>j) zdXwLLkxF4aH*gzBq9OlTw`vY=C3Pv{(cK8nhD|<#Q27j3WuN!uh%Tdrw~k)%Bpx%} z-uZ0?YoVq#x8a$2g3Kn?23PTOY(UqE4#l~LBH{O&)cwSXm7ZCDJ>pM)3A1cZVHS)- zLPvr^oQ=)ZVo38!;bx{Z$qIpX5p8R6xrj%SOfj~a_vOTYj*N*@aw>) zu~pGkE;RPvz5{W|rOL?DSBOu79Dr@zMV9AdJn$u1Cz|dFxiVxRIh56~FDJ9Lt=6oTnQ- zBwx)=5TYY@ZuAJRvHh;zED`BC`*ErqPuyEmsr)o6b{`l4(d=S~WCJLQNcTMh{rcL{l5QCr-O8xp!%_dqB?P zVZ+It_->@aQ0VyCd=a&}T(+(D$%pMBw2))uCeGkSdYm(L$kzV0> z`G5DyHh45@=!97`tuc~bUEYLB&5i+NoU?UEHH#Ny0H(UXLL6{LI{fRs(c44uF{=;0)!~I)%(`8Y2E~w(3Gn4-2T%@DYyB3dih`>$1Xz9 zMb`3k&@B&5)b6H8X_j&SHbZT+qaA4r{FLtJcSm+&9*v zbYwQ9vD4cobwI4@8JL77#)$QpMF<2{gR3JuJk5p?dg+`(V*ay6{1Dd3F1D)xr+d^D z6mP(AiV3=CVAi6wkf5+*tTe6F=zQ?oG&6H%Hl3KtIrC8#U~ZL%M#qZN)TdG^?!j#| zaw>AoAtp>-o($$xn-I{a?r4)fIy!mdsluo=j&%d$cqb<8Kt}Go+pmJiaF7h^GfD49 z8-3!+^5

  • #gD>NgwPdH%m4chNUTKh4!A7J=7lzboLnv;dGv3!69|j@a4ZnjJ$zi0{RaWfR3LB@;|2G1`KTt zA28%@jYQOYt`BTJ&5W>K4H?E2RQ}}h^>z{uR~TCQxwyW1T0TXjww^rwNBf$fD`-0L z?vsQ>DHtiB%KAoVCNq4`{^~%%Ikt&-j|{K$qG?KKjb({y_P=fT_kzp0y+#XGF1;3% zhtx)v^oPLds4!6vk;n_ znEcbs($@f8S!ateV4Dh6g~O|-h=l;4q!GbgbAxJ@6xbM#@0btxxR!T-x*BpnA^*{NVMT3z^3K<7&MOK49& zS3xX~DYHokn>)nQ_=i+=k0B1(qIQ5+HNBBTb%+$9R zQLdi4_1P%@Kp3$gC6?brsSyhvuIZ(0{POPr#dB zf2h^|c@&U(&ipUvfCK;g??2>89~3{8e*5-Eb^w3k|9{y33kHdX8-j&Ce8`{vH&Y|9 zSG%H`;ll@Yo<9OeZvum~N4M0zeHi_Bap@YQ{p5eLH;5mTJgEP`nfiX(PrhURIad8K z$z$c;BoFXol85WRyRrZ0u$%$s6{&B(&j5e^!cPap66u=})oV2B_|HyGye#>*4@S3W z)bQiC|5sis1^0N)y;i0#Ol*z+N51s&(;xZNe+5?mzw4;J`=i+Ug7g2Qjw<*6&pN7H zk5bJ4Hyzc(MNC)1r|rK_sku#p2);IICm@7 zSiVKoJ3sFR)+V=*IK0|Ie1jC8%F9nSte}M^o5p}S=*bR8J`n85us157+NkbTZ51Td zXn_tpTFS~(DJnTrFS|##Y@c@79{)za^Ho=a9pnBr{0s2nF;nBLV96`(Xr8mw z1#R~1Wi^l4#xGz$9yPa~P&+}o^XN>^yqr0ZGpkde1EG1Tb)T0F?fkS|X7_Z)T4Oux{7FiHArwON&rC`pLx z@5;CR5U2cEyQD!QrZpgYAM~vv4`8qR?fdO0FupPQ^`em{rQ5{=0g`kRyOFjbWm%@MKgxg{adKB z;iS{kzsfW@-Lao1m8Mp%s*T2k{V4y!D+EDm*-m^~%hBCGYcM|u^TQE0;+zMr;B=~T z9lnsr-KifKv~%GN%b)Z!n_@mgZ=dCg)eRKWa9i%zGK9rqwsTiiMXytoB{l2cU0Fn1 zg{IzY+_wSs33Z;hy$Io85Vvv8{DoV=O(6OS@_XH-$>hu><(k0F#g8sy#S>dCR(!my z;4-&VktsWmSeA$Hn;0?GqZUvyS+0vsNZu)NU0v+6i|4un zmF2N!xhXP@3OKibhIt?nJd;5@N;@xl# zlqZ&B4{2aR5|5$6l#eIv`eGflP48HoOXS|o6+kSM+kaz;E4AGlf1BD}Rc;rFzMgn_Pw+dN9Q)NFJnyAS_q)CtT+hhEwp!v-CI$8o zn&te-O5cfV;;;w-i$$x8E*0Rd=gnr259YGXOx-cW<4V@;;bIpPVmCjPzxA7ET#Mad z4b-*sVs@lsaFjf0w&#GoQpHK&aO2zEqBNxEna-1l{sg13nFG!XfP7QQv=nU$@5>eu zC45nym&>V>1A<=JKe;;Ic?!wCHuKbPep5V!XL~U;J>oLEVc}n9P-#oQx8PzGM}bTF z{@nZhgQD?%K%pk3PNK#(stOQe(0i%iSu=7YXH|(gv@mLLmo2a-pfT$%6HzjM+XUVI zq>v7T9qUARom|T34Hc`~%N)*SH9W!Q=W<3r>xL*mdL(auI%?8T*6jqpUp8l= zIYl1bkn7M=I6W1%-FhEw*WMYCzvg%m^^|u0T}m{X=Cap+)m1IY-o5%)(7TsVrROYN zm~>BRRY9-UQ_C`D^OhGauE`O1x}=gG$Or0uf53#-e7gYkkWMXZaJZ8SEfoS8+~rpnrG zpJ8$Ck!tUG>EvgfEvj~+brG;iqQiB#`vZ30?17N{&)`*FqJf^p#jdyA?fuTRDC6S@ zI`}?^-Mp|mgtJ2yHwLR|R~l8^x~ z_9^|=O&n7Cb)njE)0r_Tw=>4`J{8a3xZ^T}rf^2ZN;XHuPG)eMnlRkA3s z$zh^Yo$aEg-F9`tdW&cY%)7{HTM<%{X!1~+2mXIt`KdZYoWI}~y-*w-oAYvY>^gm> z4X4`71>vSZ_husr(S>yOoS;U<+8F5rzxUNmYu?iJ1IFPp&l0nvXD36u8~0P4jMw#+ zmF>xyf13L4Uu!*y03aT_o?W)D)&Wa4!W^+(5;+HhK7DFKCLp?;P7F3}9<6`9k? zfFv)EhMR;s1c~F`&)!B!{_xL`!sc=ZEu9LN)5y13XwC6oM@?fe#G@wUa(f)fL<3#x zYr)_yk?{APCTtC2{5vmr{tQO(AR=vE^+e{RDD=LNDld5k$&0}#5ZClo!amw2eyr9; zwXzO1j=yBw2x!xLZOzSg{mb0ibaelaCF#*Q2iGd5mTol%3O*erLQ&15KA9=E-`YR& zH;6s|q97*|7Nn67LqJebF5}=(iVD`r+>xhx@SnYDx_UH02BrE}(Xrd-A*G+w@>7ME zmm9aO9$WMh1W-N^v$+d4?^FG_LrYFo0&EcR4EUeN94Y;DNVoR=anH}gqFO2;-p%iY z(a|DTIc*S1_^~>o&pslkOBCSV%qLNWd8o-j-MDtjDMnChr`Bp!zhyrTCwU}gq zae(T!#8%HSx(-UJ2{sduOk5_wSkfCT+ zXo9VlNlc2nQAQEWA9n!pA`;E(qjDWOJ08ZTOMo{!g_iy@CM=btT}6%&aXavd#5*6N zqZfXxZ-GD$14&6q`T0}LaVI<8o2271PM52!p>W;rA&=fGipljjIQz&yFT<<@5(FYth_=pTV!BVD|Ic%~$v>JPuCC6lq1>kB=&6 zJWpdY<$pL|Pcm&DXQg?`3T(0L;)ToJmT z4`Y~^<1?iFH^^^Ij1X@QvA;AO4!*S zjp2 z?`34h>`N<5_UvC6h~De<=;^$B_`SvCpl1+OS0)*`y=~{Vt}NXn03$QKojt5cYjA2Yl>dzR?y3H<|AUDTTAUis@XT`0=LMM}hzgpP zkC2(Ly8^u?_C*nzPCw(4JREj~yi>4jxsD{$WHg4G-NG?Qv{d`hyn*>`K~j2mPMwQE zE9qjYlw*OS#Kkjj6pwA5F71Itdu1Ua&6Upa)#8VMMp9 z&JF3+O}3@!ah(Ak8-sTlZ2JwSP!vu0`7x-#Fu&)^xZs=9P>E`fw|Qe-p=?j+p$~-+ zCs9jo)7qKc1ZRbWABs`_%Ihq-kz=bVueAkWso<-#mk<{BZJ+g;4F!eLkMF*Sdl6y{ z9MQpp)b%4+qfb&$xL8)gWaCT?D-!M#4Is?Jh0z5U%sDmT=+0bcq0iPpPvq4q*&bJj zef&n~U{kcQRxYT#Vos1N_Gmp(Y`u2<7lxvog`GQ9$1faOrqO&QZ?15P-6iV;pCH!I zPrg|5{&a0sxq%M7G-?7!t`e+HvkeS6S@H)Ct_TeblYpMUvz}@zw zxU$9wy{Bi7t0PxN=Fv(!qo~yAtw;Dp^purV$k9>VQ*!6#GV4WFpi4{_CUAtaz(&_v z8>gY8)2Ydg@;&_8@35yB9|+2+M8EkV@7~xiQM163jp)dU7Ts$ZE_+f(xTY*rLW928 zn*ymdn(|;YEmIBY2FvGgl4iY3Q{6w}#!1%>rcB~fi!W4%`nSHVPRe04*smtotkz5M zBL#W70%RF3(WQc{b)Ilk{$|{bWnYI@QaJl)(-@pYUq@*As~(YX!JB)n$)jIe@w!?z zNKRb2F3-Ky?Z=s0x^7j{e}jP;Wn+;bpx;yb(vRc4B9urF;Ik1)(Cv7Z=$p*I;jHsS zi|1}3a5z)kFT4s4X4d*#U7{LmF;ZWbe@c}gWXJPm-KFV>(RC8{qqdkhNxyX;Wr3hl zhCTxBU@U6iucArd8T=U65O)X7h}xh}T7+Bf*uotVQxSCm@XxbCf|!QsJ9! zOy-+1J+>g6+QdCaPu1?{)o$|q40}OZo7B01D}mW`g{UOL?^SzwQ7!AL46{R@Y4E)1 znV|Xa3#MD-2GiiiWBh>-r}cizd_%dm9uvl_TtG2_!aM2+YI!dgiQ>6Z;5;)P&qfLz zKjUr>2aM*28?ak;sg4eF*QrUo$oP7=@9OjM6{-jXY2n7e2``2CB%ci44DO!j)e`1& z&vnTU*o$*$S>nnH0mXVe*_x(-zJxApp(mFI4M$o+&zeWUkF#t$wfAPnP_oW7ec+ru zXRFO&gv91(cg-7iy?)E}U{|l+xmQE_Byc@KG;md@UjWxx;B+G8bQa!G9CC&TMG?WD zxs_AL(Aw0^>>zD ze(=f^p`o0r6k7^W@TWqmFbz@{qFQ)&M#cBmq0bv0o3iV85b!Uh+74(jyl4uyq&id^ zYWv5s+nU036|prYchmvg?jz1CqsqsK9G8cj1QlkxT7G2GNrOBf&#jn} z`=X{bWwmy^ck$NcL}rL5H5Cvy_k zO)2ED=F%2*mF{}&K38hupW8Tkp4Qczb|s3qjfN@eCKh|X^D$?xh`b9+Fj+Hq(`6g?@w1pUK$eCvy+V7@>=nVZi)jF zwzy~MuXFW|H0oDC&e>Eg9GjUUAF8aEc{Au^bIPcwt}2gt9b$`a(cB7;1ws*W^Kw_Y zXlTseAl%(6C|gON2zeY->m_;|j`?j>spEHBXA<0w2mEG6%Mibl&4WJ~f~MSFDw)Bh zGmR>y_IKf%Sx?sPR`v+)!v63;z&6NpT9Fktq5(u zoHpwl)nOttQG%Ku?h3U)I}>ZI#4ts2ePA2q#aCuQ8YuxQb{-p#^v?R&vm6g%?v-o5 zb=k%9nUc8U1_>PGHiDQ}1zd4A;tM>}mr-{+Sni7midxP#`fz1R+H$jAJIONo;RA^k z=6zRs39tqa$l=8Ip+?Yb)IODbZFS`7dX+b7+jlGB03`&!#g>&GO0F;%Xi=BByKj7n zu%^DWjHGkh*sGRLYFOVf)y>|wTpbXid%w_Z!fQEvzb4z)iQdBbju_k%hZMDaYbR9$ z1feXJzH0z+tV^&9t>7`Re!>=|{N#j5PLi``<_gHxtcZW6U;6Jp2q9s{Kv1 zF~+P@mQ7V-=@>+lw0WXdqhB{6NFJR#fdA1WRv)fo_fERySG0!Eb}<q#eR$B<}8POyFF4q z-d8I-Qpv6<=Ctll^+a2Z6ZUR8VHtVX{Uk&itti$1s>?b;bw4H(kiw6s5A%U*ft?k@?1c6`A_c z3yR(ET}=haqavbhwb!p@^Gh zX2X$Y;tHrx*=&v6rN>Soi>1UemSy)icdUsz_j+iSqR?(r`JfLFB1Ye#TKyCv7dVXK z@W7r>I2Gmqf7`t8h_->miqLPeV>ce7FYby%zuQY8d~!P~=WNF|`B_ffLKg#2+jr%) z8yHH_Kv$b9B1mTVLM^?RiyL}2lvrQ2;cVe>H0Lhhp+ujSFb>DB9Q)k9ylFsJd z%zzBAd44P0lCE)XxyPX%IRmDqZqRcwj!@L)nxbFm{YV(jQ?1xAzcah$t1>ZUkrhmV zSn6iFG_aYlTx>Rrg-Jr&>2@LX?e&KShrdzc$6 z3G#WxVu0 zH9AahDTAliYE^|b469wN687SDzlA;TYrU6JvmubpSk`pxw8yJ9*RljIDbTtF(^$BU_yKBr%YOV07H-IaNU zyWnZ zj6Haac&9N|t5&|g9$ddX7wJVm;US`sR6M|{)qzaPpZILiFN<_86G;OyMDLY+Fx&zB z_eyU@hq2Q+iY(~|v!fet#qNJ8J-xpr;%f1n-s_kMHfx{DA@JkJ7S2=E7Nef^`yi>P zWHtQV#GcP#74Op*{G(fS(^7Pca!_%)IV8SsA>1D=HqPU0Ceo*=7t*$uZ!iG%669tP zea^}w^qKsH`v=r^mDfZt`eaUir4}1_ctm4g5s+j{RW#q&21H$JepwYy@ z=>h9s4b){=SuD&=L^1!yn$hH~6vVVJ(L!coN? zv^e2{%q0G(eJnn2J(jl|A(`uNR8d^}Jb?K>UkUNgca;3;g1nis!+=Yd^?e*8bj@*0 zU}pT*)mckHW(z=aCY9bRM-@~%P;HFn-%~MCALps?NA!ckNYE0DM!jJDuDn3^+3lDX zyGRL2O7)C48m}@pb>8)X`I<32hms~kLyVz!dV2lW%3Kd1PmbI@90O)!)yDkj>M`&H zL67M>!aa2zR*%#M_-!5^D+i8?8RQn&zBBXbH@;q@Q#V}1IQ$ym#|kLGE(aoKiciEt zO<#2q;d(`AbqB~1s1(|`Jo-E?b+O+46>4nCk%Q^kM46ihZnQ9E2ODYf&GyMD@y_~e zfH0>RlTwCy01Vk9!pl#tr@u!x4)>9#o!MAzvkKfO&!Q7FdEW4(6gNfQV#$*eY;)fM z=Nc!d?kd{*!VP6^64?%pu=bWR8SzEzFDEW+<+~;Omn!|E^c;xh;nwS}KUdiY7gqcX zBue%r$HK>p?Qoh#6`l_u4_}FWP17>ttB`N#Z|JIg1wGbuuOVwd9__T!&zuZ=R<2k| zfmk^dK`tYfe6vhwP)`!;+`a=XMMyi`a78sqBy6%#^{KeDM8WjsFCQNdFDqwws(V$3%9;mLvz_ zO#PCagiohrV!e^NX8W6cT>jT~9-z*uJMu>*G~3eKOPnj1o2Zn@Ll1uprz zrRS$RRcCQ<%{uXA2-d+ddr0ec`Fb#)@UyxfQ;N3fE>M*YR+uRcy>aw^iqi zn{KC_J8`EGPlMta9Eo&F9~yI?1k<|Gz{wM>?{vSLe1Ff(|Y+*b3+4JK2eC6S%R^hMYaqARslMH^8*lpG>h@e@1s2E53jz~z3-bu!p= zh|FFA5h)}?-mzpYlgwqEE+rKdbT7@LG!5b#){-ZDfAn0|_p^63Wtgm*-)r&!5nFnq$%m}P=k~aSx=%Lf~8vYBJ5TfUR zYes`keJ)eD-S4n@iz@b8P+n7kXL5`HcBdSBiCw~NGO@04di)P8fa(fzaILKU^2jOB zTSe^sg8OjxffFm|_}`a=>iOZC2v6IY3BAb_ya^FAGRfVx=v3THDlT-({j^%ZurK3<%>g-8P3DGe9=+8w=OacpNE(3ikBFpCkKR7eogQ9(KvEcZ1_ZVu z9h&ubCh$53p9;EPL7y`o+2J94k7Nk_b@?LR&y4p-gbw^Zhgh975RcP8L$pQ7lhfl% z7D+HO&yOvdEJG%vvt(iiuZS&E-RI%T9bU4tbo?r;fe7)mb6-TuZWMe*5&gbQ?j%*& zFD6tF=~514N4QhUWvo!F4epvMrZWS*`;5mfZCBQ_?hSxLNGHMwfw&b%oNQ&qAqK3K zmJ~8HgUINp!r=Q^UIF#c{2G;KYe+>{oY+~Aq~v7%bY>Kzl|c}K*s@(~DAl)Ipam1( z_fqUmx%(E^KPZ2K70-4($dbQRIQ$Cg{Ur8@Ll-{d!Yqe;WuL8kAwgmCWF3Cbd1T)X zx)4y`M3(Uf` zyb2E0s=ni960wI|RU}n@M94?p%IbAwEJ-^BX$Y8UnMap@|f=NS% za<+lkq}=^w#HL3lRJ2BnK#;C|yUl?qM&0bMNlKtCkdK^HHw~pmUFqsQ;%r&<1GnC& zGn>C+to=tD&V7a1+({OpD^`L|>C^sv{GKqVoq0fHkB03O`d}RyKS(!uf(F2hJjGV9 z5odXSc2D<}Opi2r9hF$OtF=Qp z2SCHKzBP6~hgK$k2Yb*+&Uqh(i1AcXt{J?iRRM&9i0m49=9y95Kd(wS4~)iyRFL1S z^n|fj)_AQO{33zPerZvSx(YImwrS#QMkUPf!JPNsjH~p8PQ|cXmCA3@58Uo!MOzFo z8=d8^`Y84&&_er;lZa2pXVm)-FHpgWjr7kb$k9f|mx_H!SfxmB1zl$<_Imn8Op{UD z%_V6#wWHU09>u< z*|8U%aN}z|%}lT3zIVyaHN2b-oXVxgR$BM1A3iwWNJiX#C9nv*?q|Kue>nO?<8xTbW;L)Sr9GZhqAi~yKwap5Ngy* z>z{3+?{b^ZdDTUiGi3K==sj=*N@xdL*6>uItXbUqN}K>;Oj8>dRft28!r?wQV6zX< z7b%qPqZw@x13@Cv@q|O|Ova2!{(A-8^Xn)jlY^OC1r-M?=vR5q?rV|3Zmq0CX^_B!Y zQQyT&LNkT_E!AW13O>0cCeoqLWG)-eZe1x|wx&zs+B|B9@OZHl=kTB<5>8fPH~ElZ zBN}xpy%-OGj(uPt?EVSxh{MAAQf;Som~;YK?hE#mJ-6J^u2Lc0)VX;Ziv;Z;pbM3f zI8H0N2tCwD1cX+LSe(6(Tf#W$4vPyh~=MJB9c9UTI3U7++@R#4r_FWOQcR2V24 zom#wOB(k%Lv2m6n!M(}SvMEe53t-*toEaBBQWKZ0PTl9sT&_G*Jaz1(%Y?)`c?@OC zyogbAR6!NYv(~*y2?(|S1Kqno{96Lk`}QH;jnlquRoF;`kO>(8V}vMoAlSP(v$&^X zyk2k5oAa8mtJr`sHu6TvBpqy4h>N-57V^_{jK1d!3v}wEHSfvWeKRgRtF2g`*VK() zOv}t!N60r<0>9rbS(Q%cL!nYOU*PU~vM>HcfL9NBLjroNpwL79vd6Z!OH3zDL=HNd zp}|tQNmrw9_NrpmmXcvsl1)8`>h{{LNR89O-!45Vkc$zF7D)=!(2-=TJiVs+_*7FT zdKiNxTxv%OTE&zxUi2P$wts9%edVqwgPO^iYZ2me@@rczBVRei8Q^7gh@f87R_ho7aT#&S%T%y4z4t<_2nXeo*rn%uham1evc_@C1>Pt+{ z;jsmG%M>gAipR|FFJnzQFU4FU+aqrREdUJjnlm87IHAS9=kmM#G@-n2p2jENo5153 zGA!%1_48aZnkQ!q%Q*E#r4mLBTklVh$emt=-TG!U0tN+>^ zWBA2tyy+XLW=h~yoBPyrJ3}mbuCLFoyP6(cDfR2}bO0|I+E|rW!JDHTjs2Fy$Zu_m zW=CWXE2=JZ&s5J>jxBhQ*a7kI}k$3F7C~ZwX~Xoq|lni zb+qD8kUUt$@H*2JaY~-2U5QHDQ@KQR&rHp_SV@&9F--qOLVwC~4*IC!^rVNou+aZ- zC0DX>!oP6r^rr#q^r9E}?K6dw{0?gD3Z4W_$`>3*21(bbnuLimSc3 z01{u3U$4oF#Dw(pf}ed=GM>yyB2=kw@0~7p8dum5ep4XYf8NVnKAIPyO=zRS!7kV9 z?!1M539@+-_Ms|_g_CM3Q3u)0oh80Oae}M zANbeMwV#+9z+PWGGia#d(jc8?{n9-+Ow_7CmHVTfG~0fCtpPV=F3kRf+~jj-g|KVo zI2nPGhJw?x(-UfSoAbCKB5~4qRmsTtmsU6>J*A1@_(KolA!oAsh5D`gV{3~4v01@& zR%Xl!N9>vtG=8uU{&Dee$(Fa8Ra&^>Cu_7$c+>&{fqd#l(PO=~)fc)D`FbR}^SD55 z@fBGnxc(yC5A!q5^Vh~nbdB7Pi(OFe9M?W*K=-UklDr_5hZ-n827 zT+OOsTt?vT)~_uza5g2afUeT(UAQ$Af92DY#Abe0S1c_===gYB;MQ{SJ4sX24pUD3&vzq_L)=*BtTH|}bk;rHxCCxBp7 z#}avP`)4_BxuNt;GZ(Z(wwb7u>#s3R(d~(5EK|e~_I1v@^N^nKqrtPN{r*3;I;7C< z9*kiY_06fv$o`dc-)Oo-s|%Hzv|pX^3Hvl2UeUv6TL^g;Qa*S$yrw#%TS2|h3kk7Q zoaESoaj6rej^6+N>6fPyCmN%@*P>X8HP_1zePt%iJx^6>YlnUj7gg#sh|#vo2`TcA zNWj~uS{!U_Qd}qdE1+qRhm+uVa>f#U#7Se%yq@SpZ5^tpu*%uzqaXuDb7r6z%LQDF z&P+-qyk2+SRPGL};6;kny6&{qO6MU@<#8?Jp$Udprg0db_ADgc#&ovHi1{OmR1WSl zu>u0fGko|yYkN-yD&x=SW)_<1TSMhjCbHkRKR;D=*OHTqN(9%cP4>*8wA7J1Q^V6L z>+r^)qi%hlm6SnuOjd`?{!6ug0bK#T*A)2F0F{C5CZ7tf7S7XB6|d%Srpie-ac&@_ zadx=h`yEK*MMBN)n6=|BA?6S+_G^mfCBXSV&|EBs@O5*y+j=+18SXia)_>S@otK+) zGpv~c8y`Su^{YkD66uz860O$L*s|yzK1Jy5C+LYZd1<3HpolvD;5dx#lf`n!09_}I z@`3t%!Xyx>W_i==Bt^byESn`#r|IAtOp4IW2{o`=7gBTj-S1Qj3w%maA%5Q7W#n z)>%6C11fdvR=(l!V`ns^k>bFT^l(K!dXY&_*uePV?x*$ zgZpaPb(J(p08dogKAytYR#~4L){$xZQ^QAf zZb@y4q^;3b4|TUim$yvE2dXB$SoH2)ktUUZ%Hk!D$t`_Y1D8S)WLH+Z)3 zQMEz;YVlQPsgaK?s*+tTUqp`7+Je}GL?1sEWzpW8-|Pp6kaeu$4lC&sh4^2Z4v1oG z`yl{qKn_0`6=nw&7hD0cdgmh-8}r4s*3wi(I!NO7ul%=0KmV=^d?n`hQqXRvXlg!X zW_wB6hPvtf4QL&Sa4%uzOg+~pKC~C=!=(*`)W~U4-`y`p@f3V{@7girvQQEus9EEn zfWn!)bnL&|+SNdwZJ95X<;)F@Zv+|}%h8!b=+^0%tFtbK6perp_?T922jcZFf;%K*y-7q&}@opgNc*W zO%`NR-@H*_|2;ZUhA{Z(D5e^XHrS*4^eb^Pni(6(R|=#}{+;wVf6i9WHwnCqF&%&1 z;5)Om(@yuM9&%B=YvwbGtkGd$)V7Plio*zZ463w;vD37TvA71G4YzH0W**iUl)C(w ziLXg|44eIP_^Pmf?teY>M_1KeV|ia9fTa@Y*qx>z6CVnD!9^{e(5g?UZB_s?=C4@o ztW;000oX0iO3&+hmHX{!WtQp9**Rh75de z9;E6Ul|C38Chu0b6>|5;G;HK3%OwT!PTrxbM0;JHFqQmR`DunhQJiHa#l%~ss3V+3SkG5+b^NtD_20sb5836Nn#fC#d;~|G38&M zbtuX$3}~@~Zj8H<$omcRYX~(`2(Mne1eZ$IF>!BxLQ9C#-%fwH4}6~F$-0BlO9 zTu1T6fOa@e$y<=0jqAtr%;IV=`rzSArpOWc)`TIlbZs|KhXhXkQ1;@VQ zTWaE@B9-~WdHRB6!z#@_Q145@_z<6N&>5~M*b7y7#bl=j& zG8B3khI$0#_mivA0ArXk59u$|v+vFL>P7s#{vs+F@?kCnV4e@U(Hu_v_677i$rbr$ z<^@mb9pCN9rV@{vcebeM&KwzhIOlMn*78pjkN^R4aP-*_tbId@D8pU1{=>0fEOUh1 z6XEnIvyCaULW~=iIN;r^I!oCJ6!V}{zX1glm*75neSb_NO#dV55sO7xzd3cCxY*#s zv}jMW6_?FXE8OUD3ihKejr_hO*|VOq+}P)D#VW*_XY{V62coti|1u+aG#PRhcy6G8 z^xh-B6;Q*jB49~O{ob2>vI{WTWK0l%bl01fJXqt$DQ&ppzNg$skmCNj^;BI9{;t9E zdQj}Kfgf;}EhH6E`}j$vKaKkoIQLtAWHF`l;aa()dhk_s3EC5?ro0MrDqQbZ8rv%2 z;qJTGk8#m#fhJYp8g;RKTb-n>%#j*{9rHTqI7qAT&MTIy5~jG{{xbSs^>YZ%k1tzPz#zaFS2hnTi3re+Ul2S z>SnfXmnet6NOXTFz517tk4t_!69HWFqU^hNunRlqQ?Uxg0FR@Rp(9K(>kviA_;2#(vzhj1Drn6K{Iwly7v#EyUnqS>XzGBQX+l zorn_QTDQDei%hy+er7ZVtTXAb{bb_w09RccLpLrO5AHAc^xD^+od+hS#8DDtc4a`5 z_lC*`;9KH@S_Y56zIVbAramr$ooZVin*GTS=g@KJNle_8y_B+fDU1PqF)Y`=LAT`S z5Z4hw$sQuO1I)4rEw0o7ASZD(J0&vmM}3HH{`%JPi=k+g1s@Bkq*U7CAb#2rNj8D+ zi*uT3D6}ble$dDdOUZve;t8#tY?quMc_0ZVuC-cqB*d_59ey&ia`J&n8J^e%sJ=`S z(`iUIB6^MD8f|B0{0UPYnnRC?u7VKbckV4`->F3lMc<3Rc%fqqWu~H??sarTUP2AQ zKdPT&-ib_FAEMIR$%$D!fSlDW`~lo6SwFnX8uWj1GGP-G_}d|L;c_vqMfOR8|A4 z6Cy;)NQjJpx*_21DvAvanHDsz_f|vCCCOIPjb9=v0WMi~tbm~4DKL_UTd$E77Oqv` z-vM1fVg&6bS#B16EtmZ!_sG=~eNiD50J?ZLPpTG)92#)HIumait9;bJc)0%Rm6zWk z(T9zPav;1V zjci=fIFe95j*JDStp*n1_BJph%N;{>;}?i_|AEeUIRWs9Sfsvj&ZeElgjg6N8x(}L zqZNso!LSRQ05LNx-i~k4vu{;#4$lef%0nMfq27;od-NZ9_Op$i^S|4vx!;%3w6*~m zR;SGAulr1AiT-x5yciy3wvqlonDK5w+Wt}EDQwH2#(C~IM}a?x*d3?>nd>JOlD4TA zd>1qR&oVx2D&B5Xsb(geG#Mw~TCC)5rb?y7;HT{gvMYkky3jLjwl0GU^a!7@=XI-& z6^^C`A%1-1;vw;yfwO)uU%4U)AHHWO#JDs%Y1F!NsT&u6C`2>nuQMHujTg}OXv{uT zeGq2vPW%97y*_i`eNc(PAO*`)dN=CVKn!~;nL_zn!$SliAwTk>4s&rF4IaZ_ zubbQ@u1%&-j@AY~ZTjiZ?Ok~A9Z*y6$Blx+Ldq*letFl0U~g2Z6DRy&3=fUmm9faA zTEp?2-JWUQOcRCgNwn843j-Qk5pORhwpV<-ytPYj%RYuGJ2d~qStFt32cL2`D>AS2 ztSzdQOphgh@j+Y~O4Oej(ye9c^syspU9n?GGhrwT#z5K^KwGIMtdZH}N6)7@m1D7| zJR5F7Zhhy2`(sO6u2s$bGdS^Y!@#0rY3h4XMV7tFXTGVx`>G#LIpUu{hdA++HP@)fUDS-z_RYZCo_{69=@mWA2tk%>o9yS<2+v1KEsKkj%@$5#-5f>jgV` zuit&BQ6XE(2OgWOJxP?2sm1OW^pYVDZYk?Um&boiP_|w?gB6~h`jOa)tA-+)y*V$? z-03yJMna&6&RcZ!28S0jArHV*u#^Dv_)3}6+yXJ9&kaa-B9gMuqmiB)zsbo$lVZ^C zT1YTAEEB*5%HJ{vz+oVu0F(8hZFa-y%Q$9e=5S)fQbWQ;B291_n}Wqx-k{@_y*B~tFhlwf=mi?_-ljg0Se|kMffo0pGFlFusCg` zmemjuiC>(fx}O~#oi`lX2kdAFTuI0qa<{kigA=xH5PfHeoirE1j>z=>GwK~|JA;w? ztfG%}BKp9S-7mPcQ7K0NG6}%aPExJROi|3{Z6Knst3DPkbuqMQWWE3$ed-}<0wN(W zy%kKt1)}E+4%Sn25Rfm>C;%8?jN{j)Si*P!1CkM3#m!z`z-gqCvL0BJA9eII2Uo{Q zM3nW=yV4>mTO?JxIuMZ-6_O;T@nhkbg_0dY; zybj9})=ip-h~ti4;Q+KA0?A8q+xOZ@+u7N#{4x1th9tL*35e!x=es-R)42pOBN zheNmOpAHPEpNohB!becBY%_aQT|G|&_H6adFAJE8+Vqq_TL7bAb^Aj1`ko$bsU=^} zI#oFBQoHuKz-0kCvogxJEb4I>?VjZBzC6k4%elYR{X&7Ff^*tqxfKg-b#54e&|v;~ zp%s8cz~V3kNq6HJdcOP(w?U0rZGBMKr;V)AHp*hDr&yzA$dB?RVjVHRr4|rqJ8NNpDwMlyDE2gb!6Wk^$I~q znF#3E-hc6;Fi|slEdu8A(&y_v!@Z%MnTVkHnyR#?s_DM5d}O|m?gcdR3Hb*h~jnKDS3Th1W6yYuFA3a(nP0(C!s zP#6SHaE`mplY-DSAnJn~Z-Xm6tuW0yzmg#F@BbkjLMnKAanq@J7V)coOh-m(^TJ`? z-XeGR+o;HM+>#-;V+us8t&bAsXY{q|$Mt07O>kL9;~t2~(>7674ZslqHtGeh9j`gf z@Oyc2G}NeUrr~@BjjloFhIS-pektUK{Ie3zlqX~^foUaV{p~%7Qdf@ZU>=iMG9~r% zb0c#fC|CGJ9q2mmVjznubh<-PI{T5a#y}lCp9d6ji4Wgj4XhOl+6e3dZntH}cCwW~ zT%Yf(CI7WgRjnUUnp;1wGW=t=j{cnDl<13F_Q?>ISAz9|=Zyb|Qlfpbn=s$d{kVQy zU#7FKUCODMk##Fl;T#JGTwpq_RIRN$GEpd$I6vD^s!mX}dZBaej}&wJp(+JR{hdST z*VT50@DdiOp|^L|j&nTt|0RM0@@Cimrytp zNI4CmwcbGuqc!E zW<-L|?Tq32k?Fko&4$75{NQtfW;4_v_u&1tE|GkN;BhWSJGwx!r<^7FAH;bp1hVJ}5_b zMzYPzVZ0@@OON(wD`a!-%=O&t09Hgv+G+D`Mc3OVlGV^?yrr_=+sI1io4d&h7*~_^ zON2WL*G}dSJcBZQ1nnERE}hFr0ICg%@!!&RQz4X+?3SO@Xf1D6-^Fa*UwBE|U(uMB z;5kq)ctZ`vpRN5_w(Iq|;0%F@zoyKdXn4C=M?WysuO5d=SFLA}o@;%#vyTL2W^-R` zQR60*_5{%*5NuWt?nC^~&cJ_1U;HEbKp{Q#pUCOC zllh-JNI^CKvE&5MH`I!Id!y3&-nsq&iO&{j(d~`nMu#;}b45?rrUKl3mX=D-RO$ME zPvMKK9Bkk5SsVm=J^T6At8vE|u%o1;z{_uR>J6{JfLFdb)pXK2>yx_=fwzFY9z5s; z+c@SyguMW0WG=&I`GET;!0B=MGP=l;C&FSvVZM zPA;={KQ8x05q0c5yneKI0(+M<&?lB_P5uhi2~fh*;BwP% zT3=Ke-5X6hBL5tA0m+cbr5)6$nP`T2^pz#b7EP4*|830rz#;#V1gOFW{2y^~U^$=; z10c>hhFt_0*A6ka^_OPy7Mum%%yeDo&o{F$P>T~ss9|JpmMfDuOBNhBQ;s~K{qr47 z2au(!0M%B|H#zx;8r?_9z=61)NKlRM4M&HTmWo1*5@^nZ;7 z0+rhL{vj8TwIT&1>EgxzJ6w8S3-Jx%F6Gg$Kk*Jp9J8GP;=||6-gAMH%HZ{vuX@BGtKL%h44HdsIf1ULu-Ym1c`>|v?sx#4uO0$Vkc--GeS_2} zZRRZ(j4igKtpMSnsL?69rS#Y5n5}?Wvh&)z>sua?*y|oCl9dM!8=Nl(n|<`J9yB1N zBEPUeDDRK|(fo5Q@mvpt;(O91F;>#&9G#F^EbdeQ#(wEs}1Q&{-Y@3Azx zO^`Y@op6q+U|eryT&mmTU(1L5=~95(iGzCOZfAlxLsJ0MEew~dX`;=Ze%?_WziDt z!0(6JUa$(_bP_E9ReH~)YZ&WCNG(IgwGL?d+{QQJKw_&t11oSk5w`-vwLs?a8L;&OPPorkz#I_0Pl2TW@kQEz zT0P@S_q6(cFy&QDfq%*7TkUIqmafnSqUC=8B~_}ZX>9llAfx!7H#w6b7jFYJ-@b_c zKY5^5(;(-R2^MJpGLZk%FJk3R#I%vgtL)<+@eN({1)Et3w^JZDAK~d8#4Kt5#}<_W zK<~-_%MO*#fl2?5HK^p}72yBR29+BL&f=7>@3l}~DE@d|N|~d~`<)~ysiwH{V~xu% zi&7swdf@-=#}`HA@2JrtMj7SXLV?6?0%WF`M{8pp3B+W9KrgCa-47XRUh~EMjGLi< zGx{3vdAO-`eE-df+LOQVvR z^s*N`|G1~pr##>A(J;_aF^=kqPPt7}TDi53kQ-G$73ojgORb$hy89}w6HfSSmW?o< z6KyZg9sL;lk>Npt-zhkxRt1C?U6Z2sxET!qn^=nj~{FlkCgxz2IgRyqv4?IcY{owZn%+#`r za5wIay6k@ov&->Q$%ZgmF(Q0so-w-e-@mu`N@a}nvIMM%rteAX_0W&>wm98+x0l6( z)^{&{RHAaSn)F6pnq3w$n@j(-qpNZwQw~~0qlyjL2SVlji}15CZF*Dt0_*{$y% zC)F?PiC9>)(%{!Bu74DNfn0m8o)($7;)*rSydilDPBI3)kX6hJ> z)Z58$%GKuE*AazOw|-$&#DuT-gRhdd_>0cw=td9yIH@nvsu_@PR8!RVUULUUnH9Ob z22oIvdh=0Ec$$A6T#kDcSH~WoVzUSQQ8|SRr(UrNc0K`ZQ6BtrR&6zKn^({>&tPm}oT_z;9U-G+Mm>VO6id2F_NXZ6_&6D zs|;$NBBuO&)ia8G#;;xemuvGW&QvHmtI`$j=@;dzvQu{Q=zoEX%#8Q+42=g3V(r~K z8=o{$YTkW1;=8&O-&FHqfg^ljDtR_a;41rdP5jS*r({QavfHNr8&_W$6j!vY8wl<; zxFisCut0DN8XSTRHfXTm?ry=|gS!px?(QDk-QC}uy6;w<_kQd@Q#EV%?p~{Hecc`H z49N{rdv%$?=gf@cWyC@%4X>HEiY8RPdA$(595!nrgD|* z${7y07_n3O5=D}=Sh7cc+l3;7b^7lt`7Ede21k{Jb7q_(iE2vUu#}GXHLab~$lSxP zU+2~@H0uupUC!Gh;q#bdZp=2g&EH|R(LlFRj~ZkvZH2~Tk!JWi;LdhsqgJOIM8{C- z@-J|(!e7UUf<=t@H-=_V`fwC;fyrSQBbA{8F|xa%y9JCwCH5Aor`y5x>6MDsN$^|^ zuQ4=Wk}*NoqZ?$IYMm9d1@@ZXv#GE92Q&iCoT}$HZjr}J_8ju^e;c=2&jRVHW%b!Z zX`-G>NBU$2%(b0Lrb9?LY|?3>N)xo7qae7vyt~nf$6Y{Bnl^E~hsW!NIYypQ31L{X zuC7P1(KtYdphYikOU+v< zf0gp91r*A3O;x^vIqIg$(NOcRloGpJmz^1E!O2}&Eqy#+JTH0IXUO!Rl|Z<)R*kmG zc2_D`=7rC=%8vx)zYb$fV+Gr(j%riV#&z=vzuAg$MDh-ZwAyizv23zvqZ~Ol|M?Oz zjB$RWhu7gHbxh8jL>Q5WQ=q!~!>`b4cin6UsRvF}V--hi$<`VM@d3O#BhV6e#OVbn!3dYL(G2^&B2!j8iKNasJ}Wowhax%U)r@+=zU`S zDmx&ON=sD2YMw=K+CH{t{Y0gYi0|Sc9sb(2b=xj!4L&BbK~y2t@Cp zjXuT_qB8<1!*3O-CFhU6ao4s z28ow`Gap}?oaNC`$!HO6lsURv@t%?8q)?# zk9fMehc@9@*23OSE2j7oKcz+i%Set-wOJht8?>K&Mw)!})nDcNifx0$&zkhgyh3tY z;$n*fw<&Utf8>r~C~ixtbua$(Ss1K)mK=)b@cd>|@oc4L#~H)MD)<8P5EIfQGyD`k z?aZd;e);$iGAq>%-C}G{-tq&k?U+2>^mJ1IHT3q4WN{L;-vwgDt5N>0ZDxfkfcWmR zGo{Yh*S6E!;O?9G5h*D72_et5bM6aRILco(hWjxM$!Ho& za;i@x&H;WEZW_?gr|_mPe$~4G=wTjgK?pkh%a^OuhA&Fm9TJgMNo6Nnb3AvwsA*b2 zIbkTvvi~>U#(N7_(G|H{*={tR9A>ML=lM|sSGRb?_<{CeH<|uiK4E+ND9J$FBQJbR zFR-w2*fyxdZ*yWKnED4i<><=`&PqIlHO+iCHFiVv1z}5N1$TUyg>+vmd3Q&>1)C-L z&^R5(3SW4P0q6m)y87@}MA<>S9KTm{MLHUyNFWKq8iqSW`Red*Xt`PQ_MDrIyD?_G zm7{n5iH&ha;x8r!dHd9&xb%uK+eOsr`{Bt9Z?CS(Th$WHW2SaIxODW6;ige-Whu?( zdAtguY;!t_W}d(7+;9-R^@7j~GWl9oSi$rBSvmvM~#d+d|sc7e|X_w3CpQ{vDhVu{Go1Kkl;8@E%-T8b#3c$L{{jxTG{q-=yt^h-fS6S z)c_c?T3r+Coo};Boilhon+T`y`&xGiW&Q3%Czg)nB{ea;(fK# zseS%*v6qo}Oi%H=g>OWa^`N`By)n)mJnYD7 zWt30UIx!_#W;xTfG+vU^;_L>rj?dx=I(BOC5TFEw$4xfnj?c!O2d!o<5#i}VmBhHv z=U~!pvxDeIKzS5OUoA-=eKj4 zc(V@`gOACPh6|r%T-#0lSNc0b1wh&Rxq_hr{Q_-@iIo#MR54{&MABQEex8gT-WJ>J zN`r~FRAbSnBiw8Av2zt;irHmOa@{Yss0!h@t@&Q0JfF!0eWSocF*+6_FBwS>*d@21 z)2J_7yM>1d_X*>AN(vY{RGi-`gPivRo!{CmZG!1tGW&JhR;@8Mbp6X{3(v7#tW)GV zJc_P}zpYiW>(DV0e!k_3I4~u#xz9Y43-RP_Zjyz3{iD0tf6SurIl6yt=lap)1?9cb zyNhJjBe0?wWJ6_Zeb2vwq(YiF6V{h-y}ckv+L91bx=Bc{8x^?+;`S8JG-w-+Ky)rt zH<=)5-tRkN6Ugz$OEkAaD{55@qJUWWzGt!KZvSR1lT|cVLsG0lNWUFDc5L)mst-ml zCL==B+I*~-eEm?EHMnk^##}vy(#!&)mjo4|<^hq6t|(>zYoNX9UopwVdY>mGS!q2E zY(6zjg}MAqYE_tp>!i|~w{0@^#qHYPb&Uy&oTZq#p!j}{yDs)w8O`BesN4LKag~VM zTEDf(B3hiSdfy)LR{!|s!_6uq@7wLGcB7}Oclx;F)7r^+rafQ6Hr|QnDWcj%%v)np zRFh-mK{;u1t8$~tO2jmn#Wu0{GwEJBc-3p7f(@%xbNyx;Q zua?nRO;*;;f^)`H28UpjR%6;>`%;d_@410#j8+#d4tDcnO?e9E>Z5KwWoR~A12jTbgKZhsf7n|V|%SP2*y;r2iWQKd|9 zF;zt@0@HFHKIyIx)*nw=HA(;Xp|z-N-w&^^U zR3Dvl4^!tCA=nzIPbl6{jt zeHKH!wk#8BMP#H{(>3`QzUWczJ(96*dnSAqto*&}7{a^FQ9}7_0VEn8@DaL zY-4rnc6$zR6CJ?10u7EX`?#*5lHL$({4j?oF9`mqQ%ZIe9?mignR+t2t2$a-IW zoC(uN8HWcp^kJ+Nq{3dED|assJq;c`fANE{oxR1v;=2cZTze(9lM$ZXaL(|)L|%6hxae9NkTHtcwmQrORDb>E@DQa0Qba8C6V33;aiXTV;u}u ze^Temduu4Wa#Rm7OoU{|8O_UD6l;@^d$*Bb9nwK)e0AJLgt9x=S~X9w#&@odOy_OAkISINs3cs7xB9gn<6V(X$19VD{hggy=)$AkTuV;`Ub9JeR zHlaH`V~5E7jVQ;UfVj@zPYnr+m&IC7u=2O+j%>{=hc5NjTlTQjNtT=+P|n(haQ9vI zfe}i+aABF(e8dpKQ|opYZ){q9&5p?4e##Znv=2*PZcEImpXYbgqhNlJi4L^kooY^d zYzKL#k)kg)-mn)}5X!qMq(nY>2CFK|@GIB9$A(F%rsa23wtMJQ{kKLtxXElVQ_U4J zWt6XIA^hCX_u|*V75^A%48~@$tDtCNU$}!8UZU`(e-7-sutFlstX-2?R9ByWW+n{F zs7GJ#Um0r44*$2&6i#xrK@^|G$I1~n*2KrZv3H~-adL*-$K8G<^Eu`FF)zNgs!R*I zqTiT!0=#`v1N-g}ZT;FrsjHY3Z0X@7>3eT+3^#J?GLsL=+X>8>(QF9GOfv;Gc=Hx@ zn8y6b;cuy72by$rYp~Fbjq&v!G5S0G?$K#Vf7u?6Fy|$-a*$};_)>)bF|&EdhkT=vLx_mrv?n`w*}`bE)iyISxcaaTQ2}o@ z&=dLZC_&?(AuB`kZ|)iP5-nWUA+3Tgw$EtLvcMaNP!`-+g>VaxRZ|NE4zy{Bb+!c# ziFfB=Hhl>)HDNxkYE{DFw&CH%VSi|~z_E1M&k>$O45Qjy-xDiFc7nzT+x0!h6SQsa zAF9u8o85I53b8H6$vo~f(4VG8qhOJB?-;Pnl((DsaDmt<$F{#abJ>hMkO?PbS@Ku!o|07;O+3|bTpZ1D4-{;b!QPzcK4y4yLml25VB-f@VYa`~RtTRv z)YlY}X9I-SbhEK(iBH+({doN;LUj|8o>nAy01)YPTrjwf0@1ERY%PmHnBVLYuBdtrBD+vV#&0F9Uyy^}y<`1pwj(5vK^ai#rS;)k_j+B-rY}ig51B zo(}IV)q(g#W5Duf*s0h3I(5QdVWm5X`xUTsu~62PL=Vt9)|;c|#-M}%Ap}Fkz({py zEijCli?@go?m*4!5Q70e;yAcXb<3v20X|*-aZ9SQB~SIND>q`79JP+e7159=eeEL} zWvWXXIlbynq{MB>EDE-ZF%8~Viq3iTUj8QPgAZoEuXON((JQrAC*GA}M1^51WmVwxX zcDQ+;@!=MD=*LAM7s#FIfQ8)s~g3Jq{(x13+F+S zYa+~>!Rdk|?}x9*y(adW-WjSDbQ|di?D6w#VBA^K1M*SuxfFPwgIy+mtw_>!O|vHq zkGy-gR?in8A{tq0ERr`gw}N!{A$pu4Nlsf|=uXnm0BCMXNM;`Ui_69Axd>grl@(;Sndx-ME1G$Y!RxEB6OJ-%B3p9j zu=LRvE;M<;9GMiTqgm3F!&o_cwG3FzkhsshYTx;wW%-Amu7Ez8CdnCfLYvM_>kIM0 z3C-DAg2RjE$Mu$wD6i>5e4lbeWG`yo4`w+_4iip#!J|><-1fsNA>M_IS;$THsrR2} zKJ@-oWUl%iO2@p)^aOKpM#!PmKBth^-O5E`(@b`~7MA{TPGQRN+`?+JRCIdJ81o>k zqgUbY!^enCStr?~NAv-0s<+>dqm*2B-PKHbXB8wzLpq{^k=!V^jq$AnDay9Zd_Q!& zmF-aTYB;@^Sll?R<>DOEdd-*MIyYNhp46eXyw|%dSwc&%Sh$ z=xmw?pyfKpGu2w1(E)xjUMiHOTrI<1i|VxKzU%0f+R#K76fO5nDK~Ddt|2pjb2QhK zRBla+PO-(gkytOHU7JHbM@o3MV$|(wx(app*JgW7znsL`YbE^tG|-76RL>EY9=YuX zIDsq(&B^~V?K9us-BC{CI&)H*cWs5k_PSP>%DXVCi-`)-zw(!GJWy)8~Fo16e5p`3IAr?${!De3z8;Zavmhj>KIq1$@5WTwAps zWXm1&3(lOMm?)j)Q?Ox z@~z{QOFLt}JoBWt8^irU&er}1Lm!@gD0?gbu8MkW0u82@F;Q^V#0K8VpslpVNj3N% zIg4zDrxdu7?1w%+%eiUysQISA?=55zh^wab{)eMM?lu+?3YbGqKO;)I7D2b2U zGVGmxfC1q-{Olzz>^^Zm%?a@UNvmo^&ZV!caGz)*<;C$2d$w`n={!PmBhjJ-v5rYn z(xZN7lgRvmhvbJq_i%7q^D~hW;YNR+WpJcK|ZwVtJ(uKDc6Q`35 z-QWY6@UOq96s<1SGcT-|DpohXG<3RNb~4-dWU}*(V*V7=3&A73a>)E6uI+N^KM|O69H=sXeQ~wwzV1FMW0TIvp1O2yoaRy!|Tm^3;UL zVk*vWmy5zW(IFr`d4X`7ktsU-g2G#~Az7p1C8S_*kZwi)dp6KPZN}D_!8*S(3#O)^ za!3QSd!V_ml}o5zPuVB~-Gyr}^{+DLql@@D+=|IjRJWr&K*v&mwS}rN=4(|aPA~m= z)%V%aBQCF~^<0W-YadB(S|+qXybO4!vZ2zTo_XDIBxGbWAMf9bIv@wM0I~pJ(%oR6 z%tk&Jg1Ipwge%|~sURRAUd}7Ixoa_xe9a@I2tzjjqrMw$O>9drE*#%G?1d*_4H>IF zAcb9X+`aO~^L4-V2`6^uoW4f{q&g$%)3Wh zVS%Y_w0UwvF#lUn@YHAdmN<!~=9X;ZVmySUG%q3ifP1685aQu`a$=t2baCMnfM2?ix}xFna(43-wO#yABCvd&rsFd4DH<#hrdX!K>BpR|Fm^~ zb$GZvus;pIY6x1y`|iYh{NNQ7D?#Q}BEKYNV((=|IM|WkM|`T=<4zD;2z7%lMuFRv zUJtiqD0Xa%|sy4K+7VMGMRjV?YOG zWbipOG&xp^m0s#fzx5OqqcLI>7_LD*fw~4@-2mK+m$rl212NL!YhQ);x2&wr*)N(( zHj0zXe?1!4Y68d*CBR-d>b}MRZ(VK^Pn8K+eOiF|mx@l-*NxDpx88{W#2UI!;OL3I z<9np=^oRX-g(9C=g=POXLzW0w(VlJ**E)3R{&duFPVn+_Et&G}Q=9QG= z6<4x95a5Go0t3}l?qRyjY)%fEbB5~ek8%GnbXP6YO0%AjSN^Tj(z7vD+HI=~S6{O0 zGUjGU?a-a|Hm-Cv-Vb09=~XTPx+o-+dcJQ_+jFK1ww>6m-UaQ>hk7JJ2C z2GK^fS{)02;fzAj-*ag9cm(?sXP_+IeXFgl(z;x`^fNEXZ0Jf0xnzy4Dy! zm=xAARzZfzAjqn-PP|M(LLN?+zPG6Mor5wJNcq&euUvy`hN^IDUnZJYI9*n2HK7@? zY8G|hk$~i>`h{+(N}0A5Im@C#9MqidErI%cX{#gnHo$j|%?UJhY*>)iT#O5Htg#P( zx9@nvQ)^)Al3GP(j;nCqEUuEd_g1C4V%t~ePvg z?5dPB_v|n*m#1TUweOI(}BEbluNan{Rd!Y0k8H@(FL2Xp~IUhB8?`58rhj&J^-FS?gl$ zYN|SLhB}JF>#W_)fVJy+lY#9xvLc`hE0i?zYb_{VlB$$)xU$aT&1ictd}a7K6b;lU841@5CSOI;Y*755+}Eti7t1#G3SWTpww0IXFh>CgQ%>ggmjn|A9cx zHgI{qYYni<#9Sk6sJrfr9p<`21bzx18F`&|7YH_6%N?l@AtZJgY^wQ33nU)Ic69|ymMw=;Man`?Y#s?J#JN4H=jL8lJ~O2*I(&;%^;iZsH?OlyzvOLGB@E>5QDHIpW-t~<6cg^l7z>^(nV^J!qUPDl)Jf*hmnY}jZMeUA61 z(oIY|DlUE`*8$0jo+QsCtrTueCaC#vx)Edg`wgBc6v(1N}-?&oK zDe<_ptzkVs>}=6!*7r~z;9I$ zF?*1?0HrTK{M3^wxQ5klTH($MsKQ1y7Dclz%Nm2xJqD=!B5D!tL zq9K!{-&8>=FazZd&&gH-3io5Z*F{+=C*g-DeI7dbJaCZ^s==llN%~C0vHOoLqV`UA*fOH(iy{{lxk(s0>aBUF!3k@{g#PdJY z?`46TyDusSXUmlD;H=1I5;IMhmjq9*o1#VfL=tejBJw+rL~!)n9!n1oFRPVCw^OQZ zMrT#Fk-TrqeD+-)ix%`_L`(}Im97m~qJWIP!5vn;V-mkh*@cg0ze^Scjd&0jo8vdi zj$gm0wj4Phe8OE0{8WmZ|A?07q6g7@jyDoi>A*hXL`3}YJ(h+K`>@i+iAbnn{>VbL z?}IVBhc?reOt5+$xAO92b&3@vaEARjS-BlH=rC?Wps#0kImICO*#ZGrxWYU`L%RNm zFa&QuHi|i(jB|XXaEU@*pH#Cy|5=&8Sa3!QoQhRRoJY?b59;Cn{7xKk z(3T*~sCTU9H~o)X(lB>3D*PS|%fJ>E)fW$uqg99ozC^dId8|v=3*7a~X#SjiuNa!D z5p!K?f^Ul>Xl)W)%ACGS*C(<&Q#{|@2&~OL(u4TNuct2N`lV2s{;0e){2dMWO{A zR!Qtq@Ombgo7psDDwT`roO6W(jB+|c$;^R8BYD}L8&>O_C+dBAA!7IWCU+SH0v7m` zzanGkDdU_OJ_f~_8526H4J=38;Q)69rJZVQkJqn*dp5R-64!g#F10G{-{(tjr`y&lqg+Mxu!fH zhrnUz>u{i|3z2CC;1JnIyXrTAi!{SgZ=OGF*bW#}f`glxu*#SN+hAltKw3MsM%t99tmHV|2#X&r(Z*qym z@~@~HkrMU{Kq^Z2r!w=vHM@$wCX0xmm}Hh2RpUm_>*Y+eUoyn>TUZXfn->ufR6hN=lkh;hB((z)n;G2+swD@FL;)#IkP zJG|V{N0O!O@6BEm+P}N{hFDo$e<^_&`nQCwyu*Kt)H11{WfAfJ+pg~0Ldx(3%r0pn zpEO06d2}Cj>*2!&2;!_UN}u*VZ74aj<_?ok0j-t7O~2<{juFMXV%=M~3(BZNp0j4O zibIJ9CC$==HUI7|8oVqP^eb+gGQsLoO)Au9^=JNf%cLzAGp`DOi@z^=%?F#W=@rjr_E zIwVyRq&xWE()^bX{5RieaS9gGi`b5wntQY-$Ke(F83@@(-M20}q3M{to>LocHJe!q zzX~UN$&OCeWZ_jNl1eyUt>3foqLn*OVJfmXQNQF%yZGqXDAYPV8_|8CTZUh*a8+^X z;~hFuJj3o#us>so`ru|P-od_!hhN4I)t`1p4gD^~|ElBn;PlLC4Lmgjg?zs;9JEm< zDEO4mXout%W8`Vbn>m<0eziasvACMG|I`PsDt9WVzHrOoqymEZ$Rq)+lrvedb_8;yR37; z&C+edkop+Vd3lnJLCe`KFlu4f{poc1zO6l*CtsMi5kfA2;jwoA*f~LI5SIB3;dB-t zxHQL#6x6Ilf(WBUf)#GU3nyu>*mp-S^G(m3zDs|N{(Gtmdelsk7J9(qN(bF1@q(ZM z)T@A_!ow+Fc0t%zKt3D|`cs|2tfk}g9T_H96=HzwCM8uj zTR7_x5DR@ux}QaI^?Zv5_|$P-H>-)<(#gn#tBDOnK=Ai8u{`}R>0iM=FvaZ(rGdZX1ZP>zJ`rC}cxl%QCdL+qdz?&n#Y^ab! z##zCeub2r*p@NY)TJFnZVd@t!_RnNXyRDfvS@T;iYn?qOnu!UB#?G*}8r0=xmA)T~ zC}#auHlj5=&kaR3yFSQ4-_w0{9J!~H*q~Jw`T!hD@>-!GFI^x2l$C6 zBU;azY7dt2Jgc9yu)fj3>tdiUi>K*)!wYnDo?Ek};=TW@RAXxPo~8#he5u=%!H5quAGjTh*02UTqVP(W+=-(;g-(Hxad*VQOdqQ4GML>`_sT;h)8NP;Irnl)kivRfxz1-?e38H05%uCF(KIB-G&)?g&-#Ut` zqm~f<8i7wimt&p|*>LGoOS5kIL zp%i#ahXQ4W+s3C$4Ugz|X+l_Kq-+Z&t6-p996rwmGVWVr^WNvf3#KS^YDJc8B;R@x z(6H_PutkC1#LcXh2^6TaA7aXIB#k(Js zow1d%GfQ~~DD@NLnmOyj4MKsXjX2eRJRR8-T^{{}ymn2CAR7#RbuuH2U-sVp z^%IXBm{?d0)}GUtjTFW(+@d|8l2x;p0AN!Xe)Ym>=%B44^6gzn>39tfQQ zqi#T!ht(Gh|Ng)iOMPp8kB8z2J;$?D%KYR=>2Ll4<;VZtu$K8L^Tp%Evi_$Wv~i8iS?G6O!r8%i*AoQ_zwSSME6ydsYi_Pf^HUT4;N?! za?Wrm@c}FvoY#f=p|RJRo+vXk*8kY+YbbsSZ~w~z2g|w3(;YL1opQd4m1@K(#N(jz zPB@H5uGAJoq-tXY#TvcHUF&O{_7pz3;VTCNTb6AFq0i4C?$4tvG1qxCRF)t+BS9So;D= zZ(vuH{Jpd1^-@K!c<%K&?^wlo&ah&i1mh%g=%pe@LI$s>zVo2rEosusT15Iy|nf0wDtAEDg* z&ZZagb|Ig45W1Rs>x*7D@TI5`U$timLz0{L^j1sBp)XkLYiH2U_1OcFy4G#!@31O= zJ#ZZQZhXQzgEoY;iW+g)Bu$%`@mP)8s6ihc;g^Lh2Slcf)Lo9z)=Y@FjGkG`Fd}{@ z#tOvtNBk3%vO!0WZAicDm(yF_7(VrdeO9PrR`NiPmWxsb!r!{x00}@@l_^fw@@f)n zm@W2PY{Hv+k*X$17fjzS^krbXDfibR*8Jeitpn(o8Ao*@51EQ=uaf;pUot)@477B! zJ9rgDbbB_*E|`1LsCls`FfPu(>P|f@l2mwuQ#$V}&O%$njU@&+G=MI*0_tEykb32+ z_8uC+jraIk@_zYS;A1Rw&l#ZI{LUj&`p-$!hDn=Fh1PFzS=K_1w6MEhP&s!Ipp*Kn z{+a#{D)&$N#}tuBh$-p<3#(XPLbR_VIbE7*&&#);ARAQcc7%xq6TZJm9)7qtEAaCy zv`dr=s~SGF-cc0&_RXiE2|3$6cXUs^)lDs!`nxpyhnsayXbT!WRd5PyfOPZz`XvpL zkwVw6kY!TicR|!DxMw!NDLpi()}eETxNk7fkJr8HBfHJjfofBXnp!nzhYP1*?D@s} zBf%KoMC+ayc+&zc>pZ^D+=9jj94AH?|6T_l7?1MmW`!K*L_=)Vw;m!I%S-&$%2xO|5ssPxFE z8!=HhdDYMbjrDg0XC8eP{yfxakp@tk&TCE$k-bHZ`Bix!SoM-UPJm&Z0t8ZWi%32f zT)Hx*P1e=_3|Qz`SLh!o~7QzAkOC~F2CA9Nb}I#ZKzCLPj8mS%KMOx{c;;eMEd{~ z%4R-aume?P9^|&ZA4$h$oIq z+@PbI7WK_8bnDd+D0n~iA53=BjZ%@wL-?&3!}al3N=pZ1m&WHT=)A!@ zj7<0r4AZA|8xdXG72dZGxUDs+lcd+Jknfz?6%EImU;Z@zLy}ZvT*IEaJ0A_oxfVS+jSJ!xDl zd^{uR(hVMoGkcei#d$eWqa*#=s?Cx-Pg|c8e0dBcwv_*Kk(QONE~VrEkGydhdgMn& z9n{^>1v?S+E!Pk1rwe9ufcIX#g6YpT<5=NDed8r#`3qCoM#%U(^?(0_vNlwax3zQK zG_%1My>T1<(XZpT1_!}Q-INEjO|RDotzXAlJ(ibIZB*XWKgmVPY@p-^1yC=lE}mx~ zt?s)SRN_LUK9X9sOH&;1-sSt@csl;PxMqcma&R4y$rxBKMjk=;s#KT1WDZc!cXs(C zX)dg^a8vwN9szRUJ%Kw<5A||E)fD*D?u3~sGl;ximZI=&JG`wvH^`QBYf3rOw7_gQ zK7hq3uWn$qMis7gka!`YqTi38(6P$OGa=&9{#P;`o97j?wk*GUHRU30-VywEf8wOA z72%)$+1uv2sx~KMP5a_>x!$ry6G~3(udrHA;HBGMh7X6KD9}Q~W)Y-mz)_(9_km(p zb|RZl%b536Z=+y4LtHL+dlEa^D_qDhBxnBRrpmgL+Lgk0Y9G zg|7+HZ|-zyIyD!XWAonMk>&Ra=~N)j%QA|BQiZlSq%UuT(ia!0BD>Q9>)pv zr{<(iUg~>e{4Cq}dwr%Tu@Lr4D9)JKz;YB@2K`S6HNrFi0IgDV2*iTI*f2fNU0z7& zYc%dS@fBFJ|7h>4Uo7xh&Y>>JB)*(m`apNAvITn5Aqe?V%LIn6oL+P)Mc7Y=ExnR-9Nx|(erf$vH4lh683+L7UwEfZ*a!yF^6g|ms5fH8NvzO?3l%|W?95)ZS#=uaUn_Dw98h(5O!3#6k1~Zo`5PJ zrvVB7VNlJ$3Nhmq(JbKZ5b^aZc4OFdir5BFNqH^xW3$ z3-w$oHYBaLWaw6+GvuPW^ascN`4lQ=e$6f;6dh}R46TjdtNha0v-M%_^{;JlT$s;c zHFyhZprX@|$#SPVjFN4A1c+u&HB%ts-R$jqJSa?hy-rkB8~G`uUrTjfhWN&wv)=kU zh{HqcR>7a4`LSDj8-kmX{HfoGBOVKOXpWygZ>^P4ef|;AYlhzO>}bO z4?g=p!w;(nu5-oRUzQ}ghy-NQe157cgiM)Ww!*D^>%>;qI|aF*8q7h{gn%MwkVc(6 zkhq_Ui$qYA-t5;^9nQo;i<75t>;NB}pmq9hk>@(o-Q@|(#rt<5M2{VZe`Ex;&DMjX z=!5)2HN-c^iRWP}L-sG+8zKd)Zj*!%FCf+eJNLl&bYplWP8$EL$GP|H>GnrR7DDz! z^NR|~NvF?_9(2ffc=156vZ-{UN1*dAGURXV5KsV)$JQpmlC4|_Z*P)QZo+tGC zr49+cC2w)%h}RkJUhU$a^TV|g_g)fW86H|7A@%v7%FhR#{O`>-ai|Q~#a>kk+s-2RV|x*nm~ zyxI-L%~6T4UTbgs{nFE_fHTcui5aHB#i@G+TjsG`W-|YHRc;6~rSn&l_M=BfP~>>P zc}%`oKw^?fifzaHE63BV=(796db?`RrG0gq?R(nzDpmQs3$B?+>+p<|lQxMSicp@@+`tA8uir3ny*7ge}Vo5J8uhonMSvv9dc%Au? zs95Hg(Szmlf=K!640bsK+wEi(^Z_1rD^?Z?vQ?f1yAVcNgVc%??V6_Xv^{rko_xB? z02_O0-Bb4miG8((r#ZVn2bA8y3UL)zXqH}X_h=MyXna8q@Dv;eDA(+K0q5_I+cP## z?X`-aN{o8!=0IRdEs04!y{%Fu*>f;iXfh`|pog#>}hy4woJf(GFGW95mk|MbN zRj~c>c=Gvtt^wzY)^t_xc~0s$t*k?6KhBT+{z7ty**q=jo_{$lOZv}+6VKQ8g+ucx z=_k=&@dODxd%Nx~IMDeGmr)FZHlxqA9NI&5^=eZT@;f{Q=%2BaYhy3OjZUvfh3KA< z$1bg(zOhuC79NU$o!DNrhp(>KP=)~%RwbjfpEHsabR@q`ZO~xvF@;`}vj0U%uv}@Y zsZgDG)>M?dz^Q3!N_P!19+jNpUjTSSLyCOdz+J5i9-o|4xI~vQbM6jNBF9MeHeb1Z zEY&pDLI*w(`ZK?+@oY6CO^SdwXL5L)W_{YU=2-uXutojeR60;-{UOk$|MQMAmdu3; z3#myMdJ2ym#jOT>J1KYSevJNV7pPMJHP*l2{@IFqn-Vsj_zy38Vh%e$qn>Z^_D%_c zyJlNp9*i(_ZsSfmx8XKyR!7x!NVnG8(OA5J-mwJ9&@|uwF1z0|sn4rrbhlxa2`OSn zv{PKXEglUyAJZGnS>5+~*{wUo9_*Q$$0^GJGK$XsLg= zrtywKgN{2_ePLA9S6tEZfNpYek8LFPw2O*`IylY@lGzZt%wkMUW;u{cn7H6K)3AN) z{awrp1auh|tYw94h@@#I$}G!?Z_^D1>d4kVJ%Dm%?LaXlw95n119VIkc(CxLXCLPo z-d&{vSmq`RFO5W=@-win9xNPYrfh`RME^{PM*8wAvT+3e$N|1(ZGGb`gbYgS`)8F7 z2`Y@?lJ%Q;Eg%21!%&6RTqTeLA-tO&O%5>p>Y^1TnZSRIAi^!=87of-RI7z(%Izy%TrVgY6UsMhIrhe!81l z0mO!g7bQ93YhCaI12dG6)wd6d9lf+r!tuNVjutG^MjH__m=Hg-U4M)WWueGc1gSeC z_P{-yB^X#rgNXx#zh;;V&d>k&Zg}=A$xlZe2n{25`}7$3{NJncCVn-XV;rKaee_Wl zhqji$x^Rb1ip)mHagut!G(sLIm_0-ZI-HcqaiTXHSw>J*C#AQ*V<2*pFa|Z7jKEWO zi{gSJlaRW_vLUP_Eg$Sn|8lo8?4#3ccWIk`)Ev2?9c8fe<3X1P^Xsk%eF$v!i*e!y zyRc9Pz1=FO)}0Dxj@M=_ar;KNrtF>csYQQ5x2E#)SK_f|_wr`XmXMQet}P3l!>zI% z^HDOBBGBDbxx5d2{f0xi%=2;0hX6%(7q9b<`;kAGi!qj~2SM@bvx6Hdx2UMpdD|7QJok`7L|oVr@(%ul!QqNto-zr@iSi$L3qK!KW>W z6hnRw!U>8a)H9USb4LYRGLd|Ujnh&zGxwa-Ay;*&x(mhI4I+2P%*L5AG#Z4k`nUi9 zCwvctYn5WF_}!lVwGnFuaRd89X`766k8HfJyni>{|MS_h54mLqSB;CKuQYbdD1QD8 z)A;Ukeq1*{<;4F`aoWF!?0UKMh&uisuD&v?t*(i-cySF-+@S<_x8m->-Q8W3xw%tgdCfVWf8kXOCc>&;#s;aikQOZ=(!PsQ>Xz+5)($CNlC zVcY43iru=kRZ7D;E~BQ*<%p6Hf5^o?cc{}p=ERG1nc|uYMo~XGtW2}&8jl};>*BvU zW9U_L`moda@|Nw6v)UtMlO*cNA4o8IA|!e~s#$*cIT=RWrR}Lph%x_-L6K{H)b5=D zMEsv63-v^@{#&)P6k_k&g_Xe&zjsgSaib|MSSXuFe7pa7$Yuy)6=7guwNWzZ4!>{X z*NB+)E0=Qs5dKgg_PLbiXl2Rn1P0%r3@ej+$!JHu$O zvpW7xIjxR3wX}Y|-!t+Ks+w%=ke=eFhyKMl^8sJ}F?lSK!4jK&j0e*3o9CU`IB8Bp zjhP$*Jm=f&X0Sgx(d6!WAU#d$fNDALz$2B+KlLtSp{#j)60G$@f-A}(I{E3uOBf+U z>>cqxiJ+#h+ZB|k+Q>dm_gzY9HGj3f_!O!&E?kbfbwWlo`c}qOkk;9}mKA01~ zJf%x_m9?7du3}F0?x{hs3@*nMqGy)Mhg6fNKV-5@>=f5$K-#E|fbzNp5}J!7@!-m8Jo3tFKSST+mr zIHnv}cQz1C1+f4RbRJs=5x@T=Jj4vzl&XD<5pRQX(G&r9cK&O%6OK7Lwm2elRGDCA z_~*9HjmP|P^hGkZw#;8KZt&~l9eej}jprWdd3QsQ1CERRI^!f`LY_ zD;8^>W7UG)7Ft#wN2SNX3;aA&fd6?r^xd&FaZ=eW@{!qwTWpclVcjAwXUK1iX2P-h zeV?)3u7X(}>?3`RvXI_E`L+;NnLl1(Ur6ex9XRxi9lJJP|M4@sC!=@ku+)~c?Rr2V z9T6|rUp=gte~iP&N_##rV7-ng4q?3j0H`Eb1jQ|CYxhTpE5_YjDw#t*1(zWe-@UJZ z<$R9;$~0pis$Py5Uv3lBlrB=U^@&_U6AwTg#tMIoG45Pl-BgkZHulo9Cq{cMA}f1c z(_v^-g8a{`zju%SeuBH@v!{Il^w!WnRL(r=8GJN+04_5bU(Aa~?Yg?oTc~_Yff?O9 zIjSV@UR|I6S7h*$Azlyj|INTVx?z604u1oKlP{0p+&*uht-B#{(eCbQeZIgD&v%jq z>^tVj%F<*#EVSPbnFUETLu~+sgYlI9<>nk=a~#m zBXu1L#F}%2Q(hEjk7whdhz0a2ec@p!20EGinAOZXnGVh1QJMmvf9u278PaDXMa!J2 ztk8vI6a)RB4UKH2Rm{5Rb4g z_7wd1rrIH%5WJoZ-L13ZVu}(V#`j@sWb<{~-!*A4x(3n44WTtHNJY8S2JTQMh5x9M zwwk{w8k)iiu%CW4Zc3~9hzy@Ffk#^Wr>%fA?F2Sx7+N1jq*?WAgFl%c}>r^pL$C__(z3bBujf|l<8H+uMv7WB;v z=gh?j<(#jS5%qt<9is3&_fU-6_^Jd+9S!vF ze=o1t-5cGu-`2YL7ec|g6_aj;t`vs-wrwwMW}i~B=6&o!Y8Ik&v)+dEoeVEO$-c3G zfF2O1u`LazN6#?olouG$uar{&btr&@(el}^wXVj?>v=wb+5#zr&McpM5I>Y)lsAYlP{z% z585}1sD8moEp(-=mrPcqUjgV5Mr(D6SMt(Eg`hw-;awT#5r+3x*j7;NVycSd>|idq zIiQ`Ro={sI*~!xxMQ& z_GK?3J?&E&Wl7OtM#E_O(Ex+mM#HEgM+XWozU7zxcwPnKuxh=4POU}~30loe+OX1{ zSuq}|0;<#6m{&`%&rXZgIT|77-a*yfCCW1_h|KXHU{j%XRIl;+8G5UE25vO@7-_lv zodQ78Ah#7Ga^W?63LE^BOonQt^(}f@{LpB%W8KcZ#R4=F^gnqdZY;VH3-m?soDB3C z)4vnHxh=y6bquk*N<<9Q;D~kBv@kN!jlnOsfLhouqb*Q=WoDliB}8^s*5EI1qoUp( zMG6;4Y}dvtz>y)7|K%FR>hk{n&iABg$H3=mB|jG{jY`po!%+rgoWft$F>a_!PNH$R z#-ygxJ{hZ+eKKlU+WJ*)3}Uj$GcaDwjClj0>ku`N0e?563tO_7}haPR-i&CC)4N%&jI~`Y?4fMCGxdmgPRvl!k zm%^D6;KBHR#+&sY{hd=2Tm`4U_`v+B*b*X7ga9dZ96s%-nutB<8T>p{4P41_#t%O( zp?$pLisuu<*UJN@T|Q_0oU-6vWTD>kpl`n!0U2&t3B&N z6_GH-Tvq-A$Y~JP?a+(;mT`dSEEt%UIw;O`|AOZ1qwAU^;0eK5$N|lL*+hr$5b4eO znh;z({oMO$ZlAok>n(D&mcRY*M%HK4j{h0B)HiI+5xt3?EG%L-1Nc-coSD_$M|?B_ zGETl;K8y?*Esm=`Qs12egt7K4gXfv-eFZWap*)yPm87AccrR+;*8Bj>Q-J8yMzar` z1U#|ywG5J@9thO; z)=dwAsO`6YGVW~Bu4pk(1Z}K$0r>=~oGTn*5>)UV=S&RM&(5Y{ z?0(logfMlXoKckl_dXnEB6ml`*F2DVNXfqlw^ygApDFQYQ6zX+zD&SVoSlO=e4gyrhrEJATv{ZA$n^HSmly+ymlZ?*G4U)^2@8k18l;s^5G_ zO4TM#0J6U@rq*2Gwhu-xVW*LOsi=t8Vz#+&Si!YB(+L7S@zf8Fsb_)Am;bo&sJz2S^X)z%A8Je$$1)k^dXqqV3* zZ;8sQi9ndTCqR^yYLhtj3|qVbWJr_Kg<^MUmh*Z#X4@)f$#Vqk}(sbI`f>4v^k4m6j- z!kB;6eFa*{*Dn>tKOuX$Aks1s>I(AC_WW9$ztTo^KayKpo(f=3{s-=dR@L3(n;rYXDhmK?R9mvwk&^W10LZt-yfA5qQhK1Nju47b6AU3n< zUw1XKIX6D$IlKoR(Z}=ZCbQc)kFBcK;RbC~mdFmJYWlF1v`}mzi=_0AAuy4A*$ECK zm)%fF`JSU}q0XysBE;YV7P<#WSPbCNmCzcJ`9f)M27BmPXcxA=L)FeOzBU%Lm?*!!H#_d>08}1cgtjzb~M+ z%O~iABzt2Kp>C&nFa_tTc_&^gTwL$Tmm58-gA(GwMIItPPWk*)$lG$jaYyzse8hy!GY=y|55K zCvVIpel@74(A_C10=pxZQonY+ummQI&9H7KNW0)~eQlxWb&wYsJk2|;fV@&$FIqj} z@j@qzPRn9u4(1Cata52XfcVC;UAZS>5mHY{4Jm6%nZcCgKY8R5vm&~rU-9}0<@N`MsMbYt;-40QdquL9p-uI8RlmEwVs{K?7Ypo%Lt9jv&Ns5e5^+Pc~6CWw3bO zXdfV?iLjA^((sP+fK9r_Zyvz)RotM-`gDcy5Z$vM2Fi*#6dUAXPA@o4LQMR&>_HlS zI4O(0)O&bg=@+qWyQni%9!zsvO zvlS+~d`(VU1cd*e{|zl_DU+5nbgczExRt~#u_VEh%n>t;ws!aErzTVMr2ni}YdJrD zWbzi*{+a)74+N2>&mrSrMV(3UvXWuUNr;N=EQN4l1{vm06u1%c5hJ)ftJpAA zku#qRE3WLX$o!U9S0-lB<|GqqwE6W2Z?{Z?=f|}TQ@%~d$OUU{jxd&0i3=PYvH4lP zPv4IH)Aoo~w>uR6F=UdXk67ZCIEGvK)fo-`9!&s7v3pSdzITzKzhaeAt@)!;uvtX% z4&}~8=Gbx-Y!UDSG(xomcZAN#sva(;j=j1q8Mp@AJGu5y*t+0>j+3q_6%) z-LQVcOFtt$`gwu?jt;E4Sbe<@MY4osQCuM1F~1_91=Li6hL$DbYVwF^J;Mxn4}|gE zC3o_Kk68I8kaKz_!(J}M14st$(laFsTVwTHg~!FanwxO0c`(QA;7jD&fG4oA3|Swn zTli4Y3}}J_u)^Kj17o8Z?~JPcEg`QT6UbfjXw+nfUR|uxFLC3Icg};v#)kI?GsmsC z)4K2924l(Z$y>B#PnP1joD(e{(8tX>p$ycHWujIJh9(tNu$SV5UyjyKvmwi$++VD` zg=f*HDGe#%ihyEqHCRy3aCXNtx!10DShz;wye|1i*!C$-+g2ypq##RoO`dx%x_9EUv2AXe>>k z{4#HRw7Q#AZP?QAN(05C(Bf)7Kpj2k1Z!@ae@58)wj>1%m=!Oh@F$X=J(!~J6H``xJ*FU-^4P@BWlWe{w}7Qh;c)U7-K&Rq3;D{*nk#S zHBDrmcr{GkB3{}gjAW+(it`^_vJo9&_P*E-(H$UmrXe2Cg?S)gB94wJS#kmyFa6f! z#6B4hVPLyot(A{oZE zIMJYPE_zDG6%14!6uWtV=T(uaWjo6^`ypSr6FW;`w_beo8Bs)|p`803i^O$QKC~)M zZhWVcZ~~Gq%1KZfe~j~Q{oi7iGNZgYS3d4}Ghit4XzL_rZU|^>4%{akEAEEVKx@Jf zJ~+P?-T3NDwU>;+Q&mmjH`F2G849XteSOe#lp==Ar8C0HL8t$K!{#xlYnZRqC78X z$4X5W9`W{yEGW|WTu+R8+?5Y)+XiKNTs;m)hnE|;8d&ya3wlbG0DAoV}} z3E`HQ5mXe6+@<6qRIO6eK?rs0kVD#xf ztu)V#zh?Hn){P8Ewr$^cT{XFGy;k+b2!2-!c;ljPks4=L4iG0vLJx~r+xP$BRH`w* zFU9$^$(I~_ey2zibKj@9^1yY7GK<2KWDGr1DZXC0l%T(j$j#D4+@2vjr zQ;A^+w-S9=m}nIgNV{iW-wj4WLk>Nl0Zc*3n0dDkhG5Pb@}gqzSX5w$LEzq}=l5zu ziNe>`N0%<6{D7HARQj4)*gtB?d0VifDztoRE(8k2cBm*? zRVebBRfQNyc8zDq_^z?)0PAeP@tXgvVY8O&3y#}%rvmJ7eEZ7g{WTKr+G_i~(yw-v zvo$ukTfk5d^VM2T*u9R$J(}MwV~3Fc%IFr5ib+`clf!*0yc*`jDUEeYq@vufswZ`x~zVO(Z2@;+;3l{KSW0PT8mE+E}zF(LWW>oFODsUbjvM^28jct zLJaEh$SzRecD{iJg*rVemsXc+ssw(x<%XAbcX}Q_WuftA8Z~T#*Eo7!SW@ufnHjU& zJna`Ec~4B6lAVg}f<2Gtf^#PxkXHMy{y@fN5tWNx8p5lM_#L7}1-Ii0tdeA6KCt;o#G zMFKN_K|tt${Xm1{gYdyc3~+?Ax&WkEFc6n}GJ(Hd{tU24K`XH-GX58-C$cF;1MU^& zXw$&>DUVZ}e0gLbgWf`03APH};g`2Ixqyw2(Eb)Mp96u#)Pt7DqXu3>26}{}{ z@kp-Np8o;A<3LtZO&r72)Kr<$$|E&{98CbTRh*f%Denl{W6}(!qgR_@R{53;EYf-H zBwV@y>uWy+-=yPxaC68^zLgagT;0pcxX&SYyP9=l*QA(-y@4RJo6~7A1}*j{KaY*s znhk&)0OVVj`sU~7bsd$B>u|)%RR!(0k-14@<#DS}`E)eISfrPHRvKY^(|*b1V|d~iEPnpzQzz! zw14^cX6o$)>8f2CPYx;ZR7i|7mLY& z#`pH*#ieB7J@sBAze;9+C0MSy!@g)!dZ$=M)0uEJIA`0Y9qinsx3wtad@&9->bZNB5mUZ)SjE&8z<-Ye)e+#_Wy zKSnh48oJDMZBNEFMBxi#D1B+(mvJ$zr5ySPWWhr&q-spU)n&TPr;2ZOVWt}W$gxvB0n6=O$k>dmFJ2&TSRD9%n zZk}|=L5;_2KG=XP?NybQbpLDMr+;)%s_5#ih3Ho`V@WdQVJp!c152Ald9U)ufXxhV zl_D-11?a$6c{vFEiB9M(bm&ye1@8pJ)RGjtTP>{6 zq7pw78i;Cjtrebkw$Ae{XWtf0QGq{JL>Z&W^WgbJfH!)IA`DWjK=97%<8jRDJxI2V@=N%;cr_FI5;R!kY`Q-k5z@Pwu~VLEN02h?6liNq z+TFzfV7V6|zrF8hHa@%5-oETCkkEaL)?NIm$A8@PN1SELoKnm1v|oW~KsAizx%P1x z@@2W^aI3txS5<)5$a^d5${5dfd+5m1Oc*c%@HYp7Oo{6|3tlQTCB%|44#KlVjs?3f ziWpg1bQr!gV;tU`o*<&z!!dTFxvD&B5t-{ zaq{S3f?(4XbLCe=JG7)`$Ln+y@aFtWVltjXEMMQ!cThH0Chr!F4=v3pb2DV)OOWm8 zJ!IJf1m1g@I&Qe0GkcXyNEf`bR;GtG!T$p+o(Z+Xw&`^Al1-F8W91Keo52|UktlEN zygsfNwfrrv)xCC)c8tl-VXoHR?SGf~sxli4;u?U=mSf4K{HRL~KVP0Z=^clI>6DnZ zoXVy38?gYrIW;$t%~7_V|NbLW`G&g&-e1SXm)c-G=qOXwqnOY#D@zVTLv{WF9?CIz zoWBMQcn43OW)9ZbpmQl*4I-?Tq?{XHiN_o}UOd6tdN5E9Xm7=;X(*W24a?_n?FUxO ze$@5JH-v~wMcq{inC0R=4as4*+Fd+Hf?!7`h@VF5*c@`j1n@xSKy)P2=cG=mvNZyo zgL*&ep9np`wRv-1S1X?) z=xDmS#D(pNZXiqeI@yQ9-f|*sgu1%JwOdnhjtjmQ%L@5xA!WUEuUwfzN8gB%;-@DH zRk7P{ceXgaYhgQ!BtC8bt*Vl!mL$$i7&DB5fVR^%>4f6vUWO=+?3aY;vs=YR0;P?h zESzI+_g%mW&EhiEGde>3pP(@`Cmq;If41V1IA-ibbB#0^<%%J_)O6RW zd1tBIP?6Fv!yHXhwb{0+ohS$QpqqKj7bzMZ@W%$x$N`pPetbE+WY8ptkeRq3g3ZeI z!xO{r557|PIG0apEC)DHqS-3mJwQGAn5AXkU1V3tKbdK>t4Imi2qp7>#Y=TVRvUmV z>BP=Y}{ElTOi4$F_Zn`vRG0jad#*itNQ1D^c@=`w4pO-BEm2_%~|(bNV|E z0k}Jn-=o`!JY8SXW&xoDgua+KWpgbXbqs20?&8iIR`lA%j2c|xA%`lK(c#Yrt%7Hz z+_D8_9k786KC9V;LrkX4O}8Pn!2^ZZCv&jz)03?Fbjzmn+F&tbam0?QP^K9DA%|oU zWYm^@ttP%7MnvJHM{|;OT}Y24a-^Eq?7z7x5q>Y`=x+ZDXaoOt4Z*rVA!$}Rjbkl4 zm}DFaw0&^8$s`WIT>WbXmaPo2^2)1JH8k|^4-PYsc*3-UH0%oapfgW3EB#w#xw2pMLq0$6f6*71=t6;T}+Ir}#=;jo!&ROcT`^gRbRicLr}- zE_u_=`}QwjiXQE|3rFK(SFYZGu*(IQOnyN-OLV1(3&f)_=V?}j-cUccv;UGt=oG=F@Si*fCLK6Kn za}^i2a=(M5bmVPgp7v%wIR~$P6KVNl^4@FJOPZ*GGqC={V%|FM-B6S;R8-A@k2k@a zb3u2$MORgvcv`VCh5wGh@SVMtI7{O<=WcR5WC_R}u=JMJ#y9?FLMa0AFVm9>5hfb_JA!Os?i7un$vRY6}WMtkOET#gaga%R%NM#bbC!)ur6_5#V%;+UJa%1E!2ROuQMv!pS)*r9xT);iFr)m=rKXx|Q84Xou9a9fy;5z3xH{Cy zJ9sdDg>?(cMY?&g&0C7t5nZ23$BVke)fUXVjiWsTym_sA0yA(gTHZDlMhTG@+}}ah zx7bK{ubH!%Rq&nPCk+DF_21#G47%N`9Yh>peGo84frtRG937z##InJi3BxE~ew^rc zkbf)4Tw9oT>MxTq-)&7K;vb)|fipBR8#veh#1Az<)c(0(Fde80?` z>IOw(TdPu**AV6@nZfKl{Iw&s2qcN{mua5apt(z;RjF>EOL4!}%AzxSq8gxkbpL^PnV*lgQC~E@<;IPK@yhHT=$sLCm6#5PQGLrCHg<8%IVN08z@z-B4 zJk*nafF_g56XW;U4LZK7+MOimY1-nE_Fmh>LY*uL(i;cm(eq%w#zLo0b4qFnM&ej? z&6zn}u8y{s{O;(CpaWztqSpGpMov;?uv^dbOJWfSEA)p(XN~r!){Ks zpvcqqSWMIL`9fXLyxc$FM=Ba~$eyTl_L2zHe~tlyur>e=0cVBh$OGhr5}u!E?mNF3 zHaVLH;<;U+=wm&eAU{<$9nh3?haZaOUoyYLopMGcf)>7S9MtPDhZHN0-lnGh8}Qhj z(2_Zdl^p=$l?%oV{N`9p-1JbN(rK_$?MA-Ga^BhjNKi_EC$}V!N3kFZ_bAK)J>D7r8Sa(rYyYEI;I2_HC+|zaoq{euU^hjT?(^L0 z9wzYP1qx5T;pFNF1B?&A>f|HW$;i%q1BOaw8RaL) zlyirRz7!n+Le=x5=u>41<&zCaX+d?(aaEv$F7aR`CtlrR30#1s1)Aqizc3cEcY|)T z%@5!nHTW7aBC|K&^%#Cu+yRb4bj+t#n7QV9=tq<{v5xh7Uwgn_hcML+*X(LabQ=xU z2HOq$Ac!Z~jrPyqFFBUVE<=-W?!A9j`P2%dR(diJK}iA-z=BbsPv^K1l4VU zcN!&ks0mcEqVr1S$@XFPnDO)@4Y4YFyB$~uqBgySEJJ@~HZLxXuLjy5=I+!Na5wri z8Rp|$>6cW2#)Q8rtps1}Ui~$UzsYI4&PX-DI8VOXSs1GbGX``I;@AKc@(xKmXE+U9%VB;F+JK4^?@!H)A?dLOoQ)-~bv=0eO+Ndu>TaYM zMExtaT9UQSEjQnAYO;O{t3zlS6T1c?HDyJzO;7mz$FDgp)c*3vO6g z1e0&*Z{x~~Gr(~?7WoW$2varQdY=$l>P=J$+Nz(z#GG6J!>G9GC%?8Q(kYQ7 z>{9(|*2z+B7j7mOu~o0%F7CLDidDzf3Eu0MOFU9!p|K&x02aD{-`xJL%9%6H5}*DE zR;cI?O|)!;saf9H0UEMumUB|;#iTcMH3g<9;F0Ck_htWDdMN3ZlLfdjGz3t62CI6u zDPM=HR$Haicw0J;wu6eS*+M@@P&f?B^NC7GkQ}?h8geY8d4p@eP_gX(V6oHz1IywS zBmRCgL&v$)y;BwI@R#DjF;!aUau{a(a|P!UMkyboaNE*yKtb&p^s>6Oy_JEV3ylkB&n63!C8 z8K{<1n-`K+wm;bxJ#R2NYZ5i;{e4cvgsPT3KPfN5kkrTsi$Xtfiv>fa3~@=o^}Pw4RIL zL1OonGNkD@)(EFo;BF%v)?)e!T0lu2?Y!l!=q&THQU`Piay6g_F=sGW-)%v{SkFIl z%eI-6nIUN}-)@V-0ONcEJWjq+z&__&=`_*mjs z*;C3odcWUMs13U=`AiuqlFv|Uw0|?_Y0qSE^$mMG71zucX^SvNtI(Soq9&ELZ+2mC z5%@U_WU|35xbT<^-^QZ}N9z9%F?E~|xOmR`RUB*}Snu}-7u9zwXG^Bf^HS@<)Q5mw z^mjdp?~#}l*PU7;%?i&Dm%P%;P7^=%lvj7FM+s>zec3Am%J2Ad8N0`%x8F1!pt6vW z74mlH^{%xa#I2iJ4N#LsUP>=LzO(Vtfn)*V6JwO@|B_R(gx=-gHH8eWXI2AWxU}i$ z6I>Gd)2kF(<;zuzZd?kU;uoI-B7!=!SgJ*Z=E6C>{ub*juPgTe9V9o1+i(jLjL}?1 z{gHldriU4P3tG23-qHa~JZZ$epF1jt3&SXoOC3EqZI@wzClnhkxpDq=@yJgB@wyzlC^>zJux(E&Z(`T43WK7I2c8}jf>zjzM{E_JFS2d}kBbPOwF(sj zy+VWzBIt%&d}aRulgPYTjz>9;kH#o6K~JzyobylP(tVzC+#4+ccb%tiRm{s{O!-)M zPc=J^Q?uRB0j{jW@6W}Lfl4OCS=ML8DyoZDhQ$uL3eq-U)i#XxHctNN@s4J=$*$R= zLqMHpFm=0n=BtSgu4u@+R56{|zUtL+*A=92;2;J{D2J`rDf{#4DgG>K?WA^i$dTvq zZ*}tKlW?l&Az@6h+$2!*46fqubZjMG&}Fx0qT}iqNk=b_gK%h$^1jNCg(t~c4$3cn zVVsvx;J)!gC-T3nmqw(k-P>xRHVU~!GNrM5Ks@2QIFca&Nq(oqw_krag7pC^TuR@e zHu;60H6x|T+tM;(>@a}cb1k;C=|HV80XKn84lE0d3HVOSV@)&@le(@?Eap79-Hfg? z>W=Iewtvkz_HMu5jHL0G0Vek=h>&`uZ<=#vA=@b5>)sOs5?=97Qjn@>8V=EqhREsl%_vr7}UZO_;tDiU(8gmiYJx)G}Xwu#>u3gKx!2; zOB%d{l@1ZXO25`_N)saK`SR5stY&&7gg8@cNn!oNdYei7{7-^;NtKfFKtjO;zZ@3M z45^;`FN2}3n91FD-XYNlJSYHUrl&%4NFTCht@SguvVfp$OYWdi;=9qNmMueqW}{_W zOz_cvLRa7RhJ7Abn&%(1(EMCKhF&dx;&vv~%j!DwRAoG2ZqU!67Z&|iyjvOe-D?&P zr^&@M>Il(X?D%Pm#IQtOnoc0&_X`qNWH&4O=gztZM6*w;f8HJKNN_zO@b(WAHC<(>vTi3(N+OVWF|H&|00!051oYT1H;#U3#^5GM^FRwWC8#syKS=v zLMK634X|ip5DOR|YgCCe!uDwK|B&EO&-;8=;?==UwkUSjMCnJKk_VDBAP=R9$z^>| zA;sSSAQsITbbI`nt#lStoaj9#U|eS;u5=W<|J%oDxt-RT_%Rv5{UMFro(d^Z)*m0)fw7O$QWSD8I|EK5VB;t!FKJnVu+=U^MQL=&2TNr|+?m zjvjBJGF|Sa&I$avl0Fr1UypJJHESJD_k6QF#rXS9sQqZLs}{&o!k()AG93T!QqglP zZysqDf@86pxiMkqBfUw+zPI$9T4qfoyFS|Uc4$TPF6nND)XU5eRwMO>%V>$Z0h9#6 z#ptKzx5O0sT1vJpSY?0(#Srk#-m|tDxxV212U36vymc1|!WIaKyQvSNgwq|Yv94pO zrN9eqWd3@7yT|HP4icP^razWe@d86Xi-TJpFe`rjK5KMXDQ5FQK-JpH{>$w)(3f@F z4f38erP1{Aj`Wxgb_|}i6$c{mg3}^SY4bn~s|wYG@f!1;#k0u8ieA09qGSY`+h=nya;!95x(40coPdoG0#dvYLjpG>{dnIUdJpQ zq=7;^1w43CA;Y0( zo&p+Ozz5w#a+)2d%Jt!@!WJoQnC8|r_+XuH>z2W*SfeBKykOZE6y(dKbLXL{dqf1B zD9{FW?D2a>GWs$QwoBZ8+R;>ECP@EBT!cb@9S1C!4Fifj(LJ36>>VH8W%FKRY6n|e zKV=(qC;u%gkz47YD*TwzLLrwYf~9$u7-Wjk9^j3rq1B(iII^hO`|v1epHSKAE7fa$ zBKlk!^8I#_eZx`i>D1>Q2QCey>vY?d*PYqnrIdk!&wqm#${+A;fOTO zSLrM0q_dhvKC6B=R3xYv%*8DSqSAveY+ivGJeADPa=+s~o&bjLo$~9DPDG+<7)hyZ zPCmlwMzXb>M?rv$q&@2)7PZ0sJ9~gDOWBCahXnC&l3Rd(gSC|^>z$vg9KI`To{N{P zNUewXuv6NdN3qNH$1Kc3I|N6=8GXZb!+Fitek*01>CRK{B0EKs^V+0H@A(V-Z9nnF zC?eS>)vgGKmb_(@rwL@Lc_UFAiN_F**El# znR1yoL;2`8STI4g(Gu$s7h(pckhqIA=~^)g(f{~BBw1dwO2#~ku$P+V4V>{3$n{sQ zV5A4fl{(72V?UAM&t&UB!8s>{dxGRQy` zrmGjC%^X}$5cn8W?1t99Z@2#UAa%8Sp0EfK@s3E-pJ?YWocEu7Oi8oljlmpOEHaci z7q^`T@@O9o(j#iT^*>RyRw>}F8)2jDqKWuOv-SHVoVLRsVnEXyvv@FtcC+1jv+PEb znP9wL@7f-8fs%E@zTkM6>pAnO`~s4j)tLK*#~eEC8OxaDs_!S;sjQZwrQ0!L{wGbE zH(K@_;-7QBy*oQoAE&rnqfos`@-lY0Zc@vm&cbB5k96ylW?@Vw$l&vn+W z05yY*gW7f8aa{r?P%EROc_)b7n*ZpOm#h>g+BF$QmuMCtvTW`EBrobYK?hOSRie4e zZV?3EIwf{r@E&OfS)Ia-NTjs7dljXfPolY89_uRq2+MTVE;;NelZc=J5M5`%Y?zOy za6`@!7spx)-D_HBKb|;XkkIt9DdxONtP%nr?01g5-0NLtcVeKMBT=h^^+}l32wR#C zelQ>;8|HN`;oi{6C_kEs%YiKYYN9MGCsa&w9kP}gTe>`H>#UFgCg zoAEs=e5(7j4-73X>KX{LA6WyJCX)Ry7d7kZQZjO2x<`?S=h+B*>%E;Qe+`oG$~Yzu z=WRITja06X5qA_dBs|SLE{t$)>N$ZLx0*$-~;}h88@%6MbO~l>OVtl^4xtDBy5N@Nx0qvhzL> z1qq)ZCGVC#<#Q#{n<{VT!q;|WxflVtWY?dfn~)?QWEIx=ByqSq_4}yES-aMP_1dxy zGbN;Oe!BvMdJnYM*u-V@&x(d~epzjVwmt*W#fpB$2`dGw1AI5`fKJ-XW@l8FV2*_! zgK_0H7u@lAgs)M)YiIPJj4)UgAW^swGSiLLx5a65L3!_2f2q0I8!IABgTlK(|LiMe zT*C6c3S793yuTfCC2un^g*Fd~@L-}O6^#?(=n8s$!ay`6xQdpE`tdN|?*sV}xd2y+ zWIwbI`1Rns@V#qbU<3Ji5%gufs;^sg<@qB1RonZ!_4tsVm0Dl%x$)nyq#CqWJ5t>hAt(*IJaKGX!eCxv3;AdH-?kT3v@ePVr4n{iJKWlL|xCPJfcOm`5J=&+Q;(tzV{gr%lgd|Wt3(wW#s!_J~ zjjsc#j#N#Amrd~KJxZyGqx_h`O4DreyEFT?&y)RxON{STJeAGai!DxE3RJXEgs;^6dW|>8Nw+C3w;Why zpWH6kC3@?Wj4LP(1&RF=J8PYO976`H+!`$n8E~Kq_GEkElL#1QHY}Q|1-Jy)ezLD^ zA<@+#for*gO`7`v=zYRrYRh^0RHM1N9#)dHAfqiamI`9vA~N09C_RP9&!!xDzub}6 z$A>~2OC`PsC0wB-pHq4Wu`Nbud#Fp0o~SLu99ioHK@r0UoE_c7gAR$^+^kbBfpL2N zv|BOx0;7BsuWPxFl{qO66$y3fyT^Kg9ukUGoX4oC6`-M2ICGpFK?q$&2W&ZYAj#Ht zrvX~VgTgd0Nv+&gd7{~>{iAN|3f=~QZ`=@t8npk2n!`B(Pi&%+=RHFY+8+hN(~meg zvhGN}uG8%m`yFT7xK60US?)jwWB@|QhcjjC9rB9LLuuT+ed$H3je*0#qH?}r>T4e= zO;a>366=jadYbg3O{ulz0W#D2cK&z!qvP@DLId%vFOTl~i?8o^9;|3DbI% ztkl_L)68EiH?@#d0bIqqfY6no8K$E!<%gtCYl`wmaVQ?!RhPg_wey3bT|k=?~QiHOkSViOqYj=X9%d#RU3Qxr2FDC5$DR}qXGn#<$w@OE8?$B79OQv6r zp;)H`0CzC%Co=?cJ?}@}@g1fEwxbswYCv)snLDZ7uTGQ{-fnP@@=PiD(p8NVu}t7x zqgd{YIVSNb9VGoS_zI}IgMNxHXNp?9!e8LZd3M23muQ(bxh;G}bbxP&pSDKOGbi_c zP={j_-R3opMf_X(T#3Nd*tBRT?rMaOvwNGgEzB*-y;&zAS!=FTir?#k0JxJM8a)4C z1Y=|hdx3&KVluc#A5_VZs8zkoS`5^d&AwywU)uJYm7ymjl zL#U%^Q5wp{_*}3+-f0hc00z;PwNOwqgN|$sq zgmfb<-QC>{lJ|`7_uk)k|GD?C%RD^K%sKn)v-YaJ*4k^Y%|Ocf&J&9G1b~l%ZfS(! zr5M{zzP?}2(X!>T%MIDSZ_qfyrWU>%GO-3$)P1iHSH?tw0!O|i?j@G z%?1M8S8(w-3?5cun3eytGRij%QZ%Uq-7^FYZG21MKfakzrgduHiQ5JH?HM;S*4ls{ z-mjv+o}p#;!hbK9u*9(nTUEnC{Nww3tl{r^_f_wHSVq^MoXHd6+KNY$X*SYKZQAXp z$OG->%ZzZ~jn#p}K&aAt)L4jK!WzGhA1Hk(*!s?U#Plzb)) ze|N=O%j0qUL%jm|yr>NRp3?5(pz?>%Z99m#lotgw~iBbcRGX zWmg7Jsi_@+S(B;cYDhi~Rlkx4T8k-Y(FC1#c zMOcL z#m9rt6=CUTK)_oz@!Xh1iI!jE*|3xENd1}ivVp1_r21sp`5>qRl97=#k}~U$tr7EO zDWG?$Hhc@_=YO!(R%`7C@ODIHDe;*@mfQm=7hn}?z=<} z@lDPQ<%nV`9B=3v;K!An_!j)m7M4EMqM2#<+X4CyRANT45+}7seL4ZUzDibSqZ)&?h7kZf zyH>mmX)qRX42z21;LP~t{2K-0?(=WHz^zMufz-8VVQQztHV8rzftW~xC%vj7?tX=> z1uSv|mT&CL=@hCh z!V{t|rFR_kU1V8C`Ncsi=LKl==B-{fAVj}u>o&)m?Ko^Dsa43sLrNSiKF zuW-D}nx<%a%<(M)Oxhu`CZX=t;ScR9;Uqo{vaoB{@HSu|MCKAVjxg+Yow>zkBd`WuLP_LQP6x&DD?X6~`f}>H4h$ zYF8OuEN>vJ>9{tO`IEu{s zPt_;@Nw4NxS0wh7o=};9F}o{Ks~+z^b)kWH$1c7%d5Rzsk>M}U;0%lYkxV-91hw+-B_@DLM9!f7$L`^&z{7mozNs+xpEjbquSku&0Aqd@6qOz}$-z*W$kltC; zVA*$03KZ|mT+|6YhZSLFRHe45?C!}1PRh+@boAX_Dulu(7?JFv z3-ySdJ^+)r>xPhtOV3uoEZzm0q-z@9Ti3<6VNnxW+zx9!qX|14#yq}>4GiNGDHi4* z&hc^2O3WU$l614x!FZ#tDB7e{VCmL}-U~)I*qhf_O3biG_I$ypK_v&_X~r*O@@Jbj zdqfU3_W1O8@Cb+6BT&!QEQWo@=NRc}%lD^Ojdks_2|1Xz;2tBBToW>FOZpY?pqW{I zl7eE0#fVy*>TJ>xSv*(k@rc_FN??34_TrUC)gj9KeYIQC=G!7ock#IbW_rs8db0*K zy<3(yK%4}Y4cVmdGAT|WH#khlmcJ#Iudy5J|E`CZVIz`FCkB(}6*e7@V0Zlht7IHJ zIT;nWCQjZ+?Xr6sU-&SlE{d9xfB0OS*Sw>SI&P$-Fe7d3p!<3WZCka(ik5LAEKq8B z?6?m#h3Mk?a;!sh;iza_Th)d^dbrnG%l2u}cUijX5ycTTm+XbfY#2>I?e(((F0BGZV$^RlTC(zT)STq4y7cA zQq|Q}wpyykm}Nf5o~$BGAkjs(5yw52+8PfOChj4rNzo1$R;&fQYnA<)Iw z|AYL7gJ#E}{PY!ffJ#G#=|HnYOmf)cYqG-(X;GsCF`7@8w-?-yVGMY;MXvm8YRW0w z{7COQuh;cRphaVj;{N%ib}m_hNp5@+)Nw;ol?_LNVtK;ft93vD|z7OBF8gJ zYmw|5i6hH8Qw}racB8X&(vOhOj~o7+;#{PB2zD4yYLgZ@v|(`kM0!rKH>%*$v7GPr zb2kX5T~KRAMKw-Rdq=fIGnOl1GJXkLsS7)Erqb+E8sC4;LV%%hCEh~8k%Xos?qYM9 zYXWwRVw<0Wx)N!B5|m=BI2h2}iR17ynsjfoexiN{c_f1d52G*1F^8GCZ%48PG2CNR0GCNw9%pbJ$ydJ*8||v58Dz%209e#X zW(IbN8{?3Msn)9+;`U2skCZplV-U{i)-UH8-LT3b zG+qJ?C*N5HRd(4YxLsI7^bg1Cp)=TQg0GzNj4N%l_7@qP_S*`fCdZdHc6N3U9EW6b z73vwsTWb<+`GX?#sSTx}Vn5DT>SXUMz?iR^-psJ@g-5dT0KtknxEcZGU<-ta`8Y^~ zlMr*ZbTS_5JxSw>!x?@h{?`YZD%lW0YF_l|kp4 zqOGPy@(qV_bi9-O&mFqsla*eiMre;Ii$QG|5U* zG;-2$+pj~t%lcw7@guI-4kAjo%P8X^^*HkCGYa|!m_a=Uq5~16v9_KrrA$k+thxzU98WaKKvdC@ zSLSKhLBth5brzKPPs5+iZfalP;-JQvCp7*s6)Zi#t>UzhvHsz9uip6^19I^9DkvRv z`_rFI#>Io{bW@?pZ%IXdF~Gvi{2tsM;t~S!xZlNntnZ8di_X>2x9+;-Q2O?~c|Ioi zaQW!dar7I?HOKm%U8(V5Se5T7TDHGKrUIj-KmDoT0&`HCaNUsPjbP|q1WKfsv*gm2 zn8Jd=A5nTU_vd1m>VH?;iz}?E!i! zr-62|%5a(a#Nu?H8Hll0rpET#T8?m-dz(NFD~FVL%`T%efPs^r3*aqH_eA{PP;Ady zO)cIdTNy}(b(seTB)4?_fjm`lam(ii zOxL0GljL2mXxA0$37Yb@J$(Jq9d-DH>E2tdZl4ph#qTVKJ)=uvEYR5pFOF!hRd5$% zE8w}S`|pIMth++3KW|0z*?(=_+i%*M`tOCUy*+xh>ms?e<|LWV^7vXo@QW?&GZ){6 z_v;?<=8GOApJ!7|i+925#v8O`RcR&--=bng>TFEm@&g8BI|USWX6|1k_-;R@x4}cz zTqF-ivOmKW8!Mv)_JoYL@E#OsdrcTe^B!##AA*}Mn=_Q&sbAf=1lC&gQ^>mb(p()a zK=@a~e|OqFtZ3n!bBZy+P3E`Lj@Npl(zGyo=|#<`E${MdKu+C|Sw-dkGGKVkkDAZv zXbz_OVoc_FMUV-R`XBGZ1#dKs2{9Xv!etZE{GmPiHcgW8voR1BU4zRU@%>J+n z8<$UCoxT^jnf=)W<;x(#QeTWM>7=w;-vGBN*rj#6_L_pHEvXFC-@{S@?v#0LB4vq- zHCPwChEzrr4x`P05q#^~JlC&kET4?LGtCm20RMQzuiK#|U2P41!#u2jUAvM`RJm)k z!`RVmP&qu8rBO@IWqg8FJFhVg5hEirw`^WTIq_Rciran#CLw8y%<`nGUB~OwRJUozNd+1S8kp{?djl|J6gC|) zkI@b_?kpg7P;jTGz6epS)2pt6`O}d2 zjbn?=mBm`bGIaOZ^-D4QV*-BX*KmDGJX2tQ{Ttmt=$GxmjD^=1iT9H>=RpZBW%6wS z-knnG7dP@rgUD@%##-)04E=zi@xh**7Mrwvk`)SM*B}MMcRpVnY9%>$fb7}Rs_*?9 zchV+u^w0}}I~X8~bkpszRVP7rtLm+L&jX-)AR9Lts`~Volxt;kRRbtd7eRy%WIk>( zkGrcS<(~dEMvTE#*i{n7%baVXds~J5x9Q2)dMH0==z%f`3GTv#H0Y-Vs%2uYt`q(k zYj+`)41Z5!daoJ5s4T!Euqz+^0OyM%-x_3gyw;u?7UYx3@_P!{m-H}PEnnYY3KxYK z*4orZyTjxPPQDECNx<=9%Jk2aR>vXb)2#-6#O;%9W8r7y?fc-8J)H)j-=)wPj%h#1 zTOds@92{~ny4Z`w?vuh5q?Gj7F=7cU<;ZU)bU|%LSIDO+ zb_9!}i`*K`DWMbbf=!B{$p0gu@w89MWr`lB}ovG#_-P5Q7pIXa&=_0(2T0JXmf7yHHc@cVLLkpoyc z?n9&(1>6jUt@L5-dg#r6Mt&g(g_b5)q(g(cf+yIbnZ|2~)shN5JFI1`E#rTz31RZ{_HhygK}GRRb6!DuJZL!In}XvN!U1* zGH}^lsdT)Y$k1mumrmOg`VPpw_-5&0xMd!C4aOwWB~z+5H^s(QJrBwgjkr=&%XF7a zh@FDmQzeyajG*m;n`w`Q?FNkR&=iWBBqK4Qta9v?**Y+eXMu;BRp1?{&zG0qEXeNL zMgDIbhCZ(Ht9oE?NS&4wmh1{}^=*ZDTNnGufS? z0f0eHNaEOGxEj~!U+ykKG%J20bBtQe&%MD4W(0Y*s~(~G?h2lH33vpU zS!irNmUWR7v-(-r8k5P^BOu-8=&PMDSbCnOu}fo-I999HSV~#hBaXHTMq8x8ju>AR zxoJ`Q&Xk`rlvp5XRz%&br`sUbSio7%qq83LeD>n80ngJeh$8Dyq*#r8cf!(>s_~LO z8ZX=Er?jC5hgDi}RRjC)2c9TZxC?%83eM*J)x&a=BHDk@1+kP^82EmaYEvk9e)x4= zlEwS-2cCtoT%Id-ctM_}wCK(2-`+WpaJR7(`6OB!hK^zC`Q7aa3>&)ghap8Z4jfZ? zyL@xhj3RrzA^jN{Exp<28Nn7}!Y|6)o7L_^t3-d;BwVrw!msrJCG^9&zK(0XvDOk& z3nPXHSnqrO{J6DX&Y7q*c9KCfTJB%9p#*Ol{pm=MXfz-tKv%(It$+m-KjfL_VY++V|M5GfXP}BzI(G-^C zP!O>WH6I+bP3!pJRa2OvsgoNvOb;7&mXw_DBV^DGx8Pr5#}!iG6_zhq*LRJW+DGYJ zf5OLlYa;y%8Gm8l_9@>o7qzihZnj&oMs3RTx}6*pHQ2FYz2f8qG)^_rPDvz7gWpbl zVC|75>?aFZe3ZlcN zu37b-A17ZfQkyEmHJl=Vq!D1H9>y8MF?piD@&`2%8?X~Z*eX?I`zMr&lARWgmcVG2 z*Hmmu@$_UKXD3G!`LWH6-;K9L(D%)`r9@kYi(Q>&!@q_N^-FL)W35|{EAuG`wh&0v z{Ot|RyQP?APAOMo5PYzl^cL~ETv!sTLuyB<2H4B=eoPK!{tU11H~W0=6R&`YY{^m) zgF88G>$alm3%>1wHABqA;`sN*^Qk@(WY}&|kFi%13g$R@M8+BwJdWJvl~Wsp-R;~0 zaROM5&v!bUnYhpERe$UprJ8TOwnZ2H9|IN1@)aPR8k|v^MEKa1Y(PeB0AClVKUf+tR z{O#gvd%C0#tbz&WFC>rVDv7#pv$PzF1+Kg|*&D^J2FmF;y{Ywk`^x~ZXO|lb_IZ_n zONSNcb`?)*gP7LG&;4)h+wE-DS^?{|UBjqZ9gopkFbGn$ zkjVw@_wIkc&N3?3SOWnR}mW{?ctvE&Gvrw~c-)5Qw_6C&{>L z$Q@L`FEaG$6-`gQh{#@40g7xB^g@1KF^^HYU9A;q3YO!OEP*!=83ELvfxQZV`*)a- zn3%XlE&X}y*(O-7vO@dwXM>DSHJe6qq4ft5sjb}_sLKsrLmmt6lt>dgWA5E`;sVmt zROOyPR+5sT$3h0++mp8dI~*l29ewj~1|Sk%o-k^>Cue9cfc-I8OW-AN26BU#%9oko zp{E+XoNWHzx><8w!!r5z)|=}sf=x>&Uv1cNz9*gCv@^5a#v07t6jSEN^1QGzj?6~h zSUdwdL7?ZP|IeS)U*GWhi9Z=GA`8B&oHDBu=H_;+ zLJhp8d~s#(Mcj>L)Xn966=pC~H%9oC^u-movEDPm`0Y%~GUz;MibGY#GKca}p@@VO zrYd_He!G^v=bo-C2m~quoNoT^(E_d-T#3(iga=J~+~fQ0!}?L`O#2aDD0mVyg2YPL z-d8?mq83lRBD}f^P>t=`BkvdXJ}%r>vHpDO^Ze=H1Wx*%juuF4F&x`e!P zt8>7sBrvV#)DTk7S2nP*e$kVZtR7eU_GYq= z^~WO+=!;zIY0#F1!OgAQO;=CTX~7#-Ob?RR0~DIl11d5e^wBBgvaA#V)mjNGt*30| zEsbe|($2JeV~+IvyrqQvA4K$p%in~{SFzS6Fw>yVaTW{nI~2X;!|QGjI9SsZE|`o! z$*k@+pxIlPVxs64BRYKuO`av13{Kv4e9xdk;o+%CDjOQbeh>SwzgS(x$`1m0lKjof zz&JuXLIZ*5FaR3CmU)d(dTcS@M<;9PN~;`76kCenpydCd_>H>AzxD-O*wy6^?Uw%_ zseLF-7g-@#jrT@B|GXHL#w!d?kWMN)&{XE^+ThrMQn00+gS()W?~6%UnLk~Z4iqw4 zboU~D-G6ypX7B3iC@EaGcP!CO_t`x(s{6UIr~_@X;)mVJv@uF&e?GG=6i^Ez76P8u zv(>wg>{e;X!c=}3vGuXftA-pzTxhn4tgFJtS66>Q;@uk@=tqL5Xg1qpB$FIjHZT;K-fP>1DPQbR{nkv z{D+nby}H#98}tuJrx#JGmt&=18xKu(srr^ovTRo^5m+nUoxNLo=JA{X{OkBy& z=$!fZYKG#fwJBRBn5k+Ctn?fl)2|KNk-N@tK z3Y#xp!oSj^@6il0Y|@BlM!u%;&Zl=!vSyG<*;`slmQU3?K_e<3D(O}GbvQ8JN=?_B zB;Y;Yld$zpbRH|`^a&uOuU`=mz~7bd7c){}Qn5v;fB#teL1GCk-rbgRu-eZZTx)>U zg*W|z<_K-)m#CXSpc&!$HuFJQ^p`_$^>!QgN}?orN(ZGq9#9qyl#$-zC~0`YS?ldW znbOUC&+TYUjRfbDG!)5Wm}F*%;wKL^%nfLP2n^S1uO)W876`82qnmZ;R`@-+ERM7bMKkbo?3ErBsyoh4l>pJEh_z^UcA`d=n@H@20a;=S)`!Rj6% ztw3Y6h97PDNZ9u2bCUSw|Z1GiqxPe8k#0>9PaQ~o}mhRd+aUvY<+(Sbk&1}zX`#0-&V z`2-QA2EhCMA7Fnp;U1y=6<6lW7QjPKNijN^@|}}ZhAlq~&t26yN2<#J)d3R878Q3c z7+ByYI`*zC-9XtZ5GVra=>()yL!(4RfzcuIJJj+1i-qfYK2`&e(C+87B#1>8jNQlqd#|Zox{wosk$bTVZVux|mc%=^ZfXN$HSQ9!7 zF$EoP9VHpdzkQSsm!9P8rCm?HU7&;e-oQj5=ejQ4kATgpINXo}!J{PV-nw|xB2IWL`( z-?2lMGdNNx#5mCs9xqFHaLFRV{~G|GB;gl1WhYmEH2~@TVRP3u6i68=-e$I_u&Hi@ z_GP0>WAW+LLg|LonRWk#lpWS;b{7;;B`u>`80fpUht1)$s`1%P%ZpF3pQ`8iEoEed zLN_^{AB8S4o;HU1jE<^j!vuWDHXCiUHPl36z(PaHz(c7x?G29Q7G4^iibl8kPAZWg zabRB2uCjq?iu&=fM)L~m$N0}!PfnMqPUqhCAADup$XM*=_~7|FC9XzJZ27IKr=ug-%hrH?~~>cdPuh;Y*QM z3K-RHqmkJzO-O`~L7*NGj5-ARgo5>V1l}03`i`C|GR&>or)q zySIcb8=6)Da5w5aNJ=Iz`ok`*d_QJE7eauc-A_)?tS+@9JtP)9B%5%Z9>79Khj0EF zCXhM^f<>Yr%mP;$1mmhbJ_-^-7!XVNfI{hrwQ?TKL-V|!E=1cyQ`t>$C3NW zKqV8fAUXu-j-4ra9oA}~3maA41x!ys))4wL%q`E~wYRSW5*Dp~M!0$!v}~|UDPq@) z5UulWa{Hrq;i8KOF~Fv(nm`DW#i;sNxwGq^iy&v)BvAl>TqH`Iq$Ygm^d&6`?IA zKn*n52#s?kfmpFC1tJGdh*7jKihVl{+MYe1iiYq31^{R9vJjA@R%s*nYd3x|GF#Bd z@aWsj?PYv2aQ5GERG)5C+WD%D`ZtNO=LX1i2CB&CTl+orF#wXI2lSf9SJ6fMB*qvV zfzza?iZEa!vqXQj2l+O0(W0oo>B95$rixi_=JVxNrAMF$k*6IH)aoz7k!zeN@FUua zugmG8y{^FdrL2M6qJn}d&2853uelXRKh=pDjzcqqf!5Q)84lUzakZy_-wl%^<{TpBt0N{?8jc1S~)u`=*0A{&3h@HNqZc(WJqh%I3t1NN`S-2ODJvF2l#TIIcuct|B&`Hk-| zz0dh`@fhsrCcj%Gpq70WTTKjHenWu?2;5Z;g0T!;g!Q|@nw?zq6-)X;qeEwWemGxx z`in4{=+GzcpIsim?I9niQxg@be2n*AN97elIL7=Jn;P%CUIKba+}LVy=lF$QL;&Y8 zeFdk9I8oRQ0-mlSN^MrhcG^}3eR*nCeoyX(wpA4uAImg+#pcScJn8>H0BD7Jci+Wj zeWMYMn7NL=Yp}Z3XEc$!E99RpBlvmU=wCMlIrf4R+}ZJ)BbIMCaOt z8Vq(rnr4OCjo&q|WKSPQvibEDYezaW!>?kGaI{5A{h)k@Vnp&rIGI#S8ss@)$be#S z{h-t6_1MU{<8H1*p8x3hI%ywF&OQoZ-5^;MD539u`WRkH&efQ)@uOjd3*H%QNbKml z9={EC63yp2?8o$7%;v-}4J6r8K5$|9-YUaeMgk}!0>Fj=j3{e_3VL1pQz$vzzEjU2 zU;V%ZhSkNSr^f~GDU+HflpRoB2*LQ))+pS~eOu}gKZOAh0u68>F_ysmh`Hhif`@f* z|KAq?9TV^g|GZBjbyQj@xY+GF`K`GWE7;mmdLWyZ#+adQetVR$Nu>5wXE8>Cr1#uS zXn81fjvAfUs*k$7<>MaZrLLnXZ(y>#ERC_VOWYftEsD{^zU3O55^10zV2ZQ{HufML z$`;8FXq^c7Ji)^iXX&t`Hr}Z@I2>i{i5$pyZ-HnzmW$5o&3p!Of>Ht1@|l}Iu50oB zq;R@T$DoU`Hn&l z{6B7K-rW(ji|TotR4Scu=b~A`-OWV9Z7ZNRKrJ~4w3q%R-Cxs_2BA&>Vga;}X@jhh zSmG!$ctb&+`-mnw2>0t7%`-TA_p6EzxFO0aUODQKn(UW!NT8Nih%o}J&;of!w+!yZ z5qtuzl*Iky2b}8R;TqWW3(3>=|LEiBYL%%idXrGngyeC=W4B>FROdM{Axc6}7GhJy z)4#4C!2!(5il);HKj~Q6GFo(p1_fJ>KIAGh| z&ObQGfyGOM4P=#m5b;+mPQR}w5NBmM<30uPT%ajDQD1Uw_C6=Z!#j+y5h+{i>sTx9 zMkb2x7xFA_%)nJ&GEA@T;rEZAmwB$SO)p4gy`iWl*63V&sW_lx1~++2Sl2}3oh*N% zB{SeupCQljZLN>8+GJmwOYm!T74#^q^bWd4_AfjQ`ugxC3JsU1i&Ha@D=8t^`y5Qa zd^v2!$?{?Bbn7XdJG}XwrE}!n$r1$djzKV84g?kSfTmWMqDA=^&jAww3Dgdhp6Ni) zG=SuM}WgO{=Q7Z*%$HJe}DehyLTqrw)A#S)^xbxU*|P3ACo2^jdXIE8b!pEBOxr$ z(bmtfvdSk-ySDee7$78^(c66!h#{~C2Go)Za2tsDhsuk9BE@-2NO3g9U;7G(4uW)E zt7H|fj1;Cj%>DP}7AcYhyP?Zp`DT`TMbT9MyLpS$cDb&xataj#WwkPbe}UNj`7xBJCVtLhaJIJ4QVX zM`-RZ-+QvtR5Z4>op;o}fBfY0S5eCIkj~AsZ5B1_mb&{|RBmef34HCp&HO`@6o;wk3${9Ib>7%H9-;ks{lofV=E3Rs z(3v;5`y!QNUS2;`=&$EO-{$N)F2kaMLfe6C2m&2+(`uEk!g$E9O7=@%h=yIQzMsc@ zOa+G!dD8i34opg>Bs{Zf9)7%X9;BWxS4sV3AnZ7Y^v*GVR2-d zDJ;0NGLNA-{nLv%qi8k~dA-hF?d;$w03SKUd8alCZCY8Xpi{+-yu@PmGO??eU44o7lLO zAOnlQAkYsbj4_DLEn3Tue5d?5R!|jE4%=umHd9kgH`__jTJ(r;~`<#FKL}2oA>^3E0{gCisV&UVWTiw21MN-Ah z#vtPpC9T<_Z*vGYZwvnj?X}Ak!D6JcnM0a#keG?@&od-3tM8$Azt88b%|BGQjQPPg z=%maazO;J<#AL&@)m?XDW;EjjC7X6OkpcR?28!E&X7q3QdR@jA4bii0$EAynYR%Qp%i5uG2UKnP7hX^3*=t}5`mJQB>1chOLfaut*-*B5 zjmhKkE&@3<{(qo)+>pVjCR7ev!^q4mjyo`!yIvuuS(zMK0x*(lrkhk;>@xhwX9pQ7n3sf)P{nT67kDX1oRnkq_YtV48g=b#6yxF* zkFkDNE$2|qfS8^%hyjJPY<4OV9b$@jC_+4fatYQ^3X*UU4};i&I#AB7S3T)}P8UfN zb;^FDQ$xBaSa%o@S^)$K!9NOu;RscgcJk+a^*yiCN1gsyq;g}45W@f5^?cTrD{Nsl zjf-E;AGkVsRqX$+-{&vzsZNvxBO?ZKD_RC#r#O&rpO8EkbnN%hU*MY`zLO(Cwd?+l z{DJ2nc-Y~$om}Z@QHCsfzr#m$)QGOyq#gUYzwyhd41bb^@-a6l0ielFTR@% zFl|C%1RsZdzro*eQ5HKs-H2f5_@r;XeuejE4_7ak^JclZt$pN!zBC9V{L9LO zGsro*&*VdX7X4vZ7QkGA2e4PK(V3NOOBCsZfxB$^z3I3*J1aW7w$F;7h4f?f~m zB-bCaRKspvxmVN{1zx;U`Wp-!68?0Ib^($LZGJT|(SR!5l$UF~#Y51r2V$KU?&AYa|>{PQ2W&8eTIk7p&yE*&L zzJ|`bQ>WE!w79ujvSH32!oMMS(gnejIH$W^$}szcH!o5SYd5HSOW4-;Grljt;7L`x zM9_zj!{)DC;&XntXjPvQ{>7E2J@F0Ny7*Ty`-c8hv)-_3r&MKU){ngAlWeI;8x0~q z*dOT{ZXY*&HUuiT5YnUsf91g)9bW!|^0BDDUDk7a7RCYA0)a|%AipPo{s87{6+c0`)!xJRsJg~!gYV6*h>p=DqP_x3iMKR=Cu z@Lja4-3XA@3>Z_M)afzqN$xD7GTTFH8m$nFXGdtO=jbT~6(t`j-($&&u}tSzalkl^F|&QMPkBHH=^uGGLp2b9KP`P4<_( z#g4v9&(?cXq(dvg4Q&wtdwdMpEY^(KSxb|~uM8(m?K$bmQeJ62sD12rJ__bWaWd*K zEQtIY0iV}*T-EyqB}R6S9oOr{DUU3IqR<#nt7D)3-Vb4$tp1Uep-VO24!0z(JlaCImRNJCg7_ux!+t3y^VN1xQl zqVUqXMH0C9KAYUc1I~{h1DGVJ=lJk}iOa<-uCMT#iF{ZmUtB%F4vJCedTyV z7)!d&>0(>|TVfs^fM=`Is5_4+wT2RNtbq`ue)?Xfs1+Jcq69P4{xlMmk>_4L-0?2Z z(DL9%nIgUmr4&<|ntT53R>{E{buMj%S^J2__O<7Gz!*0{0l226QEzP(9vFSaP|d^@ zGm79Zc6Wd!|K*9VaK4>0ds^)Dle%988jk>`033Z{JYLFoCjA+) zvH}{_(N|yz7U^ia-tUd7UM*5L**|X!xF`ScY^!``OEgQ*{8L>lEr)c#;RLw*@iJJ1 zg?9$GjdX!3-=TBb^O6h2-E=%3r6A-winpg z8Q$1}@&ksJv#LW{wG>)-?|OZ<9*6&{|MqO2 zv=|ANj$ABlv|fEGud&B*D?V?WQ9uh=xPP(vM!yEudLk6joH^JVOfnrSOMX}NQ&8 z`(P{zUluc)Av$vH*M6{l|77~gocc!T`&Pa13}Adz zmL9W(b441K%nE5$x19)nB6~}Sxh+{~diIH7kSPza^f=XOo%RavQMqiGL)zt8w-q7_ z=n?Md->!~MzP!%KG48{4t#fD= zkNI}Hdd^t6a9ma4Km!fueMCF~M*sIBsy0yx$*6NvQzC&?RVLG1gS%%a{fBcpK@o9| zAGz2FPnQ!_>9so_EL6wyIH{YCrmJC<#!(38P6vc>5tOP^of^%*tF>!X@KHEwN1|C% z7tgBkHEllQ`}?mxiA>VOiigPQTyT(zp9aTNy>Cs_N2?Xe;R+fdCuexqP#hwD07BNG zgB^=!HffCcCfE?#@$*~GrbmtebIsFD-y8|}@s*sobq;2ejAPV(fikdM4FVCrjt~ES z>%_#;T(OpYD&i-HBcz+sr*3&c;8vZ7{voK|**7p+N*UmMa8a*%^laY|_%~6q){(E- zUAMx^zlaCD1AmkE*p2~52YjsW+^v_?s<|X?K-zk$dGq1!lN@TWgD~v%+nCge(%TX_ zz}AU>Huxc^a-b6#D~J5!tLH&f^lhqEZ=oP|qt7TZgHGs1YlVDb>4ar7bJ-pp6NU<; zvY0U4w?{~64_~mR%k*I4^c)}S($;B>V>X%rht!2#X zCFz-3Cx_6OzbL}z>5_)k$=zRe%egHny?v@X8by^U#{l$-h`%5sH8-yAq#DEF^C$Af z=%)u>OYP+D9TI*KL~JHTYVQDXZZq5(qFBM@nVlS)Sqjc_WsA9{_J*wv7c5-<0^~x}~{(E7VI1`2363QQa1lQ zv9FLxZ%P`*oLj1q9^*Q#XZ-cbwjR)>0ZTtE__s%2?vx%T9ms@)cT`L@sK=-PZ^>eW zs~t+wf1DmupOgnF z{p9iD`h&ezQHO^c-7XWs?dC}}<-p4#w#&r$+r_3{G$mwWjwn|BQoU$+ z0vh*OIbf&YVjXx47GUDf_f)X;P=&Jb$@UmOd8s*r1n`7)0}^@_gO1H7gC@fmavoe& zzI`j>^L@_oL7V-a8sTaOSS#aYl~KoUgIea^j67WC_l6=-e-3-H6q$PS4}y`2pBJ6v z2+y`t`8YUq*eXi5l-bwM{CTz=XUN8ntLL>dGH_M>5i8_s?5pF|W*PVhbZ9;V!wO_5iaA3?Bqf?)}7f^Se8_&Fqc|88v-* zM)D8DUU#>fPvr4TV7kWvsJxKgEeEfndugnGh+aw5aSTu637Q2*?d9P3D>&0bDr&gO zkl#*P&rFBr|Dx@!!=mcG_u&JAQi{qWh^U|lC?POIH;AC5bc1wvHwGdgBGRo=f`mvZ zEfUfoCEX?64Zl4Fe7^s_*XvyOwT78}&e?nIz1MxOb;g+(6@yS+1xFpW%H@k^ug6^a zrnE17b-UJ*p+24aTlTPZeB!&|7DI`tdr$p>dKnJP_9t3>{1ShZe*GYs=uw^=tP<^$ z9x40XBX4IzAhal0vptGac2w|IS?WMO2}AVz^mAqUcU^EhqL=TON%?I{kTzy7U;Ht~ z$6cqsgP3^Hw<>o$g(H-uKe*N?t{NB0%hisYpI9WUGSv=QzV^f8UXhCS&z%6xAd?hV z-x_s2TXkUyG4Xfq-ZdXxAKv~!MSH#}PSn@+!R<5GXsO&Qt!C+NO;{WA%Eft)wAhCQ z|6Ve_@Yx_?h4!H!LlD5OML}tI_6|lFvD}&sk@N?w@aM$?k4iixtCj!Oi>mGFByq?!rID zI?4-ovdteR4rYsZdm^{EFsKyIAi8u^1HLV(VO2{p7Ek-R zzev{iwt1$jQgGi_==M7sXKV~!PX*r!Wbu+?Nc?jA8c*Zfj>~xA#7*T%>q%H(EQShM zVGiw%cYmJ$_Mfr%TEL4PQJ0KQZ!#Ib?FI$2d%oAFz2CcFAt-vE2e505S`bCEB2>dJ*H2BihgMOwL+?X2rSH&u%+={^jOJ;pB9cmTkEy@Pv?Uw+NK)R?-vW(jg(us^oA3vTPOSe_^(c=_wtxp zR)}d^^oF{1ur9(;Q#Z2Ww}&pyno=?I23&`p{tmR9w_ zPO<%rH=o3vsK(?ys!o+ht0K0OXD`)h%Upbl{cLDG?^QK}a>G`DyS>4$V(_`GbSAxZ z1Buy0vWq1faZ)c{otKYWP6wY}YJa-m`Ft!}&dN1vkV{rwc&MInvV`TC3QK4omVArl zodl#A(W-I$Blo}oX_l^=B5Iy-VNN`pTBeChLOp$h5e z38iDUk;4?B!<@cO=NIDxQkPQCMc$m5+=EQWo{i@PeztBZbWV89p~`bU%(F4ZuHL26 zpm+HbcL2}E=U{nlTXv1P1>HNFScZlRru=V)!B?i4Xi_ekJ?4{{y?)1Jzbfo%l)yIw zlcYbOY!d}4ejwLqhr>6AJ2`15O1HTcV)t|QQeQ9buQu!Ky}KN|-7KgwXF1=_ z@LbZW-XmY8xyQ{t>YU%8wmh5dC+|%~4KL?CaCeg2qH1&Bmx;8~RG-$P|IwS*HIxIDy^Ey{jwdqTRZD#hTKaZ);A_$*JG+O6l`@ys6KemIs${?e|0nmRw(AVVpRGyDG`{+)9U+b?kxsQ0hqv}Wa4otrEAMJfTerI4 znp6;41#ADDBr9b;|8jpmb!$b?@dD}WT5sNj4R!z2A&;>Frz|lT*;U4#>GkG#B^YbZ z2ObeZ7U2~q3d2M;gd!Qaf&=(CxGib5wdc37V1(!HRQ*g#;|$|)AG>$pu9aBn-?{;X zPsEyqd+Edx@3%xq`S(=vaxP5KF10gU?911GInf(F2&bLCCuMB$)GJFrnYsRZThMA6 zC05Q;mbluCkO!Uyl!o8TwS;AoD}w?go;Zntdr(HV>lm&NQ9N4CiDt|B>kyB}d#~Xt zUqEKL!^LKXZtGj)))8AR44WbDIcCYOHGjcZqPpT*{?>fhJtV#rKo9dcGn`~c6~2Ab z4v;J1q_Je3?}$fFtK`(p=LgRs_OiFOz6`@!D41=sRif(RJ*ASU~b7P6=O|t23BU9=QmFM~kJk{Ly@3*+V z4rJdjI@dGQy?XXiYyNUqy_)7Tm8Tc*n>~#fVLRJvjkAVcQt@qy?sr^EWfvq$X4+_f zlz>f6R^C|COjC5-u!Mf4ftl>0q=mkh-uw6XKN+I@ujedF-n$cJA+{D!dEvI^r@Kik zZ!;I;CD#I6#p_+djh9NRf(G2(iJBR16TbfX=~lQ_VQ9f12!7#2;^XcEOv!&bs6mBS z3}={=sW}q-?D?CO>wo_Ixvr|!+SM(pIXh%P{|WqGa+MjL312O`SY?-}dee+{64j&2 z8zlu6g&tbq&hdRGH5uN#r4BKNan;0C+H$=ptu|&X2~c)^O50ZP9NJZZh=f8^_$D*o zhPo!FEyXY*hec{-_Sv<;iF3C!CG*#isi>dy&)+&p;q%||F?$6%3u(7ggUXf6*PTCw zbmmj;f2!g>Dz#&K`13PnrCKXMAlzc*P=R{DRmkOGu#bufuGHN&CCU+;qj0i}i0MDX zby>d?g`9q{#CIB{YKnn*9@~x#lW&jJr<91eAKqSidkPOw1_PEgnc6{vSd7YqfNX7G z$HmJ$a&L>{GrOexH4A?Un(_YbGLHq4$o)B95538QzwF;OFWhftP_JiUeR9hMx036v zLOD)P75&{5*42``0$P6y%7hQBm`d(?PqD|l;_f{!xvKE8Rr-YaL z>f<2-N*X#vPU&$rKF$}@NjsVYPfs060X_qb>Q5KiQ$rtD?JeSeWNYv z;{-9Si|=UrkkG@?GpP;@h8cCA|Gw^msr|?QRDw=8byIj2{OSwD!uUNQ*7#9{dc^@- zwfdsf$d$oSHLFQ_^4GrM9X3T{8_qm^*Q%_w-PUBHm5CXvK7O(>USGK26#2|Nw{l9C zqnco^vVmaI4%~E+LVTi^h^S@1X1vh9LhZ}Y8gt~)JXi%}nQQWlSvzpTsdeUrute}b z!Yb_tIqChtmr_l9`Sq!WHTytSwyE_OpVe;Z;`?-hMm<&WDey_tYz>yZc#2xP-&);K z&b*0nn!ty&phg9~dPTLr-k*4Zjdf>ve0r$gitb%S=&Q0SMyY`CCK``lD*@j=B#vvY z-Sqx89m^@OZR$J0D(f|*LpjJKyQ&qRI~6t|!ZNHkv->o#&0cVWQ_1O(<-_f_3GJFU z%-hbRvkgIr&tR~CI0dn=u~ZEB1rBqv{t!s5Wee(PZhLxdGoZoyv8&FM z(brKI-@R}K=QN(??|+}%yuta8QoKe^ip#Vza>a3xxuV1%e`52s*JwnXk&maA;Noq-5p5h`)>LTfN%qWr6uKNZr+p9pF|qZ)y9( z&~sR9&s`%1`!Xav{R7wd$fK9vYLUEIAolrAt=9V03GaJKi+d3YZOSr?{BwbBYub1}< z0>@3+(M>g&A6pq1x)>S|FpC;Gnd%$Li;EDz*x3p0YO=7f90ShDsd)?zHcdDNFcwWX z8ZdS?O$^{H9Gb^qhiSqwfP-VvfN`>Do`4lRM*$88$6~+%j>Ui#yp9Hp9kfLQ&IKUeHfV4Fiv}DH-p7Cw^alfWc5q!Z zSV0>!VC-OwXuw&)`xtP5HW00EffD>u9imHfX?rFEN0#g4Z$N0BzBL zae}!(1I_`)hyg3`4;nBwa2+(@tiV?ou!C`70DJ()01nQB1`N#qF@O(XXu#RPc`#rH zeZzni^bHLd8)%OP9ISz3z(C*7fPv#MfU|>RF<=92FaYZljs^_)5(794^dAFG&>u8l zU>#uq2R=Rq475Q52F8s6oCRD912Bhh41mwz7_fl8p#cNF#{dp|j{yv<7c?F}eyj-t z&CxJ0FfcYY)`Y>p0z={9!-wEaFm^QH;AJ$PK7DF#Zf<2|rKP2%2?KM5hKPs=I2!zk zf|;3_uC6ZV2v~R+uz>TUASNaT8iFyR0cQi}KL!{J8wxNm4`_&rit6a-SXx?w7r;4D z5E2ps*9QYZK|@2s*47rR0?=~|z)FXqARr(BCLA~c1vxo6;4^St6u=ikDJv_3H$i(e z;9$3*VPRpRqM`!)2i`?NMn=Zd(^Fkt9XJ?lA{1O)Tr^q0KEMD5_B|TFFPw-zfnAP< zy}iAr1LDEN#KgzPr+E+gfP;{L0SgFUXjofYgBbubjs^^P2n}9dUS?)y;1Y0l6tuOq zK^Nc{I5;?f9RtRKf}Ne6rXJ$K$jAsz51OH1WMl+f1x|tjEiLVX2M@q91Q$XB4g(`a z!PL}LlLd?p4Js-sa2yyK3jF;1;3;r53b$_E0&W23IR>~22sHp+US66kV0EFPsHmu* zpg==I0}cht0|f~Q2^0x{W?-@qutGd!Wo0#ukPj9XR`4C;Ku;Xfa?%|fvt=I9K4UlqeqW4?;;;8z>8?Oy1Ih4V2PqYM@Q%8=Elm(Dl9DQ z?(QxwE)LEJ$O{E2DJk$2cnk$+XJ_D7u$<6fVF7Pp0DR1X0XR1c3ewWjckbMgl#~S5 z2QQ-Vz`y|f3i^xzSYt3W;J}3#z(9CA z26!EY0iZ)ZzU9pkFXN z#|lF@5BWeuuVY>ZeLjx$h?sv8tH7cG-a-SzXGn|TOQZ!m9-{?L>mW48Be)*J%?OK` zc%((gUsN0h@1eO4qgN32SQ~*!Io2Nt9RlVIgA-i^7RmqU7cd?$14x_-cqbYNv_Hk? z#}tCl4=0-v6P;1^m_HCP9rOVWOz=WjjBZ0(j21yy46h^GfWI+1?j*E>>w>YNf#FxA zJz2S6JjYQM^dIa7G%!&cX)!w!VKF)kVKI6UVNYo{jNU?8jDAH}jBZ0%jP^o=Zg4yX zrAqu4hR|lGbkM1Q3K$!)n=#=SX-{YYp<+(a7m}<_^a$9%V2_{xCG(hwMBmnf+2~~ig^na-Sl#T;o?l_2ozz;MFi2LAdXkg?f z!X67IPEJ&~J!Vc2ATiMpVZq)8LJVjnK+CAOeiCY79DwS9QUh`T5t1<}8L&WL0=Wc; z5nLP$2@OoZ1r|^fLJ%FRd4#e*QNciUBA5-6Cjbi+86Xl6CeS#gO~6UOcu+u!P_Wa` zN+0M)Bx#?>9N^j$0z76T;MG%N7Qp}~oW}tu7sy+%Il!7h1Cs;-ix6KJtq&|v1mF^2 z%N*krmtu$z1_$yJTmm#h0mv($uMu51MOHurA(-kE`GaME5j_Z!L$pQ$p$E~NhqT9e zG6x$VG=$hZr9^g9Z>dv^ej?}p6FS?>In6HDhmMvKbA!(opmhkz~Ta@Mghnr zAj%LL^He5*7TTu-1yDvH2LeL?41og3BoHDIt@ywSIg#Or+z2BUk@iFn0xup5I)v&u zmZ6Bb#|R@}PpLwLhDC~5kjemM0h)o;hysx3i1gxA&H-XA*iBfq zqG;hr5q*;G0MCPFD4ggQ?*E_l3p(dT?1$41K&Cp#U;u(9X78LL|NoOmAtKHHQ0~cw z1{8rvV@^-+aiIVZ(W#^e>AcBHb364BPr>7*$Nqj*BZbXzo0wjlE z*1?{}09}m%iVv_NB8NY&k0CVTY5j=Ma%h~=Utr(=pJWfSXHfQ(nmfh7C{d1x3@9L% zJlG%LuVWl<=;Ndgj0BU&fv|MU^(UDvINwPYhfDxKt7BpZ?;dAgh%k&HSA@kVGhhL~ zA*dchB)}pf%5gwM$dePv2^0h(<2oJTkb(lScTXvC1Vv+tNJx81=pAQLh>Qxj3xod= z_$LH~j9#a<_^B8GW)&9{9DyL`% z1b#sHKt2G4g~(b@CBWdsV11&2Q56XLKf?1APo5Trr|1sQDT3KB@)}rVls(DZ5Gmhj zay~BPB7`tPNTUGaC9*ApIww2>!aO3bpJEaO@tzLnr%GC24FLC|0OB-YPB7LJ z!F!y>B31_`(L&l2F?c%RI3;a>h5|AZ$#N$e2PhzL{*wgaR1yGUIp9FhPq4Ppz({a} zJ*5HwCje)F4qmpqhcU0t5@>9!dqE z+45A04XHPQ0zFo-GoXPfO#=%=D}rNBCC5lbkEt#qESR!moGu=nzwg3Ny$fd{fU}@a#6;q zK;M0HiCY*nd`fcejL+zQ4B5ZbliS)Ogmu3PlmEx?ByM!^0`4n?s~0F+{({@Ji;E9l z5pRvM3}|g?B}?~B-LIz!(j1;{{X4m6_e1l2QN4d`Ebdr!5SidJf7<~PT8X&orX3pT z2u(w(hZ4G~8J(u(k7MtbjV=?B^Yg(CTjFTGh)>j)eKXwR_}70uf{*?@<#1CpeDBBf ze#`P(r5|>4R-3J%JvQ50JB;OL##fI%!1{x6EgaHpu2LR7*T#CU|KIMtJ`$bpuM^`* zi8)3WBh{py%8gFx)s&Sb%&^tJAD zLd^mdo96=xM>hWX<&!0}dK_fNaAH0EE<=M&rE`RJ=IjNDYp$z4nJ@gVDz&YWNr!(t zbCzgZ#}jnH^zZT*H6KkK6{M+?6LO~djoF=nY_H*K{6?9Jv(js^%Mt90&dn7iN37td zfCp`EK_cM4FNPgf{r2a+0}UK(Fev=mg_fKCe9`tb0e(1~kgHnb_6Sf~qlJiiW;;#U z%{o7K(JZZ#!>KKKL?A`~#A5ee*1SwlXv~OnQ82ADSUL%(a)6ILU;Tiq)rJcTYV<8k z7-l+%OVEB#{SZg!@AK?}g4E#91RQ1czmi+9M3`Ghcpg2MT9ov7%_rtDnu@N^?Oy3R zQ(TP^kyoE+uC3SR+?MLG@tqoGP$`)Ep}v(rtsdG-O5CD*{W5fo+pBx{*#_3gRJU7J zE)-RE-{s)dRCn1u66n=jXUV_XAPUZ|du2boKdrv{+UVRk1v`v zF9cohXzaG)H2lF$m>kmwZ>YWnt4OAS^gcMElNWjLFzXgJl(ZLex4 zO1aQI==4dlTX;lGxAz=x{ZfBc%dEEEbO{YRe_=A$+^15r#wLxC8K(HhnnZOjeZjNUvnP z%Kl9l}~JSb88E+Ef2 zXwAu29Z}U*M$?r{vg=@#FD`D509}f;PFz&_Yz11eliX!rHj|{YkCv$z$cHkJU z%%1I~O#;=?&J2mXZQZi|F6}~c8!~8srM$ONIxJE1KDWk!cjlXPp^h^Sd&N=ts>(G#2K_fzQ_x0>Q)%Rf+8eP5ACr|;~NR$-4V;STJdc^2JxshlNc ztR^tQ?USUzU0Zrr^DyZ3L+pVvQl?ed%DED8lV5TvN*R76q&vys*K75zJ$I=pMy6rcFUlm4?H10M8%i87My=vNbu|K*q~(8gS^`7_19$a;;W zBswB*y$Pz0841}NyNbIEx_7HbNIQ6)1-vBOlGWCZG(YvS{5$*uKN8tvjA~|#*`I&5 z8=PPLB0W)U0 z^HoMx%h8F1cwXn5AHyPl^n}%Em594f$o-{-M2PdhE{+nM}9OSJjZQ^ekId29ywUjL5xRQ61h58vKXFMq#esz z;=I&tks*fbC~@ReqC_YI{r(gO+1ST!JN)v5U+>dfz>=`Rj;`f2>%5yDCn)wwRe!lV zgWLNRgBn-X+pZi6jX&oq|FWG4bw0Z5o)d75K_@bBwdLK%oszo5+r$L1k-EDn%a4i9 zRB{B@`V;NdbyB2RVpBC{XwfdFjTJP&rrbxJN%E8|zw38BCxO0!C{cQClELVe@wq0q z-!@i30-4%n#j+Z6#-h;QC!$dGUi$C8b!8l(;A`RK!K*DS%#H&j_0+@!i##S0)t8!a ze9m8lHie?%4N$U1|m#$)(=wq?$0VIf!`F*8MZFk+Kbx%+z;CreGaMvGjMW zmkyeL0bP6mRbC(GQ1sX^XP9%kZsyLeTlJEYY=%>S?1E1#gKwSu-LtaGh7tN*uLS-h zHL9#-UZ8vH)mIvDrJ``bd}HoQz^>vgARgdmS%3T<()-36ZwN{p*mUGk&n)hRQkSVCz33 z|44iWkFx6SY*jY+!DAgGE{*f~4J>Td|K$~ZNfe~9MC3`P<1%o*lh>M_6T&XCoYC&< zq%iJvPv27azDaOjqtDnKv2U zP{I}cC7w!z5}GDSxp{RdP2{aMRgqfPWjdMt3fjV`@YbK<{wY!_Is#Kx4 zqzF50_^H&~*N`PHtQq7b&TBdILH_(zg9933k4UXvMUhqQmmr)zEs@K6Alk}6F&#YS zo0N$Ax2+RiYfNvlVD9!4A5z1EzNh+fC(yMWdCt1q?Al~m?KCHfH|R{AUkJTZzYr9| zlw-AEQ5HAx(#@#jTxD#LhH|;{b7W7*;0#vfT(hr;+Ohw#x)y3yMM;*#8>Ya(_o&4=_O0XQ zc%_}XAWc2b$A30yT;x9S(xrojDVu4*DJ#BLR$7U`3@-GtLO88{gyy;VGya;P!94FW zDpq1I^Iwa5$YL9kTXxBPI$A+{mlu+2{DNoCzFN#F3jG#=D#PQ-ijU;iN%nL~LoFU} zCz3^gJ>tRO=34w?q0~)tp;a>4;(PMuX#d2xZI+(1r`7tvpT2g&2`EtJpj*PdrQ+6t z?-g6O^cRtJoAVSNvmu2 z#aYi%s)G?cW zxB%vki?i4?))!e8PM0;@;@?*$JOIaMxE)wke&n3z47nIx>ej1D2bWiLv%n95<3>u9 zOD;4g*51#!WsyN0ps{cJLGF)xPj+ctitM};(gTk3jk$U+a(kM48h01!-a}AaM+=89 z5nsv9P+U<9&x1&E8KtMMuMl3eedJ3tS@Ak9k>Ro44*a}87?bnsYHSdEEH%QGkn=ezm;0O5}SUx^5lbqq4Z8Wo# zxSLC@_w%;>E1k;~y;-w7x))YNbLz~?M__~k4Fc4cu`cEzLWNI9#=yj80*t;Z&2h(Q zum5Psb38MBOD)geVMphEoSc(0<$#Ni)n2YQOZn=*Qy#Fj-4{2-O`iEFM7(fQSg~qvkhksoz3b4uOF!S3uk1i*T-@*RS zPT5mJnX3XR&Lot{d%6<;p2hyqxon)2LJ$kR^M!o4vHN8b_;wy_$BLvMZR8!@zH?QH zR*%}%+sJr=Vgu{z&i0`1Cf~K89LvzzzK)e{?NFa3NH1N4;fu1gH-pESa6F&g!3^ar zo{aSBObc@83`bDN?iaP^E70Vx#4|J_K@+7YU~dN+PWDVW078r zE2(B(a}|$|+J%v8`8t1sOrn6N{&w%rIBuv^hu=iE8LKVgTAA~t=Yoz`&e0I_M(qXM zIl1xK4vSyEWW|{-K@V01J$KiicXFp$UWKNQ)Y?V={dL6`J42N@-k4@)%}HKSq17n< z*?MtJW`gThE_8Q5Ey}FZv%OA^ueT$uKSm-kEfnR4LLFsa+;hIO3Dm|HpwXp-0uI$; zpZuFQZ`R6~-c$;&*yy*?@msiwUq@(3>h(&~A7WXkZ5W}VD2sIBfj?f9ze?KcoBBk}NZnK7X8Xg)IJqn3##&9zvDa zrX)mr`bBOGQ5>0mxeCkL9=kc}Wp_w|226gJ=`>i4xmQOod|ZaHc3u1Qh7$MdVH%BV z(D`6Sqn0x)#;qT)FB78TsKu}Bur{}0X@~wGti@*}jtMPY0|&z@NkO4IMWQJTGld@r zI{LSFRNd1)90uAHM$4&k;R(TPf1G+sZ($T-?z> zpDIQa@51TD9d0%f9eC^3i<+EO4(}gRm-fRN`fxk^*94pQztK5VK90Uc>+tETs|?#N zlWd9iP-K@yg77477JT={vzC&xRcq?^6J{uqdmF-B{=oAF#6_TLMrET7-^A6};_ z{Wx9k`6;QHWxG2+sZ+z()Jrl)piSH9>A!r*ap^J<@ZC2*9OqtaUY4HdNNQkT5SBSJ zoSJ!WkHGSkT~23H&On259oNAJ1{YhA3fI0l#Y)Er<}b`}1oJ&7WsG)ufMz}}UQcL% z;V^PrXM%&sC%ISNq5Y-}%hF+RtEh}Q=`9D3!|7I8?Xc}1UEa-YdqXih@v`=J<2y}9 znohri7bIaouj*sMPJfHVkX+fbpX+h`DeDFA$@_pZ+p}B*#JUeZ~E$So{LJ1XRXZ~hB z-~ss@P=WJAXQ;TeckpCsMf=EEIB+Z9*3kSScDn;A|~hA=r2_$HTF%Fb#|v= zla>l@CE>rY3v5vYzhFrg8#7BOUngyH5h-`nReG+dG(NfS(a+`JR(IZznl7XD=S$i38+6%TlKz(=E30t#Mde`jjgWQ22U5JH zNA+dJF?)A)T*f)_-sThYyHgprtlZ9e!ZP^2sxOJ)-%y^{EY3o9W=>MHj<1Yq@r^t$ zudgq)KjduTI{ z`%;Tjh1ZtW%@D0@{$Qrq=tuC1QbF|!hv)6*>gKzfa$1%sS0!&;$DIz@N&af9eWf>& zzwu3ljNkAC+_m}jr9JNq^X7gN-}7I@-l#fBZ7SiDbY9g}bWcj-W>aVkt2O3H@;mO7 zDE6OJX^M-sHaeovOhrTIIU&`*bHvJ;4qaiFlwNeoM>$LU^zrfXz9E;soA5S&|CO0V zXA|?@1qvpeMN0Oy#yz>sjiC`fY-}S>?nH*7QJ=v2_ZpkE0I~`=dg!8`xt{=J*4_|qe&&NP|MYA!TLi)b7|guK4T}J?N^Bn)0D6K3&!LW)YCZ4 z`vJfC7e2(bsG=&N>sk*|rcWumi*dr0^dmd)x<3TwhGtZf1P2WJl4G(3f+dPI`g)%*~YqbxMv-cDciZo8P1XO z|FP_^<^0mY@u&ZH7I(O0=wsvq%I8U!kXwUPCuc)N=b3TkmviI!-p&;GKK@Jcvja1L zqJmyQKI|fPcp47RmYEmGuDJ0!M^E{~9ctxwgjX?!@ed0`!>Lv8m=-8q|$F^=+I5HNLVzw91V`T9%4QQ92x4 z{;zb{T6a?WQ@u*RaY2jTs!{g}y(3ZSrJ5)q)Dz;aK872UckUdM>Z&-Fg1)gpA*~92 zGqsl8UUJiyf}b^t<#JiYQ{2o))`?uBCHXj-e2yFc_PY~8#MuQQH?2MKtY0dzOsTEg zk}3~VG|h&DS@l{-FD7nvadgMG1)zLoGYZWdWUE>>`@Vj=UuIcYUq(Va(Yq{W--X?k zHFlIY)tO;)L)t8U4vdo_Q|AxY{(Li*igD^A*8PWJ&4c^U)m5t~b%p+e_Y->-h6J|m zS&d!V^>?PU9`D~4nW94ZaQ-@Edhp;q?fI3+gK?3Ocgc^pt`HqfIVz8DB;r^rN9V%3 zH%E7y%l;|+*djJ28*%1HSAK0RR6Xf~t1(SjWV8^^X4+qCt(Y-!en>jNK}NE|B5+tT z9`~~gQTan7H5%J}VbvEg%FUXqc9j&1s_fQCvD;GBf;Cd$>0M;yD&rb+v*UX&eu?9r zzQnfSIXbU0Ezz%IU&xJjZ$WzJf(ICiP5F6dNtmE(3k%%~jc2XH>4(O2bVQ3q3`}Cn zhOHu80`EX?PKI;HPZBRUJozGLU{bU?q`&Iy%P(M^T*2+kt9Cxn9yy;duW@Uyn5D1G#S9$YG>c+W;(6%%pIjW2~F!I=kW8bbVc+~i?;eo@TT4pUAadThk~(na#7C1wVdWSwb|#@!GsB9FCT~U z>)dI|sd*6%r!33c7Oo_vRUt_zHGCX;pX9JZ%2O|`9-qB+`fwlwd!#y2Vw&yfZtU&W z{RS&}tP%F3zMJW1urN;iD=Oq;-}Fm!7kc8$KVZHxA&*71!-CCLWOUPkK`P5Ww!Y(+ zaUT00ax4O``D_9PO;J6vhr_phm2?M>{ySW@-mZ|>Ew#En*>YA%Rke(3W6sw%z4+#T z38TCRpa-3L0?oIvsTk)qM$^0brYGN;2lwf0da71uX;9<(>`Y^QOGAEq@JVSk zyI$x-o75jPryJdOF{1?$glIqbEkZ-T(9Saw(V|ax7Yl1bNEVw z>Y%-Q`Sw31ONOF9eA73w&78@j%k*5xnu82ehos16lLDc4&yW%1e6sY0yYUxUQ|D#y zd&z~WSM#pUpZPlZb&^az1kZ;fX=?GxVETvQMmpEN4DX61IR(qn+y2nZcTQm={}%+l z5Eti1KR4!QZMV+HT2DESQ#o^Z?Ebl1-N=yK9x1CuEHlv0YHixQrnaRViDl@}YPnTs zD?;j;(8V`>;AA!ozM+-JMa_PEr)wuvtncW>Nw4DDXbu{&$sSC$>dG}z=ugGD=$1T$ z2~$EptbRxFnO0TX=P#`}UOpHS_x?|)@7*q?d@z5Wf<>_ywWO9>UgrqgIX$eAqIo|n z%Qvn1?W5Xe(uV_mx5nnv7kZjYsm66H-MGhyrN@#E{oG4FCdo+ible-uVzlV#R8cI_l`P+Y_wIoSFVs%rcA&pR@Py>8ohXqkW0!a%oqB6h6x zb2>5QmTp0WLnM*UhaSH}wrvA4LMZW-uU#CwKyZV&U&bI``M6>=_Xx3I&gFh)yrsM0 z6{!|k+^W=;!C^XE9;yE)02r<60JO0C-7dz2^amK};z zM62hH#oivBCS6tiZxU-#QzO@E`AgD2$B24PHX%MpbO(p@b6T%^=Kkk1YWjyB_+Q`X zLWjLx0?MDLrXcKI8e|;Xs54wK#&C`s+`=z1$=H+9jts=)k4L}zp!Z2bIzj?F{s%-lA5a)t+C3}VT9{iAA9Wd0_%ge-L%7UaVy*Mqdpm%O#mIA9?G?$6RGg#H zQ$Fhro<>lhy-kkwWTpXHXgMc5?L264+xX|D7Z#TwQ_t2-wc9JCvoTm-?H{@=xm*qo zfL?K`92Z|M;Ry%`lK_qQUM4QCtCyD**;%VqqM>601$xOGT2Ib<9h z8R{`k2i*o`Tl}RMx|^yJ2g$xyWCoj8U(9Y?Ck0>4uIVK&;sv=h@f4Wl>O$L1st1AH z|E>xA>yd7o{t<3BM`sdkBm3%4aa{&JG@PQ~@GsU`Kp49+edmp}{9|{e#trkt;0tW9 zSHpR+#nKbrt!Lx~SPqM${}cavyVimAkn}Nh6GUT zI{p=a=+_Sx*G(i-iGIMDQhd|KI42U5)Drm8=o(|cul^kW^)3Gf=dB_yy2`s)ZF{Z> ze69)Pal`MRC*?RytDiUOP4|sFp*LAWSryJ(SwYON=lOWuq;DpzsyVYH+(F+J@|pYU z3B3%zcQpK;WNPW2lXlU{>JnF0T26)chu~8GIl&n=OXc>5F~4>kKH>QIMOIW)jQ(`P z@mc+0yrDwUpRta0QIpeka73O)(EoqHxdNzI@Z_8U8oMc47ICLLedmzZ9@-zi8LtddAu%NNFjzsNj939ANZ*|Vl!z3 z{($bf*z9ignNx@HvX+{;Hdn0P=U(S|bPzn!d^DeEiM-Kx5qj6UiFMK1HSpCZx5S6To}7~KRLQNzWd=)cKiOE$fMK&>dqsV zKE+KnQB;vY)l_YTjyf~3apovoKAo}rYFm4`g0rgk@ak{yV=Hk}Qa*DkkM`xVi6MIePU0NwT-#*$lJW?68Zynx$rfi>Hf&EeHmeS1|JUQ~sd$&fN+6hIBJiVlg{8e{~ z>v{HOhRT|G&&GercU~&QCiS#W6+$tV;@3%+Ij7`dOXb%t5dR(+_fZaR!qOvokUqrU!crL4=6mMPbsc(+ zw{^*0#nROcJKuF>o1tZIz9wSheMcr5ewdb&dI*R>)6&wsJ)&&%X*P(n-Q zgEy|52lLY)k~F;^Qs-X%NHvvR@0Z#&-z?v{q#-%GxOYgoS#F)j7_{K$ThG30X;bY~ zU>;7hH(S!9I#{Hzo$Q3?!`OJ3l_BjDKz!8Cn%thDnXb1I3O?2V3Z-`V88_^t70NdH z-)woh54+d3>K5-ML=FyZSw`UJZk9Y{s3-Qxx0F5D@kE>nYQ3pcZt-WJcW!%akLj9b zMZHUOWPu_!!kbhPv1Kh*t&H;~>|_LC;$9G*op+B`T7;HNtFz!wcijWwJp$G8Fz+V%*Hti;ML^5yuC135rca#rk2#r`I9 zdu2}zP2dy8Sk-EroG<3c{mFLZQAQ0jqLNj)5vPTRMb+~|0`3;2u8A#_jvOW9hQ}AL z1*V~~nUrU~c+iiAGPT~J&aumo#6yImp5DW*OaTM#JShF{vJ%oN&2;~`zqcXHYgkl` z*_1jHjhx;Q=`}P^SOD~d7Q0d&lx#tTWRwp=yGdPLRF zlF0D2MebXuDWpaW?MbZSWQcl|w%29q!G$F9dsb%;`u5hBsBQb-_D-@@d<6qIcI@Yk zSY_3P(S=)=sk3^M$W1eU##)gtRgFblpWOFp@jEq>0|PCE^{EHy74sF1Z_kt7VA67H zR9=`fiy4Oa`Vu^>IwzW(++PA|g+BJv8V#KY7N+1VziGZK#vh>*pTIrUN(GyKVDR!on7v#UbySz@fp+;@b9bXbz*e{ zNX^n@N;C|b`R+J0koB$f4( z;$ZiyE)-?@kAqjh;38yq3Cbl0C&}f8zy~~{zZCA2dU;-i2*jb^@~H~1B9o+<(NkM9 z1pO}lI@^~Uq9XQnD^^D~Kt>3<3+{bMrZM0b1ybIkc!s`~HFRBoXH|6eH$#%uhSI&z zKCLbD#AI-bi3$=oNt5bzB~uU&F?V1 z8BKKNsngAav&)ZGrqcpfpsQcMwZeYRevE%JoNy4hJ7xImLf!TQ-GaUfb`Ls~}k#owh@<>2KmyY{3PJgEhQOQ9@HS zKBcf!X3NgD9M*k2T^!WOjQtKa`>i*MXL_uLynV42YUk>}kRFpkWafCSTMZk#qxMz= zX9)Z$Nv3y=vRvJ>OQ&*;uI_#eA}9Gx1Z{-|*Iq3!EKPTRxqysI0o^kz)2)fTK1B9t*s;yo{u%;1Kpc zSs}aGdle!<5tN#~t2&uV$#GSqW-Hj*dNzr|5A1J8$R(eDC--|UMeF3OBWu@*R;|?l_@Y+# zJngOQdOG275woBs-Z8MQkO5sNJ-~>-wez6`O@+6|Q@zhdY+#C%IalwwYzNEgaWcE~ z7E)2_tK;}wMwYfE*zZlQ{HI@|Y&YAvlGyy`4fy$|j(=j|b&hlD;`39N4?>&qY?n#@ zG2%fq$SPe}hIrAIp`?G-#E18eW1E-*HYmdGk~2El+w@*Gr{i@YbR@-Gp&{Sez4f0Q zv;XB@!-e(+5F)ayI#cu3uiB1txlo*Hx4w}xS5$SU2M(4Aj!*CL1!sFT73O}pB}R~( z0b#t@V_MB}mi5rwnKd+tQy~qr-akmCo_XrIpp?c38vR>cnr!}~Z*bMCKW=T}9wpWX z90Y^;z@jhsd>tVo_XKSS2rfh%;y=1NE=nnGohpO!Uj&w58iNtlk=o#G7e;A4E{{HL zcczP2Pf1e2$7sdGplaNdm%eDXvd+V0X>yZyfZ-dJ>=;ZVs= zmAvb&xI~~V1+RHllh=^V_VoAv$KHEJMHO`Ig4HxRBM3;&Nuq#cXheb{83iOt&LBxL zEh?ZOAV|&`iIQ^=iIQ{9NX|Jk$M^g0+&gQ{tXcDWZvUg{Q+29NRqba#``P;pW{W3v zuUoZe)W0&{WYw;CDpfxd)xcxmy-mR`>1H?_a$27yk%9r)M5BAKRA`aEk3gY|*D-lc zY5)G$!Jkd4&n~4gN?u$Bp}sZ`$@|j2cP5tY1wGF468z8*qBzP$NuMmOo~fLbvd|2_ z*{{Fiuc;Zm7E}kU=ke?f36n5x0;05Z^0*8RDRq;HN%;BH} zY$Ugg*wfq_9VtkrN%+5BvF=%$HMYp_fcx(XufvU1@mlpazZbJn7WTxr7{!$eX_bL6 zCJaPk0zBwUGu8l!=STj^9~5YZ$1s|sifb2>CDzFCh3*5&JpCX?mO9^RQ=pfqIy-O+ zC*_f7B?P=(OF;N)x8)PZ4GJE5Pv|3r-e4swk6tHVcfoMz1R1nkpb)AkS5e`~)o+Em zO%ZNTn^Q#nrs`MUO$2Nqp-dGIe!{O?fBa84$rKD<(5#xOxO-TsB1I?vXc6tsCFPvN z(||vFyokCgNvFif@V}-aNXr=6ccDP$?WzYxxP<7QBt5!ZjDUfM7p4^VUOGq-^eSb; z=?p$QPtRZ>C-O7Tk}XK>9lJ@j6nu--32u;Lx($i}H?M~it>9%l+(dh0d7nw=vZ!0# zfx9xUpT{NX*SJ8W_I;4xN0sT!Hk5W8ii2bw#*pna<7I{sMn=$x(~RbEOx?E;1+@j! zVhJ{|R^zj#>9^x8c=nS^Fx|UEDQzhus}%I{UR;opP3${EB?eEOC!Nhd`uqJ?c1Hjw zG%LQ=-*jvozda53O-%;w`ueHpQ+^%Xq9R^+3Jr_pwS3^Pv42DjbS+4ZogkS(aaznH zkJr_|xO5ZEWmgMmE@5f6tWAQ@X<3h5dCu;J-u$z>R?8yCRN_S#o(}XVZSkaZ44Q?k za0Az_?c3azh z0Hl6VdQiV6Q|I=H!n3VsIcOFWMCENKw0%_Q(=2F0fXY1CkOLCWJ$(dNlK-6Vah0&%UMGkBE;pRH_n#*B6s!Es zNb4?qcJ3>={in%LJY+P_trOP8)Ra@wGz~0OJu7ZOlK!g(T64a4B>F7$Y=cYzs(ba` zeIEQcs2B(*fSV;;0-Hr(M!bms69)r@9gIpP->fb5Q=G#%{WDM!8z!=T{_gzdHyU)@(chI)=$*Y| zYG$unKJ>OVPtRv`o2j}4}V4Ee>MgC1HD*UgceWiB17pdL+N zx;wr`W}m+h|_!b=*;8wzY zTf499o7+bwVEX~^I?;gQ;Ig_0H3OB(;uux1OX|r2C1XH8zc&L}2#H*+sbd}{1Rq>r zj;Hzi-gnlHwLiHXKEZMrUZ&3qn}CliSFaAahA@NSM)O(orSDv()TVQk-MBN zHqsE=!-~r~`j?}7IUtwFvz@Iq^OsE-v4riPInO5Ikm@)H&uD^`Ka2Mljr?vLKLg8> zH=7!6GNloFJL9ffeHXok-XYBd4>l@0UF2UwSC#slI*mrVj%=|0 z$mvs`UlMMhJPvYhSybHj+L<9L7P>RXZ2NZEN;y&gPG|ub)-kk*eptK*8(2@6R!VYG zbYf06yz{_f%?(=>v@}e~fynTN5He?s>PAX5>ekHQ_u=mr1`MRv z4R!p3EP=D9{(LQxu<*Z|6&+zx<$P|D`KZk!Rkf_Q36#F=G=Ujy*?_IirlGH%G2nLw z8nS=kcOU`lh5qrgucRQ$VZ&T}Ky1iS1EzXAcZmsqz8R>9iZtw${4AySCb}Fgl19?I zB(-&3)rud>WSuMIatU%MA#9H#b4=N+W7F5ByIgu}CMglv7AcPpitRoN^1rq@b{;g? zCI-847Sxh@lj9RgMfU)a!@-%B@G1rqF?1OBwoOx=&l@jc@?sSDD%UOR`hx3B=AOF;elz@3yoUky z=>tT;c!63D_w6co+xj*f?&kS!@W`UK0>_izoSJ-;fsUY+5ZHdjQT-4UQ2B9`Bs$`9 zmNF`S%_N&ZjO~XnaA{+Ev2g3=*F*<;j(?993klxQ0oz}tfNjF`?g6}?Av8IxY~0bk zHrLDDN;CPbT+grIxV7R(R0G`J{mJQQEadn+q&Gj4%J&)v=M7BKZUbm+j(=apy8dt! z{^tkuk%Pb#31xk)toWF8k3Vxb7J6HT@tp5jLfFP`Jn7NlEHJ%f>f~(vJlszN-J?x@mnY4JQ1}V4#)opCio# zlwVB|zV)Dg0HyRjKA5c1Dhlx|y6y6NvJ+_LT2@<8)Fv$*EY(CUFumGs+tNs_waZ#% zJKEj{I;Ri5jP<_ibD_&l4eO(c!d=+f05&szsKu`4p0RLz)=tsQyx^0a95eK@I_;_ra85$!~8t26SP_P{# zK>qX9OGUwJw}C_)f!wXUH)Xb^S+e&%({vj=yHi?&wN2~Ln)|54aBn8`o2E!JbIH;! zb1ZFM{^kYsELBr)GS3}3Q_2`ePZ^wCruTDIqdebPPU|Ib@+_G?T~_Zcb)d@ zk-v2Mg(M4U>6i7E^T&7r3+YzMY%c$5GVBxhiH8Dy{-fY3v4M04>7YHQ=jpC(VSIE- z!~8=ls@YZvYKWMHxrDYo*Vm}?l$Y-=xNz6=Frt(J=qQ{1srD_i>)Bm7a>s4n}Xjl-U~l`T`>DjxfQrsscbI!8-~0GdneF z_fMt&uU(SsqvYN8z>OL4CqCjmi9c3%><){wT!^)b>2d2cB$8hx*>e@@nQMr9Z>q!? z*sY=>wW#LRHh{BfX#O!fgm=Ps57AStK;w5Kx0uzFgk`Qs*&;ee>WMyZH-j4dX zcdTqJ##y@dvmngzodZ{){SLowO;7f*&0t#fu{FOKIJ`~dm1iM_5hm8^ibWb8-2;_r z;UHEx?L0BJK=Lm-q^?zExk5uU$CGpy$BPUn^_KR>9sMvq-#8P55t2VL*m7KrZIpAr zN;!%b-YK4hLO9#mH)Kkv2<^6V-5cYcNlKKDZQWHl z->Ew{nZP-pKnsr=PNy5MH10(8*u<}jQzYdtheLHZ6nu-Le;c1mJ?~V)*@OUn^S+Y*YuZX+}k7FaLbA&j8ceuaT-Ig z$K3-K4bh8TMX&{*n+`#9Z=ZR#{^VYHT(Y4`m?OuepLIu@6hwz!K!M5z!|Q9q>b`!K zFJD(TVGFx;Ki76e5B^9X_i>P4X-MLEGPg$>$r8X4|j4$4AJS}W$YKQWBLtzUd ze8HE85GtrH*TLAEnOuE;EAmk7A=F7685;S6@lp7K?G^NfUzc5Vx7}qwjLq@OwQdU+ zYfhJu^e0{awIQ($E_1!MJcw?51c#K7Z%>m&G?<#2Hgsrwv*Qdu%zkr2DSx03NE#2< z^NSDoqG@~_^*t+~)F%8ynzucWDz22R;|ssV7-=zK2_f?ul#kEyM#IDpx6TvHP`l=j zZNJt1@W+!?=sbE`;qYv4{!Xk&t*XUgOUzm=%@kV9I@EyX{RdVnvoE)GHkn{QUf3#P zopv_B`&#g*j_=XX8%XDYgT!8&-e85uW*kLx?ns2TvUh)RPoEM;_R3@H%ROeTdV85k;)uB@Q*Od(h zk@=iB$mUNmV^-YVT4_hupUizQ7Cu7Kq3TwDeKQ=XdmdCj@Li>NYNvXzkc>yGn%H-_ zM0m&}kNNtp{hSe3l9>A{Bf`I!Xi=JTk^W?cL58JiZV{PkXCiM;0Qt0^U5BV~n9xN& zx*v&$OZ}MeK{D#fKjO6%2#4=ZU&Yg|e#m$0i{{U+tRN>P0?b!U1u+T&0H5<}UUP8N zTp83C`&_A?Q-~5w9>tCIx@P!V8_#!t^Sk-3_#(Nk{TWm?;IkFZ z-ebz6nTf7pY*JyLOBcum%*e*ayphAY=2y>Ao6m+vmJ@xs8`s?4hrL}M(`RrYQ- zp4lmI6i^A#ppk+w)nnVfOKY;S_K{Z>8nS_wWM@6u5ht0oWkjz&iy)Ka{Q1vGXgsX1zdGKp5NMf5iPzTHx} z?SKjDrs(rfcG08SP|@4zU#JmfXq-@3VtA>8i}Sp8zj;nAL(XnHQxbRIyfn;jcaVn7 z&qp&qp0DcfWQZi##dFAxF0x8J;KKqJP(-0Qdqb39J8W zE)#C4x|OW2H4P#j!&kwRKl;%W>g)WUStOu)-&g;fv zX!C3|EqAOGmM1dPkbs6NW*Cx~b0|~$j%*amKt#Z!R)=|2ChyavO&xvDtVt>G zs7Wlh%&qMC{Z|cZ(rY9gEj|}m;2A1X&w!Zcn#y5SjB%Xp6D}&!Y4pbRH1ke1067)Up3HEk;E`*r-UZ?UmGzpYC>&S@o(^AwabVyX{WYIokr?M5`?_ zl>m8sV$f)NJ(I1q9gKAe)?L>BcE`wPHRjL7sgs+`vJAe24y*6^w}HHoG5Or4kVujB zWMsL1+vJzUQ2bs;w!4TddyE%7U?Kueg_GJv_S%ykzXL@)rN2X~mwVA{=!eE-vbt6# zAC!#!JLh>&fNQ-ZH6QZINeO%k zuEkzRgdVp%Em^(7mDMwHP52DLnHYg&e|@CI>;WadzODR`nCkK`MDLWsotd_jFWOIt z)YALq{Mfh22C>`|ZAYZ&a2KKAF2hl0Wg|B(ygE^=-*8t>WBAC%-*@zuczAe$-_(g_ zdAU9*{Vu%kyJ^zFHx}*N?yj!q2Rz#1--hESHq8wkdd;;Nt`50KeT$_5xtio)yM6y= z$8N(s$KGtDv{#5z&GGLTGpFMvHURZ*#_iChF|!0>-52_L?{SP4ZLm|mc0aECP~9); z@ho2{NQc-o&*NFokpJai>571)^03ck1BbWyUtYko_$KKf@@`FCO~ zYuEeUMA%VTcIL~ z9UNZR&i=tdCiTB!?LL20ar~P))_5ynf&$1=hHeeBKI>Bzs-iF4ywAh>T{Y&;p4RzT zogEIHAr7|}r_&o;Pq~9}TFYf4H6+{%r^&e_v739j$|r5QcIsM}s%^eI2_pJ^PKXV5 zAE{iTB^k>%PL&7(@uQpBDu*xBaU=O0%2~aTvO8_rQ~SD`C4?S)|8ZJ6S+=FMD*QmW zbHRlYJ$l?OgpUvu7q>m5*r?(n!w0_x8=0kM_qbhon|XY!Luzk3mrinl4$tcCR`T<| zH~P0azAblS+9Iyj2Lz;0Fxwb{qOWH=2X#q}E1pf>^Q&Y4-mhnn`F7GGY7p4m`=1(Px)uZa09_iaG`gx5^R2KG&8OrlSsY4va zQ_!4NI%fD-;@ZL+a>Lb*7M6dc>z*yy2)>q&YlaX}+Zk&m+T$&Cj?VLCJRC`Nj_odu z4z8l*OxL{=lXL6p>(N2Q3~|V=5&_3@H%bmvoCIU-AEI5HGgzu7SN-?>e}~vkwdA~+ zc{kV&84LGu=bk+452DvX9q0KX7d04^7_EIEH;%)1et#4JCZO#GC8|-`IkiV^d{Wtm zQFfHaIRA-8mDfsPvoal4_T3#9B+K}^GX+~n5%@bTKz(?2(RH3*;}s|;n+&yNd3Khw zvY7uKK*DWA93~Ks-)m%l_~B(9tL(iOrTb426v0p88&4jWTD_y?_fR-?)~$8})io#8 zvr;;B!+6o0I;B58E@l*}o?j{bMSqc^zSA+vPF?XcRKV;~bEk+%Ub4wreDZ$|xjvKl zF{jR9<(HW*e!H4`7sIN&JU&HC?L|LVHesqieS^c^QhHmC7wHEc2ZU8!6R0$avtRA+ z;csxawab7RbHNK?tuls9T&dZB-|=>#5Ew!Mp=(}eMs;q6k$;lJU5-cb2{LRa#&ab0 z-fUC7ETVyu$|O}(sQ$!=IIog@#-nxk+##rYy|c43OBZTFI&!et#HIDPy5)kqimSeW zy$9Mo#4x}d>(nMznlSw}Z2c0pFvzml%?}kzy7g#S#Hm@C!M8AY>@er8S0HidvlwDS zxyea>JI$_qLkopcA)QVt>P@9U80YAlWyV@xfNDxTbK3#cr5a&3Ie&uBadW#SCKG_$ zwXz(G<|=;nqAZjw*~>-U==Jb&3uNA)b)kyV|5=9NA)Aw%;y3~LH8gKcSt3=rcSZWz zWHoLb!?%U>Kiv@Kvq1q9nq1@7*&cjtgvHX^gLb~tyWj`^X(Z?+2@yah{oQ^{h^^W6 zX$}{b5B>eu(@-0RR@d9AT)aZ{bSkL<(6*SC;wAypk#^@)*XI3>(5se{zQXm{z?cgc1^~9k zb1`F~w?;x*87>_#fK(Dt*?2VGq%CmH2_V1yE*<(G^t1o{`QJ0}|DPE^7GBeCL|yy* z-@Fy8;A2q)}Ez?6N# zvo3_8oQKBk>U*tSpW@xXtzJT;(gh1U9Yaa3*&P?bYfCN@vH1o}Vhez9SGEY#-D7Hm4`n zSVOV-9k+n?rs#6CtLk80?ob)bQm4K z6hdVJL=VV6%?d^TPPEdf#&rlxGHdOG{JOf@ny?3g*53o)yGK95DcrnFc4^A92|0Q4 zmBNBaGhhxLdrc$th9SayXhkh3dqUw4k+!Sd!1I?c(<=Hf$}FsD>G=gyRu#9x=Uq&^ zA9X)K%G}&US)ljC>zm1AK3c94N$RreYZYmpt9c)*b|i-x_4gX>IBI3$%Xd`=lFQ*1 z?t5?1Nro^h94y#MIEi|7T3s$mY~Fg+yUr>4YY%bbonjMxH(dArres(-^De#yHTcP& z2q~bdTXJtOFPnvC-Hm*r^;jRzF7ShpeD}t{>7n3vT+T5$I*dZy`4&rfsf25z#SNCF zJ>^%6GnuL@yNH@#Z2bm#dW)30N$VZbk(olS5C%18R}GOQ@XrWQ!rc;WqtwwO*!Y+Wu%}`RzI$tqX%BJs&$s*IPsoj`K7f z1JHgqG(1dB6I=g8Ma7{qHn)YdJny&VVEW$X0Lcg&77D_8N5u2z<7edQHpNdKo@7!K zx9$mBAY`8|GGCv?zDTM6^F{w9qlMJl>81S_redbsCt~lu z*;T!0@H$f?9v4hdXl&CAOOui$Kj3|YGwJIh=O_EPRDz|lZJD+6ba-d5)J;t~tzUDb zwa5PCEMq!&wVX0E-pkCc!(zA7?b?25(T!TjP6q3yt01}Lz*3fG=ir*8H0l1NeIHz4 z%_Ps5{6V3y@tr?w=u=dnN?OHDWy28g&3~lKq)K08fL;D9`^x7`u?Lz#XRz7b#}2*M*+#EI&iGtg({bSq#jeO0jwU6*dy<_`2cAgh>GM6eosP-KNTS z^i*Ls6!^fD2N#(4c_AhLc&M~DcpRUu6nb2&&=5hSy~e9Oxhi)o^)~8mLMP-ohJZgdoZE9D3TtUAE3EaFmn2|pp??x>O@#Letwk@)?9h> zQSlj51`{5@OR#-vYRdH>kVF$B%o8suhLjiz(Z&ot{smPS#t+B5<3(g zK2Zp_1V(n{n=+68$Jo5fO1(?$$jHb7pIV9y!kY9S7qIie=>anK=(E=$20-cr1rE4f zyi&*alL8Yxu8T2%2JACyK>En@Y}AJq-zqQk+X4x6<8wK((h8+57-ycCQm>e5r(b2}1&8_+I_O?4 zm8eQ(E8dH=1gWV=!EeV)0C5^|4+yvFE77W?e5ePA_jjMe1w4uG-U7B~j(BNxH^d*z zle-ajL~tOwVt|v*a#muKj$mi4rnwg?DP585hxKE# zG%<#zeO&P56rE2$B32j>zVxF8nbvs$89c$yD34p_u==FOz`)D8-%iIh?{>Xz_OXAl zM1W@J(-rA;m0xFLJWhy8KlcK)CzO$K59M%G5S8#FU5cDPBl0*m_S1uDp7j#%SdP35 z{iSYJ62#gwy5vV@Y*_EJPAxh&!;z{K0yQE#Ox0WSQ;#-=W8Qu8FLlKap_Nf}qS~iB z$QN!R@*%{QLPwYpyG%`0uG2(Kc80D$vmS`{#NoqEKn3Q#X$AN(8k|l9Wu%X~C2O=k z3}ie?PF8r5-@`up7M+_wW#Trw`c1h<>(Us>T5SdZj#>m6eUnaJD4O!_KJ@(e(ZFln zC+!ZU0iq}c1u={I*7hs`imwXC8qPl@M?3&j5y~rPgpyi|(4zDl#+A49yW}Vrt+ig( z-z?x3B_9BYm`?+t+dcRixdH4LjoYl8L?4ux#>Do-tbN3Wi|TTcA7+_;Ayaj$cx~)q zi;cs@@#Yym3Uc;q+JJ<97jJtw98Bha0jZRAez=}VnOyF!k_wLarRX5?!IoZkxO2*> zj&Q(DX~0tyq{u_koD30p&HQn=0{%v&zpH7~2alCV7knC?;fd^szFvnZ$v&O=)C5 z0jsryL5Qcj{RJN745R)YlS`h?>`(nE8BAHK{D%QDBmnGgx^JG<<>bHL9I#|E%}xhM z4-(VskN5**N3nN}%lf4bbODO5S$ZpP5Gq}`;7_oYU^0_;Q40+DX|PhBSMm)oI>g!= ziWX~$W?(S~j&4a>H1Z|v_OmN@SYmhg=bs+Bf95%*vZZYJ(MNe&C9;MSM!9TH+fQ>w zTJm)d4Tk8bC$G)P;p;*DxApQE0J-TGH(`}iK-bQsbq~xalZpg}l@sS>WpA0Fp-<9v zd&DwJVtj*vZ53#;I>_4LtAf1qh&#>ZV^2o*0RO+=)GgLD{2awT!a;s){d-3-=d?KL znfv*lyvbq!o$3njlLxp|7kxZO75e;Y#z?HDJCZ646d!Ae?t>8jnA5rX5HT-^$ zK51Q~bt!rJ8EznMsAwH9ijK{y&n%c^9kuu+zPj-eN5^k^vTJ1b%|PA=mq& zcd5qmlrj(3XYRhX=bY)!vQIsE`ffFh{e2To8b~FU@ZZV55+S;zXIEOeIcc8jU9eEW zNd2CuKalsrMa@m_emPX@&DCcN$D$N_z(I!)q+0)N%eapLO35&Q05q%QUFe`Eeg~Ui ze&WG2Jri)JD5Su6C*0GMRDg+AjDRgnb$m0}&k2u*BKlF>m7lI09(%~&OL=AtL;M!K zc&Gx``q+OskzI*5C*`ZRg%MWpbo>*4Emj|PsmmxR=1WmAZ9{tlRzJkcm{gX&M&xL{R?MvCT|9&_@}W;3*r z8kWr$B;kpYB6+a;ErrFFHC^pS=V;;AD>l$`O4H~5_W&|!Jgc7q367pTY~8sIaMZ+z z4@aqex}}80YGWxH&x`?5g~0+qP+SJX=(2l->~;dGOI)6yAnGDFb{!{(nli7*(o{;= zziygQn!S91B!3 z#MK_%rvTflVl2OYv(STyV+n}_LZVWpby=ym?Rck}8v>kWf4!j>XxtBvw%2nLM)b8-d8!ISloSn_ z0>k1=D`Q3jw7%9hx&cWtr&lUM19GzLV)?bTQ>Huka%C$JH-iDFfP#!58gNN5^!PPq zJqUp8S9`-)EWcKXo86u1s;+%)o*bJP^99LgZkqM)VBG?yoC1Gzw?xd;r)AqhKlV_% z@%fL+@XN{KP43z~BQG;6-Q-G7S`gzS28q5xQ@ZD8ipyVr6~7Ps*gbUgP&B{iZvVk{ z4)@`YwiI(CJPLj)>gM&0Q@zLwH@AtK5409H7?&-S8x+_M##U_}4gM4-1Z?Vc zw58m8mGrpUgYmgvst46)(=!-KCFG|=EuYMd@vttc$a}EJ{q7>x)e5G`DBhcMpBVE$ zzH*qy?|iS&B4p9beT!(B;A<^CqL{&#Qo|k|g)=$ZC1i|_%slSTHpk1K4(eFIb8-`s;_NX^uTV)^9r=O;}+mwMk0l@TeX(0_$q*PzQJ@L16Ih%zke#7V&*+c{Fdi9HmDv zq=P5#pkA=xw;;;={)yf=q%CcoG{rILaJhp|^{R_Qm?DecC?OoUoQN;TYi-m|RM@1b zd}`FJe&KT~bsH!$$Ufy|tNKXUd+ypsB|MmF zlK4oJy@0cHGwaHfz$J^fEi(n^w28WcZ%aSil(L_p@typP4%{qW5iDSQ`@bx663b+r zr&#C!v4wGiQU|MVRd0H6x-g+F-=<6+RZ)p*Q%E;7}qF<;`cjEQHoSD&Sf6&cJ*Ka@j3r9j`6qf z9?$sGMGS{}Re3?;8_}JKq&Z4)aGqV$(bm?Fpq&V)48hmYtxAhi-Pm!bD9Dy>K<=2w zq*>P@XcU4@a(LlDTPafF=qJYO9xoSij|J>yw(@Jmd2-&D!`m4noYG+6xuD5G*VNQ^ zas6U`Tm7_#9Do0VGQ>bu;{9rTYz9DA2G#+@T3u)?faYK@z(Y?fs1A(FHjwmnwh(8;HbTf@q8#3*wSLorhZgfb3??bj0t+~ z5TgPq*Hc_ffRp-fT1dY>3Pt(xgu($JnDJgk-%2eEGJ z7_}pNQZotlu^=@|n9-kB_{6%^+P7Zm4QTGNiD{6~EW7o}cF8^4VGWk4vg!!Rt-9Qm zU5~?|sX8iE_HZhsdI~Jv~v=w8T-Q?)M&UH#0 z*U6N2IHnPSlxFwsrcHuX!NSsa;sBf{Z&|CqH!0Y3oe%d}(zF0X`%fiv^OM)9Rr%H| z88W-OH(hDWzpV47QT0g4P(UX=KfkV1PEa^>`RNq=H$5((x-L!nX?^MX;=|lS7SI_i z+P4&0ca@Qn8L|(IzKx^1{7|CV{SaIYe%AT1OMOv(W`*t-n-4vN^M)UBan>xVxRB1? zMDFQNVUic2Z?R)6#;1rezoF{Q_+AWtT}1toP*ETB=hV3w|7BmZucL|fSppoe#8uca ztMJCFC8Sh_{hpmlFswDZ-errcFc@Oqis#pwP5WB6--5hmpjBo|jU1+F&h?69 z_3#$E>rUWM+=Mi3!e=*9Y2tMj`gWeD1`R;prw1g zlxa_3@%~S!Y|i&fnh#!IzGt2Vo;GABs4s@|0}-ZlZFz5?AUWAgzN;?u<)f2WA8Cik z4tuz<@Bv!=&5Y`P+Tqsh2eJM09>H#QgHKDf@!cF+qN0tNTVAX0j~JEd0}BioiNJo? zJD2*qr*W5XW&3gD(T??J^I;1?V}0p153h3*&z+a8TcP`lGZ)W9TxOFpbafaXu5ddI z%ox1HK$2FR2ng)1z-wws+m@X@7Zrw|aaiN3(5n0rMlWF#*MfCoon%HTq7szSr$x04KSZQnBn0{#eEFp!sjvGy&M z(GY*cJ|l8ml~HTJT95trh-kWu=PeD$6*jW(m2{gM=yAfJ$Q-$OAfB^LFs&OlaCtrR z8~}39F?;OJp%4UnLZyVyr9e77-WWs1L|IMb4$kk#M_2Wik>Y*ISBH`YJDkFCO1wDG z%(_V_97f63ll_zBSO5BFnl6<$)HW?up*z06x6V19bnWj^-j(s@=(|LH)Ee)L4||bw zhXq4g-f#Vp{dIvTgdCh-XeFA5$Sx+TZz$i0`j~NT5=!DX5411M36V5hwPJ#^JsqYQ4f?*V{icyOMAU9w;bM0p4c{z+HG{ zS+NrB0pj9<^X`MqM6>=c$wB=q ziXl>8g7rgpnptgl-WSraZJBf2f*TCBydRdt5wYI3#~Nd}nat4AVPb;Rgjx%9Y&yoT zem$IFrGxgKVGIg}bbcE+t^4^YGSUR_hSdJC7G*Mwsph>og|%p!cHQ35i`+>7;9e0g z5ubEgG{kw#@J$fJ;wnCbeLuLNoMYfhT3=r$cRx-({dS<^&;3SI0Ah34s%NyM(INX2 z;W$Rj(Q{8btk$Fv@nun^j+g>?L&blW0$>VQ0IB%#M-UO1D2KhxGu1P+#6Slh#(byS z&cD6w(Hf>6fo+arfky88CeMi8(L-ltWoR1OOzQ6GTcQa|y12`|^7gf{h5M?S+QTc& zb1lzRs354a_2!_+U0tm$iU*6?htbVo`#`Rmb(BG`fDjt+GlgWBQJt!hkEdyd!3R`U zcsc%8#OWCTsYef2HSSN7;z|1xplu7dl9r1n*#bmazm9zFXD;{h3?3OH@$T3Dl5gHT z0SmQDdtueN_8Pxb_S7-Jf~@EZG{e~MSLhApU~A};WXANna=p#5J5Ssj^_Y^i1{wux zDCv`w9{jWwtX*cA2^-w+lYhDwGx~6D|6ti{N*YzN=?Gmz3nxXyE+01Ykl70?9Nfzu zfVc)qXO)|!gVLf<3QOd!Ns;vzRYy4{Ib!zv5H5O{?~{=nA>~nXI-DSPIkZlARuoYi zz?uZHZgdc2`c@9;u4LI>!qL--t!ZlZsWZu} z5UM9|RBRG>;EUN!049{mA7BZv^eOgfPo-Z8gK)pkSRmLS61H&tYMMGT*)j0@yQn7x zuKmjZ0lB?|azju(^EYRBR(z>%7Z$`OL9^t{gynV}ubMGHu5!0%`#wNH%x1S44)Do@ z0K(CxCscrr3!-fk4^-^{LhZ<^1rEYuoG_CU)TjZWOL-MTfm^FWhedR~_vD>~y&NqD zIOI8~1yhAL%JvJEp!uRD&$|c*2?z9TFd%zJ1ttn8M2UAEn{T72*6|DJuk$+rx&Uoh z^WJ$3*U#+SdwRLEHfOtHU#d@d{*C9EE#boKJcrn`LFQ&@WXyxWj)j*QFgm{c%yxVf z@oVM%2}#44@6gVneO`*nd@Y6nd-Nk4AWy%kr^&0n6axZvNPY7cAeHV99U_MAmaZAX zY&bG)HS72+fVcmhTUzCJlhvxU2dL{?_a31opFqPxZggmgQCHICK`d;sBFbArI*28S zXa%WD6QMYndp7`-CnQqxSW?gx2f&dj{f7x7Nc&jm82gxrE_^Q$J@+_F8E&es1Ow24 zRTLzQ_wy1M4L1ZWv%wTlKm@naf(pDq5>nbYi~6af5d)WPgYIt>ysRtT6F)|*knc5q zi>^q^zvKZQy*=h4?D!noG=pPy~Z)uwsnO7{NWki?iNV(O-Lr55DjSX63t!PR32vs3qZ@|dNT;B2I z9&o%q5c2&Pw+Tm@2RogANP~>YK+oZT&7Z|TpEf2(5QrRe%drn14m5cH8yO2hHu^yu zlK{AOcd&hqiQ4+_mbiiKCK(k~;)_ojnpjf)AEbH$WndT>cM3+^80S&ArY@va?NQzU zarO7tuZIsGz+Dhdb)>k~->dAx7@Oy9a5EV@0EGE0rRC=WQ?SQmve7ZZ(t_zj^DHs^ zu6Z!P5IS-|29n!IevaQI6kfQxTTcu;o-bc8Dt0bNLmEDbaT2D&u8s$($J$BlU$<=Q zQ0EjHWhI9xc|}Lug-v%+##Eb&-;+2vrXlmOz;^lCi`dWCG54J|O)cb#r!3GhVx-cJ8$v~Vdmbdo_qIBiD>{8~pkj#VRzNqAAs8HZ0uDp&AiiRBTi$!6MZ)ana*bZwY=xE|1mFXg7q@7mMtJX3QUQ4Se6v3Qball_$4I(;H!DI+7PUJ%yQwR z{&!mXYjSe)kSaT!#!gAm+w23iv~IO_c=QL2Q$MkxyI2^$fm+{r+y1IvFF?iw>jp{a z%HaOlUjoq)(+F++SX7{8pPQT8LnnWGPq6@iA1wxF465{4t#$DRCoyH!nly^fl~9lb zHn8GXSkod5MJSiK%h+Ydz)RKY*I93>&&L&aP^}}6rWxq^vg-8{fVBL0FIdLGVSpOq ztqYj^!2V87gTmBg3^{s312po>OocVQ-xU~h=06Rnmr#(N55FnRD6uJ{C3rEc4FvC^ z4W@T5enQD`4GcM5|Jt|n1`T--N?e;EQ-pU{rKX8<<(eBD81Uhb>pXx4S4sQ)8~gh(iU>5Y z?8Aw#FOd3=@YZ>D3z~mc`Pa@H^Z4IAD1fncn#+O^u&SZPH=k`qawYQ|L4 z==XavtU2n-zt4*ehCfGB9&&suRs~PW?8XL-R=Wca1=$Kov(sO*6@CfX_~VWkCy#Eq z#xj_N*}Pm+mw)pXxmNLp(oBGh^WA)* zC&nfTIk%g%#4fHuIN*?R5e}or_=y4t$S`xW6?0DgSbv4hNuKWU1f8Q9^?cc#t#cq0 zu2Uz+!U86phIKkoLRT-|Y2%RxI7R}5|2tMOC{8ASyA^*(&~V1ZqQ833N4fnx_A{DAwC z{*to2aAdm!VT`1=nKuJu#OlR(mlCKWU0q(6Q5{+(aF}32xOK{=V;m)eYrcNAx+MAW z4vu&v#9icB9Xr&Xpz=ZjgNM&>!4r!~+~2ObpV5HZSVcZVZ|y66)>rI-azA4E)KLJJ z?g>Ynwya>!Z%RgLU|FckOnjnC9_FdgLu`a@3u#iVuj)(j4GD^8O1?J*iq4OLWNO z8PJBTx67EPUbVBYgy~$>2QMmJyJyGY||2nM2x{n#S$(d{tx!J^OD*g(x|5@mZ zDq$WqO6nG%Vn4q&{O<7zD_ubUGTs|>a9~kfi8738vn}}z)A(~^A@e_2-E{lmDX>Sd z4;41l+^+h{^em$Hv&c}PX9YZbP*dgH$+a2X+`f2?2&qDWJ7nO6`KW)2z#<-ddU&>J z3iRG}Mj+v0is;;!gf&Xa;PBbuHlyF8B~|X-ME(mU6x)5(5)`E|@|wI&2XJ~_)WP?Y zXKbd-nRfKoi5ANT@2UZLs-IOK0$n)kpi;phVI7SdUimi9k3m0)l8hf6W`xpDbq5OQ zl+3(edB8Y^;tJs4Jf*~!x zeLuM8?CJApnQFIrEV6+Q=BMT=P@J5fX}9<{!l(i>y=Ky+2>;*Fbi5As4{)cu=s^J+ zhE60T*MDAsPr;A$-TJrgH^{yR$i!;;-oqs-EV5DRUx>UI+QZ%pIDh|RFw+oy-uw&! z`TqsvUVv+8_H}#o`AXxi_%>Ue;Eu~cl<2DBUo%aO>_{Jo`<&TyYL?TvCrYJr2$Jj; z>G@vwJ1VciJHlu>=4iuz4UvUJRyGEGS8>3t^Jf3%0k11)5Z<5iv3HUrFF)wfuy4L4 z?O1%nl=O{BW@jD0M@siFK$AR8Ow1CD88nK~|-tZ~h8?hMgUy>@zlt z6F(MOS3|4*!H^YoARWh~EVCVXF=c7RT zowf836kttBA%j=JpAb-z5r~k{4pvo{;e3tw>X0w0d+n;FVL~D|kN>S5e|(WaO%&>x2*M@Kq2L zB%Z9Ykw1heB4b_R^a7`5SnGOL?y&s8JdGdfykOTr_sjcP&z_dJWZajJV8p%(7n&{xjEj!*Fo;5#1Y2%3ZJ8dS2reTg~z5j}jSan$9 z5q>T*!jC_a@QmU7@c}&gTp!o}dpv$uVncM`lfOn}9dmC9fY;tx)Cy|Sw`EE=QX}!m z40MmqG6I=x_`6D6edWDa=-2oqF@V3&`-B7dtactW7y8Ri;H{Vw=XxOd5scpTgg2P1 zXFHB845_I^yWNyc{ zJd@JI08?ntCnUav5c!pOZ6-? zU(R0wSN!LWWr5bYDH9iM#da=&Fyyn2^Jb9&x(r$S}~V? z3w%QdhgH2^|4@aligqu_SUx1jm$5)yoe1t}>`C+@FY^ojksJ_tWy$OKn3WWxEKTDm zbi$BvdMwb?GOZ3*)j+eShi{w{G-J?_J(cjluB=8oZUFx6%>;G~NCyj}0M2vS8#9*V zFW2U1z;f?dpu+R&F(rW13;&@Pie>yV{|GF23l8kx{?!#Wp<|9{Y7B^mgQU|v-KXSU zKeLjE@WJJT-;-URko&^>PYG5=f5W=bpp=P~oNRq&?%TD}bGz|jL1H$77pXkUad6=N zINwQIjLdAkeMY?T!9vCYCLAy=4m>T8H^C2Og zt|wKib{T87$j2N-kz$cPW{&nrgmFvR4}F%0#mkGLZ~YNU0^t0Odomv;6u4c|7K+{A z@yGR6kR6nfG17u0p%SEIV40SjYKBUzz-Dib4)vRayOqbx?QqI&PP@lwgb=&IpqvN@ zw3j|GK|V;o&kt-dAyg!T{^ge)j)7eZB0BcXHI^Iw(+4%jB~Yq!jegD=iw0hov?;C4 zJW``<4f1+32F9BDLj%!pnG+0p5gn=SMzs_XZF~UaKFqEq52%#~TIVxT7pOjd-#^ok z@jXExlMHELjnnTP0KMH(x#a#SsM(@zjjq^EC0c`Z?Com@cVX_ihf2N!tKWaf8`dN~ z@dAflgL6s_0wC$u$_?Eq%QX6K;p4Bj`{9sMS&YmTAKT)@D89gC5`3cq}SYRX`t;IUimgDhf(D6~6xmvMPftrtm z*O|b3>7^_<{LSapT18B5CtFk7x?DN*;@_=b@dck_$dePQG_GpWmWZ^K#G#v;$SP(y zwC{M(&FFI~&WNqwEck-aX(WMZL2?8LGOA-VXW8*pXMC)zdv!t<*?cL1@(_lKGBGaj z`w*(oNcxF+(^TsZyuIgA%w}D%F`oF^2XFo&lYS5Gw>WJ#Z5-OODu) zaZgYk9U;O6Y3sR->s?D{_$^p?p_Q?iv<9Q+Xd#v^@S!qYhr*6v6_S$A zLP$I?(6K2b02K<&MXgfRU926H;Z=+bfS#09Z#o5lil)pMr|o(#CxtZyII_WVDUl?o z^TqfR6lAW&3gZQ?*wsC-y+EA zB-;PZD=uNfT0T3C2@E&1fSQL z-up-a+HiK#CiB>6orDL*ntNGMIX3^6%IuG59Pe-2B-6Nf&}mK6XpGx^_VBQ=W4^gT z4?Se*?Ch4QrlVifJ@gZ?{zSi3+x#)>JLSVrc4I-e^=1CPF{mQ)L(eTE9K=VUfnSm6 z$U#N5G63jJ;4qN$x)27oAemmSG$+Nzo~-%f99j@TN(&l#YGCA3VCbND-!vO~5T`g%m0EYaJxb51 z{-ZlBvNX+6;WsE%HBh`DtZT?jj0I?iVCUNVRG)vJ`frFzU*#ErRpM?B@guwY6520! zQqS9=_-3AbUZKggCuQ|J@&)Zjcn3jmSKOBiJ+0ClO%q?WHdub8$A_YIZNexwdMH9t zG{bEgRiMKt1RYyr?gtZLfiC7uW@6M}^Ua-Dh(Va+l#92QwuOfj~F z#jyOP-f^{gZc_}&cr&f-oK=Z^?&$ozgVxV^AJBm)-OkYSm`QpxfO4xfZ}+SfthPVD zbzJm5TsNLlKmC$!548LPH!+1@!H{n@q#LxP=j4eHU=7XI2g0fIo6>9DoGJ_`x7A4b zwpZXt6>+XGOVrBen*Z!rQVx53dVg|b0U1v6ygemKBBl)BwvJUxR8nnmP8#*xz!nYU z6AcDylU{#f1n=l%4YeL|NZ#Fv1u^~t;8FQeF@87^I+E7Hexf7ur%cTt>8lrrUu$jc z3zL(ccdzWPdla2JO(X!oQ$T8xzJ7#$W5?p7kBXWTHHtE|8{X!}6bVO$9r2(AU?Bjp zufeL}eL6j8S+@7vc~oz?rxic{b&Lxt#`Jl>xu1|V4A5N3A!H^o-EXEtLc6lDxj!>e z01+wXs1QT(;%_H|cp`(3aeJ{L-)p+KI7yAwi%_@yis;zCHWK8p%Vr(*{C5q@xBgpP z6&7_rv9$sR&x$y#YT8C#XqKI0s)$s;Y`#t+WWE<3dQ6}TeM14^vEL49R(+*!{tAE8 z<3j;td3`LuKiHOIfSJ8KT&yrYv5V)Hs!e$`ku?_eG-j5dRd6-S6yf6*H81U;;_?>#Fd0%)FO_BHj8? zV6~;`PRe7)NCAv(mA4~Y-mfh4sXiyCVS|GQw2$fJXg|{1f&5lRD~X$@hFX+lWQJHF zHEtAimqX+#q3B49?zeMcrV#FKZ#7R#JDtwg*)!kNsbv4)`P_27H|8nJ1CX7!``(TP z2LRtQE7MpWW3cHkA1%#h7zi#U8pqR0q%I^*9zw1sr)nmAobcFvMLqwS-Z3C(>=MC> z_cgrg&FA(sVxk0o|4ajHlYi3Xzpiuv5Q*aB-1F0w!QoRzV zq>!KjKGML(OvP+epwi=jBfV;!c$CB17}DON5Y zZ#`dro>h|JPA$TTlRd=&?aVPP@@Dh+bONuYoCOU4UK+GGQPfOvJ^7G}!oixZrqxj% z44|=CzkTvTL6!c)7$h7GS-H%ML}ygN0uN_!qO&ixjT%FC*427G+zOL3UdlmhZ1(l9 zG7B~!y!<>5gO;-7)Aw3c)2!`uj0O(1xW#+~mL<2zfe=)Fb=8U3J;7-Q^UP1OKjuDU7uce7WanM{7@x_R@_X5R-d`?(L zzNWTeF#@D+%WL>Jb-#PkXAFu$=vtn617+GdN%*x?+NITTrH3&>CU(BHdt9I70|52I zp=-Ho2$+oHWUu9~i+X0k9MYzH@xJp2DPbIu0kmQ?Z73{T!YwUl!2q9zVK%*Cbiq-M zb)}!IPzHO6LxMX2FpY7X!SaAAcf|S(Y8u{x?z zzsY_5K~%+(8i|LEP#quD8FA-n2w((SL}~j8cwCoL6fr5ITpm*<01W8J@oCwlVN26xV|<5gdOnP{NPaHUpYIM{Xe$2||DBT4hW!SS6t3{v z?J343W+c2mC|LLd4wu?f_EpHxkLG^L{w_#MLchFz;Va?6(CaD??xRcqI`UDPPH5I0 z=j@#{ZT)It+cGaCTWbCd2>`9rpn4(MCht!p4>`k1-0Y~|q0q3&0>D0Da4|}y-u3` zvcAe|OH9{l^q_J1_#cs1Om^*h|JPo2;C!6$ZBSt=63EzSI1^YSVhKr{=ifLJ-%)uvLkdIB)zB3K~( z2K6r^o|!BYtlW$I1{Od0mWKCSnw@C%qUgqzjwgEMJuVDswh;TYI=PFw)Q-~ulnUFe zGd78udjYL)_vS&DFHH&o!6#oIQ~&v;*6yfRb+*(pOj023fKD_nKiGeKZzlR$M*1l# zu>Oycf_d{y^lBAjdjd88A&#}(@cb)Gzozu_#K1JU{umOFt)Wh(I78#sgLdDRj0K7* z=j5$0tB13`C+0gyp@@d~eHN^(*w1`9MyuplDQN&iT90wZKZN5x%v|wDSiI6m#CsW4 zi4g(usY0gu$QN+CWC#uJ3e{mr6x(bhTGRdc=N<{H^+1x ziTOh2fAxhCkiQ%M?+>WEVY(xG344`vH7-!EwO_Ai{o6#MbPY4)D+A;}VNUk7%$-oabLrTW^>$=aEe&s$k zAOJ?>nGy2UY_H!7jgt}p`|q>g3-hd(J_-xg$-rdTOcL+)sFoN^(Cz!y*c#?SySgTS znAud)gBm_Py4Usm*e*ZMERC>bYT=NB{!uk&J@w>6{WvLfx}R($U}o5>8%ep3O`vai z!jlyhTbV9%H-#rxi7!^Mf#fHj+TK20Qu%kapa>;wef33PN3zgglbzR-=A1r z>g^;&|My~0$wouXln`aF0P|uL3#2(d4R*07T(XfqwHfPw9mPxalmIm0N&Vfe%ue6b zf@i<<^DH$OLHeGt7ui!cY|$Otc|dlgl-)Ayq!haNSWJgSO^;k*8bz#8g&*Fo`G$lQ~ zBji}p0fCBeheVd_XLvVd-|y=hkDZ-&TeatEVaT5Wc@~Q3@f#0OcHi;#x^8#O&g#b& z9NfM(zXhI3_hQ=Emky#=8{Pl9)@Nspg+qny?`@iGY?KNuJ7V(yb1a5hUceFTsw?~0 zG1z(cjV@}2gtd)uu#7nN+hFS2DlMpZ{T_2xAkLy`Bih==mB*u9EHD6Ei54=FDL)R9 z-D)hIoeg&#$4h=swH)FW4pwiVZh8GXW5}z>lc=8F`4wNk=5_Iq;-00{&!1)V+oiB< zPycWlyj$p1hU`SJrXAqbYMM|G5^CeM$G=#~X`ZI^O^_$dm$-Zsg#zpN@}pIOy~ivz z1rD_8rq-Lnx{9TfR0Ep36QB0OJ03pRTboh8qg%I65sEIYzKbz}AvJbZ%V%ieuk@Yx zZweF(fn^i%OFvVd!kHs7HFdAupN7P<<26U?*m1E+wQ!)I+1VwKi!UnBLNUKXFAMBj z5yEQQo2>RLALBdb_65Hi>K3K8zx!ehgId`;V}D3T0Jnfw&TXud>HUm&pvQ0_vd#S< zw{TQ3_j>5^a*X(i54)OYOt5nX$0VUl%Hy(=yyTS&8dz7`ax`IdU*y{rrO+1!@Cjvk;qGZDk>E$tNq#;bnIa|;v^c9!e@`x3=Jk; zUta!xaH;D@;Ow#`x?19OdbF36LQNOKi0P*%hTayJ*xq{|>__RvUi|PAyoPS>15OFR z;+I;UrVDDidW4FlD+H1ZWDT3vxfre)WI1wQG|G?|$0WeW?|Y_KAl$QfUpv}EZhhUZ ziH+1eXxKu7_u3>-LTlP(OKWKzTXzjuzG%Bi8qnXY`}feA!-oZ2<+b&JyhNC}(13YV)xs>)pe>?7WWGcZ2; zQ`8_D-vdF0>U|91ikld+90=sgm#fYz7z`bE8i0MkwM>PEn#+h9#8m&W!Y(fa2f0p+ zR@U)dHPk9lIs#tM}q+J-3As@|Lr&wSq1|3H10 z#Xj4|IWW+opRQKSPQ1@tszt=};idp~meFIyfQC2}i?Y!f)T~p7Dte zv*Q7gehm}SsD{-ItsjAcbp&-Td(xGHWXcx}X#oMnD1fK_V#=y?1A0+R#6F=Hg<^9V zn%Q}jM!}Hj8b5fa7K!=CvLG2NI@yoBjs#X1cbp_7E9xTzGHY)Uf0%y`RD=XDEWV9u z$sA94m2xRv-Hrm*qFfG!54%h~fy{UNXM#0P`VQaf!VWyPlZ9t;{eu=q5Kjyp%55Muun8yC59Ur;w`^Tgbj@-X&)NtF6K_zIe4~9N(Z1CihJR^zmH|c2YKSZTa!GL#Il8)cY<6HwG^|GR zwR^pHJRC@N@eeW((ax8Obz;9=5Af1>zmFpPG4B8B$a>d6zG5uIZ#%m{Am3~!jmK${ z;sK~~ar$f~bQ&6(nZFK@3eb*020CT`3zqMksh%ZtX2RS1=C{&(a1d{TR1bDDGEbV1)8BRL=GS#&F{F87A#@?s} zI_SMlNV|UgyBmgFgc|zHSpB7-i=YM&G-l%tw2C0K>q&clS{gB82UBXBPR~p7t8!Py zPv{#J*-1W*quQ_u+Cq2_M1SNpAxeAOmj+cW|Nqs#Up6^TsVOy@&=|Kdyrtr6K6#F> zVfWp5Q{GPn4S4YV%oX)ax_oE}M^ZkS$uGr#*NQ1PMVDn`q&Bc_Ex9?{N;nn zaR`PIxEx4-tP&9^|NGfLpUbA_+Z_K#yC_>$zr%g>js%(8i;Lo*@W^G2-R)=>4 z%|;S{mby%bP^AcPoGa$OJx~wPkdFMy{@)6IlY)oO)Eg5cu@AA$36IiJniOFj z4QmLl&t&>`)yYqJHt~|k053P~)`ual2WWs*x@6`1zGH)G^xI-x9eKd(PB$enOSQqE zH1G&aO)s>u!{)Nig`9thHvRtJLG?8coeav5R9PC8_Axe1jz7F38H6DNm0e&RjQ;0T zyGuJiBV47!Im(x6(0b#Ef&85rYpc0UlQ{dtSLGT`}tUt5A>=X;N+$Yb*25VLsqp_5?S@P34p z&W}%KVnBGf^rbLcnQ8#84%EN%EW$?_9}M{3{qr1*G8r5$BIP#qlOEaINQtyv8txUg zo&QA+Y^%qlPhvcLRhFTQn+LRNS|^ zh#>?c2v>m*8%vktBTZb_|MeosK+c2FXu6tZ61j{*`IMcSx2FyPL7c5ox%_lTLV&0brzOag4}&&g^P+XxWg;SWac+jmvv z^yHtQR)k`Kg_ zNrX~qLH1k!AU+#7^7-I+$hDH7;pZe;faLV^?wxo$QXm?DXxUTCN}-K7m8YP{@j1oE?zJ)Wty z3rQkt0~G%uqlBUoQb_^5wPS<;dV8Q}l*h&^%?%*a_8l_ur%wQ{u{a7O+52zt$#yxd zx8s+W0XZsE3A=v=o@Wq8owU`V|OZl44;5Zsm%`c-?JfgAm>&>8R+cHW}D7 z{pSdGu7OFzxF&1|++(2uS{^rN!!0Q*p21c|3d>k1wMNZ7dD}ni0r>DQGkvmn{GwFVu4e`s|z8s)n)C; zYn^HfI;xL@Uww08c-}5Q{*LLsNLUflK%E)_V6Fy|97U~-#EvcMC;mjQ=F9g3 z@iDQim$W`6I4nd*{x`jP1h+2L6?8z)@HYa;x;e0b$ie$@`SzwyYfsoDK$>Y*6IR?i zMhZwaeD%$BW$q;HmIW3~rNsu9gPvGSz#0@(1A@$a?po}`$;*`ZK#hRsN?Xuq;AZgu zeS*J1;mC2>%tC4i;e*;#1{{KtZKy&7;&<}thc}R~S}N-bdCgdD>XdqK8Mz+q^eF1G zzUn5NtG%f?tZy6@$;K)S<0)F_gK~eT=XJjeh%+Bn8k8QVICC6&IR^)N>iBmyUYow68v|4pg)N5h z%LUSbg`I|z0#xi=|A9XL-D@9Q+G_gJJiz4d`EIJ|$C`P|M3?Jg@>%l84;&uWU zEjZcdZ&`{AbMjJKOibVLr;S%As3tp`1*^$VxK_F{=mPe6MD|oON0Gc^9=l<#PVFEv z&_8b{CB2(50>X&!h%8H5KyccdAIk64&%-QUt+6zm?>F)?*G!+If|s zY^G0B-+57*7wmmOe{0U7srnHjR+GBv=!*BkUB;t+YfZs3j)w4#e$LNThtlZ$)S3aS zp7Y$5+b!qk50t!#f7q#~9u^GKv>huh?o^n-J`H zqZ6pR;O~NGevvl++dC2W^2}l!z>1J2_^O)t{awqStgK`bV~$Hbk^tdR)`z_Rd1qzc z9`OewH#yW3#k9=P$7NjP6R#VCHtZAsmA3giSx0#0OYIB_L9z7Z^EA9JrpoNDe z8ejFtG|5bMip7;nJH8+fl|HUEhHEwjC?;w$49$83J}OMVa#a(spR`F@{08C=;p82V-J6*oAEe43N3_wFAmD=cMl>|`)F<_ zMz#q?AHl?#F@i4)@Sd8pOtdh~8w0ui3Oo)j@llQLnz?GcYw^l<4wq$pB6N`bTZy$f zky_NFyRm6_^$8ITc(+0sHyLqEbT|4ufe9Udxt?h0^XcG-?B=!eVq@o%@09kh9pmc2 z>Mz_DEA$rLjC4a6Dha>hB7XI^$ljLD1QU3o@I#ZjAiQ;YMX}e|fQ|75x#hPpSV!%O zUv)xu7wM{J6A{|3NS;FfgS75{Oqc7yuGRuM3J}G1r!7L&o=_}jmdEe=YUj$qqy^1u z-|o-yGo5CHs_iWYvdw9PsmvH)W#3sOJ<9lR)AMB~_mip=D79dgzH&{=%diwJ4S8a6nf8)%)>gv+r$!9gdK3|<<_MH#KmpwU)Bb+05fFToTmU&aRjH<&X zYog1_zWP26OkX*c-Ypfs_+@bBQ(mBKA=HBk$n1XP8F707nM&61BJP_!Y^CjwAAPb6 z&a8vqcN4 z@^p{A@s?_&OOyQegRHvBQCM#w=^Q!`&63>jsH0G6rcf=)1`yb}qLH#<0gekLmZGAG z;D65F#eZ3|T^ zALiWo5;EXLS#D%`nMDw3hO>CU{oPW=rJxQ(c^HN#r9&?(!_za`3rc63FLuFO+#Wx8 z*&Loe0B%cVBK=xWFe-J}lBl^)=^X70==}=|B`FOp`~Yu|5#5wCKWQ{;E045l0tP)d zFg*1I#JTFEzycvvZ)e2$tP(jJ^JAhd=*dH0}$ zzlB=(T}*FC0M41Py1PDiSf$jNxrMCk#=js#lRW{#;er>cPvQII9hpmn`*1=sfHDfF ze;RbC+P2i$umcx2_|9}+HN)Gw7vtP6#`H#2M*9q5)PEt%)x+gUA`%l0Q5eWJ`D+;7 zilPA3ObT-QN-1@G(3WYXTcO}lo2t+v^q0Y0)z zO)9q0Hb1w7UI;KZwf6}y=0Mr9@oOkshJ5I61LzPIu3z+Ni} zT37ZagnObKeIbBZ0d0ZKbXcr`jd-TU{8K?I1QI@*?hkA}m<9N{3s)z}AwTWU>%N6M zS3k##Qev81>r0R9uyOfg-&27Z^y^2R5fojlIgy!~AwiP&ne2-{DX1e*W3=m`@3DEb zJ(9yWpJw38<2df?4JPF-bhZI~_fII(%NiX|hn~<@yRoe4{2@4`U}OchqWh-ucVbX7 z0zIiRxKQ5i!Q}(SYK^dY8d3vCnt9;q$!7N}esH-n&#Xk)-eYM5gK^UrS~F z_u~J5%QFBqx;J7MsCCj-%O`|q%mFVb!QsP^#5hxw+|Tyz%#2FgVO@UTmdt1PHAbpJT_N)VPWBnTJg=p+)bFwG*e2Y0*?_jr=<4-wbYfRR1f(7 z-hRgzh&=l2GaR|sdd%pDlJ7C_H<lY1uTz)0yN$i8Nt|Afa}=O%Z@x zfAcbnR%(iQgoOYH*!?kUK4+}z;rTWH)hRh8Q%(&ZJcveOyW_{ohc@GM2<%njao|2Lx+jaq#eca_@YQ*uY1{5245I7g zxZuO@%xb>0aWB^GEB*~@M!8xnaa`7H+D!2R`PFFerDo7?87zH;)61JfU(*8i?V5~U zT(u&Xqyu`bV>IyKOvn1nF~rxg5oQ8v97{3uQ$_o{J~zIb3V4@sOrm}YWQu&yjj8>= zCc1wpb~#7L_2djQs{H5sn{@JSkcHK$%CF-RB>dd|(NK1UBYaf>%spL2@W_nm9+|l)6mJ3-E?ZxV75ep`EevVGz}EOn#yK$CkjG%s9@gr)EU<96*E3K>tN61OVdo|Wfivo zh~dhS*hB2DOV~nfS430{84(>rHV4ga$zbCtBtkt%6#jNoZi|MrpYFb$J&l%bG=m5? zKmB%}3(VBegE6z%+#*By0^nbtuO-@Q^%}3=H|G{)sPtcH( z-*dfava`!IkyXwE{AeZH$OL4Twg2mRR_gyo&V!pmuVe zk<&Wz8n8P<8?(N1^!I=oaehfbZ9IBKvgC(hjOeI6EIx8uy%|*87N6vIGus+yM_Vka z=B`-cGB)$Pk@wq55(85fIctA9DuVyBsICdVnVsp1YD|gUa;w4k40bXaaZu?*k6I+= zvo&2|D4c8^>b4e30;&#TWAF=|oE%dJv&Y^&sGEdotll>uA?mkBSyqx?ovDRw0b!WLn7jD_gdK4hpmGeqtLS z+>W!~&mVZ>4ALBJoYL3xdn;yD!erDjZ-4$B+mkF#_S&l0T&6W~b$t82+eUZQF`wS# zSf1c14J0!JwNPlv_g3M{kZd^Vtx)9Mmf4OA;YMKv8qlH$WY) zXEIgRT57;j>T*vs>Vr37dK<#g$(9WD7oeXmmjC40hX;Am&94$tsKcttpiflLer#-M zip(NQUbVg}`gCb^eRLZ)|Hu%*Efl5$ardTL1zia$g)Os9!h_AzPq`4*9?$)#0rnPQe+Y;lg6hUS0jL4Jxb;Kv+r47p< zHWr^+dF?U&8FEaDtA`^g^#ff#YkMa$e~Nx?TV!}G-$xvRRjte~*}UA#13qgx3YhCb z9MftrWJ^M3{&pZixr6_dS|};7-Y!d2pqrfg_eSe&c<2t>_#>;W2~;ZMnP20to1!*Y zAp8e=oW_ir=cPA3$(=BACqAFQx9vMr+P*TAx2MXG-?)_DFPp*KQAMN4SZcQ14QGWLh(x#$&o z;EGUtx+bpY2poLK*cDFwi(z0~7Vo={3FEtOu`TNPwU$Rf3vR+RbG3im&&n08^armT z=&}jwf{xWmZY_%{?Uaevm|#fAwK*ao@4Q(Ycff<7hG}QY3qP^@-iAV&5h^j8i`BVs z)SB_W_-A;>h-OwVZ%2LmuKlzw89mi7dgTLA zBkzB%62-e48n{x1()fTFd*Y8Enom#NCEc0NbEtEZUFt6R-;p;JLg;xS;YCrV0~)u< z|2ys^$n9l^N99df-+6T;)g;694V@*u8z4s434cbt)(o=KcAtX)Dh3hGf^v z497#yGtpcOYdH8g4OEZkqWz|Jjv5MkVrH(t#`*?(Kx2)^+wW01WA1+p^22+mPHxOuxISS@YCwXMpfIK_! zy{-%jXowunZ>nR)X{>3xsMjeDeyW0yd5NF*p%G6HLL&DeZBe|JJ&^I7DZ{$d{gL?D zvF`)tkN;AjKryHey)%ZAVZk5zOd#i~>|+#6Cigr>cq;jO=?ay<(|T3&+pi#ltE@X| zQ{M(ge|_@$0gUSrUkn0h=%*TFeOz(&)}wW~U@$X*DA%F9P>&ANs4QL<{@vL?tg+xL zsm;6iYc!d$o*zdFn_p5dVo9KC>rJ#NE% zFp!x$vaX_cm>%-AHQ6|*aP)g@+p8`@a4pyuJL7q!{MZMjLjzm-^o7Rd>z5b=q{wEf zlg%EXSEB;m5pS44N4mc^mLK_FMlOxk`%RpwXu>7z4%xH)5~{j-tYQIA)_b1{cK8?< zg{RbS8$>FB1`uJEuRbAaphX>QFjMZ#HX+dfYSK8A|L{uHSn-39w{MKTKQ0ruAv^v< z&IF>)s?C~b*tIr^J8H@q|xTr@9T!F{i>SCs*k{_7!b0T5Ug{Y?JOJIC#6-`n7)c57P~n$3Dhy1?L}hon=qw z9#P$_VassVF&Fy2ZON0YmtC>yAqMKMixz3YC;M2v*vJj;FrsU0Ji(fJy$_g8epxNQ z>gjttbEs)(yxmYOcO~qd`h?g-WDTS1!MG&wJv`cUZg z-3au|g+4{k2(@ z4U#M;c`(*~dbAj%ePlyFC!t(;LJx4)^X~hv+Cm0Vi)lK?8&<=76o`K3yqDl&FYQ&) zb$daIO7DiKnfR(jOVwGR5paE`N!kXc++!~j9{!q`xVgERiSmPw1)(&G5*I4`94y-G z)ma{O6_epOEq(|Tvwn4_O-<+j>bGf7F^M9fE2DjI%0#nBU!ANfM9VEzE5*L~-RfrM zcPE`;=%Az%cdZ5owoK~IAuDS9_ojer+e@<5@+wipjgv|c{~+E!g4-$#9jcG!C~N3= zf_H6w)Tl#OhRmyhTYotEC?1gPtRKQGWRJr(M3OP;vFI~XXCAfhGY0n1fs zK@8l@VbqMRi=jyrM&CKtMO*lp&YWMJU;kuSF6a{~+%o_9$)BVjlS9{iIUB1OZnBxe znDZ}iU%I%uR$;ZK*l7b(oX~Vfne?x#TBAFL7sCvJFkXjzx=;z%=aM-Rua0}f{NVX$ zd++apj($q|W<5Um+pIB$reX}Hp00N5P0keT3`Daj=aLMsfpSxsSmPe zyL;YtYfi??+1{%j2&^YF9M54jlTpI`QUnVcMP|hR?ZgNM%Ki3>z0!6^zMcwp*@N~~ zx~ubZ@0FJB6|%W|r13%{#@je(LT{F|M(?_%wDU4dZ0rGYVP!Y+n|IbsVBIeiWVnz< zZ?6V4brZ(!&lfDF!(o?x7N+9JZ~`#hxL5FgoVKv2Jk|irh2wYOr3W%_XguOnD@A0L zXMzQ4$@N*@5_ZP-B^2!E>OgPdS%H)p2A=oT`o=HqONjaI*=!c&D6rzY(|*#&^i>8} zmb3hF9gfYB`*cZ;f%N|3-+0Q)EJ}$<>79Yo{cN=$+|6>~LiEJv<7zPeAN`qu#Qq0O zc>#BAom`T~#8w9sfv`UN7&<{2ey5ZUmJ(BeGb4k!y|1`w>7G^i9n#9rtjDpH$4bAV zWQlHSyc3lT#~KaAea*-ax?~R8w%+0T%L!H@;B0`p4wH)SNgW3cJxE>2K2y|a-26*r zDOS7K{aO@rSflH)ASVMyFDCMdfc;mhGlGW%VDL9=OYWbl6^u7#uLhRkSo*@X5>w_! zWR{ji5^A(q`2{lZ`xCgOj@_Bz6gl7I^~lH*+A;-{jxwgZnTM{sG2Fc}pi;52>-jUT zl%fLj>sJTtEvVe{IOsmL#_$C2oBY7k4bALsDb~Y$tKA?%^Xw8%a7irfh$Jjr$E?9j z0BZM5P0^TIhD*~wq?NrRiIgkuNtS%yJOb-v)HSrE1|C4ndH%{j{!;1?cui@#4OluaC(M4S1e9aJ*E!*u(JK{89WyIx>8to)A%=K4P#!%CKzjock!qZ z>?jrpZ~k&AR=|1yO?~F_Ngjw+Ff48U^kUbgy{saZk-^Glzi9dfQo14XC z*?&DK7Z`^~xUIXr)JB|cJ!wm1Mo|LXP2<&p#Mry&jEVfK1!!e1PZ&WMr_MVc?g(6z z_!*1d?-r;!LvnP7?S!*J8J`$CwxlBEl|I*SKF2ucnT;muaSP4*3QL$T?wD?^|p~5cY zJ7UQV92R^Vgd)kfM5Z*Q5rZ`9*>V_4K#mQ3*_9WJnsmW5r_GDQ8S)%8U}_(q{ZS-b zY8Pb7qoNC1q7KL^JtD`V5sonSz4iH&-DlyowI+r?nHjEdHMDqPB27X3_p`d%I@dqR zid!8AP;9ddgy{)^ED6WZr}~KPDNAo6Zt-4uJ6~Io%axE>{x3(;ZvKAEo0!%2yU3#M z2jSIO@5kn-Jg!*o=2KEwrwN@_j#p7+O!epj14nJBgiwPc^Vf$jc-hR`rQBcOvufsQ zB&2?VL00dDvO3+^(E&;BX_bj^Zs6MF^`5repqghiD6Wp1fO`8^e}{*<83v+*5ZU*& z<6*r|7@vV)#~>4*M$o?;9_fDKi`ltCVdVvsaa0E^nWM1%F#U3D7KwaPWJ_UMXm4>= z@!v`s_9s-!Ryypk!zPI!hNUc-zh@3o%BuK>TH!`)Nf^ldHxE7La4 zV3qMhMnfXXZ>H9{b!HdF@M5nTRVkVS%|bXQ>i^L6)?rb#-}msDVQ2(tq(d43>1GH4 z>F#a>6{LG;k#3Y0q`OmwPH6!_a0mhEkdS=O^ZEYXKe@Qz>^t|~YpuQSP=;%KmxS(S zBwX)Ar^X#hPuu)`^a%d_TbBsaykdKbRMiBo`o-tJXZ($Bqm3qA&d`39X?X@x^zVTU zs5lB8jnz6`C9YG}<9|z#Ho4E}sCD6ygPFSN$8k z;6f;_c&+iw%7;i|;G}km@04MV=J#D3<$2i{C*@9q_?ex7yM ^P&;?XMc2EY6`Tm z`D2B}sR|B}aHj>;42?|m(+i#FFnC9L^_z?i^kY7RTo>Lbqarf^QHUY8N{=K&R8#rO z{jxI3+@o{jt}799%Bs`-)l<7G4fE3iJj(}0SlFo#4HzQ|jd3>p=u#2@G#XndK% z((L8;>T?Z))zX^)3z%LGLlpP>UzVqbtaKtwucNON>y+S435@EKOfz)s_Vt1B0{ z{GtTP9VDE*P7Dy@fk&W>MbcuWRk?LI-Md&$;)&wC&fQVCoKcyS6Hh)Q;d~DINx;Y( z(=?FSxwN7tXWkn9g0?5>PY+?_YB1&=q-GLe=cS`_LvOF z@mf;z$!zC+jM%Y>%Mx(Xp`T`9r_Bc5V}ge-jXdV3MR(Ahb7gghD{GI6N|k5zcD_Ts zl}d1Ouv#&?3e++<5SCy%J?=%(XaXIWmnP(T_RPP4yPTQJ7~qafsOqb%AV7m02j1+3 z@$S!{jpvWd~9@!H2Vl^8cks<@5w@3t@9b)r5{5JW_iv9+{+w%k zR@0UM@A!mKCct6hS<&T_xWZA(uiwR2_}^ujG>Zib>U^4B65bc9eWef)z%fd!xD zx17DO^hfaLFZtC->4;;ts@_aZmo39deNK+lc@F4hmY)|xEsZa0^dPfoxt*9itZz5W z&TNnVuCuRiGrfJVoU`50%=qRd$Zm=gyf3Csc5*!JD zZ9P~9msMSoX%GDil2BX|cq10-t+maeuNo=lu&Y{FBzL$hGgx)t8$YEPH)}UD# z%-Lh&pJ-6anO1Rb$wp?io-g)6?~3VveCGVlk|uHgTp-eLJe}#MrK{mlIdb}jdCD6G-iOYI^&PInxOa3YwC>UB-*pnS z)C}dXAP`IMmEKYox^0WW;Gdc;rTE^ovXVGwt7e~+j-SBtLRpX)f+4FewKV0zP zvPZ+$^1Y6)4km}PL};-t>h4|*LKk&)E0t+g&guC}l)S_UNN|lh1m6=avW9jF3S>(- z*kG)ErFY|wj;QtSz2VAlCl#ABp`?N>b>a;qq!L7fHJEo_Yh|~B7$U&kjkSLrH;Gv` zuQel6K}Zysnb&EvrYTXx0Z6Vha)+{OoX!OAZ@Y!mN~PBWwvBfq-LXUSFS^Ef-+m<@ zmDmWjb91iCHKR&E7uunm6Hv>Aq83ONi#^E@z-?|V2aWHvnh8*8(D}2w+d(m>r=mYyHjCnd!~n zPRpxU*>D!1LC5Xia5{i2ZKs8%k6Q49?ZL@I@H6$F-(|_2UYfUs9@ww|%2UNFCOt01 z;phl9%bY!7l=XeqaMWp46K9$&+z!j@41hys!eyMu{<{ojEX90?@UD$|YDxK;zV_ld zmHI43Ld2E28CZcw_Xnuw3N47%*uGoStJKjPg62x^*p=hR1wZ=0Z{IKcilDsDf-$)z zjV25}7T!1y#nUYc11wF~-YhpCs_Jg%Oh}o(q`toucOqCoTQ0#pPqbtKG9p8>(k1e2 z!GVBbta(PZ|I9nNF+v^#?RsIir1&`$-wVYc!rq%zp&%LmYikjFR|`FlDOOMMi$T zp7!kJ^8Yub<8<9ygX^!1l}t|jZugsbVmF`USnD+WI^iGayAW97zag5#;oe+35a~1r zRG&LrH*C|Zca?kW96M<#ykV{x|E&^gQhaRI<8r|1)BAA%qXHL6g9EbNokmf3T?5zv&D?VQ zD(Us%;P}u9+H6mb;N2d^PYFO(%Q@(5P`tB!UuDu%>)!ZzfJ-Vi=?map<^8I}nK<=g zUJgSZ6o$Htcp6HGnM$e(G=A!3?c1ddXJs@7I$44b74PE{(g6|Aeo;Eeyb<4@piyj~ z0!-dzVxPZ)E$=)eLPSI$`C(xp2Uw_TFYC{CZ&Yhbg0-gq1iEmW^Yp!|K?x-p@1*>W zxZSR}3dk!?SSBobl>j`A7vyWFk!wT2&#_zs zEn=0K)4H;YDsw}c_dI5nIoN=GjN$)h03Ita?zTH~rkt9reeziilP`ws*>a;(g<%(} ztahi?$@cjJ%7@0t53?;o-v!bt?LYQT?gbfwHsw_7X2JOKq*!gF|KI)-Y{bjGXIZXB z40moK-`m^OW#W!iIe?`-(@8UyjtUMpX#UQB!~O4TP8WN3cBlf0Md>+%-%iPPaD)yd zD~9e?Vousn^V@2r_Z{_V9?mnsH{%zNbImX`aV=ab(QhK8NHIML5Xp2zM}3~yYHhmO z5p@py#EKQE3;1=aviE98JVOuz1CeEbp-EG?Q;mY&eC?s-qv|1_0lA8s68BI}7#Tnl z*TeLNY4+=_L4swXIR0PBJ@0O4GkJn~fmx~)%7JRb&A?L1vyc5~k$zmkP5d&g!(Fpn`0TU$Aeca05|HNWH1qWV*HYcp_g^rx4->YYx<3uOa0A%|# z2J2^JOFm#B8z1VeXf^SfM6VX&)3X6x2{9h=dtKKEdg#=HMUocJe;LUGs>RQSzIfV@??#NJd|(5XJkK1M zde}0-7CL8As^`-X83_o`Pi&AqP^^pvSI=6yr4cV7dnqHnj6fhZ*Amp7V6f5;_+rMS zBTgF*^hXpsCvPRLaYE3M&mYA7c8A{n&x~|{L?q;Gn4-mFuAoJ<9+Pz;Q88C+D@v*R zzzwpi3@d!g4g1}YSBvqJ@5K4P%~5gR#%0?Ny*dr$GJ1!R%NwXa7A;-+g7D9b( z`h9~XVKqoT9#{NURHRGrq)X=znqNshwvdu^bCpMjxI0>$cpRu+MNj)XDnZ#tvbK^P zx7gmn#5ox2oWqK7;g#qfSp0|(f0kp|`a%yK;##?P5%$0cE;?mK!V`Y`QU6~p-Gj0J z2JPa&-w8GaS9XTwgCS}%{WFMThbLZwkzMFOiCx0lS$>CH)8_Nfs{8)d*Ivgp12iG! zrZ;jkV&h7_@l8KBJ?vk30-wWLexDB8b<$rBruGtlAbVCpgTy6I5uz;(pHS}Bl2D_5 zrG|ca;8?_s?!_Wvg?!6wMT?JKVnCOJz1=K!i4{@vV=Z@2kF zA55<}WwO&Sx=a-7pA?^_3uXgKp^Y%hUrxcaAe8YEyR*}BE0CY+Zh#Im4b^FVoM1JA z>|4BzyKJ$l_V035te37OD;6o3>9r!=sBv zhcmZdo@{_DU@?sZH|%IU0Csyb7TILzB+CqF{fQ!A*KB2+yCuNFh;8&Ztu&oFcf>+o z1S_;^+WtyIf5J?IY29tMpODl1d(xD&yoynl7K+N{d&@W7`k~bo3MUwPlox!%Bz`dJ z*jXTgSD;8s2pM(?mG;0?qa^w(I(OFa^wSrr2R}wiAs9Rhg{?N@8d3B6R64Zlq^+XW z-)sxS#h&;XNQZ62;#oJHclATz1F76Dkt&!R5PG}N@jgLEWZ>KV2NgV-sg7voXjxHiQnJVt!yi~W^OV7)_q{B5Rc-j>Yf@~zR8V8gKd<4 z&siCPid62AhGOE;=-m{> zM@A^UdLbV5);A==}8pa`g~_BFqce?jY0ud-~$#b(5veRIqqE0an-60eRr&3F1GYxM5N6nzW}$t;1BF! zvRZ4#B}g5K!v>f~S=dgJI$)>+jwyswZjTNPi+F2+O~=NdLx?)XQfK((UGD#VWUPBX z0cQG7vG4Q!(*HqqvPny^aA2tO1v~dP*mGhO<9eYA^Y0s!(I0-}B6@C@4160SmX5~Yz)zlA zVc2NkI3%kFJ~Pj$LJkP}8LAL2_L>vOVhNb!$P1fnSqrpgKs7`$ts z8%^_$_@Bx{wfPV;kNIupwg#av_9-!NP}@W-F%8Y9xo}c;JBom#n}7S!Ja4$=3*=sy z&68%08}09Wg1YTb7MVX$`f;B#h~9W4thk+O(X})z+l!S}kqEHiCU{KWIG~a>dxHN# z5i;OJw&YiFbG&SVVgo#oEP{l#k|MtwZx5%8Y;_u%=?O3rhSQ2HHZlF4Rh$V^eg^b# z&`R0LHzuF6%n~6MjIP#JlYCo6p04^~V4&GUNxr_JpqGYd>f`%RUz@Tugr+->8WbJr zbr2GDF8H)AH=A|fy<|pE;b)o|5+m9ORSpY^4C0}We|9K{lqc)5L8k5jmci8-tdou z5CQyqEE3S1A7gk?a?yJqwJF2w|JyaX{`@&4ADC300q#)(Z)78@a>j)}Mgp5v3;hHO z8e41Y`6)JRi{7Nhz~5{%HRAUyN>2gvj6C%`DXWqq$U7*KqY@jy@Wny!;xGgCJ?|dJ zX^FXd*>^aZ9g$((k6|Muc>Nfr*%hAw1axe@&xjvAgHYIVAMyUt2IH5S`0DDzfKZPL zYh2_FRaf1&M1Bh)z6_UfGj))gBnB%e0iRTty8wNaV+(a)6U2|f8FMIjZTHSPaQev0z zHq><==Q%pcH=&vH1&i`A5?j^;V3c+e-*bb)AvzGpV_|moksG}K|BJ}>?^qv-t?4s! zoX)GR*HFH~Daq>Jqdu{{gVGb&G64F&lG#eX?OvgiOgY1RQn-Gy%sD4LA;Lur9Z;h5 zb5j2$uB~_-9_Sgqgwfl5a5fMG>%T2IfRzezQqqXW-A>>w3yuppJ@GH)Y6Wn&kuc{Z z^&=s@quqw7|F@loWr24#AJ`11^dqxWE2!v?#-DB$Gd`+*RtLbqOvL0oIiDzvHu^~& zk0eJlut{3N<Vve=eF4kn-`wg)cFiM1%uuN4;nEAQwGa98UVV{|a{e8u zib+X%E6hBCw*AO;sha8Wk~EJDl2CUVzJCk&nMBdk$|3$I{AfSJ6q7hCe3aPf*K_E8@VXcl1p)L;IdRV_TPkD zSh4rd3si;@nToa@epG%uRFz3T`us&L>x6>!?-Pbb1>DtsC%N!kTK)vcELZmL`j2UN z4!Ev04`VE%Z!((2lq5-cV^Qr%V#&CG<>wY#vT7{-HF%CrSCVCD+ur5TuR>-e3_J#Z zFzSG2hZtkXOY306-A2F2g;youCa7avj9#il;n;{NF?a;Hu~3@)c$c{Fo4=xnAx=*Z zpslu$KtF${o%<1ZOXp9_p7{j_2l47GPDW<6MhO}t*4@m{N1`c{p(Z2aj*nX}QZ{b# zq`&Zp;V+leMxQUS_g}G)Tw_0~%q@L2?kuB`(k+a`^E2DF36R&8{R&0h+Z9OdQiVGi z1_j-ZDeabyBy|C*HyGI=zr&xLl)>n)5zD1F^3n>A3~~3KeDD0%4lNl({?CM_X>-gw9HXJ>>E|wFo^zYS=76z18Y_x=Fb;UwAN%7Sxih3H zEN)pNLaF*q7Y}k}Je2gMX+@OLDzM5FyKE!1Tvzzhzc3;9Qk7WuS|c0M$7^0GYjgVZ=K}Gywh;~ zp>(2S`>_@iU}W`ZlN(^(GbL~PrDV(yXY~{uak#`?kgm)U@H5z>Scw;x6%YaMY#)#= zOq)tz&n;pGPobojaorL(qYme1pwW5CF7W+RD9X`UG!LP$%s30-E*|NA1mD|EI4sE> z&Sr}9s{U_>W<6Y~UzK6XzJj6_2V3>4UWH^*8&#e|d2t<0VxrJfYukIV5k{6x#!W(a z-wLtz?*?m)o2>7UMxYPJ+ra|BoQ4gD2pf5K)a8G9Uz4?8_~z`OigWFK;2acQzxjy= zcgin?C}^AehKIYaZ!{d=fsPc&cE;jjX)XwoM>@~`RfzObZCs@NJg!a5%W z!>H%rbw|YU5x9Nw?(X`WEWGekDFJVzd*yX>kghG{cn^QSF1k-SDz|IG=5DtB3sPFG zNgfBPx9L>sy?%G{-CfU(jG6GifHhX%4@GoJ`Ks^l&p|*qsVN-F%k|4c{)y|RkYFS6 z=(43GBe66sLBgu^zdc%nd`Zz}HS~6K#9#A*1LNNB2Od{}g9G?3v7cs_I7Kk%y!s+Y zYV0+UzjQX~foKfT&m>Pp>bs>m9@i5gP`zBAozWk_Gpq{*%s-RFmQXAkUua?@46sJF zDg~0guY78s0x{L(nJ)|Pa_Zmh71WT)O-Azj4c!j#*tFKB(z%}S&7Udi5khK*S0cwk z*QT^+EtoF3R|AM};2$oxu)iuRGd#!Dc$H6{Rq%sYI`{SRx=W(0cQ7|a{9kRgHt4MY z3h#I!MGxd5@++6g=#mv=^9&z4J4eMoWCK$&E|AQJBWbOlpGMb06hjiz08?|_upQ%` z?l(pFX+S`p98GoBe(x9TG=SP%qh7Qv-0Wl%TLr4=Mc>_FYXc>=YI|$y;8>}W)%sHZ z%1#7)IawDlbrE#^^Oa7SZ!2jlkm#)xHaZxl{3SL=p7~GTpeyVQh>2mY4;2DAvUg11c*O9p0>qHet31ddM)?@lQu&WfW^KVc-n3ub-X|1e$Lfyr49eqCGc+ONMMc@d`p4KC<67|IeSc_SDKrba*DkgyMmPA zItuV}0Au#LZwQ(~);qvc{^*aX<3c6qEW=FEFp(1`V8< zg)MQuz@P18ORN8S{7Z0`cw%?XYu~Hrt@fYV_Z569w7c33LFBaG5@ADN3Y?S+WQ$Oo zOloX;4-f)j_!d!TOQGSbhJ`T!iR&-~$U4%Wsj*to{{0O@_-S-^`_`wh#oRDf5o*(`C)Y8W}S?!ZH!VOQg%xmie$%yCn4L zEtl13V8n|WtH7kb#!aO^F%`$ZXrdMWm3g{szAbWkcb%yaM{G;laDGmwkSUh)AfbHJ;BaD5py9S!#Y6ZnK@azq4S9=2XGO*e6D8( z=*KD-*lJ0ve(OLFp3*y-;3F`3Sib#=aNOF<@)(|8z0JKbI}^j;M__^+ zkY7R&I5j7~>8%bFsmMsSy#FOon9>0VjMMZoRi~q|0;8kf?$3)hU1&PzXVY{50jbvS zocTJMRnzJ0?589^UkYvpu~!bUrYL%T(FH5hv_==>F*^N`LY%47CTgf;>s&?{{B{83 zv2M~4=55J{U!RRSfI_?5{`4uwv6~zGY)oO!l16*n2cQ)311xe{+PFoqwZ(W8Y0~61INFAHgLgbj$et^JBMpOC?>Ja zooDfY;uStVi*JbYNh{F7yX;kOCEd1BNKGZoS4Nh_)Z5I%P)0MaK%Td3weRB_Lpn&E zjoU59rt`m>-C?4j6U<{V_qSGxcG2kc*TqVS^qj@huJ*-?E+2DVe#F{2o_hcOsUyr? z2u430Ky#m7ZNBm)%kdmZ3}B9>XXS=8lzi*_>gPGh11=;RZ)P(z7}G$<*#}puf@z&Y z^Lv;~llc8sQ)5wi;cH)mbD{MM2kjw_pLajQic_l|e`{fkVmG3Exnn1n6@L}=l6;#_ zv5y=`zEP98+1hzQ*_0$<+H)7l1B`ZZ5J}(-VW@nC5`tc{|4rLBn=75CxK$4o%e*FA zxF70RfJ^DsL86n4@}pRlu){D0g_<_PxByN9cDZ!dq+b%Yqj)yv@od=WNG6@9N{QI~ zMYFgV{a*Jw5Zy9_j#ne4#NsG6$7CpAyE)POYi7B{yXtXZ>;^Vs?3W%L13IUa`}M_v zRTLOw40!F6-qLio+II{d8%bVOk5d{ns3$~RWwm@*)m!P)WYJo;Ce5Y1-nY{!w`SQI zwyGz&6EfDS{UPuAF_`{RKn!Xr@(1dd^zt<`0l5X>vK8e5ggPAWXvw_FKsvj584ExO7St*~X#sxjD?sMR-#Wzq9H*a-N zR3_mje4*0kleGFheIf?vzRChk?5fyx`_CF`d7eV)j}vYy494Z3%|JQablc;$%LQeiH3dVHyUgM6%K%Mq34INCwqR=pTA&W0W$=4 z58ruv6R0zDDMSt52vGk^mco`rQro zDt5v<(X3N@t)8giy#x%&@F0Faf|qh1=h_5Pg3V$g{ySupW+IM#*a)zkVnaBg@D-;f zFfM*e86Uj+9&ORpY&NS=byZ;mMjyH$(0|*<^Wg;l2MTT`Ku(0b z#Qg%MyQ_An+o6%^C$SNJ?dZf95H#+!U{~EqXi(U_ig6M8Gr(I~MIH|zyBq?FRjVe= zaf#Fc#P(Rx#mmxbOcKOa!zgzO?F-{!Rp8SvD<)HpqNf1%(%CYTBR9O+rk*p{+TYzo zCJW5}IbhiUs?Z1O`k4z#c{Fdk-__UpGw+D17AHQ18U@c zvDQzmJ_c1u%wJ-DrFNT<+>(4SVfJHi$ zM(btg%h3Rd!iQMo>ej9GkUdjFL#A{XF#uwROllCeKix4yQy!bdaHk_PUXuuQ&HpzX zaOqU7H~=-{yN$J_vAdCrhw`gLQk!9jE?;svpjfJZSABCiV^R4QtLVQs(5mnh%C0LkQnzxFADDsxbwGZnPMVX`IaKOCL7NajWwT2M zIaN4nbf0eI-ZsyGyak>yfFHLjjN_>TK}QZAVE)!BiZlj3bKlMwe8JC923*#IR9U!s z@b6oGRleyRtR9-WdMiy!6|ERy06;{Rwtr_Z{_jA7*+hN4*^0>>{pi1u0TK;><>9?E zM136w4@WNfUmi+|KYCCs!-9usoyPnEb=zJCF-ODu|7U=ChC;?yvi}y7`T&2qES^zt z4$AAB9qGxaS33s{ut30bHpM^$_)~x>|naW zS;71e9XUMlqL>{Fl#cRq(Ej&%*eu2)N)f`Rg~=ZP5lITNFQKe}Xe8&AFt}}>MhXzX zdsY<8I@;?J^%8V}4|H9U-rS|QRjy?)xY3V*lQ#El#=@rwPcUovEhciZewgAs=_6|Q zT$TT^WDO5Q8`C#~7@OD+zXT#PSUn7-k7)Rw+1*}&yWv0l*XYN!E}O*pv7oJSjLo*? zsS(|h9`)8+h4oUxU!U!ndC*ww$jzE&|DHbaCBRr&

    KRMo9`2RNgnw_9C>= zC#G>NDNG>~x+fe%5r(cn?;urk_Eq3b=PB&U%P!?%WxWx#qn#?ARw{;*i5Grp07(Km zmm-v^xU|?i&?eFsltSNj zajB3pq`RWPEa!tz#Z_(%Vy#-E^+BAP6aXXwNKYTAS?!KjFV|=bYsl5lM3E;<`@ASF z3rt-tzXM+6{(eoOkT>aWR37hx#TKHtMjDfk`AET#Md{73ruzU$`ErSzGn|CX=mu&` ztbjn|sfa=M4R=DU~`5!U|rvJ3X=A_>2+6jKg!iM$dWbva5clIScY%&ABjW zQp1ML#KE54bF0n%twS%sJei8=yoUD$B|u=VQWVc-yE&S$O*&p8G&lFaQ$D>=LPjgV~vx1iisq4h#&Qigk~j!det&=tecRKl(TP3Z=`- zn~8iK_l7+nT5?5py-EeW=&MW_=oEhy=sBq#A%8LLj!Q%qBS%p4w{3BP8+zH>WBfN! z_rP(RYR6syLQc5J15Ri~YX(XjV5D;nU4oQ)a4(aYr@$SLj z^S}S+dEVFizU$s~@49=fb7lsHJ!fXlFf*U?ji|df+nyBP*OdhiK5!DBll;F%FgyMs zm_aU<>1fqadFn1V@mbq*k zn9W*^xjUjsRay7R;WyTE*7vj@%50>7_M~!`yAu`XV4dm0nl|k=VaufvI}!4J)<9Oo z_NFW`SZ&Ab1nw?Fq{(T{q>Up^&lIZOq+}O1@tY~t^e@!B0%ScYq*r`5l}|YR+g%Mf zaX_WcwELJargCX;(F^xCBw)ThYW~-OpQOPSPLBtFjOcw-Qgk)8a3A+0lj;<`*%Hue z`7Lu%Olva6*T;-PxJ*Fnp^Mnh*XP8W**(GmQ`gYI^|oKquTBdiuVyb35Iz)Ez~n~} z7U8?`(uw!MxrcBoC-DAxyl~rh0oBv-wZyOqM?HG9iN!n`-R_M$p4{>cx$3|Jf$!JG zf)i;rI$iAFJ4Ik@{$wX=OW&O6#@x3xaz`(qe3qgU{qfk`1+{5e=9ocr$-gF-KFGc` z)z%QD^wN|ws7jK?@KH|pI&xw2tI9Soy@_v5rcl^(a4fclJ+5t%1Uw!K$gI{Idtg3_ zqz>mf6enR?^sc9eR34)`IJ?j@Z-ppJGQ?J&l&9*@5s4(L4A8Di;c-1YP zhgP7ZpA6__jvh=_8~8Xq(;GJ9qZ;?ZDzOHmQOp8#^&*kA;7!_ByyFdQn zC8?75SPnH#Pcm0)2*$5fMf<%!y|m)iKlHU@%o zdnyOs#BjjvSUfmHK+h(FJ5!b)xRrA(=SgT%pkF*(j=!uOD`*G$){nO+8Yd1$$V%f* zT#562uMtaU=Dy_`#Hr^p{O~a!3|*x}rMUcd*Q@u@l>t4$MY~orzL^tDl*Ok+ys0o< z6XZ~M(>GuL`~#Cj-(^_cXPfz}L>`G>spbZYPV9|gY)26I3~zVQUH+lj0dcR15ohb$ zmC#^=2VJ?UYma{(>89C%ZJT#h`RqgrjN+YTZoBFZ4nCeKx36Obd$c!TT9Z851!=zw z+ZZ(4f3;LyYRyZ;5O}?b!Mqx)Avoqcz+wLM{Q+=}y}8S}>uC~b8hJ#(w_~8k{pe{J z_48dGdQCK|cE)<(iT!C5OgACej~}cXbvK2t>4*_kZH&&EUZ2fl!m~aE*2N2z+Gfos zSUy>p4Lf>Q<=ckHP*%+IJ=lzjrb3pyfJfPNbHtK4sr&oN1l`9Xg6jOd2vVWSewTwh zzFx%&RUoT(BKRg$!*R9==B1J}7+2L__s@TAR}r;8_=P@`e+r!He8ti7yG(;y^9Xg7 zGrdhqkhxLEI{_etuO%QR%U+^V`bKq02zUF*-AUlqN8(sC^v&T4XtF^M318 zI$@Bvj!n3LbM-Mm|GsA+97jzwoYI4tSw1T$|2AZBs!~u!b#fU)f#0PZ;7Ywq#WwW( zkX?m>fzK?fneefyg@VtqatOi1XKMSl1RM}j)8ElCeCE!gOb>bJ>y0(MGvrfU` zE>pNEOa`$0uZlNP>DUM7(LeStDy`Vid}1E@sRo@> z{5P<1i2uSMrSu~A+b_xmuQ-L!l%vKGa#`)?qnK5F)9iBK5lP7m3CWvOVe!Py4cbj~ z+y~v*M3gjoFCsJZt2%}k0Ue|2yZ31y^QrFJX63K2b1FM0q|^-Ka_N~n=a$W^G6Pk2 zbVjk~$r%L9YI&_YJg|j{Look|x_I^i=ZheICIKKpKxOhF>&`240x5g;a^W#1)hEFd z%j66kB2tQ<>gAMxT4eS39x<<$v7Jvsd(ZUg6)oG~uIE{?w?bu1G~?Chrcc{ zarlN;ubtw==6;>Oi>~pM`k?)c;!BqspE%I}aLnJc%hN?n$)#7I!r?1p9)c0wG9RSj zR@r+^MLPj>BY2;B1$NA%Vm)yV%cvV#$GR%7Wc(s9rgCT+9`e%zhQ@D$;%4^oV0fgG zR#ortEFM|%*KM>bTz;fn;vuzbfYUy_7UzWkx=b;~_|DUFsT`ZG-YxYNTJs-K*$0H& z8sM$8N}%L#iAh*iFBLUR>6}sCa_`aL$!njS_Cvl8oxNaghhOZ8Tk`k{o_I=eMGFc( z1s%)tnC9*ix@Ob}KO^^0(TGGk2jbQ-@!@S!@404FytnIkfL-E! zaw7x#!)NakztprX>AA;!`r0Qh_0%<~V}51#1Q$@y3ObhPMva!14;+&--F^zQ6^8H8 z@Cs_!+_)|LXz~y+I1z2f!5&{gGPyONeMTmy(zyTdMN9uJx0w7H);kqIRO(%sDwjHi zP22>%pnKWcP5bvr3sg6`<`%0ei@gLgWtt(V(M=4hdB(2hq0fzn(Bapno~R>TNCGHD*De; zzxZ;-*4YE9o8r4JTRF!b&iitJx5YioTCK}yG`AjVJ~fX>otWYf5LHx;C~f_@jZQ96 z3Vz}eJasMa9cNb4(t8^ck=3+IcgTT_FE1c?xOT`gfqKgcIG=MpfaGrmH zAX5+s=u$&~f3hI|BMlPCQTGmyPsu5e_1RFp8_=z%~GAxRu@Jpu#rL`W3jPp+gO02y*XW(qZ%)JU-=?R8|-qc|jr z60nj+Muzl)BPv*0L$r1g@Cg0XNRWuItB1lx zx+nw}5{6qRA|fU(DJdx>qo4?PFPN=`>|sU57a#{z2Vb$Zwey4W;6Li%KP(aMQyx&Z z2b$`G1i76as+(E1*tJPT|aF@Dlik$zL!*1RN+RP^HNLtLT}SAdF{W!DYG72Xk<6 zBDKNruQUx{^;7)?hev2nArJ^1h;lLhL>9mw>l>>OA&ajJjZJ<1;L8Xy_@LlmAGRyV ztOodkNk}M!dlRJa706NPfq=Af9O;6aM!MjB6ClMUNDZbGbeI48H?sdrX!&2RfCKN! z1!yco{FmSS>mqD2kNtnR`p3H{thM76&4K#~gEAvpe#}H@7(bM?`wD~cYVIO8jd*YosMQ=uI_#U|d<4hfn< zT#GLweM;-IcS?%2fK1_}RqP`BSz>lnl=`jSt-0`oeIDmR6=NyQ-qp(NYk+0Fm8Bv? zti+(68ubz7@z}~Wp~Wfmb;0?OTIUCGZj|8T&mIkL@3I-9{rqLq#Z%rYGQ)%S5)bS8 z!=5vqMh*%ChfP~YulJDzL;XzM^E27ezE@53G`-e!-?cd?cfaFw180Uhr45Y-RfX2t zQ!{e88{4ED%|WFfup74)*KI^Xshj-M}G^)PRfgaNi`JkAk%gi@fR}#0Lg?85a+UpsrSM6cbEk{RuUjuhj ztzG5YHONNT{W3*tei`Mmr!dA)PJI+=pOV_=T+K{%hMnromXKd5l z{6xal`(c<;&XrMkU?EyP0h9R-&h5@v1u-|TG3t3%pcCN!FoLJj30Nw>`4mt} z`msfQPk5Y&P8BZFfqAqnTS$tKJw~^q1!%}%!se>&u7)i zNT%A-2yO6ykjr z#hdOL&VA4M$@4Zbd@1~SrZ-OO!X`jb`3{r0oKsy>{hc|~iJ&t5!+tBWJd-;y3SRQr zcD@GSzU5Q-!G>VBOTTg7HJO#@zb`24w988E?L3^OkH1Td3i_n7MC~5)r0ITHV7=>6 z&5S)fmm1z5qhb21DjMi$^MDogz%n&sgTnMUgS15)>=oT#^qO*b-M$S;MgnFb&z69E zyeW_ea-p#`$27Kc@@+!xs zoVB-#q~~o^5mYXS1dizBw)ZSbQvz+c6+m#!MZ6&BkZ2^97GcAQS-*MttJ!p#%}b7l zIFWO1L^5Evno_zX4fArtH_5!`oR%II%FB}@=j}FAd#jD_Bq9X>fi>uP)wAmsD3(x@ zX5)lbSLA(@b-WqKI(s$a7a>x>+C(bHz1z#aywOT+<>hqk@dg23XFO&l9q!MH*`l=c zfkSF4PtDsKudXEG{V$bo%wJ_r+txW@PM9>JXHY~dw>%rlIx z!o)Ljn$}Uv+tlrG-*CWqB_?HmFRelHB{E%G2HzY0izy2_GQ=vv0dE@C_%>ufBG3ykOwYtbdqO}2aZHM7qH^a zb-f29#*TYM{6T)~Y2*>Q<^=jp3m-X62NA++Ia>bLS663+S_#nmzdxe(Aia;eZP1~` zXQ*kL9q`U-Ta4p`F~yGu=r4>&s-q>kbnG#dEji zl^@p5XVzN2HDdk|;jQif6kCkIwbv?RrM^r?cvB?GMmghn4YFjZ9bOEGa?|&!pk<2m z7U|79t~%buYN?+kI|u95Lv56gnR_N5Xdc$5pn)<<5uMEBnZYku#^%@#IR?Kg)?PFR z+gl*=F$1-{wpYQFf}_W$89QFa*q)Ik6>UlX@`<=4^ZMx-|Kk44>*vh?C+BKINJO@R z;!4M0^%D3(Xwx0i$CEJv^69b746m@Xs=LeRJm>;Z+M~GPCdCg3Z zJmc+I?a)yiauZm)S$fxksrMAP#F>T6Gn!x|s%z#EMWUSbpFPpW5-pei93jy&%mCLWP*YrEy z)s^RbBq#80?RLZ&NC)_C!bipIay^Nm$tfjl`3DbOXN-RrwR}_^N11tEdFLZ2tdL-) z`SRJSa$ef!`Noh;p>|g8B}6`Md59Is@l@>wtg!P$R zaJ9LqXqI~d_D%VsUvZj#TZvINbX}@|*KYUx0j2gY6cbiUDJZLxVHEmSOfBK)7O zU|xv-lav%Oo7Shc=KBwyp4c~mnC>O@ z_(<}PPPFSiQ}=f7+GD=Dp3_D^Nz=Y6_0<-pzheD#w>LIsdr5E{eZZ7s9;IA1t5-dM zCgElC3G<|9ZUxk!h^Agk&cgAHCEVl|iPJMMx5;M`q^OPe?wn33{hR}c&hLLHdv%$h z`z;Sghlz({n9O7U(-1|QnusX21)p+M)-)D8HA7@4Vm|zvFzDulN_}K*>T~W^?@U|p$yKS1j z7!D797f`AZTXI*`61U~$);T%@hwYM&cSOfgx9Rs*IlUNJu^mG)mGTn=m))ehvESC= z0{gwFJ9^dET0iHKeXlZgeVavNjuw0-bb`f|yPHQeu?G^XwNtgeZDcix#=T{hXh@c( zG+?hw)-x1K-`e=N#guPA}s~&qo-V&p25wdi#w>efRaH$13_FiYG!HVgGuR2u4rr$95T4T(fk9Q z-r*dVg}H>W|E#iyLO{Z36pdKMGc~`XZ;yyYMHOfmnx+>|ZU5qW;v6!( zdxb|$+tS9)GcfLBZO@g*wqEZ*Owq`)n3iW&ZsSqG3@)XveQZ)ahRCyMY$c6uaSfL@ z;4z6D;~?pXNXs1@gH=EdB((nG)(WV{)*j>&etU{e#*TUjS0`6d#lQm%edD6?uFDMkj(!0V zh3$h2M4S@Ogv|qDvWgq8)87Z5#$`<0FvZ_Yq9ri$$2-g#9x)H%*^JHB&F~65bk4a6 zQpXnrB_F2k-cWmy-!!*_jY~z%sQk={>K3Py%(SMZdwD+xJ_GbI?a8+tQGKXcs{mfLAGJ!vX$H2oBG|H3v;*8y6;n=?hlwBDPg<~WMP)-1vPX}e&8xHvn1u-hMQQ769G`etiSWrkN{ zb`57<7vQ+Op%U$GXD#`0e&vAiC^T_0~UvArVj|@OnFlZ6(aL%X3=su{a z>yazqm)+EP&&(%0x@UG*;;|vft=)PaomkK`c8OCYqiprM&|UKvuTk(=AL|Bws2@ui z0jDCy;i;clTjo)f)HB-TBiUVYo1Hzv4WGX0nvil~lLGMt{wSOsJ@pm2emfuY%IX>J zX&AhpE2>;T=Qe*eFmD0^a;tZbt}8y$ws!Q0%C4CtWED|V*Y^xc@0?%6V&N8tFTK~_ z+D_3UGcJ=c=^5MP35%)+&9GA2DBjA&ly$1#0{UM`UG65;HLlv1M0WJPF3rC6gNEpq zmo&h)u|gd*mNX?4v52PXjB;F7fokI5RH zY3iIIpixqN8J073-|$&{@e~*AVoJyc8>F=M{t;OnIJ9xh4}KEg)yglq>axA9Qo*Gx ztJ3+*3S@rLpLjmDbVgCObB-o#6Pis!YuY+E!X{{L9sPA~R}8#~Pn%fX+Qa5__g_9| zX&Pk54Ae6s)r?5)NO?yPsbqvPPmnl5*EF014T49hdZdR51%VDbc4TDX z=ECZFXd8zOJBm=!cwbrV8Pqeru(gF1Gw?G#EW*ZdOG<$QTBTD{KfsMiPk~>6;3OCF zMaYgX)QbiP0OgDSVH}6|wxF*MSuWF$gpPx-Ykp{$1g2Lab&QiUbLTKP^ui&H4(Gy1 zL7-pA7Y(3%5mIZI;RrjwaXet74m^$yNh>}PF)7m>ZulLA7aF9mASH|!?K#3CV!9U! zMkHqRKwv;~G=zEeZM5&Q`yfKjOsGa@CM zNy(`nGP4SrF2ui3{fpMp($bm&m5gv=$QzMLMnorEzSSeZzqE{hoZ>xweS`b|5ln!L zCLl(JrNW%l-@jo~5cP%fm(c)$hRjKYGcd!21-bXIBKICP2s*@X93 zMC4D6(_@&E`X?gQ0Lkrf;Qcm!Y6%b8LEs3bm5@13A^xHE$QeW6B{U?qPeCBU4G@TT z1_+dhNciAJ$6RnoVyl(dwAd-n*r&ScH_{({#0!FY<;EUIGANq}vT1FqP|3s~~LUvZRW22Y=@d7$^BMOi0QUQU0xc`^Pcw<&q(H z@?gr5gX`6|^~-*T-UDJnD~E}qhYXUGKT9}6g>_vN@ozAt$HRi>0)a4tt2W@8Lt9aG zj0wUbuac&!6#WP8c8++=Ol6R^`?SzwNpKt7y&}fEKTFqj=6e&Hy!35)1@Et#t30h^ zd&4uw3tN#HV2J}a^_rgW%S>lV61bzBA}%wA%)s}K|dMO<$##YeY(?^*ZOlK5>H_@l66xd4pAc;I>QcRCJWv;REh^ac>3HvG#L|Pl zWNZkgRh&KVl@x0fb}G zp9^iaSWmUQ0xVZ16R%XT2vI(0e|FEWa$+FM`7#JRdY2R({M>-|Vz|EXpy=E;_2|z)mjz!ozq7JO^yoST55sv; zQW5r4b8Qg+6X~+6iom|fI?X^$cu`(A)8}kpz z1`=gCB~TYXK4b!W!L90L{>9OnJ%*HQa;;;Xae66HY#uZC0WlhWYnI zE@KfY3jzZd&ktWX9#8tuL>uP)md|tOr%+_LZ^9i7CNupS3YLJ)xqS@H2SdV*2L`;y zIOR`oR7Pdh<>}tod+yzU_bXo>Jk)Tx@!_6wm8L^brc|^hqBDs8?sGYIx-N;A5-BUL zrocu{0&%F3($Dk`>ws?mEXV2EFrQ@7#8)=s1~tJ7a}&V3i#63%vf^Mzjc3c>=Qk{I zWb*E1$H~S3_tTp<2FY%MkV-te^H48+DhCUkW^FyzYrhPLKA;3EpCQ5ns7NkWUED=YDY$YoYJh!q{xz2#=@iiLoMG%(V@OZ|cm+-*22R4kG6TNd`vbUE0whdNcYUPyckDpA@8 zVrPfqMXG+6UPw-&hU|P3r#g?HMEKY^_3iiD>r*{a0FflYCs-E!(pYyYb7dzf2mJ8% zPnsP0H#PGP{7a2zeuL*jZWic1v;Cha%}6WKNW9k+&?Msp)$@ebowfXTZn6P+^pFS? zoJ_L$vZ82=)hb!9{9uJU*`bHnS>)K9`@T2@(ZZ^2zo4Rt-G*h*_Y zKV94L*Lhnw$aNR`wPl-dYvepry7n!`g6uret--eD#+L?b>%Nn8+CZJV?=<4p@QK0$ zGvNHWeQsJ7!O6Vg8Hz?>C1>F`3iT{Lb5d_AlcJ5M*J5rv>)AHY(0@AUI&7@$SLwXn zyo(9W7r4x*K7Upg&jv zC9ws5F>M7WV%(Yu<3__xmrY7fKg_IeJ>md@Pv5h@RbDB*gy})vhnFs$_IXDbufDd5 zAg$Fgfu}1KR0K+!assR69lXc$E^lHu! z;j8up)r60tX|1L)N=xrp;>>i}F)cyuug>dCo@Wfy9M4+CC28kZQQK+?F|!&w6)A*8 zmL3L!{2x{xt#4~G2?xKP?vr@kawnp{JrJ(8%%eUjkQZ)646M}U7n?C}%n$+;IUP&Z zERF;!+74{$vn$6j(>+z@bO5j)zxfcrvblqQ$9q&>cljW4V`a@g4o3Kk;?2593q7$EmbuPhEH3I?#X}WZHZxrSqFB zhBfbY1!=LuXfR4X5PGF{a&yELgUXkDKcV^gq@iif19yELK5vd9XQIX6CO|CN_vst; z>}#t6ZU;(iPojc^``4ZKo(T1Zg+!J*a>Rr0TxF(trJ1CT`^L{c_oPXTvKw>%Ea~t= z@Op@MYBb&eVO=@s>OA_dBm6XBfN^b`)aQoqvsQ~<1nPCt7 zvJnzpVRNgKP_tlFjQS0W-lr^KGk{z9I=SjB&gs-n!F%e5*cM#na{-dHr;dFT8=E|7 zUiU!V8@KS%cRzOny;ge-aBa+Swx^3l^&WafM6$>BMyyYQo`;$TLM7UZveyh)dI!_0 ze+SkgE>8tpb*fezFAhDc0{JXmK~0?ZxWpU3-U%z?@Y=O99pZdi(KSic3}2KR!5||$ znv=UFpO3C7AVRoKDYqdQlfJ!$h)}wo%`W2U5h@t-6jx~XEIj3Sch+I?Gs63x?^^*E zU)m}9+bAa2P_?u`LTJV8YgyD?e80n0?`Mn3?w;4=G@@v@EqhyM#h8nO0Ea`5RL`9@ z5vy5$++a7kC;j~FD|dpkR;uJYAM`$SCuiL`$Ga!Kqzd z)g>-1H**_s@rV?4@U`{!k7JYgrRJ6QY@J=gVPO+7w0yNHBc}m1ccy{ikI1r>Eu&+eR4dwuT5I{v~c48mll*_&AJxr9f5ncxoXKftsLP5RtQMK7c8m`d*R z69r7uc$PBxq5s@}ViX)bytGM>TsX@k{?In5dH0N*>W(6KVr}~-q@eQvP1f8hw`&-a zkP40wU_>+EL7gaVG1#QM?eJXY!0C0@n!isC2ZcskNbCh7X1`=~%! zPCJi-JTS+bNWmnxidy|+QO`a;t%}A|Ymc}sz$}@!$H=Q`lT$zWTf#Xsxn*>Nm{mUz zdZ@Q0^QMkxHUj9ciYk5)iMoPMb<@jhd$^PehA(__nkI0G=yc3&Jl>~Z;a!n* z4+@P24d2$zE}O-4;oemC3XO01xv|e-95Z>}^<~TA8~3|S_29Rld=G+HC@iu05_d-V z#1(q2vy-b51N_ejGt0#A?RtT9*YUZ$jZa1%;NR4}+X&ndK}UW82rzFB{NnhOAk8^{<~sp-^f73W>@aqt8n`*v2+RsK>2S)4yZT z>5x~mOXk#m)K0&|31o8z6!mO+e=$fZTH6(VpWk_4>lzzh)zrH{d0XjwKQ?uE zf`UusT)RhdT{W|LX#O05cUAAD@7qNhNgWFiGD+eT8QV6tbx+U45y!F4$1+shvgS?5 z`<$|C!6o%?z`O5jQWmK*D-7mQIh6*NuId{f*fLqYlHX`t$hkCg4}NxEIKb!4sGL6~ zXXRJXF^Ed|zH^4dVekL8tl<=gjO9M8lk=`@GPHGz`*wJOuJYK_H=uk47HSiHXk2HB zP08a0h<5Zv)|cn+Cd+ArH4z{#7gMUX^IGN`B2o(fSC1NOz>Rw+`p-R!TQ(`Ki|M+> z3?i_E#X@riwyf!*(1@sN_+->IFVNmmYHFdRW-R=A&cp$H z7}uwH!&pcu$wrCg3?1TS6es6e#_>#ww<2 zQN_Z3|A~=Z)fpLmmN<{0>77p&>70|$kJ{AX9nr35W9t}D zvA!*!`qarEe89bJ7y7Qcadiia%_Tdhy8Q^BRLrz%X8Tm^%O!Fu6<}mmwS}T-nmFn04CPe{TJIE}4t?HoMMt661tdK=fV zfl4H8X+4iY9M$+0v`@qIhvet{RcImEy_bqhs~WKx?keb4v-1v%j#4mi>?=&}z5umO z5e2XAy2w5C51l?0wF&{e`X=^H0Zr`#f4tIvD!%`;=RmhKY=(!LIplz4GWYHqATD~Y zA1Ku$4iWQ*D6R(xzo<|`wSOWjb###`M|T+Bh(Ny(IvQL!rty4ye2~pKfgv9tb0`UbxrV>aVA$q(ph(lpoWpyLWou5LA9j9lZd3qiX8Bqz5 z&=#^ZhJX;>08u>x9a2VO5;)&KGDifN2Le{eAPc>r(vg~mj_wa{l)MXjh%OA%|M;aL zV^nzx-O?8-$NGO%j_}k0E-&G>pT{WS$2 z!E@w5epf@P8T^r-;?{X!gEj}$k;-9s0- zb$W1e{dxo{1di~WA$&BAj9^(R@{U^Acm)O`^H2ZCgOQa~@ZMFR!@a1oDh1+t1BmPE z|H1Vs2-N!e2KduPxT_$Wn2^Z|NC{_4Yxf^$52yZDOFXQJhvg6bmd6lR@L zQ)3{N!88EQLJODcQwRh)9UM0d5D0n$1Reu&T;wV+G2gyFhtT!WLWS(!M-E3#?U9Ct zmbR`fe6_$UzxKK7fdYBtJkkgEn;2S$WzH)#ODo)S*C8j5kslgCXp=p zWmdI*hQZfByGh~$F?cBKL)XQn@M4w<*DEKV(l^rAoJ^u}rhYE!V@3Ks#!@g)XD9N= zluv=2U{djjidjYrUy78Q$R|L^eg#oY7-$Djv;sH}xN0Nq3uzN<_(cmY=U6b{?=)9j zZfm05HHRVhTWyWn*eEXYuBGi$8cC@GYBxQGn0&Uqb9A^GZ9aZK0rr`9ZRQzt+x9|p z>{2oOf-5Y3%}4aAQ@-z0ws&Z}Dh(VBh{X9iCy7YI`s?>qRvs)>jGz*xp|nWM`iw}w zzjh5MKURD?bR{|U!Op$xg_9VZgCrfg1e;cDVznKCK26aU5SqmGlSjdH;5%xC)SO?- zI5z(}x#(1IwJe)4#%{)yIZ(H1>iyucXGJJ&6OE$J+e97wtx!Ir(&wjwi1&?aSd}G+d-C~h)>~!|X&w z(~_*wiisS6)o_r8-hDYTD8IXv-dx27Uz67OS$m>b4OMgHeM`$tfWqb$UjA9N9KjVz z_0EoiZ@39}VsOK;$sA)h?~z3kJ4jGwPj~~3i5vx!WIKwy^5Jm=jc-0TbdU;A&N{uV zeF9?rxHk9Kv(}uKTXekxBr3v$Yo1V$K~Hwdf-z2GuWN;1wZ~s z+Obj3D>ThDJG1PaH|Ni;06%ZEQlnC-tNo=bGbRu2cQ@teG+LVMa8Io&I+y(U8d8typwG)e9sG?asT|ghR3B%?Gu56 znIzMQF9l*CS+XH9JfQArnWgdGy+K9{h75I+7}v|`W9f695*3WxVANOosgHX@wP<0( z-EtF4g^ykq&iBeaoC*9Se=$iCAC%NT8{V)b>Z(I$eyw!$m84Bix;Ubm zdGR_>nL4Rrmy+h3#Quh1k9&>j)_@Kb`NG{#SNlH{zPv(d0IC-4PeN~GGILyEUzxpM zOv1c*$`+nUO>)OLbqIsgwgr5@)*d8d%~F+Z_=9^lia@?hAgTYP$JvHcbOocn0#g8F zGuvxPZ|Jl3(L3Sy*XLj7cMi$lsn~L;x$_`F#Pfp#a9s^qG0FV$l~90$=}BX)=cdgU zeasZ}A5K$FTue2iSAop#0?yX*CRZK96C$=Sc41?`{Urgb*$(wyRPXy_zjuMr=X2hy z20WR>q|YqOTeU-pM=C!mo`vb%JIrA&qm|IPmgV=;WRAGo!&baX-_vGhL#03YCUEJa z;QbBo0q6txE9e9{ zu1mxp-?6adFyQ6x~SOQFJuufN@5?aNMg&Z6jBQZ|NZQw6r+ zkK6#?Y0I(kI>82aTBx7Gv1{K426K!+6N_7YF9}F3tgxN~)`jJTHg41Y7jB(S(lzcf zX^J72%rdR0$$x#gDc{9m090vPXz<4fTu@j5(C5?+#$H1U-Cxm=4*)l9SCS*QT)3;{*7qFKa%8=u>T+&F_RcHh(` z%FkaseH;O<^NHMAFjC)(VT<`H^EKznK#4(8gdr62YzYQ_p&qA;qcC)6EMFU8p&`a-x_o)Cym4H8mPuiwBx-iY(g#b=46JcfDKTb zVI6V`@U*UCZgx?LGFG*-N9K3m>J+}OWbk>L|K2?eIQ_(qK{O^pv3#xc?X;(PrRzGIrI*c`X*yyz5~s8Rq(0h^Z3b zZI%;khVJW_g-bmKN5UEMk-xyvl*h`)l1wweR>a9Kcdu*c#yQ%< zFYKj<910^Snm=HJxdT(LQPg_aqr6S_r}yf947A6X4@Eu0s2V&heEueCA6zwfVk4j7 zcl4V6%@$@X>T}avv9I<{iw{iL=&%0bca#S7Irqhqh!g}7B~;!B*^EP%Y z2e+{baHGn=6J}cK+)v^wspzxq=q_CQ97>I>)t9|3$gMG|k{%NZfHacoE5GPhECXK# zYi^qa+}}vFZ*I+4Be|VkL0{YMXbng<#)DGZvrpFfaF3$&E=T=Z*U;x-Q?R26B*+r4 zSYiTN&ZaPGr7=U6cBNzC<7bJL=5aZkWe3Mht}+q*n06(Ah`td{J0?y00XTVnnsbeq zNP25N`^|!E;MEoC_ARqbK*^}%?E0k~k_kz<^6tYkBEZRQo^lzO7dAGpdnAp4Bfui@ z@J&pW*s~9uN!HQ3%pv?`5 z$YJB@ZDr(^*A z#-00y=2kBTwuN0|KX=k;1Wb4B6nfQ`gZ{~yrLY5XXLpL1yT5hfg0NYiMZ5$7s`e!cf!%%6D5;HK z$G{T3T=p3Ox%2~7ee>6$(OvUGGOGH4;b~>xe$E~opQ8dniMwj1HV&^miu>m|6^%@t zf@&Lv_jPU3%DPXvl_1NlnP)#G(|^f7aBy0{WX?z!^louAtp6lkEo2xCi&B0Ilv&Lw zyh~=zfAU>WMAd2M?jxOy)2oaEchxQY1CkN+{NUl!t{>ww>sX{Z24>E_aRps7XuRsW zPCcV@tF(`O%jN+|O!}v?;ax3VLrdS-oS8LZkBHNj?-Tp@ltvR*jagg3J-wbyaPNK1 z8LEKZX;CXDkE?Oh%u{x*VfF1T@BB?`kbnDr{rnO_QYj&;dU54a-LZp{Z%jdB+YfvO zm!z!PNwBbeP0A!Wzo}#UjFLuK%g#CU?22e)?i?M@(inNQl! z-h{`0Vq|1=ga;J6#eBie6-DE*gVWD$9jokuBC`5U8QYlG#boa1bdAx7sCWf{u;P-* zLu^&OkdBpO6f&vanKeh3swSuu!MSo%*lA%GAjoeB%PPM5Hel!}XZfatn*YnCE4SFf zzt$*jExh7jcLYm2E9>N{FCT|TCH2MsCX`SKH~KtA!&>l^p?PYFqNo#0+~5~d(CZ%c ze4Bo7c85pSGcmX32vyO_;dO59_AwJHxP45;YiOKVi>*4ey164MAC^73jLD$AaL|fj zH+TQT5nxpBz9VHDzxc#1H1ZQRsdjxMs^7~oq8N;4l{;5h?B9dJyY8)m68FPXDuzy} zl#Fx7NN%$8i<(;2ww<9}m3sCGbj+RveI&m|$8F`4`h}iZ@`;J>544+;&hfi=gr>G{ z!a?HcdBn>z=Z~&6rzJAMaaA)fnrGRTgrj~?u-fy+cXEQ^-=UQvT50?eFFd_#7mj}4 zGkV>B#HVZ=kUFtNagEI$K(t&?-86OoWkg0v-@-1N%ssnqfgi&(^h|sa898~{;Qe@R zTLznV(}WD&@_Fci*(*7RDa;Qv`-`uu73_WvsQ{CA@uT$2S;f`+zeuRAGnp;z9#SZq zntK#}89sTWZRP_iz7EZ=Fe^XviW|VWdX0fs$k6PC_nZ2G8Gt;=m?L1 zA6}uJ@uCn0${D{P+h8D4Btufg^729Go}Qe70|^wNe|i>9GY7d6#yoN?z)Oqu2#h~U z#wiG`klHh(_-u7!bB6#Png5rR5z@u0P{&C`NeM0Dv_e7`dPYe&?!q~wQlOmC117RV z3MPvjavlgYWbh>1(0^DeGJjH88EP7lg2sy?7}x^i;u@QPdEubG=zl?nh%r3_3D7h03I9{ag<27N=Sl~H}XP9^*^3!0YNe$Az@)y z(+L%vV&c+zNF((HWlTY!A??&D2!usF0$~Xks}uwobdf_JIWmqCsoi|(==i5}$=w>j z=9fR>#tQ>=N(#hoNbfXqK(^T40VnE&%QrYtmj?m^Nf^I(8z9JG7dMP(gi)0J{bM`G zt|eqZBNY8X3E+S5MMxJ>(Q)Cw56BjonBgQWaABQ7KsBQW0>N&8Kybj*+i>BmM-W0q zBOKgN$jQSC`)$g1MrSd}2cv1Kcfg^G>k|9$~k;L%=H@5dK!u<;=6NY;W zGK^qja|!{~jgU}6>IkRb^*~(OgX|G{$l){e>-TSDDgmrgh3I{@i!Z~@mjAmr^DWB%f{mP%_TnbWED`^9TNgJd zY=xlwAAdO)-bHx)&wc}XII_KaiN(IVg||@LV{s?Qc1z2^Fp*s zU0cyE`{$7f1Y+UAv$Y;CYP`hb_ntM(0Jd**1s)4iF>c@0#U=8`MelC8;qGR!$3&Ck;?Z<45z^@Ji-h`Iesco0O|Bj7L zPSvXB^jLmAc$N&d(R`mg@MOt(XV{N{tJN`-fXFGa9ElKEdW@;r(J+(%EWT2@*H|ha zHex63BuAq?W|kk2oUtFeS*pKd=S9xM0xpvjpS*fn_wAiauK}5ot@r(>FGV5*mCSvT z21&4vJFLMEmA?9=bMtEPFQraQ=}l6{;o2pQ^RimLhQg$hw3s~Ls()i(W zA#RL?`D~zo3d{aA*&>}Z;N@{ixmjD;$>Ym!4f?d8%)oxfr6*kL2Bjf|opKhA-@rwd z@?O71t`Liow4OaOlkM8&%cGTn<_=oH5O z_=RrQerzk#qWVKIz%by<;yIT7cSJlUYM?-`j&~%$(w|bP)vvvUJfBKOaMFyvrL4j( z)75e+Bpf_4333}-d8Qi9R~+&2vuT;${^Q7tN`cnQgO7K~Zoke2l=VcvER4}S0~-VF zk}A%*ar-aq-nB#*b`8y`2mHKt4~+D6oy&7CS!fPn#GPL>^eN#g6gjV%72Epu?Dp0Y zKQ!aGe?Q`O_Fv44d>j8xt&Q{EdOd50-*&iI?yL2Y*-$sIv4HCQ=~y&fr~SUW`3uEx z~K|OU|;D(@k>ytGyiqU%ln_HH5K=JHEw4%#9Ntvj@`7X3;GuS>m z7!piBavnoXYTr^u();a8e8U@fii$7uZ!0_`_;doO#4X&zG{`I!qQkmzT!4F;v%X%N zn}ukXF|JAWMso@f%{9G_`n5tDRASK|5WVjh;!)EX><)O-L|X@j)_Z;fjN=Rg)I6Jg zLpKRQQjy*!i@C;<%!y}J!<7!7XQi7p40D-+8_VJj3xZXjaR}5k#C+1R$;7RdQeCN> zoL2r9hZySKmZ*te}#ZRMOE#u zFcjRrWA|4W3Qrl4P?Z0B7z&C@VD|N2U?}K%-~Cq@3Ra*Q=5H_*InwnfDC7AJ6ijh} z;L;S?nISv#`3BT~W0(skQ{N^{hDq${bQCx?7QTDygkP>mfAKDiChWsyng$aSH;8@U~VZB`cq{o^+e=q%fh_|{-pe@GL zHQ6__wQBxM4L~$~s`g__?A$9Q-ZT=ao)!KBE`O~jg^9TH`jsd3<2gW`f3JyKob`2~ zfHyJ9q*^RwXYi0CfCXf0W_bDq$;p6nbxLFRMukTV76os0OE#+l8`2(6*SlQKS&!gd zzaVQ2J>K3JFL@gCa~ev8PvuzSVGEBJr8T z(|KfreUn1nWh-qlwED&@);MN+n2t2wDA$dxFo#* z``5DUG(>w7`E}b&_^vBp<53U3Xu?aidl2&OfV5?a6X2+~|7vV&{Vc(9A&^loOP)x^ z7~{x@B;Hin*+p2kd;oM;zT)Z1z9uN!?5zDZ;i5-6Q8XS3rtlF zoZ!|+WV$Gc71yZ1o|iRUQI|y5hbi~~q23!4S#3iN21gtB`VW=o2SEgb`0!O=HD zLv4u;Kw3%-8yBr=gkNG+mL0WM((iGa%t_K0Ws#%(qS)6NKZ4^$PCsGOTRd!Aw|z(4 zhi>g1au{Sw?vZ^FA9$&OaqT`(7AcB-W3O$UX5o**jDK8_i}6t>#jV(ntG>_g(zePO zV5fVcu8p50`}?9NpE*J6>TAXnF=C$@5cCAC9c?JY2dw)j?*6#%d#**{mHDdos%;9z zJ2^#keHqI|b+Mmic0T~-{ECLTfl?>ixjZxMqHzM6*$b-!o&IXUUQu~z=mlZ`U%=6r0lJut3eM?bo~ivDi2T%0f| zCSHigzuXF|<t&-99D- zr7>msiiFkL%_qLgGI+P98g!5%NL~Xz>BSX>jy_z9_f4<;f+7@7rk>4ZCt&*}0K~BSW{_* zCT17E+kUaXqrq0Ojy5>Cx@4w3(LMG%T@sdtpE%+8QlF?~XnG;x+UCWMP;F}jX zt0(2GE8(;hvz+iGVidI2knj+6ey^oU`c*?im(-Q1rHr9v_xh=)@RGg4=I9&HBa*Ai z%jwS=l@oo5lM4t|qCI+XR6Q-~?u<^};>vScbsN%WrP?ZKUrab&xJv+-}2w_k@BzaQEv~EPVS5k2d6&U40)8{sps~zQD?5p6oHZ z%x)rjWiY%)K+C0@Qt)zam-3oxS=}c(M(51Dg|CbXmNrg_b3XvTjJ$GCMDojHPQK7* zbH7PA5;VI<7@hLuG#{&IB{cH^PD;`d_2*U;F5^8#0|MK^D<$#@2r zcFtK6n1>cuPoGN=QY-~YT2lr!^_{V6)qQNLu11lWm?TD-`HvfhN!hLhRMvh3oGT}W zzTct~%4Veem418DSzO)Ut<2V{@nv=YfwHofuaN4>Nlewl`ms(Nj`ks(2F6i zZ{k?|W$Ofszy-jd#nz9@$Cu?To$I!V$rwzk(cU%V^9s8cg+^lL-YS6WxpP`BTv8TK zrIIG+mcQ9gOvkf_$H=HYHJSWqCH##T6y6|lY#h2MeJ!AIXn6S>Aw8$WRc*9?$#Ydb z6TADVU0}*Ex3K)xdlEAG_{Wz+F5CLW)Hf~eTsA9xKFFkGEJp>b61r>Ns9(QHSV_UD z$Ksbevk(?j4Y=2j$V6_$x%jRDzJ*wSEZuBTmj^mktwiT<-pzS4^B^L7VEE$+9)qmB z641~oDgALm2gE(%R(_w6)eDXNv|H$JG@4_BB^igc^wT;UKnc=7(4 zwwG^E=JTf2gNv8+y!~I0iAO(v+DS+zP9j^r}FXGlQPN~{-3JA&niX&u0 zMxkpShYzsxjrTn6MNM4Zb&X!SlNGyL)De?8%uY6yIqq>M zby8|x`{aR;$)l#BshwjK*7>W7z|`Sk`TOzJ^{alNDfvSfgl>a`3>n!^s$X6Y&)=ot z=>cTIT9qetOmt%Dg9A>@QwtQ-2K}y~8KeOR^2Oc!`O|;^n~UV|qDgF08k^v?#*cHn z;n^klHn#^>RCTLs$7c@#Cg2sfw!iz0fRtHS?3#&d{H3Td4q@qxz9|fX)c12MV4sfp zhM7uy(u)SsGKa9_^xD;TPgy*EG08->e3+Lg6#<5BTvqw7(D&ZHFJj32L2d9TwtQp? zpF`d=V~R^i&D0%Af4@;YTgK*+xS?~mv}bmoQ3h?F@bp#F=o}s?onFHMPf(yd7IkFPh@HDnrDk zl&tSn`8*^2NQjITZN(MBB}mR>2>kmee_#-?@fu8imNiYNUTZRFIKEreda;;h6s=jh zjR9`gPaj~?XzKYTyxz)q+0i%nk$_d$&cEbEHzH$PSRndioQB8|${ArI;y=#mH4^BW zhQ4V?TPPu9p$a-pZA8()`)48VL*$GIb)@^JahvfxY@J5Nsisj$3Hwym2<^yf@Z1>6 zHLq*ITtlP*20}&;4^KFwS1t?&?qJ-LJNS=jSs@YGxHH$W8tZ+ z5sDg-@Wzs7K`5Hif04$D7uCIeNOI$;hZ+K_KXuddC>Y%cr<`g*p{9{LGiVe8(z%U< zRnEea7)V?{FD$LBKmZ9d9HG7uvOEMk5)%_6|@Q#N83L^*wVAb|1bA`_ppO}P%{8Z-nPsux?b0iml zH8_wvid?=9i%pRP)Nxakc`g(;!VL}a9YP%~EQ3%eE4Wgm4hM=l5ml#+jiZwX(x`+) zpu+r980PpWi5zLPul*{FQ|TpKKqf;z`j;`;tn z$}t4Xl#qY|$QzM}f-~RuPtz(7k1!}t!%vw2OgH$W4@Q7v5DLW#=Vfa_VIcxX^l2*& z4PqdNS(Q+@0VSLeK_WU8EiG-RxJ97jX{9~F8v~FwrBmD(d>=mY0VKyEAt9mjC=@CT z*`30CXds6p62eyG0xd2n?fE}I9|5)PNo-LyY)kgwRKQFYP7BLkU zQ7V|rD=P)+lz#~R+S&$GAAUdWa;KtNL|w5Kx0b}9Jy#%x%ftku2;}7#QIcrr6Gy`q z)m+5;MvbyyoP6!aq$rY3>)#-Kef4w69AKt_JpYTk^r2ox4Q?izv z48<*HFMLMsT3e2i?mTC0b1d8EXX#HP>0W2aaDz)5+Jonn?OMXOWE*HSt;#try}VmK zyp$aJJ?xdb(~Ab+YMLl$^sGfxNWTGiv3@t1QXFe*-}BJeEr{@Ew2Kk|x$NYD9@S~j z_oJMDyCw?Gw!A?FtO~eL$8<#>K5Hni1u`XJK`aNC34^*OEru>h%$nkVoUF?Rl`#u) z874h!UBF#bkpQi0sd(eyhP>&w8jcRWqj;$o-Ay%aTMn*Ht7Jf!=CgzZ`J`0(Zb&Zw zC8vmyf@)>0vS^3nx#lH{SjK*kPN4a@#^o!q=D@x^FsX?x4@CiG^o*PZb5e|daC4}wT{XC`!-DNUmegVfV3J)1Xn z%YAoC=b4o(DyyOv3n3yCG7iw|ZIZf{$A zFvF4Zptn)_Yz#f}iFotfe zT5;0ZFI@Q{McI227H*@$#p0BAcnmuPirpy90y25u;B52N-Woptc~_`Y=99)p3EV<| z5tc+ielJgHpLW9BI_Qyp3F8VXxhN=Q*86$yx>kpOSSMc#z<#Z3c(lB}XGlTl0_@*d zXT3-=`t`8ijKS+^u1%7cAGl(=plW%|<+{q`=v5Vqbx)VYmUr>|K~=Xm-VSMD+@=I| zAq7#1y!3io$G-2+&&Q_B?6`6l(|@+bcpYMhc|5)a*wJP3gu^<&Qspv|qK>~SaD+8Y z+nzHj{wO_o*6_VV641C$#?4C2i8H6Ro+U7QrJMO^;7R7FYVoC=Jwd1BoxNPO5(HX-+s$aIA25&s8 z7G^1o;w4MG338(JWL~otob%ZVx3S_~xb(^5N5>M*O3z+kSy}7vYz{z782t7P8_s;` zQB&#@zunS_%35DX zHQDklfaOu;8tyfbw;;jf={G}f3>31DCDJl+MLjQ+v|atU1Vmmfl7F_e!hG2GS@m2L zRyztO;n!H^by}`=T0^^zjY-fTk7sw5!Z`dlrh$A;=PFG}*Z|&#CXTV%7ffR5LDUie zHRFvNonoxaJh7!8K{GSh(|Pa1mK26w)KB^B-bY3NNckvOy!4XTw>Hdj?QQM|d1y~N z!H`asCfYmixGVq85wPCAE*78ry}C{-J}~yAQgN;ANl4mT^YhV9U0<#Ve)9zww}Pzq z<4y0`YTwh%X3?M0S}EVhVPj~FGkGMY^4ui?h)&)J}BUf9vw z3E#Z2{jh#zh_IuQq;x;68k_$X6DiBTOY1MZ7ZaDIg`2sO_X%>B2UiHLO&fp4 zX=jPfoeiR^KYmzJHCi`!^XFCJ3;b2x;MjTM*LtOSdSZ=&(f2Cr`DFUFPCVvw0-A;Q zRGvO@i2|2W=mcti2lfnaF+OAy3OnEG$Q15YI<3N-8CETtC8Gm9671w0% zck3<--wG8rJD9vwd*HBlIWkKW1Y^9lllaZ6_2|s`=&NAsI zb_VlpIWGntrB!`LC*CH2yZ(6n3Wce+_@%AIj-?M;lD5XtY)^P9I%VE^=rY&H0}LIt zcJif0yy%K?qo-lRRiBA}WH@xCJW;PFgY+}`}?!6 z`M_>LwZPjxWnT9|Ohax9#letTnW^1Uf54r~mZN*^4d|Ps1qV!D=V=T@YJzw^f zFcoYC5TbVPUybz;n3`l`rcJoe! zLH|QJ(R1ukYyv?)C;3=zY2_phf-H0dFEAq$^<5Fib&)4am8vxMq3vPd4xA+WmZg$&yS@v@{sjU6LSOk zI6{u;C#3o_7cR4(oh=!q#Mb+%{z=TIbFwO4!X{n`^qtu@nDXN0eW;XVk?*fp*}l(z z=9_-e`Vk6WS@4o+AgCGMB~~*I%Iun?WaShv3CpUTVAeI6U)a5-JylGokpadIi}*8% z^*sXk<_$edjbMZ~n#Wi~4>cM7dwS$AI=ptZs4X>c!nl z4|22v!awugs2Dc(S{L#TjwAApqmc(~Cz2@x-w7%CCAIAQ-l}UEq20YAlArc`V!Mid z7?tt@G|vabq@-tl0+Mb%sqaX+oYFEnCluZD+Gf5n+_I~0g4oq1wdTsB^yV3@ptKrs zyRP4bQClYNnKjeI^qTq|?}0}1GQRqwnvRe4J4a`mv5g2gb!%ToZn{6K=U0s?sqF#t z$0A;Fj|v)&G0s}Wl#;6H**<&zru&3nPCGCnyJXo2q+}GlI}|ky$rhGWQcIsAQ8dkd z-ZS@&UI2aN^X>VlHPEfKOvvW_tocUmjO5+Qo3~POn_2{1yrQ7& z8CFGP+w=(yV%Trq@$bGmj2qlw{r>5e+a9N}FR)S6cp{{J*n%RV1Y$mMeRBaKS#KxT z4`|N|rz<{)EV?M^5SY`nxp#0vL9ZV^0GnheF7Ud4*rMT7zvds3R#MkJJiB!Ejthur zn&cADbGX}gY<<6enCOCpjNA>2M*i!jE~^;&>zGU+-%xqv(bn{ij!!Z1kwcM5Dpc4-{X#4vzH>j5d4FxkM@FHnG`54^~O`XhjDY*d*LS zAHV8Z+oS@~O>I-ld(@1Ywi!h;-@x~SpBOk7g|DD}LrQB~+q-8s_-spO*7q?);)HaP zi|fJ2%#MXm?Q3e*fX1<f5H5D6<<`=?ekj_;6)>PN-r_igaqjEsJ{fPp71r|FpU77SA` zI0q%|2fm!!$41{RJ;FRE;+FY#ZHJ1ppld@>^esTA+%y%lk~6R!nIWfm-Mw?S0CUlR z*P@gpUfUEm)jJ#9Pt4326O(kqmy$DxPU>d8qHPe8Uf9y{`5U&v;KcM2ICn4R#R&nU zKz8-W1~tF5Y)E1W1;41RUG_FE`c_JH7udTSQ|4%Hz_;2*juBIFC#?6JX|VsxW3?Hf z@ugdDO3whURJEl`Si#=ClCk5nTLJ@1G(3W0dN*T}yY|jqRYrT$J$gJa!E!;-KDT$4 zTT~UDuu4wNB>W(YO4nQk0u$9BXkBfA##IQbPRZkSIBvioB8VO#e1taYe;cTMaRRdt zU1Jam3$aea2Nutxpr#S-U|i!L()dT!h~!g3r#Mt|*3{HDycr%H2Z*@w)IE&|91-jE zAG&z-3jvD|En*=BF}YCKh_F7w78HsI4I>-jMiPWVkwTRqM2(2D5sp8k6&lB3IHlh< zwm2LTXcQKb;)q6_`GXv#Wt6NDi6dg7&OPm(#z7p^_WuYRQBIJmo$4Fm|KOU70&bAE zx_kSlrKSH{;CPze_>X-$j{-7ANLWicPeqR1-94~=8qs(5!O8nuP^bY2{t$`d;1I-g zh{zEMs)WxOiaDnbpx64=4dH$uT9lsY0>N2ux)myt)}8}Bus(Btj2P~V6kMqAs5&_WHnVov!YlGccZ zX^jX$OoTTc1);EER%KE$e6C1dzd)C(B zK;(@g5iyD#+}?#fg?T?I3fziy?wZ3Z~(_4GEViK&@_(1K*WxJs@!2NDg%@| zBIJ>s1FAd`?#RW(qjn9d9Z!iPGPMqzRu?%0dh<9C*TL7-0O}ocQP`)FM>GnUnBTPa zI~5e)zyILD1Bf6YghU3E6T_^62ogl-85NuK_*6<xfFB}*f;Mfa1aODu8ut2WE*5%fK| zDU7ZOU^6r0HS5~BuifdLuY@kJMQF$pUYnFArnAxAAS}K)Xa|ThzM8g{a0+CtxGdiE z6nD6rD9IgRt6|f}h;f2tFoFV>6L+3ujS~s`;@x#gdJ>er{ zoE1?&3YBK3_cEt4p2_TDs^Xu+q;!A!w%s5=)xDOK9q?E81caYFB_Xl4!n%3Jc*aFW zH=<->qh~4r)mtI9tORzN$@?8Lnw5Abe0%kI;@3CtpX4P3e~{%L`{xCW`(l-JyZ0 zz_SC1&-+ZRFadiPi~77pxWVFhw$|NVt(!CqRt(dT76wIy@80rNs)zu#wGSlKQe~Jw z-Wy&>wbE`>d(1o1%~EcrohY3U80qf~9@@v;wqY>u-@sWmcFv{6kZvQ>wh}NZjGy9l zx!$KH1MsBSji<9zYi%Qn@#>Rg7+JMBWGu&ec>1nv+4vIThnA zaa^2;-W{58pBI`L=w=48fE*AjhPJ~zWB*%WQ<&qtn~#pCd~2jvS;2!hKQ##e!NLcC z+jvwN#Vns!BcLI^s1RD=er<|jjPRGovZ3!h;1ab1q$9?a`VVp^6cdQO1{`K9f`T6i z6Bmsf&@S^9UkPIt14P{sxmg!R>k8tZM$xbNT(}$U;-4P&sqQjeP8DySJ2yx=|KNU= z$bcJ_dr7~RiK!BkPeMHlB1`p2#6NbdmYDes3SPgIr%Q4*8yOHjlK4M%T_TV4{>yX1ciJH+Wg$ zSYc~?f%|dSg~$6jg8Fmg@DzdICg9e7Q{$#~@kyHShROQE^*7r@Zi+8Cls4|DcWqv| zQFaEnnk#=*Uj6+^*+oS!ZiwAqP)aLay-s3i!ztZa>KgG7NS;;oPib*jS)UvDxj|ch zTdX76uHr}Vr#QRvz_MRM;K_=GDrR8}bg^wQ6Ym94+M76PyUQgzm=!Np#PT#w$IH<074eKKG+%cB;E9 z+VLMBDF?8IHs_Mm1KE1nZBTtrS9?ZU%0q0*B&YRBLsmU=Sz=_6T83oo2hf^=_tgD( zJbZE2WN5gA^eHI@WBh2wlFJ&8$gWzBU?&(M5^{Ekw8}l8X!w5X-8u1Lx0WxgVc16E zj+_{Ksm^mCj5DvU@&~V#%dg8`attRcA}!w9%`AiOS;vI#29yRR0~H=;m+Y}$^W-PI znSRQb0(12nDdI z@UI{gerAgOR}czVU@p<$Kq!D8hyN9X0!x?`^EVLs55u&YcSoVD5omb}+1VgFTV!{8 zUIZSo|Ga5Jh8amG%%IipJ@^uX{-mga?zL$c#^-kaIzuDFO8Yan#Y#ZESo4d8`C&j( zJ!5o`$dPv2BVEXpal;2gSp4Bk7sCn&h@R)%ABZ*6`_x4{VQ>2Nib`>lf4J(s)z=sV zqOVB&K%>L}TYdGXujoEo$5YsqU_`fjVAOGNjr$n` z;pU!2x7l|(twSSsJi!p7A{9ORF#6l*{5q;(?(Hq5-+F`Gdf$=~yh!sKGh0B7$5aU& zMK<@Bl4R<(HCoB*n9kA_X$-+wH^1|YS46LX^BM2j9*o|5m1z*oz`#Iy`JUMspAA|= z8_Ibv13TmEK0t=Rvf>j5Nqf4(WK=OF)mHyGc5D7lhObwLsKp#g-iCn#wV2m(SHhmR z1%GtZYtNl*Jd}JsFk$l$^|UrJbwPInkaoXF_It0uTPl-X{<6pY(2R60ay(Mu^^4!k z7;JfNFF{zyb{oTUyX#jQzIE~20psW$kV&WRbP&zx`@>c4E*(&!GQ|x|rMUe52eoHu z$llu%6KRF2+54Gsv0+F3=1IB0Ao!vCZ6UtbXt{!Kw4V&^&-Iva6n0}Lwv^L0hTi-P zEgfnu+SDV~vb&>(zYJCN?W!~fo4$^>;-hQ$TZBhD62Jsn_d(1RsbRd>41wEe>A@R| zmIbKxXp*4ycGA(oYADkeWOxQ?+ZMIB3^kl*LOh?``vA2FTchctmC12I^14^?6nNvSnV!no0B>sI*4{x?G_LBSVYAm`C^XqCdQ}eWNNO;VE?c zLCp>-U+{&c2vF46j@b16%qF#eAbybS`{91kKDBZuo-5Itsh?Nr^)7?DQrwFeenU%1 z>c7W&7TkqJC|1j<9%SO!X!a=nR`gH=xj6T}5qH(UD%o3*jC>b>Me-s8U-OFXyU{iA zMUtJ?bue6r6$@t5zm7rsFULiL`JizZY{|||(E|yZ=Sqa)gusPKZlZYQBd<=oL5S_g zyDway=~9slL>7#ED>E^sd`$xG3HlUna@qSb-R}PyOQ^^_>MfRc6X!X@mG+q-FOdcp zaQ3qukwCk$!mp-fubYojO&bsKxWz0;7O1(be-?h)t_JwA3EYCF+UU04kqft(Y=snG z{N!=?EUBJjQHuT8-Y*)gSPy*7zxDZ;#7#Bn`dPYx+Yfkl*&6b9(lLe>#B^7xf#kMS zGKyVpESHeI$AU_>Tb=8CH{r@l{fFPPdTtkB*@1I)8%g?~H+?KGADQzjiOy8t-u{89 zzEjfDy?8z^navuMVdxYWH=Anx-V0e=<165_cs!*Tblh6|Wxlum!eP-HKz-*?0d{eskDIdN_r%kh`_Ez1SSDp@r{)yD+&;l$f<9oaCJISYwCj_)Wif3B_t))G zYBw_TKI{@|TV@rulTa!F^b2yXTzq0~2~De{=M6J^S5581pAFKdP5h9~kmc=Q0yH8M zEGEz9=9Mi|sThmyRMu8dTXY@w7BW~xz5lYlCj73Z8`*^|eBd#cy#3w#m#zi13=*iLE|`SRds|<+ z4_eWKzqv~_fG%dTDf?p4t90|T(}0}sD>siwir10DTuw)Xy2n^KC+lvH=74|mI( zS-6{G$iOADmiU>USz8BBiRqc74blfVK74LtWRh#S8Il!|R{t4%mr}i-Tt4>gfI~|+ z_ElT&@F5xfd43rMU6ZiIAG8v;!0nvX9~gKvK+ioqGVaMoYSv5A4wGLPgmr?#({suj zsX_V6E<7GHYxndCT24zl+2rIGY)t2=n)kHbMo|@&UwHuE2O2xS#tZkbh~KOdcAHN& zxP|;0w<$WuCyNgLh|3@dA*Y6MMB&@nPg_*<(Umi2I|(V+1eNrCLJOY{%|a0^a7kY{ zA2Es>_Bg{JYa3VePWQYwhEBLG->Oq28_zXbGcfnM<3?uK!~EirjfJrEg|weywBN7K z(F)22_R~vWcku-eatn&9N5+piui7|z1!q;)Zeh}DxlLW*P;rbZc`I!NoD<6WCS?P1 z8%Ojr-VM>3grDFuOSvZ|mF=>r-*Mk*1Wq$`yf=a*Z$zNZL>Aystq{}S*+M@(o5i9) zOkaQC)eG`o&oi-H(J(=K&2C_58Jgbo2^w63LD-KwSH(?jT~a_%d+)$+LTW*~timtn zc)cFIkv7lBpIlydeHhz--A5t;)O1Xu4)Y5p9tfb)pW?=pvwh=AxEGK$Hm^~)jA7~! z4l0D~DhS`?hD&N^P*6TNBS9*wVqse`xyUA`>mD4PlmdebI3f-Ve>ePfZU4+U zhKsHnyn<2+*SuoltLujW#aX)Zl0I?S&)R!-t{3oGg;&3k^^43qSKiC2GN=tD)zk{Q zZb|HZ@oD9wOz(f=&@~FkE0;|ZX_WRuOadFa8IbtOQ#Is2Dz%_scvf6=S|(HS48naV zgRH2idO7r`KL%o!35Z!HbD{7New~K*XJGsHzjTjt2-%s3tm)KhzW5d5Nhk({$&S$b zM1&|G5wA4@BQfd3#NlHheS`uusCjIGVUF`C6iN!-kq$zkWZ+lX7Ff&-=aPqKxXP;5 z5J}qEosvk0)1q#qrP~d1)>PtD2p{3dKt^UR3LC~ZW@YE1P&shRML1p_RNNsLG6+Rh zPz0YFEXZktHYPZr+K~?0tda2tLPDqY@W{yI>>ragT*ax-5w7F}-bTU608Dbkz&e#W zBHnTs&&$n?5J+B_<%qPr^V9M}og)N~LPDp=QS7uY#vG2Dxrjah9w3W(IF%*(ROk3o z)Jq~+j`$9&;RvG~VL$1q$noG1ddM-b3I8!vBa{&?jim)@9FgU* z1))$EkQ?mq21g4D{KFd&(DlxgCZ^UNNFr+R zAGISqW_XY^BCIhCfwST8B}M9T{!u$ZVA2`o5GEP#%3#8OU&5Z9gWkT=2#rn7%SQU8dD z>F5K?2v|j=WZ}1zqM}j@ls(SF*DhTm`Fk#8`z@z&1&bI;*bwcPmLZ3-96oc3 zQ?!r3eI%>_32J!xI>gS}7JFiHa%#H32pjn+GM@KDd~qibHJZ-UMoun#=vcA9@WqSCOo~pQL{noPaxg8Z@TbRoVPpX_{e)s^%Kj1 z`M|)^ts^cH+_eZShnv8Vwd(qF*^`}q=Xaqg0CkLVFQJ)qKJzB7&MEuDncHe$O-o@E z<%#CY_!T}qsqyB}axQ}AxBm5anC3=~I|lUzW-2D} zZQot67?PIz3j}7XY8i=BE`a$JqCCt-UnBDC*aFMqy3-N6Y3e08=&gI0Zav1wvKgT1 zygxIUdUQS0Zh)`D%~4E?A5tE;Em zk6mcmJ{z7cZ5pUtCH>l%DwWE%Dl_#YDN1_=y#C&$vCRF5aO8|B%ZJ}RQn;6|G`gQP zrT-HCMbEj3;05@`K0)MRsJn7CY|3-uIE&@%@RI+}`t7+s{pOKdjt{*j&X!rq zwFy#_OFwB2^RE$@^>0&pW>wkLRP}$P0sQVmzO#1-Ir>o~i&`@cl`nSiaP4!oRL8zr zW=gDINCw+9!CNhKQomn4=P~?Lr&RwY>dt%Z^bzKy5Hh>6&$h(iLbtfM<)O9?Ee0u$ z8S#fI_-#g&(D%~`;= z89bFJQaUvI7O#CNwMb4vU+)enSBRdprhWE;h<~1kqYqdP+aOc_{CpM5OF{H(ON>}x zztr#-^z&jn%R|c`+7o{u8YWQKSa^Q-QQbOuvtaGaWZk?WZ%$)U4ME1MMA~f*F#quU z*nklToUt!pFS+B~M^x+m zS2{PY8-8sKl~@MVX9+}?Zq;c%uxlw_+{R%+pd(pk_O#1XW+J~Z>mM7#=sP7zfBi&C2)&1lr&4tc^jie;YEUG4U{B~4! zOG1H_@B{`myBOJ4AL&gjEDu{FaBEAc`%A6*L8^zPx@Ly;hoe|>uPNnkf%iYCRfhB> zW`g^8(xw_88dI~50_E;ZoasEATgoqLuitf=k8lEy6ykw- z?AMejT}hv~&fY+Yx*8Ny0>kK0QFeJDZ@l|P$@}o*$u<_Z8qBY<-$ia|L@4<3F@RG?(~=XbBzD?i(KLaX9d1* zU}BsRojrkVgmC*WZgOcEDBu4J`2#o6Fu~<7vZ4x996&)gVGE?U0>4vU8Z{QuM9fg_0*x z5_n}qObyWk7+KHq(qhDkRIEmSCmvBhwE^FbG6T&dd)chLONxh5Zl)GT<4YH&VXEw4 zURJ$&60Zk@E}9XYHz8E16HREH;C?8X`oOAJJi}Ly{0kZPl54mb@DNQx37uRm#kjYB zf^XsJ!D_!iZF^P*e~UZa+{3;ChL?!lH5R#u!#h4C%h?~r21-P@7h2sJj!3c4i^sIj z2Y{95+t<7IUiv-eB=u!kI$!=JZSK<&Ue%mq_sE_^(!2ZMD8`F#jbsCYuKS zFsTrmYo+rmZ+3fMT-TXUU@Kee!s_Y%BVoEMGDb-1alWzXz(bGcPssOpoGw=C7lGyw z3<1$0xA=^tWGkV;Vv^K`S0~cF&)9n&5mjHZD%}L!_o8wN3gU%?#|@SfX7|kS${JaF zUKWpj(vM;)Xnu7Skhh1wM8$V#3459s@H+TxU8(3tXRw4A-4(4(Ua=)u1O>CjS1nf! zt<0F}xSA)2)idkuR%D`N*{p~rtbRq6b^*dHqTOtqb>D6+>5+gp^Yqd9F)k9;kN2?D zFnvzi(iVYEdv1vX=HMqHvYfDtcO(om;y0h&-G4(7;J@kHVD%*l;GXw(c{9q?&~7vs zv8HNN7T@w@Jk=t($X&Pg zFi?2MPDQ7a_~G|wdFY#T_)E22uk+nBdfRc6G%1R=OUFP&oq=b;&{owmE&beIVw!_& z!|NwHz7sPW7yaxIGzI59$EIhqnJ^9VX-8rvLz&|;(>s*E-NOdOJ_KJ> zJiCDUv)WAX@=3!=M6k}a@N$;FQ+AFr{p=MK&!v8gN5Q$*O=>Uyeux z!%XGKC=Z@1KB3e1V!ys^%>L*zFJsHp$E=0=8j%f(FL42Ga+-wBKxFR~P>L5F(H$>( zNaQYPAQNU)QygDXZE&N+N=YR8ELhhk%8|9lP0Da{AGrR2r23Vc@U5ncc)}IL>g6~0 zZ7*3um5xs!)Zu1Xu;=$ z9aG7f=nT>R7gS8CYf3F^x>dK34?< zv20kPu@C8<4A|8Y#ErZYLJu|4R!k>uW9|}JC1WsxfV9fjZ+}ZzIlD)tG!x1Nm*??@JIm#7M67p56Q+SAQ=R{jii`gK|yfY!0gUGwz&JxbKGQY<2Hj*v(%Rtu3G24ZhbeA zSzOf%2pDf#honERq`!38kJ2o$drwHp(j~I=#hglO|K~YlhuCE+jp>)jGJZ z>VhS^tl@~L>l~M(l*y-1!V7>qi0;zNUF?`QR|htY9_6n2M#puIA2M+X>Sg9Ut6SXy z-&M7I9z2Zc-y&v_Q8u?qNq^oxvX4onawqB;HaQ)mEV!|Q&B*T%R`PY7>YPPNAtn=A zR8vzk z(=+wSHouuq@fte%^X_fepcv<{*c1{vHT0c4a7;!o_<=#vtgM&GDsX`H>a9D$M?aal z)wMRTwy0%rAnsAlRYr+uCt>PYS@}GlmWi5|j-QdY4Ja#&6cD*=PzTsmdWL2;jN_l~ z{kF9W!5|aW^9g(2@t&N~(LcFjik2OCh9wj~Cpgb5AYxG6G%>v|Y;?;#xNTbAtZo=j z$j~(s91scBzbAb1c63+qcSY*Zkc@(kxl7^VRWY+<0&*%&3-A@2yN*S~t7-X@%9kD# zxpwp_;hD&+ubYP`HiP<({a=89Q&htuvat4TAD@tpgLhNk+z$exi@{YBILhXh7&t`W zf~cLB|Kr(1Hj!&j7Y>y*L+60pBwbYYryoT5&LPZLKtMgLeepZKt$WPA-NU~9Ch|BQ z&A`jW;x-QjNaDD;SHS^x~RtouLsouI`*zBBs~1zaN{jv3pDjKQd|ieF5-YQoQbZqUqyb z@cbRoIaa^y;wcybU}%C4eEo5YoJS2r<+Qx-V;;QeU>sg}|1;?obBh?+IZ+F*VE-fR z%et0N!JBP91TEvOpf5mUCihvtB?hqJFwVoXJtBm)5JUb#f{-F8e#H4BeuNMU(LO@u zg;7Pn0$EJUJY{{W#r(gG@g+9=BmNo!q8-%kB z!LJ|SHVknSEax5>8AYRL#^8X$$4MmI@sH*ap7dj2LJkITBeYf{xDn!1Vjdp8AQU!& z8U=Du1ef4|I!6c`;fha{jzRE57ZE!m36@$g(GfOP!)t-lI7g&4#@6=E9mpFYL%kmY zc_So_XcQ_QSsetAs6+(OJ%WFd6of)S%_IK5HIHZ%3DVJ>k(E=>)C_yyThS=+Ppab| z^oZnG_ANlkBcyMC%+*_{?I0BXKm2j`J4AJRa6kz84~B#*JwgUzEdPnZz=9|HNS6#N zD;pfAEixQLr|=OGdO~kCB+c5$Kr$U$pwJO6Q6Fvw$l?v*G5NRB(FE3X!(o2Y$~FLA zgG{U<=6tl9?>XlMCJGznY#gMPGL_s z)Hy=QCmhXhI~Kky1))g)U`Qm%;1obwh!E}&5}wK&Vf#CLJs^BMOPh;AokOD#jU#{| z2LGwjVM4}fq$TrtR*q8<$2lZ1RSmXJK|wJLGeGF$4Q-f}3e!^In!Mpm_vTTjDo2!W z5DMjoMxp$3QK*0x6chBA2SVf;47Hsh5jlCqNHKyw9^1L#%hL1vAXdXMN*6+A9{+{gCf$qY5_pOuK=Ng@E+Z z@SQKuGu0<^m*d-&tuwRc>lHqmOiR@CmMf*_#frRB?X_N z2q@T%_%~BU{%&^$Ug-}ex$8V7kA9|h&7q|RDaeW1!r#r$4G`f*y0WL!1Ka-F_%C^F z9DBZ>Ek$wNZ`!uUmiw*wY&V)?>A}76vI-!daGPEReNrcLG)Wpe@xsw@qhuqZguFSJ zQpuWF?;R%i;eY!r)%z49U*=U)s{6*H^6BD_QLk$DCt~k%q@e0pfwvgW1N`aF7*P1l ziJYV|!tj{%-%h%;3yfH*#BuLtM}Q{nlgXGJ(j^5wcPX~9d52}`)9OAQiU?6zNuCQy zp)P=PBHg26zINNRysjNR+sC!~p`x((_=??8qHKn0HJUB>WY#&jJmYt1m=muZtWGnp zmYOvpMyU1uR;aK0V)3>f&u)rNixSbMMe&H zbs+lsH^n%zbSmeAm;_EXN~`ZPqN2fFs#5RvtunVFXfwge1m&LEjr^RKeA%5ba6c58 za-?U?TOKhg_e#XO)14B*b}XKfZIj63!;UW~C)^So*7~f3sN==RtM-`RE?BG2!7W

    $$l$c?qJ#ZSy#Xu^a9K}Xe!*XJj{XsTR3YNP}8dH&R?PatNJ`W^mDlf0G7 zbWbN;7F&+s7egKB`owdf?2r>>7_J%>zwT0eiZ=O_eyO8fH;_chWp8rjnd2m&thv02({{@&iMDj<8SqFWTzPSATR}u)Q zp-Yv2BY^-7~4uyRIy$jrzbj=rC5&R zS(*UO%){R(QSp*mX$*n{n-WN)`eFnh;A&rmn|aYVS2W%OKk^?jtgctTpyjL}n#^0> z6>j_9-x;1bMfqc^>yxmGCXj3ly;t-w1AS91SAUWNRH%ao_Cw4$YWcy%i;iBI7<+iKYiQH&_2AKp#qmwXB#-{ zw_PP6@g~6aex-8=n9AcHW!k^t-&iF zb2w$%>Z;oXkiAq*J(RsLH;o_lb~l}v6R|XvzwzqWWLts1Gh$A84IFLjVmU+}i$B%q z7)j=v-F{S-<>EjHm-^kV{M%9h=?zGJZ2W`y>uKahsat54kab}sj^Q}Hj5FpE|G2aQ zx05#zX>v|Zco3wpTU3NnV$<5RyeUyM8so}Zjnce$rk<(`Bs&OtXK$Y0w50S-*C<{n zC-hc(yYwsfWWI%`T!6RL75FDPYv7~IO0+%SNuTn?L?yBt>P4~_ec(09)LM9n#SY$l z3b~KDgo`n=rijsY}lZsr+E2svNdiFjc$8PseJK> z*oABni$#@0566%w@G@LC-e3M#{FJGgetxNUb^nk~bXZ`fx3KNQrz{l<%78*-*n^=j zT+^ALu2k-(YSn|o@Vf+axOMer!bKSy(~@9P2lIY@-$MFrDlK?@mR-%aVK&n(qASl! zWcs3rh1ObdF5IPjM2GnTwWB|M=j3@v?wT+5Co@R41e9kKHMJA~c5j3Q>qVLC-ADt> zBlhxy4FW0Dhu{3`7}{2CjEY9{0o=pv2fqM7G67-^a@0>Bdw!v^{d&}pNs8Ci(K_gd z4YYIN(WR|{+dp)?p^R#oau;_g6dLq-a((B7KP`9x%DQ;h zv2IKK+_0V4D^G?$74?7jxjQC2!?@4=jr5CrTri38;?R}nT-8{%jMm!PkV{;t_(_AV zSqjZ+eu+@7q#ih2cXG{1z;ZB9zmI95>qpM)=s1YId$rZ_l-VTU9a1ZZ!xTZNBx$L-p%{DdM&m1$06oljtLp2xykrMGI%8R5E=Vrilk+eFthdct{?nbFKw;X&>+3*P79;W1-b?Mf{t{5hlmUOLeM`%od zz1Xxx+OsFZ%Ib1TaLYl8eF<4*l!@h1-|V_o*GRQspf|pZA{KoobaXSwq$h{_>K>%l zj_&Sna0)lrM1nG3z>fV--`>d#^>~?*-DJz-^a>wH*2S?l)PZj6J~?!ERv0;4nJ7q95#H+^}`@Z`em=)U$U9eO+0rzO>HT6nZA& z7=a%&8Jr1rDJaUK`@e3ce3toGHL>Rw13It9Iy zw;#S9|GW#k$*cD=`s36o4X3cK6Yz&D4jHx6biz=;dCJ)ppQxp0Doi-J?!At8QTu*y z5jeSHYCnUvwXb+Q)kzIX{S1|CBfb5<}>j0pW&8!Y8*NbMgPHYg|p)IcH z*${}TyCA0J)O_apDmo6-Fa{CPi8)8UDQxWjxIrtRpkv@2xQ)(m=aIJE>yj&MKq6mQ zHnzIHg-Jy(drvjs{mku{niEVyGKyRh_Ei+_LLj!pv>Pe=pbhrG@pbYSr<{Sh#m(Os zQ7xU@yy6u*`y{|c>foq}E^)uBJB*QEz%n+mZTM2mXIMbXsdr%M*Od+M4=Jv!Yr43r zZ55JOTr)2y^X`aC=XFv|%fK-zuA-_faC!Zv7~3Q;Brdh3O~^1aztc6VziJ6{3N|%z zi)W9k5uEZlr8J_ElF&bK_OXgyGP>Y2vrL}trB{rt5!KQQ$^q|3zVAr+SFT@@vDkXn zz$ZntbgjMM%pz)LjxR%#KF|T>M?ni%^m4BnI`9Q$`UW>JlvIy}9~r%*(VU)N#&vQB z1X;heANl78qdxCY{`m`qGpxb3?|_ZMHy{-6)LF5k2j__R?DE$Bk>5ApPRuNTb9KMT z`2$XIY5lujbKWuyS-34ceb<{bzJG(piQu+s6M*rB71VsaXLS&?c0a}C7l!s7%h}a! zM$Np|;jiDXUPged{;{vyWRyU#^793~re1Pw+xRbLQN>5k?2@veny8W!%)N5DCb1uZ*2x=00$TRLN%c*0vT}xz%`={1vdMZ@S*uD0x?P-< z04gV9mK?vy>(L;_ET%MWQF_4gGpO(KQ68oKTlrXY8(`<;*3kR;7mp3jGsn<`?DlVD z%>3$B#57D1hQ8q?&8R@jATS|g@H5@xTYLfz&wa}efZ&Tww%ZNcO;);eHY^fA1xCmw zC~fVUL8SRKwR!H#ap5|t=>6Su99?_g+O6Dt0CVo@1KdMM;tzv&rJg6HmB0t6P0izQ z8M&nm?4#0)z~J5$DWjNTC4Bq~8C(DKqO7VzTyDegr|Q8C5vT6p65zC%U2q`bzPBs^ z)3CoZx8kf_(Y)9Cku-f=K>CNUogk22TzjP6CM&mlukR3xla&6+i-^3z$#WWBk(Uvv zHE6QHKEHjx_cJOhxB24k87+sH^h1xBw=iTfBcf$6|)vG@JocTU)C_H^Hq3onRzMB|T4`_EVrNj;#| z*}}1mi7#&2Jx0FC&i8hB@usNl>4l(#q6LDM$OK&L9g$>ZeI#!{3`j)<5<6PkBu|hc zAf%%sqOKcqT0@bRuCCs`Y3UFNgUVzOLdVQE(56{%Na<(<2_2y&HyZ|^u5LuCCBk!# z7=?up2r4bD>>Px8ED>r)q|q^m-a%SNs8$9VlT*-`o>^E}TKax+iolQ8021l<)hZ-G zK$u=32!@;ugQ37-FjQzkgTroKo6jNdIYQxiU7^FobS;5|Cgy<@kpF=tAt59p^idFk zMdA`t(Ccsm!vGixf=NOX8bgZ-1juas2M=&IdjD>O^ z;}OY^h=G4ys{;u?Q`53r5HJ!BLqovGuI>OB?7a~T)&qS33ViJC?Snq)hmPqV`#HjY zehp=zU&PqQ$!mG!;UOfB1lMNl6NC@@50AX01oY5A5J?1*WJL5^!J6s-znt6pPC6D17j?s!cm5vN^|4MRh~ z$;zs17}lRAt6B)lHa_D6Z{g!JGYEYoqT6W^>UR1k{Q&CSfg)ws*3h>Q_3<~b;1K%{ zxQ;zQ#>K^hZs9`*L9hya1;PEV!9T)(9snbTz$`fhC4&C{L6!(y8303uLRL-vvF<^R zb7c!eW|-{-vKq`j0OnJH7D*ydm^M5FUI_?xl&ClEh z2dWG?46vJy0O|F~fVT{g@;6VX(#=kyvCItcZPm2%D0}bfXZ@*^+>OFh)+zfwNYngG zJk+yD9w+|-Q%!WBx>FNv^^nTfmLyZ0Y!3noz&URDSw)>@G}bZIsTCa&@+16xd< zI`+P%runFc(EJtJxZ1p-D%)2-Ayp(9VDf}^+A1mF_f89JisP<+8a)4$`JheXvohtP zRjVp-3m87~KdwY2j6*BPad?YMCY+sweS@0$x8%oqU-?=l=ni(t=Ty5+-Nq(8(>_e{ zSsqFJQJIrp2a0OF)=b#XHaS(0E0urFkENOU5W8BYMvZrCY)w7khkBrbaSbo>T@*Gm zU}ng}*kI%>LZDI++f4LHaZ#ewpznMsr7%(=Y3#vy7U*DLO!f60F&({;@vR}2B3(_f zoay(#olg#;j8&~~HlQ@6PHwn`y>t1y#$ti}TX=nuM5gWKmEN7B{FCOtrO+h7i>}3} zxjyXfL1LbJy35>pS0ZTQ4l=k2)CUt9=D(nx@5(4H{Whs_ZG9|X8T%Lg#^f*L6L-Uo zEm=#g=?g;7xT7ZR$tVzg$dtOc;NezbGK8ciLk z{hCIDGh-}NOOAI!Wc73V6ZL@4i>0nWoSd7uF77V5hv^G9HC(o_FCxcTzxMp4IxPAK z-grw3gWq#H-v}$o3k1G#Grw%iYVj7MxHW(2C8_PxQlU4K;|ElKewov(78L1WukP3B zwnC0G`Sd^vzv9%A_-8pUJ3R%!D+N3nQwwy4UEc(3G60-T`<3X9$HeU8Vz##zNl@I9 ze34}3d-Hov;>tyH`Y39e(^GjCZLi^C#A<{0X4}h+z`$pZ6lv9l?+TUp4c2ou-!1%##J)C*CZ2`f?@pdiitI-SLra z`yLlEA25SR0U!0oJKXmCwqX;VF|D#8?2VEjR`wQBQ?lZ|h;|&amq5K7n>TQkEQOX# zB9xV}-v2K4M^CpquRhz2>z;?#obR54;mgf*^B<1E1znzHTmLALstweIKTbL%tKk{yJAZs~saw0D;G5e&tfUF|(9016hbKUh zVy1#oFTC-BbANeuKvUnn+?W|N=eR$Y?29a z&bGk2aepy%7%FH=fze4;#YiOIVs18ToP{OK&7a!DA_79mHyy{sXWUGVqk+9fB^Dl{FR3S3QDLA?=L(Qh(gb8f8(J5 zdM)x-9tu3Yy#LBW0dyVsuRQb*%7l=mBnaL--bce%lQlZnEV40S&l#3f%n|dysEhRs(Ay4mt6e`u)L^s8Cw} z%F5q)HVg+O@YK+LdOke9FEiT_{Jdk!-9FWvS<<0kzNS@$GgSKoJU!6sxytX&Pg^^0 ziHK$5$8W=U+JMVCe>)i;P8}IZ2XY?>%_O;cm7k#hh-!(j$jn4d)d+&#&iw#;-*fF2 z^+ELa50ACGqS?=A@Vn2_0zCAj*!1}FbqHlmmsOftP4R)?Dk{_LS@hnImt2E1ve=U} zrLjh?-vwRTI(uJV@VZ0-{-RAkv0S7GUMc_lG}XKly9iVp4!m$H@`>S~yu~(bfRguY z%BFBzMBr@lmQF>1m4w?=7}-q@C4JoaNeUt7J0QM#kCZ;-6Rjel!Ej;+CgYwbUCgM} z^pD`~et&Zs=qYP3t@e-snPR~rElz#q2^fzJhU@!#TGW)sj(-mB44 z3R&?+v^t)qDF`mD;WDT}0@0Q1QtpU0VKl6C{}Eb|Ko+Z|4tFJ`G(Xh&FO^h<1c87* zg>@JwVa(32o&OjtEM00G*#qN(t*3pDR+_iq4uX> zsnsD#qoj8z4zM;upl^gZeRtEWvxV%4IC#h|?)|)*^ZCGKsjh5WYO`1l0m!njT@SIS zB>IL0VcE`T$Fid0{2xg&Wa4tK4ihg08G*8p1i3!$TH5SlQTB%OQ=~&V$WfsZR>v9C z=Le6XcL32m5e-qoFXZF#Nq;x+J!yBw-E%{C?eIav8xAIs`}hSAa!n{bbhaRT_?&^> zLj6Qt@0esPZ2fs4TH!EWO`G%6hjgOpeL ze#e6diZ6yWq`#l27z*M?-}rrzd%Ri$d|Qp8Jk6wwZNJv|Z=A^42Xy`1ALN)0ZCze9 zxo9Ok1h-v{oEN6VqqT-&(ifhuTWo6^6MIhLI|r2Kk)~jgq=99Pf-T3>hx$?|_$`I? zwzVyhyJZn7`^+0qMgex~mK_-2D$Q!8vre+0LYRf7eLwy>H0=XcH>S-S>?nx6fA0aYe(m({OYzjR2seH^a*5C5u;-hy!L#2FOaeh=4OtGZpoUY_>IvbK(vb!9_Ro7NnNit|O8cz~ zf>HSZ66HylM79e((lc#y4mS*5J~$%hF%>e&g1`O{--B)ee7`JwFC>4WW85*Zc63)m zE3mK|gIw6u#w#Eyqp{~RJK&Zu3|SyBG%JSBAF{{QqUT@8>e{%yj4kmE$sXgIX9q4O zpGjDPh+cf74ivErNlh(tywp2^9i!NHtW!UicZdf8*{ue3GkBaLig>l1qhII~n?JA! zi&;9j)iif*a~gPnqV@|B&!C=>#r5-tPp>>Pn_4w~qs`&GUA$rJ@fA5+8F+owcL*M+z@m1PR9;->l>q)RrN-6)4*(bZ$C${KtTfv`)W#heMZyv zPC)hyjdc9E5nuT)F`pM_@XVo=9Z<2sTr)6+$IN|aV-uHIRzb@xqjF>ih6b2!Nl4k{ zq5v#rE0@CZ?PEMqMNJ(~__rT);$ge({e%vMf-)HkZ{GqRy;F&c4n<9isjVLj8JNtz zg!F}%QExE&1T8LK;oRgDRk!lW?AbgFYyt}x6oNX|^)tjF*f-~X(LK@i__Br0 z(DNk4XC+!BEq4g);z*CoZsUV{z2CQ3FVPA|bUd8;>|n8LSt38wQrr}nIw-oo_7n8!)^xr}D|TLP^IZ$qQO z;x-|P|1#Fg65b#-WDB2H-%rl*_>@AJWDMhy8&POD0k8J!^sbMK_&0gYd`nvnk57fg ztY1aI*H}djF(^F}dcoiUmy>^XiFxqvSIqBHo>BGl$UQvCX6+bX`z0X3%+3S&g`zqb z4NTI%GIjAN(V?X>C>x}VO_AP`arIeP5qk#(V~VSmzuhvmF0O2SKaEaGF060q8=P6( z+Rm!r?B0C>16Tx4EbRTe=#@-`KdIVAXWT4D{V6Q^wqua9cK6;YfdCLGZ)~HH*2&3- zAMmz}?@M^ZEm)DhYU}<@m{n0zPb2{Ddc00d+azb;u|#FO9~NCWb$*G8Z2skov{)EqqXIu#6`Sy0+_%`B~L@<_?t*V6Ai#AB1Pi0l3EjayRN&oKZt)7az= zb9VKIxjF{{_uxOuNa)fzG!V*22uv1KclQtcQAVN4k_8Lp^%j9JxD2lsK7RcG?R#YNJQn1 zl@+CxjeXdkgh)j1H8c=765^2%kz9q2BN3WCDH{g<6!1V~GCduVgl1*s4Iz>|K7q8I2pD;t3V8R@M*0*Q*C_JZ zlWz3P&kv%JK}ImN;OiJjNQipf`27!ojE+evuCBT6ln?li3`kgWHUuG|1)+{ay#|p+ zF!Vp-$PObIfKIn(5K#bt82`Al5#H?Gy^Cwwi1H`)5%rokLVpojYQ%toA8BZ45sFBN zK0@S`iGzdpy4^|12ufMah7n&&A`zlUge+2B*8$M9deW@W*O({XaZ(Ul1NT5?KDse@agN z^BwYk?H1a9$#x$9f7o`;R`}^e1dYlahtIY_0(S^CdbIkKXN<8bDej|42#<{eF#fH~ zi#`hs)Z~B6^a#}^YrTqd++awm^sCw^zcu#L6g*u-N#47uMZCH4wI-aXj0;|L8RZht zWlfAur7AT1`8A-XP`9l!$yOy(q(tT((-bIvR7(_S2d8RlEuJz^H(UmIei?OXE>wD9 zp+zB^q7{KY=Ez!31K34TeKY7d?xrt5*q%MXFl{6Xs$<=qU5X{B$7fiLS?L^^#2`kc zoj{`%gv_WJO`_!uB*{3DxDkpN`V%g$2K{=aYI2-#R|i?g6mS+Z=dcp)$o&rMHX%w9 zD13EWlLIfA{P2VPQ)hu^`W!%162+T6S#4JLho13)gU6m;n%_}&4>psdE5pO@=`uTj z_`|g0ZYO%;9>yE3z^Z=H^eTg4+Ii9RWWoHqwynkA&qJkL8x%t5*N4M9JqQw}E!tnme4oM_Pq_i881V81 zeT1JhnJ<2f!?ZIkBDEZ6l5p$cV2OEdcRMd1oR7;IEKLMG9S}#tQ8E}Te6t)q8TFA< zcdSCkW0?HA0HCuB!j5A+Ueof+(?HL~58FiL$LSxxS?3g@?8=I?`4qezA&%$PFLNQ0 zY)(%a#0WoqURzI#hncMe<=C^QPaOeC6AbjH2L%TB%v)5C62C!tj*SaqE2TxXjp5}O9y22WsuNcnJlM-w zoyt`NaMNwm$Oco)|_<-4tGQwYwA}-;b;NULl_<@Cwdl z%1PJsPQh~=pI5|R+GCAFifV;u-L%BeZC<&Eqp2lc5IY!daFqguK(-)J5=xinsb3Vz zXOgUoB0q-Q`-N#cvS&Z=Y^ItMtb5~Hvkq0QuqydEMrE3Od??`e%a&1~NYE13RWgZF z0%W6(F=x0mx7ZXqc?J;%%$VJ?;C_4|>PMe-)Ofqg^Bc(ZN1C2;OwG2o*3UxPrlUrC zz(d*+GLrO={!D&$RfGu)t^{yB?DqcN_NmrUYLlaU%OToXvEH>U{Io!y%zN!8ct!Wo zVb`x>UEcxu?L?Yy#uQ6E3O;SrH7|SRBGk4;j+KG>195ihKA*x)N(q*g z2=ZmfGp;4P!f5kY+Dae_|2Kju@h`U7^naRdcK^dR%MfhS4Z6JdH!uj2l2iT)20>#} z^IriefDABy1*G8QI=trpACLmFo6zOGzW`DIRWAM&kOIVo%)bHBf7qtmD)e;jj)0jS zh?OT|<%L*zud>7bjcqE^eCKLDwG6c|HqD)Eu*f}ML9aRO@Ed0~yQ#EZWEcdhQwjQi zRtp!SgjMjKFP0$H^tQZn)FKQ>V}D4UjsB<%u&~QyU|l`YH9TlDiwdbf22 z9DgjsA$M7-oSk41Z~38kEr#9qlcw#0ob7PylAEp-EsKz7L)KheJuS~o>Ylr#P0(a9jzRK zL*6?8nOg>J$$tIB5|vY22h$~fwFxVIhT=G3_cpf*`DO*F0HsgA1{;^~CGstnN;SW5 z?e8rjTS_lP7cLuD={#SAd<7SY6=WHUCHF#}lE1fQ^Y%-?jXYC*#wB-oOR%Bf$%Hsy z*XoV(KQe4jX>n9d!xG>vB4nwW-|?V&oG{seQBBvY)!34 zb)e?R<5};C08f{KLQO7ZF;vYTefBh3DLj#uK*BiCbK}i>h-3B`2mwVdyCw=(?;*FH z96UQRBZq^}N%)s~4a6^%AMD9l8`6SIbbGzeshjVphkV!C=`k{g#|4cD>PiTlrjW@Ru*yF(*i;5 zI^@YN>7LT)7}<(AHAR`1q2|a!wBMTg3CaocpGN_g`^vH^9h#o-khmd@A73?`=Y+7i zVJA-t8P4r+<(9qx9YI|F*BzJd8k~pOrO>ymzF5zZETmgmzatQ)Sn#4H0yeoYE__>-c2f%p=2BGoS>Y4GgvF=Gu(gR&- zs=Hfd()Gyu8d+zfT07vLgx&Kft3e9k$wZrwM$Njsh@|}ej=i$>Hc<6?gPRkKp^~?6 zDd3Za)E*gMiN<+~#__Sd@4S##@U0Oe++2tOk*5#dG-lf_42{~{V`a@<75TUK7ZOETKaR+)9EBp_0)uZ{n zjP_W#Sw)C%lR4+7I#oVYQ4(j}RrdyBb6*Z$+O=3F2oCYTbr4)R<5v5$J4LwZ98Vm> zGafw)Dmhx;7zOCUf=V;E%aYfv@eXn%V?WIaN#+e~_KPUI0^=rPx!-A&5-g1Ge_~B| z!rF7&ILpD@+PR8Oqk1GPDIL_eO*21p`td4p{OGZn^UbcyJCT+ibwsaAg{@wUoZC%- zHQiGb&L=*N-4nC8*`G1^gJU|kk!vjVZQwR`Jr{r#@ZZt)4~r>pI>slu7hcrTz4-MA zhm2i7?9pRm8~1?B0Wf>UFKg=@ms#32)r~15Zy#A++do0aE@k;**mOtL%n7*WSBV$Y zH1Z0Hz4@4$!oO_q{`#ECJRrVsqP7YCWk(z&*5ZyL>3=o#&m2-1KNV8&I&6HWZ}eTc zdJnJ)+)*|LQ+tQUSkw=EY7TCIN9x)p4v;3TZSVLupSYr7Xu%!_Fb3>4xFxUY zADx*qy^PLfVr?6g{eA%*SIyYoA2cjp2?WMY{igcHP_CrMY1p~&)jS}k_S*+zw=s`l zl1jj)>_}!}8xjA*C?F4cjrT#yI308GkW9rSIgop(YaRfiN}Jj@FEt}xXEz+;unQVK zGi{mt^qcj*vVKs^^5;`@K*lG2_kJTX$t@lMnfq4H-KM_MRE@8oYuV;>(nJ-tYyqa6 zSPy=Ci@P-BLB(zXr9=_%^$TI^weYU?DAJ63Jq5foBI`mlIM{bqD@-+$m$P4yDR0R= zdKsUd**`joq+kpsW6r<`L-T$ofV5*Y0!p(~`~07nD@U+x_+sb2S^9-oLzlFsZ|U1F z;y^ASe=Mhy>$`$sKAJ_7+908b(V2JC@-Y?H_$FXbG6ONgt1x7#_~gs%_pCweH(4c3lb31L!;^<+-oY6ye5OA0pYWxu7M`fhr^A~77$GKC z#Beg+?4?z3dZ(fLIh=(l@BJV`>vd!u;rohk&@g_YlJ^ z!N(yHIfb7IZvh@j6Z;pLRdaz+Ilt~ykV_Pl_RV4Yi2WA$hMI2FQV5xsMO&y{Uy0v& zpw(mG`?_ibk2HJdWLKrOdj{tTs;(^&2pje;ZkSoJjjG@Id4YmSs}|opK24(R;OZY= z*7y~J9XtyzeZP-QCaCWp8VvzqS&gi`@{cP>I6zX?)vtX(5-6KfOLs@U9rgdks`a#e zfiAcZgG8(`$=r!FKlDxhr5L!A@hxxhakHAT zWiv)FEMXA|DQRs(Xgos@P2*MQRiVi$)XicFhas7n!y%=k5eylUIYRDYNbHHowuDUC z&;NkM*U-+cm5$dDkO-&)dlNDO!?jjQad7OX>R}w)(0*9h`kNK zVX#3s3|z|{KYSP+8^1=3P}Ma;?TFxukkk=sn}MA};zWom{-*ht&JnU+Gq0jRGDm1$ z)>RlJbA&@G#{d|N1438eUyVD6z{@{m6|$d0FR33RfFq=Mq`HgV_36#_ECf}zPmpvgiJ2N?!^5}pl%MHsLZ5FTFxz$j3_$%mJJ$_7 z|2WbSs1-VI5)yPM*i``UvZl3RdKKSILCY#6K@ znx_IWD&a6#)hY~z{!c6<^mm6~By`@;JEhh(g!1u^?(tgrxC~_yBu;^6^FJLH@H`9swrv^NXR&l+`y7s2`HsLds)k6I?{q6Jj8Q$Kw#;|0e(eF+BwN z$^Rh!xw*N8rPVK|mk7jv&G=DX#b94cJ+ZLy@Svd8SJBAOgAUppk$u2tyL!b6gW0WK ziNm@zAZ6w&q17vTB*-_%3~m13=a<;fzOT3bzgqYsY<+J3d18FLf?SVP+pY_btTBG) zTTL3JrB~mLwvx`5U>$j7zH+o{jQ7B6gIIkZ`637D#d77<90gj1(li90K*HsS4iVg0 z{*mPL>fq?=t?nLfIcaR4q23QhC1`8(j9}g1V#DRvmr6pu(+~FzIReS3ZHVkWBg%!t zIyCir3l54yrX$QwrbyD;bMC(&7Sm6u68IyA)PP9-kS{M*D&HES{-o9ymkACdyoW+MkF|s}9 zh4pd9J1RK6ZI^bSK1q4ijO|uhabEIJgn93D21_aV3{kc-e#C%Yu64vPcvf*%DL$!L zZu=}s5BLvz}-e!XSX$%5T& zHF}BDS_oi1A>F?%X@2U!YPLy={89K{rM*q1~G|uLkVPx(0Wlv-5I8$XrDYERqHNYbIi~r z1{DQ1J8Zj&0*5UTzvr7Ao=E8F;)dzm6<{7p>X5z3cn(U>uBt_|7XlVd1V5dXL^z3t z@{W7nHrq2=rG*)=Y zO}kRh7T@m(sYM4H2yVnlN6v)@=Mv*tKX>*N1t&Hh3c`(6*1lqTs1Zp5FmA?EQ!(xf zJkQ~l9~`s=B;XeDq(kAFmez1tk~d4$L_h7Gk8?8hp8kBg?D$bnLM5=H{W3r_oiKPJ zG8rGAPxo%}99@8nV{~Q3EQeyU_We3wcZqoO)K;tZRc27wBZeGK&Z;eABrLWIlR|F-hg<+|ZLp1ld$G>GbYZuup}ki?!XW_g=OE z@U#9Wp~qYg_QkO9BaWBkvUTiyBEsEzm=`(?SMBw7K=XkYjr|WIuw(^B5%&?(UIH>|QIjDdUt#=L=k$z>Z-{dB>WM*1w8OS?(bs|bJI1CoV7 z_%mw!dnCBXR+!p#I8#SuropAwwWNJzh2*!Zrwu+7{qONhNHbq8cKvVp|D~D#|A@_O z`3GU5*q1J!+sXyKxB_e)!OtexWK-lRY8d=D zuxyxzb`*>iEq8ux5m83uTV8-McaKr-f@VUJM!%!Zhp}jDG!=1qvkF|_?|@H&&wW;a zOM@*pncxOWb{FvtH#7Sn&8X-gl-lY0M}sL|iOicspti%$s`5=iUt3MYjo$R;^Q!O5 zahvlZqo@X0XPI$@Vn9&CjcOrUK5XRbfDP&-(V(>xt&)|j@J~;;N`0dOkwb&f0!fzP zZS$eS)2;&coi+ZA!f`gHcp?7u0#V5l0&x(TCDlJNm(XvE6me|e&d(NUl~Fps_j}s9 z-4A`j&?p_;-s`k{`vB|dL&3O6_4Bf!>HWUSR@}A$rZ2yZ?IebZK(S^UUsp+!s+1Fa zpX9};PYaWsAR5U&)lb8_agyy~IpEe?125Rd4&`FpN#h%A^YgKnGcTIh(0OV|bK47E z^65@pfFhKIE(uMv2xA?>)a8CVrb4o*@Vf?5=^f*B{O*+*OJ<{-fgClP&;?jJ1y>`Ilfbtq12Y5Zbk=JALOP&L@k8-u z$JY7bn;|RkxP%!wqt1%DI3^*hj^dYhjQ;WvWx3r9IXOJSDm=UysN*~6;B?+EPYYwy z6k-TJ`OwS}fy~2^^{T$y!jJ_M8Ekx1I#CNp+jGWS&7e+kvDCz&D^G+ z2|7FC3=86Asp|NjO$1E=YT~!O` z*K7OO`X=4W8^^!#@A`u`6W?%IADK8O7JMd=(~nADA)|X>>-yuSs&z_1KZ(31VEMfB zmX^MaEpJTOZvK!-NL12%efx1#+dLV=1IdjQJ>aZm*w}iipzTwLMIf(cWai%pmE`~@ z7x$pJWhzEK1&}{Bg^71l%gM{{?I^yyo~6^vHmJVmN!j3@rE_A<*fD7BLBm$B8`!^m z;?nkJARtiXv7HNP23rN!lcGx`_B%b`YzSvpFJLW6Z9|DIzpnidOH5wh$kf>@xwyK0 ze&5OyB#(1SJkJ^UdWj_>DfigIF)h2ap>t&u83UJuh392(6=<2?LcJxft{3{Iq;rgr zNkUG~wD#ljZxSlI{+$C#T1jwMwS4P*WbyOvAkdoG(j^;Yc|Slj)hA z8(4eAe~SY)VGk^fD4In~mF-jXj`g68EmFcVcxNMWveJZd~%nd;^7U36Au`FuFJJ zJEaD|W`?&Z@^1S)srCE~4ZEM2q~si8^LR?s_!p(U7M=;s07FnBcY#(?@qx*g3kFf$ z;6n^70jIhF7&5t{Q85-HKd`iQ9>x>Ya`z8u8~Cu!^Q?bwACrd5dQ#DolZqu}7ahcr zL@aO0c|FZ79^ijHtYYeu_Csd0Y18Vab6zL4WPBM|*x5fvWioS0$l2XL!lePvtjpl6 zTn1)dA(@NcP;V%LN4mDDxpRv|>`>1uji{PaRC3iO+mpF7YLDo57mU2mKq!2EO+<*6 z7k&I|q|qr`^FFGIaa>I!zEwu&vDi2NV8BvP_2CzW3#vus4xzliTHY7E#HNqaNLaZ1 zV)7xWKS==vt^vceDWCBVFO;g{%&e1az5(ZT)5;7J^xrde@-8F~eN%&`;)vA;> z)RgkT{$*}u{rqV{*AF%>A*{8{fTJo=2osC(mV#>c@CKHJb#3D`4iU{7DvqpLLVi18 z4ya@il&XK%GrGEaOhV6SY3JydKC$*2jrF00twT`81``mIyrblM>*MD|cHy1wd zckVgwIgWA1xZ{p9$HUqPkK0vy@3nsOAD?_Ze}te+Rr*HfutW`VxPzX>H~{8rJyZ(O z9o^~zN)+76#*M)#EjaGc`S=u^vF*e27ra41O*<_ElZB0E&&Vkz&PC>H!cvc|gWsfN zl&RbKrgpD^me11|3>?DhCiP>#9#1@aF?NISr9-vvhvRcBOzK}5Bri2zYNanEvp#G#*iM6#)9{?*SA`@JvPg(TF`)tb!9)1h zH4r|ki7-K}X%y100vC_{fwlP=wLKjfPTBGnf+ze*mK*{rzD}%`2p*CID{D znH&<<)SM|m-#|llRBU1K8K*;h*;Q2-Rj?HsS^HY}+~^QdLeU@+7wL&YHKrhnNJIh( z^`FR2=KDFub1YeHclf=RfJh*zBv?iJQ6T(B_-nzrQ;yY@e-N!S!liWs%;sX~|bS%VEM zuyJvzb#g92x#^*z>rozXT|ME`0+=Fs1}vd26bdF^2Ly(`LA=_KRYHq4#FRuO$Usz+ z6_toF8?MFICa9Q%e}57_PEDgwm{2e|J=283{YNkfC6jt6BDm4Z%LuH59&M4{;UBqI}=U*UtcyPlu*M7o!JPdghLAMU(7R9*@OZR(jtgf$qZu3eMPl5piv8OVXkgul#!tAO~@p(r%J0B&DV5llzu zL(t^l*-aSYJtsx1=aWbvE2235!y_SfL`28v81OkTk#h?R@@HgDpb2#mnH0c-TS!dG z$pd2$;Op*>(in+jHFPC}akEffjEIZj3HNOJ6dp^Pvy@ERv!)-r=^4Z)rw!Nge6}k4XdUu#e>D%^(MH6dF7EMG9$*U@gqXGKywKK88Ta zHN#9G?QHCMI^Z2w&yh=)Pg8JdLtiDVvlwHWDI~1L5dXmuD90$^*mbOFV9l%WW4LQ@ z`Iv`qLKi%rSV@OyL{cGUm1*i z=&FzO8~SAwpJH-QIqjhG;w4IK{=M(=X2G%rc=8Syn;9jCnNEn0(BJrx;hE)-WgBEK zMjqO$PBcVgX-z-^$Q#}s2glnssQwn%d2?%sC1)pX9}s>kd7O%O;J+Pt0eEW%+<^0% ztD)tLeWa>SKmq{d_#DyngFNNUKDj!LKKTq@798qwXyQ{XL_v;(=L*D;fV4AWNwmlLHMW-@-R)6KgT@V)$`uts% z8yDx2OcAyb`H#_+(#v0(vUAgU*f}%Wf^Px!KFw-5(Frz{ayqeyMCo!uQK^cz`p))6 zZ0nb{DE-L6RK0V*d>}Tj%+L2Hyo*e$1sUj-5089@Ny-H-UX8!K2Jm;xS>*XKA5Z0~ zmC9mD@|3%!++x%)&F}v*EqOvh+X=)Q>kqXzMidCqJHry0DB~RZDfvjg60|-tRxhoH znr8&xmst`In0$Kq5=ZYDjT+5qdk+;xJr61q*zhHK<;7VH3T}cVF;aW(ERvxM&FJPS zL7(!|DVs8%UijG<;4(@$3g&`V{o|*<-#j*MA$+xYHo+6r^vy-R089YVQ2?(No!H*fjM4xAV+xyAWIog!guO6eWi6mqr;lN*Y>yc;0 zX<~ObAxZVpReaiAY^tyA^ug)Qy>#ww)ng!ftb^0>*QFHSZ6S2gpO&Tc0VB;;cb%Gq zVT%hsI;AD3SYS7tnmH$ny^&Pdp$rRg1M)W{N>UQ2K1qojZzz(|`p&LW^|wzjo)9XA0UPwqJN`)0M1bVm3{&k;__Ge37*;5{*`_L7}4`r`U#3*-1gt- zCxD;5f2E(u&Z|0wkP;iYR)M(kC9?2B7T(ChXFB~qeR4v2nIRh)Mp>6sEapBgokYR) zluJ(4v8nQ-Z~UbEyN^aPnt;A7x}|P$-X`;p0JEHwrIB(9ivSl>*i*MeA2KwZAP>xc;m9#e(1^9H$+|E>*N^LPd?bt zP{wUeiJr_~2a8H8j};zvz5Qi3Y~G$nP_7hyZ~FIS+y_6kj|^KH)_LIEJn0(~N#+L% z+8WwFZK7^$+FjV}sazKcABD5lh-r6+K~9Qfk;v z(CV6E0u+@hr4OfVx;=GXMBZ@ynW>JUy4*RC$}}3&mKV#(9S4*`-cq{UN-UwAFdooN z*|eRVCND7&UpN}F&H37K>Yoc{mSn7$!t95++^LJNkVP2gPcwa8=xTkC`f#+j7n}AS za4F5ZQH;J5gG1w{3MNJ;^j;nL@VJ5K7hogaK2jOHoPEtBopY+Y$vS*G z!{26eL920-N!Vb`R{~TsA9;NzKP>-lpf_{D+g<&*b6je{y6;q<^^~`i!<~LHD zYjJO0lD&Di(gqSrdB(oKqYdRHm+OVpIl%j5qSWpYYi!N{S`a45ga|Ou6C#yT<;Ptn zVk0qfpE?LQ_@MadN6cJaa7rT%@OTLv`spvxm*1+W>#l1is7yFOuei+`)|r&2FXLmU ztNu#}{CL%VsL@Td=|p3`Mvq2x-9n}R*L)Kf{A4X(Y%`0`18=H*Q|j+o`{j01y=}sg z{ZM1bv3*pNU`oIska(IH35!J%-p;{#oK}JR$E$@A+px>BU&2j9me{q@Lw6 zxQu~?wN}P+b#~J-8qqQIm_;18*}CfUQotTic)lSv5^Z3@!xoZzNsj$=n0m=APsmT? zx~cr-u1gm?0K*$fgA-NzVr??|TcqTHg+}Ov0aov>^qToQ9{6U4wSYvk8v{@Kwk`xX zaJ^%D`0BHf-rK0fCF(*8K>A~hDHdT!uIfN(P@`w{^ZKB0}iqHw&gCsqG@ z@VK*RtK z2rs`-tlPdk@pz}Ea39tvX~?~Bb*Y#yCw@!$=0gbUaZ~7;sNwH@Gp<3jp({7^fPKx} z&&y(Z@o7DibS%nh`WA^5Q1rBhO(dyYH+sa%58kwNPj1ri$KKf1&^5GsNp_hzlvKxp z0u|KwASh|xrJ-) z(6{*$bQ*QT?D|czx7FP<_%uA?>d(CrK}N~IFezZZBCDe78kSKuK+LY$+%seyJT zf4_PtZdKCtZ3&<3j>YrgDGPrPP*nQ9WoDD$0*lzal=SSrBXnZA2Yz)^2S-$FBKIa% z!4_6Cmx!c#r`InuR=cuKoIL*1IL$bM6NlA~C+7NSv_OedIB;e~`1Ky8dG_q~J~f{K z9ovT8AeIiAMVx#Q*;DYQetPqq=ln4}kAQfBmXTF*7VcebBbVaSa~kH6wO!u<#>6Uy zybpP6c(_7cuEo zopcfkN~b(xhPFRA4Q%2!biaJ{8s^ynHeTiyt9+98bByW=e?Rfu4?&ledlH0e@H(#R z2Xo@^5`n>a>If8&9sxpPcY z$HXp{(kV;mH?Igh&a^KdPt`byoLboA&BgLg#L(2{duP218NvM+c^Oryr$ zz~LyTeud(;a@Z~nqkBk3#lY{YxAfCXmLaazFi%b^01IeX6jZF&l(o$qlFDkD$B*!@ z@T&);)-7Gu^GW<6dJ9+vMc2G1S2RxjK_=?viK`zz&;~5M;Gs4#=nV4Y5E2Bz0c&mItS@;n_~+r}5T^-$+UTJtFz zr{If_xvguk2Rt}5>I2Wqx6Ma1a;gut?cbGG!yX`E!{ZAVD!PnfKLM_q76YDWOk4}} zpiXQ}4;p-(42=v5N4ND_Rb%TcaX-*aK^>8VbBROvwxX(5RLjKAVO|Z_;xno`@0(>2XX3RN$!|VCj7k#r1Iv7Ow)u_U({DNUE&mq2 zJcdE0PCPsv)wHhl)Z?e{oh-m$n9(9;6kgXpxru&F&-`WA`76?L+Ww*8@g+1oCK2tR zb4btebxBx-K0yGjiNM|091-B2MUNQEc*)X#W zUs}QEmwX{>ejx-sY8JdL>Haj#qWPY{`Q247|5P*rTEi3i;MnZ02V3eo?rVjhD=6GN zjP9_l@F{)7CoTJ`(I)}NE(H(g(OJW)xeJtGm?S_KT^+BFN}Nf@ z>)K+6_U25{FBX}2NgVVl`!QS(myk~v7;c{YWJ}8Hc$!M^0ppEG03(;64jCP*z?~Wc zPbiy&Uq%SSGlt)oc%FqsB3SZ|bn+?Gt057YcFu?+I}+*6rj0@d)K0?wY$PcX*7P(X z5s_y;>^6h}wL_4D0LhL{Rc@_kTOHQEbQ$t~5c_BwSGlCXs>YCE-Atdw6+aCMG{D>VlF%Sfz>- z*Fe4GnJ*g&iG-3#1QO{RKmg|pNhAqmkq|^eV>TQ}I%HswCkhqpiK0CdOa75dB8K#c z2q-P6MwF5;AF^H#MGF}u;>&J?deJt>Ub}j}&M!dph@?aQSW5Ym4vAWUPX_rTYHb>Y zq(j1is3nn%$TJZ66RJjc5Fh$)Qc@}!m_LPtME=XJ4LfX*+8#zG7S7v$+H0`SLLwEF z;FF=0k%bD}ue5jWKmv*2M>wE6{egz2-C2LOD;$tNQbV<*J51pB^n!IgK0f}hGjq-Y zBJ&GUU_hiN3RMUni;&Cy;uI9t9{>sWEHrK-YDq*dSy6#(dF}8Qm=ZaO=p<*LNRkZ7 zBw=s(Jmiim|MbuQM?55aR+1*Dkc5w9@I-(TNhp$pKcIxC02Q*8bg0>2xDUt@5!pe_=TgicINO;7K@ z&V;k{18Ct!bjgS?8T=znhK*Q^T-;C~c?KX6WioQU2?z)PSV|8E3_U=291uB*uDbY3&#uVR()Nc@f1yiDyzuYe(0|PfNdc49d~=_Ph%L z#PUZ+ClEU`v$C>raPtTX!!mdc4di5gq^+&*f6hN3C^$4UG%THt44#TDot@oFOUo;3 zYa2gz5v$&l7EGiF$$q*X(UiibAn)tb6KK#`cZz{n>p~D~9S>ry!}#CY8FadP>Z*j? znO6T-1R%N%)Z$gK6NF=ieM4C#lRTbZ@gBVtH5;t+TsC&a+r-}$9n82DbMuv(qukaz zQe?3dLbcojA_3jr!KJOI?yTH{Z9v(cppv|1-Tr~Zw?Kx7^BvJXag{F=vbP4GJ$m#q z@hL5s2zeJcaEO}vK_lS%HB>1n%h8ta>-kSYFC`}Zbp#8Zfy`+6!tYo&reld*$6iM? zDGYdCX^%~i&c}(PMen)Oo2V) zx=BlNG@W=>@G#3Wi%!mBHCZ}>Ah-7umGCzmw4#tSg?r@V$}NS>t6+8AHP-M*)66ES zf9!-u^bz|Pb&c0s4_~d8msM4~HE{#Xl%zN#Zx^+-AAR~Sen zxMXDLC5T_7%!)7ZQG%@I>rX4yAI;&a>=meV@lWqD@ytRT$GJzk)h zWfK4Cs^ynlkrRx*1pZ`qUNpNwCOr@0nKj`tFR^Dphqa=M&Pg$6{oz2%XWG{+0`Z)e z#5p>!KQdq#>R_^T*0tPQZ)RPj)@> z`u>u*d>cx!_Yde@-?jbz7EQkY+~8)7+T9i~IU7SfMwzi#y@BgJfSuH(p0x7Fa>V9( z|Fm4KC)O=GusCh|N&dJs;gF)jvGaQZ>4Bl3uB4?wTt@#r%{sJflM zW$yU>5>qwQ@};Jvd#bXJtIs*AAHD-Eg?N#}=h*_2)+c+u;qd4YyqvMWYV7x-VN$0} zYcFjYR5oz6{$d&IOzh*mOvS#4g7de8#49;=(+>RyU%{>te`mS&`D{~*Vsq=X z)w?uS)az!ZKMFoDmx|P<@5+DxnhE1)n70K(Skhwe^776*&6SVMsnxNczOPiZqHECx z{zKDUwogKo7@}(WmY)RN@EAI_Bzl*{-T4uX_S8%C16c2jkqx6$9dMHRs>%3BB*Tu@ z2`AX?xL~C!ebdzSm>0P2)6z?S+r*>w^|J47UQD(b_sz&b|Cpwm^bE}>%6$w_n8n}h z`S3b+LvTPbK6!>DF}wR{s@#;Vok$)&uGkv-La-yv2kvM@FetU(*jWj`~o}n4#zi! zJiR#NJ5={DTqlK1F}5VvZ@CS$VtE0@p{mvV7gALw=2bfr!FZ8cRC?y`z888?sZ74u zXCW~Gm@B@;Y{W%_%S$)szi~epld|?Cx+W`no0eP696NkcgZ5kZ7cPBVGu!(^Hi5I} z!^<2--aoseBH54Wc^m8Qzai0of;Rs@H=~nL{sE%DAsCf_lXZWkiQp^)+Ua96>z~|p}$d8psWI03;#k@0lb9xD^&%-F#Y>)RP`Sq>N715^TZJX z>W3`+k;N-y@p>9wIs8AS5+M*hjeT{uHeYlW|7YBX)RH@t<+N@kNymqUh2Mlt&VRJb z2lT{KspQXeSg20>MArljQg2XE&0efI^eoihNGx2AO9OG##xuDJ?Y#sIUYB=@omUf{ ztBoX;MvyB$ItZTBHz)(IxJ;6iGA&j#nk+Q7Ui;Ekx!?)$eV%gX$c~_18QUBO^Vl82 zmOn)adJ?n+j?Ik&3&u#TR>-Sn*0*AUO%j8!z`S$F#jg`7^BQ;pYYwvWC+{irA5D_3 zKlLlmCwhX%k_61O=Dto+NjU#(?>T?p`Oe!*5k9jsrr-S8=6>3!<7syQHLB%Q4z5st z5%aq^e?F8>C4JMaQcuUwQ4mli~Y6|qZj{lJo;{2i_y zw#>Vf%lgw zoffi4Gh>LV*eChWKjqMi(cY+UPkN(GlT|=aKnmKN+q%W%r?8~>ri*sp4W)h@V{I^1 z7+&yiHdd;iQFsglf2C;%+Fw2FWz=`1y>={RHFd3QKKcsIRh#dXMzvnbfJ-6nx7e|K z%J&oeTxB~Bi=nyuh1D0zid#4Vr#s`TP$0`YoGc!0957gGz4uE|&8$3qFgc4(081&x z{8JOtGX}u6{w*@*f_}lrgRv_?@v3nb`v5!+h-M2Yi=REH_pXc$2 zX@r}>Vw-2E?#CO;Clqd3pUsyv010;A+e`0HM20>bPSZ$BiLz%bWh=b@@II8*)=MzKdUSAOIUWnmToY>@mY1*Ww7XZdo>Zw<-wcs zr0^~=v)%pZS6Y)#hu2nHqFpJS(eWbnB=?aR+cg6I$g;Sc{voQ*!EL83}INf*SJSO*lS=oh$;xYlJ z!z+^ZeA9WS{#S)g{ko&4AdzKO@!n_d3(wsaf$0f83GXhxdsq{;*OdJHBO&jth$1Wr zO^?(oHqFOa3!?q;fJjxlG|R@Zm1;$!E}}ScMGR2~JvXs6Vs~=wz9fw4OU(4Z0h7q?*_z%(7=f zi6*W|<%8eqR!s^9B#Jjm^KOQ-=7KsmK7IR`TZ%+Cb9J8fV04f_tRfKnaDo>3;`t!S zy*3!EXiv;Yh_+c$y2I{ay&5Fk_^gP(sMHHZ+;ShoBEGU6T(aNpJ1pkzI(sxTm-7ToMnwk;%aeTfrp88o*}J?BAFKKkS0oDHR}1-E>!bUn;h z(zYpVEjh{Bk8MPMy^%7tv*_X(YsaMzsuc-35U7Q~P}YzU^w zxIxORc{>N4#EDh#S!j~`ul$d=S6TMyPpNK?<%b$Fn zx=opoO4V~t==cybIvic(kx2S|K@s?|`ZW9KwjH^bwQ68j{pi0Ob+d47^v?Aza%_E} zFJ6}58%aB!MaOF#Yszc3mt_{0AB1B*TcpL{=H=#Z9D545fNa(7I{Lwrf=ZxBweMF> zM4BSYdXMT=D^mum`TXeZJK%xK!H;bpOXUtylpt0MozOuPg|Ntva?T0cLTp5)-}uxMb*%&oNMY`tb2r`5Ckbyt}TFY>mAus-^vQ zYUL}KbdYrcDEEhb9nzuhxt3n>*+7|(jMC&i5ohk5K4H1$k!>r*EMVQS#O)B!{b}7I zmDR#OzFTuwjhU%!_2A3)`vFQ1oh=}$m{s^m5&yG6kvDeZZ=JZf8#SF>nx+YQ z-Z%5FL>U8)j#79u17)!tN$|72i-xesT?;+88hL8Ky^4u^_Qm(i?T4jxcNKw;4 z-ht1>#jSL3QN&QZ@5O|c9nKg zaY0#~oHgXA_AW@>W!hPn5M)(ZP$QRDP*hgd4-*B)zDz(N+?f&n96$=#iAaPXOAvfz*s6mUhc%0KMzU2y{hMpIzNZ0}o`YLu0#0&(0BwnT7nU>YMEflyD*%P6U)f zRGQFnP7?u0`hNbdF7P@yBqBPxzW#kP(zU(3vI={~&wSmRzlb4=ybP3-U?}9>yO1tw zxpkZh}G7yNCoYvL`6F|E^4#KpN-93aP|Neap5Q!lgIu$Eo zvWKi#;K7-RURzs7S6|=I{B-~bfG&A>Lx6;6Auf108etLu+_fE@Ju7Qlu*8TGA+rci zgC_`*qREUXK$Vr15&R1G4N!-{{%&q?{{$5lm6TS(E&{02{0EXkGQ4mOiS69jK2Q3R z5KvJgP2M*prI1=2m{AE8rHa;&ZbF{vnF6(x;9nI}$hiT1?IpeagM-7+z&(m&ctMRW zI)su>0DuKixcFq0T>Qw6fy!beQ56oDd|-|=?N|Y8Yg_1`{9-&QJceQG?++P(YVJ7 z@!T%lek?3p_(DTmhr+@hX+TUu!raoz2APleqmPEB`P8f)IMdME3zN+b$dL92IABsI z3LSYZa9`!+_jnJ^0$bs{fQ}BL9W{gJK`?Sxn2ekV_3%|ecLw>9`dQ>`Xk9(Kw>?2} z&@P=-ML=|nNP}5fS=o_WQfP8~>;?;OJ>a{9FEYdMDkzz(q^#@%@-6_6wybPgCQKB~ z%GMt_kBx(a_sG!rpFXaR4*fD}Hsp1R91A!P0dG)|e+|wS$g8M4aU)VIEJBpQXEpJp zq%PC^NFbUZVlxy$Y=)wU&5#SR8A>Ax9tlLja}81Oz~6eq#i=yzsVMph*X?5&wKWkpyol{YCku!FwuO}}+#BHz~3%;-2~+*)U1IJOP=_eD*UScR*nSWGRkwLX`CAEzY?~mpBGxnc?0iX ziM&Q))6V_m4j#_0?80rHuZaYczrG%+BA!A=p7jQtkeL|9H#4Eh$aRY1HGAIW#=*8eDo~ub@Y1H0 z{&9uHyOVvh!Rm}}=!&DN^v0#s)pw@$td)RyAmb|%xyA;xE!A`SG{hr6Soqzv=@Lk5 z<4@Jwl3)z$!$bAxIb$uJq<5(;as5I}X_Sebs*2jPMcjNUp>eD~Kr2&seEI<>xUIRT zvUR~lkoPpInSj1l>Bf(A>jK?QYM{6hwRd#mCgy?)DXWxr_S*YbY**IrW9m~GG!S+~ zPthYrOAQjT-mFyWR8J#7!i68))-7cw8Hu6c=@L*hPse`#s0C|-fT5mU#dt<5aQ$&nn-%u2bQDzU-?F`_e#`UGqiyYT~x#&9cdk08G&;17S$*VXG4}A{6E!2x)$17fEjB;Fh-6ZIJLULouC7?o`eaOP&T8k!Xwk7` z5mL;FQ)9P@Kt*4>p4Cshl*uPaV9W6+{Zm^%OSG7~(_QXnIbZGbxgb@mIM4e~&$u9$ z12_5FmLu!#iJ`X4i2ujjp~Uye}{YkGBI)nONyXZH_vX!QiDp^TlwCZ>yn|;zm?P z>8lTRDX?Y#e?!6& zlz)&gD}0oI7ZZQwsUYC&Ssr3Oag zcBkx~PJ?8kXX<>Wbx*s{eT~wqi?gI?PT`78ve6u_eIQZfUT~N z9pY+T8aUqR&v28lmGz$(@Ll*EdaKXh9QD?sNE$q7P10IE4vr>ae;1wUw86dF%bd^&4Di6tUdVATZINb=*j z=C;FK|5Eq$gu2@PT065)OtC8V5o-CBeqbAiTNRO|CW_VE&oM&S`#m>)bBWpar!;(F}i`3v<*-|AI9o=+jTe&SMmNnegJo;Ge@($ z*%QUZt1EVnLVfTP;ZmcbBR_A$*U^2+s*7Mp^?}Radk%k#o(-KK{B9Gk8kwf^uU*n8 zJs)18S>2KcQ|UgfM?+X1{b~cD7HVdd3CCX(XL@Hxds$_qqYR%2f;QQw!+Gx%nKGR% zA5L%eUZiX2bK+5~ixsL^c_~~?_8Qb;pm`@#3DO&nJR7RiSCFT!B^>tAy33KOyru20 z)cOhVj@`s*+Mgg56Z<^m9=86(RKKfWD#GaFPU6vte8iIlz~w*C6J9}3HKse~z{!ps zl)w2Za&R&294j;19lV^vAV4iL_tv!2=%Wo)?)S6?pAyn5xXz>&11|&De`N|su_l7U zNaHztX$#sBfh?VJ%d+^+&?RyMB|K&(Mf|H6TW%JBd5Qu{Gn{cn^Wl}5i=-((_;#Iq zFzuwx`X#NUuHTIa23fbu83dGi9xpHa3NG3*%NH5tuhA6dn)+=m#=urfjsZBFjyKBP zV=I_OZxjcPMGX`vH#2rl`Q9mFc3Q0mzF)w+|7^}=1Rj&f_lKc^muYJDc(a8fY0-3c z99Bcx%I!NrDl?`=X_L4}esu22)r`g8%RIjZ_9hUk)@800*v)l-HZm`W&9E- zBc-)3Ol6jrDk#|&$ZuZCYmNFTKnDCBnIlSM1;it+8o4--h4LKUkl+!LZPW1*Ne{MN3vQeoy^x;^2A3 z{OCh{54zIk_`TP&*J0ttHL!gv*663Fgw)Quo$O=x<=moWCB{7HnMD(I4a05iIbBtGnsYTK^9`U$*K<%SKHx7F z+9T!U8gYB+7SCEH<`hcrkmFeVoevKf2PW6y(+sWN!gyWETJ+p4_sg>G(ehRy$2u;H zgX+Q_Xt8!0o9sD`z}CpCTuf@|kqsHTgU&~O#UVc|X47??0JJv`{+93vN_CjZxuC{D zSDLXdeE;nEe*~H z`IH3nzu$7oFB)@I^IfnlIp%ov%-K~F&*@D<>sT1r13>AaFEP)+_<2Exh3y;9kI&_h zc=!fYol|R#K?yriKL(yr*L2rPPhyV=lJx+Hvz=C~gR)PD`!HGLv+)NtG6t+3RHKCS?8(sEjt zx8xD%8rsWy1VZA*B@$`|NEq#3Qa#wZ{m|46C%B|?wtm_F$n|YM&jdh)Nw!EHFg3rnG1~e`ei#UJxCCa0P zfg72GPfs)@TLq0MIWlNjY6gtTrZ4xu;m~hge^E7x21tiwhIAJ#i@pUZ(Y}8b-FB6n zn`lrM?YYvkyrcZG<_@6n4YR)7%b<_(!CF~f97loBER$aEaz%lnivQSIb zVL6#~BZ1;-R1?+n_R($Ee7jKY(emFbs{97aKoHBI+1|>nKc7r1VM3&Di7SL}2W3w7 z>EQgu*Nc~hltI$x#532gW;LCV)lyvq84H(&*Ur_aT;*Pb0ck$UUs~toR3fK#X$3Tn z2<&imfma=-E@~4^`GJ7jfR+Ky`~-iKbHweeePyRaybCu{@_{LuO&8-6=iZC2`l?Ht z*{_*7yyBD$%`R?!9hP`i*MbE|jEk5YG@@_?OtiZil6JlZ?~0Tx5V72SuuPg*u>dQk zK3y<~e*Z|+Jd$(JDr;*hV}xZ#$i$t5{=aAg0Au-m#%nrb6oX>RKtywDOG|wwJX6FHusa(OEfDO!sZ#z~IK|1rg<%=Ddkr+8*2oQB1)5>rYkF z`E@zSFk!$WpoHl5AN?W3RL{EyhldeJ385TR{0R$-fXFjFEF_Vz!V?l$$eWIjHKFKK z=dAZguMQxJ(MAXs;fu^2lH@a#>AI1qLYWxLGGo=|TJ6{o&Ptq&rNJyXU?%R&kc;MOT* z!^qBjBzF=QA0M9p5=#pU3j|KWG|SHw*KK5Pkj}dhuVt*wC@@aWFms2Tc7uW3%)4f2xFFWT%*z z1Z1&t<^Uo@D;sFVOH4#qa!M-XuvuB3{*jLk4F9G&(`3S&gnu<;p&^mA=Qug_(tv<~ zkdXM9xcJP24q+@Lu@-QpBhFOQ(YP^H4KQ970f`VAww}cm^z`o>9MU7{0!ZH!gnwMz z!e{078o<-r*U!)YOqz_qz{r~SO&|UUj1iIN$_jw@LujU~PXlGSH*ej7$HLO; z*)st7yrYv#YHE6BW_C7mdxkjpA&-S98twsf3;<6`cuNHblG1x7g+0^2ii*LL!@$@$ z6oiH&MP8ASNN`(xQfd=Y)dlf<|K{e-E`;+31c!%*$l1aICn-4Z>(}b-0wm$~Uy^M@ z6F)z{*N`ArK?yP(i2ND@DzNJfq(NhQ7n1V;1+QmG4m40#jOeE!m<9AqOmd3Eidv5> z9i5zCxVn3Id#9Fu`J%rEzCj{CJG+hx+v_h0-#`w%IHE(oc{V`KcNS9FOZTk z+Zy%z48r_1y1!>rX|LRDCp~dHTt~L_`A)&Jj0wXxi(60HL zFqml(9h1=11gC0RS~1V^I#N&o3G4VXZ`0k|3-4oDd4AsKuynv47(F>RF@rU?OoUD$Gx!1LX5nh*wRMV2B+6N;< zi+wd{fz#R;m+rlNnrc!l<`L}c6j)$p|8{j!P`L)2hz`tGzk5mec+Lt=5>$F){am?`7A@$c`r ze17Y`$Lx{dVePTql0M@Gzxz}J>8n6xk&A28a4Oxvt%){k0Rx&70WI1Gkr*izAM_t$ z=^mBm(YUHJ?;fYQ`oEi_=$C(e2)-@*o0%1gF3C>XruhM*Z?>98n6r)8cT=&!%2n{& z9k{jTX7J%e&L|B_iw-+w4QlniZ;()WUnkF^)^ibUIuYC)7N~92!RWNkdA_s%KsSm^ zT68vLkI7Y&ytr%WM^h`%3vYGK;QqYDR7tO{WAh+Qvyhc=XjHZ#i6<}KKe^l-1OSHy z>WWs0^NS~$7R(86PfWkGCC8iE?Z`2FFt~Y50HlO;d;4thQZpx`(nkdE67{PX(KW5R zyx8F!c^ZrTDHD7W-B&O*497|&K6ZG!AAS>~l68Ey2xIM9k@ChfmWqp@luP!Bd+($3 zq7DrdVOw&WoIlL_;y5x-`Cd11&S>CV1sykw`*gm@v*CZ&ye+Ky+0;f~jM$3mDh5+c z(s$YS@-YCzzjG3$vD-cGx0~x%^iql4&I{GS7p1frs8&0?-^d26@q_85o{2UqN_8YF zh|{-FZa@28^#feY9%*)5)%v{&nnfPy={imunDPs2ENop4sxGdnjctNmHi---?Uu zgpLL+osF6vikHHSIeQkLT&rftY4x8kBm5lx1dMYTc}|R4DXdoi#34vmJtaUt+9lSd z`oSK3&k`-H))|m0C&^#GYIrx&Dk1Z+hdA5!N-UMDIu|T;uE`GGUbRvLt|g9k*vukV zHX45&a^eh*k|Y+(-?_!JgSnhXF|iiE^H4;!vp(Jvshv2aMicvg87dY0k30vfP8%7hHhf_1X$* z=Jq|+%R)VvD!dDQ{2yyTH}9%(Yx@CHgME#a6?UZeBzBHHbJ=`;6mo6 zUd>w6)|=aHle2XA-$uIzF5ks`9zpYNIL9`IK!#ROtwidX|5PjL^v+;I;H5;GQ;K^W z2@8~h4F$@V|BW4=iky0Np1mR3|JTVh{=3uQ1`0se=IhYJZ-IK%um_6n~D zVW84q*eifNp?_u2z#mCdLZ(dr2L=t`<>p@*H2gS*sabzv&;U-~|CK=_a*e+-=zs8W z$aF89VMTcH8)OlNEW)S9;m$fc6lZ%Kiiu|=PNI@yj5_30M-MNd%=n}}7dT!NI65Zn z)w{?l)?f&J6-pbvnD8igq_>Y3qB2Wn94b8i32oQt_XQ%1)l%gJz*^(1u^NL@wxw>u ze>sKYw!?__bUwS}hB&H!Yclyh38+*k#~H&;HFqJpjsA^%4A;>2_gK|8wG%tC8gb&8 zfO+7SB_Q}&TBw7B_BFO=3fDz;Rtm?tL%SBn6Q-}W1^gMn8S2@7Y|p*ga%@?4!I+q$ z8@~Soe^aB%jOE+KC>_cXKrGpgQoyFCj{CJ|vZ!g$7t6V7)lE*l)co0A!FH!pAI$jl zu1?@%ijF(rW(ne0-_c0E$`=vu)3IGIbHS*prU+c8FWKslth_@vX=IC!rR87aH7{^3 zK$AkT9pl;L;d3LP{ylOvcj%|5&t7nH4RcUEafV7Je~AF?%|k;jGKMWlASk7SImG=u zmPTU<^%JYS_a`&SUe=e##fUC6#3}sWA^hL9)E`-h^P;D^%X{{ z!|bBSPYJ6qHKZAEa#KS=XQq8Llak{3cN8auHo_FNbCgy~8|TFmu96VF8a+<_2oAm| zXT+g$5>89SQ*w)QoAD!AW!XdTd^WSkSUhDg`3{23s8_Y4i+(kyDKmZ>ij2$R!{yLB zS!WVzB%KS=Rbd0t0Uze?x)3=t@x5}6ryOp)NB8?Nb(Geo1NOMhqMEi0pxc#i^9ka- zSnVxd56d7EYZ{w)jd}?Qy8|o-MhqNDfs_QJ`p8~sTQIGU8&-8Vy9C+V+?LE#_XZjAVx2?vm*7{GbO^w~KUL+(yB zExKifmG4*QZY*Y(t>^TJZ-h%gZCS{5uZ6KH&uTXk3bn&Jsi*x7bd(lz> zFr%$`O=*sNcX^HGL!@4WfSyPd4k7yuX~V}nrQIT5Cjf1Dsk|I{4rSJ!UCUx5uld&s zt5>VCt^4{!I7*N9{iuL{>>9Cga&t-+eikuEtQHDZhSBkdiRQoDjoF7U3S9v|o5ggi zIC;ER(J|gHz9EqO4RkOJA1A$Y&a7S3NV)9_QtcyB`g@;YJP!^f>hQWK!^|3-Ipxbf ze`B@z+j%{89uS{yyKzI>cI)>S+>ATlZgSc&zufA1*`cBKmeJ&TA;|!cd$f~O+4Do3 z;@p6WyD)*g$tJbUS9OtqQRA*8Tu|c9BZhO`HmXwxaa2joe`)SpFC;gjUrSEckC>G zk@H0@Rcg0}nHBS8qhtQF0yTMHl;rd3eUk>!&qUd|;HvzH9Y4qV?~ECRDQ2&eGT;&LY|)$I>EwLb$$csGo8H!~ z9gC15H}~Q5RoW4ep3F~-Y|=MJ zr<4n(?~?K=SAqRMxaJM>N9^w5&pvif8brq>B)|Vie~R_IMBMbu1w}1j@A|x?cbZ>B zGU?4W6}?VOX8G`Md@|;!S)h==ZhasQf|oZ=4Y6=L$6rl&t8iT+ti!}M?4~b;v+9w{ zGI9Ky8(?wshX-&U-`6q9YyL1oX5&~#!DN65Ov!vzGPXj%DGJ1`LuRz>hi12_IQXS* zm3OS+s~K6`uWlpmm|>M=$u~?eT+sbwmO1`&Gq|n? zoDAT*G$*#jY3|_tq@jgCP)_rf`<=|TE-c_N@Jme10F4NPTzLE|5}C(q8`3mJ}4O8<}P>L%cg`p|U3D#ITj;VqacU6_&sC7s8va_u_=c0< zRDo6g1Z!&FkAv5E1B*(gF0RRDN$*wwozy3B8;@Fqj91XgJ@)w=;pIOBZoyAlkJv6s zt7wD|f$2Tgt2gchznXoT+_e6EgWjn3PZ0BsyXwxKOh(pCtrENIKAX3Em)OStn<6!LI1#?<gv1z8L&6EUlYa*VHY!XLx3pHW_3xF`wl! z_4dmxs~KCOJR?3fjmw~Z<5oyaM)nvB2cI|y&nYafZ=KpD197Rjd}i5O99ce`ym#GC z*P zoNEJ^UOCn7bx|s5{9JDLFs;1OP4BF3^0%~?v`T7*(|jU)K<2j+gR?RxLUVC=)qPWD zSIG^FS_UV7TR(jAWf3R7qyv0eSYr}U)--((Hj888lD$BKarMTd5DK4RmPmdvyG08m zUYFM_NXTeAkp_QyDA7jL1Zgg5>|0WSh!QqMSFuPy#cX8BY2$zwx^g-7U5!nw?wir2 z`R`Z15HgD0S1}9=2Nl&{hA&(-yl!nD^fa~l0_%R&+ng;Mt@oD7` z5R+1IEEhoS0%-cQzP_n*0tSj$B!zWn)f{>%rP{6HEU=RXI zbWk-yr2zaUG&Hbm5Q6|1C`QpWTIMbZqo4maTtZXUifFeb4P09%7dX+)bOawtOmDfu zx!mC6+gJqlT7t8mIJODE?D4;;Q#j?_yD0BFZnOk}AKC>TLO%$AUqJ*9S#>`B8+dsD zS@1tL0kqW;w3+q~G$ODS{FgxtY_ik{bpinh{RkY8osUl+qE6FekpL8$LZ!s9N&xL| z24lNWW=cUx#R+q${IJ#$`UKMdZMY;70A0f9@r%LfE~1}YYDDm%P5AN^`0R+ops$1k zOb;uf5{v)1gpX6<_NcD|&pq-AOIB=25=)o_#!bvhcSF$l8&5! zk`8!Z0S+^Cm}8Fp!L(4#|d$`oYZ{C283w3d=jKm@Zfo6Vtn3piyPs|WtdfLO`6XU!2#k!- zrMx^ZmfgI`@BrtfmmvL1AstHJ3{bXl_#N|gLS$XFU5BDmrqC(JV?da6tt9Hx5pH~` z^E^mo(CERwSfrwiu4C~r`ZjOqeW|M@O!%cR-!7C4kobc8aX~NQWt-pcTW%*{haM`Q zYY2`qJg2}X9%-$80%K+ZmcC0FPKa4`Z#bRKMHYmso?np+0AHq9CmVs>hz?puj5lNIlV>LyMp zOPQ94nFX^1*Q~w<1EV-wAGX3Pp2UQn=J7sN2OIx+7BL|~=M$A@6V7$H1A8VrlNFOQ zpY`(m^8P$f*ZyJ|bJt+s$!wU|+(~rj&jHBgNLE#UGV|+TYVQZ7w|X6y=YDC%x9r8kE1zR9)j5PNBG4JUpq9E|Sm znC%89D>+g-d6q(nP718w;fclR3Qf-)qvMlErwJ@=iu7p*3}wHgB&C8vm&{$}(wS>^ z2IGF+FD)reJ(uqBplLL45>%v@rWzfj=NZPlQak;_Hil|TBGJ0&c9Y430?yzn-fJ-A zZdhSpuhQTWnh>|J6Q*hWJZGme-_3AvJ9(zmwcZl^*>TZl{V{jr!X-NOM z#6>ff@n0%24)xow#H@ehGK}BO=Gc9IF~kL=y}5B4_x2tcZ^WdeJ!|}Q7!t+03d=@1qLHa)Up@L@u;y_fsC(Tai|YZxsxvG5 z@av`W(R-ZaQd)K;Y~-Rg+`#fI!(N}wRKn9De*RYV^)qU2ai27DOtD*$lSWG8NyVUP zKkREn-ST*XQvBx%|G5_TAazf3wudxoPh*IkRVoPq4Zc@fiB;1jLrz7f`bn!L>6SPp z{cE|mkzmr6?;l(30f83x&~EXNNawxs7v*e<_X66zA5?{%b*H7X!XI2CkD9U)=tU&ZyA%YmLO| zc{JLQ|TK<0}L8ynQ68=GQ;7SJGkN+$01LzI;zwtf*?`i&(djj-4 z1(VZh0S9F+#s(yog=zL=Ow|g$`dFXLCH2ysvd?PNb4W+1jAY_!LjzH*3m4a&fwC z;)w#A3G)7QGSauN1r}>t<*W6Qf5;gbi5~wK=$yUH4M%SyAEYM;ZMZ!tw#Z zfE@B<{8r#B8R-%0cn1r7)9ugQHt#54c~HvsH2*K*}VS zyeueX@i|;>l)~*Ot3O+vy7mGIzxwY!kF^->Ou!$js<3czhDl4-g`H;J*zIQ#%_eT! z2z%Sp4?hTuA60=yoz|JOdWWuY3T*+t?Crw@DKoyeuODFr9Jta&iIsc7eV!7k>|IZ7 zUC*b1lVJro>3gh0Uxiidf^JrxPNh&t0e17>Kk%=*bP3Sc6e;RTA=39BnXL*WFGRG&7YqeIz(MWlaJ0O}b)-Ck`;~D$8!Y+lLw|$@O z6vfu4hFGjDx4CZSR6Ym_G`zzl0n#*w`^yfyb>eZTW#rQ`R|OoOt!%vv9NAAT7*!+x zK?1ySG^XE$XZE9$u|DOk3jGpouKAxWrj=iQOWz9s%pZ|5 zaRmPS#8n6`?O1ysP3h^kt2+iaeP?OnrzasKZvk9Ahd}AP`sT!j+4?y>wgB?rMf{FT z_Ush{ulmb!kF-JJI^X-{GX;iG^)9%lAL-madRURgc%;c^C|V@n_D%N>Fk$X1dvKzR zpG)p&T9MkQWNwpBlns?-tPcd3&dMr;|BYHR?F<7*GPnRO& zilcdB=jUKxU!lgq5T!z_#~gF$;}lW&>iW)*h}2yiy zaQ!W~o2V~36WEl1if-JG{^ezjLk&?s8Uw)S8rAYF^!xh=Ry&;SeyKJK%5N0T zJ)(=^k!{`7WNA4?M7&}4sgrf_Ki?Hz zd3DbAMBEza3EFYHEgcr6K}A+{li;`8cd}BIDdHz;INHS(uP(Nq0>1nuzqE7?_AkU> zCD%L8Xq+k8xYy=Bb1m*(KLLZWt_Yysp>SB2-8jMc+>7~|*emS{ubB$|AYX;c!1Se- z!k5lWdn=?jS;;l@U3erI z*)!?=Dez!vQ_$EyaZ1a;?NP?cDf7^(x;18g_YZx`U{@^t>5qQRY@e)_YMR0^2bq=8*laDi9G$o6)|#Nsj~#xsRMO5yR#hNJ5- zy$)`h)g^*f)9XIxs?<&I%dXR?>^| z&b_lig>SmPT~Wd~HZI|)MOK1cE{)qEauG4rjeXR-*i}V6+mFoLQqOw!gxSS)rVOh< z`>4kQ0sOS?8Ad!amdhXS+)+E4T|N0Qs-R+{cyRB8Kj`lN@|%naNQI{3aLU*A43eC% zuy$~|=LZ8sJNrkRSAen}=F2j_gsy&YQTz0#U-C*mFS=PA`_?SxU2|R=-K+wdM$x|$ z8pajAE+}j0%n@=Zd%dXg4ov6^deb}{Ls&xt&LEfV-#Q&BY296Hv|nsl{n#&Rc78=w=Ym~)uIrJ{b7q%_x%EKu>;cDpaynm9 zwsS#|t-^gm@7r0fJS0(?SG-y`L6XvJ>VWq#be+eq_+*HDM@8!z^o9R5ibIW5!7 zbzRHycJTElpRl;JhRf~u8(V;f|H@UP(%;JJ_kJPdLRK#MZ)?FAwV+OQorZHM)8LUO zv0yKg=*|JoS3-;{WqNgU>9hpsJ0L(Ll#Tis{W7#qar!)#xDz@`dr8tx)hj8#=pEFH z03l`FX9MK)LOOO%Zh^1YwkQNe4csbQ*IBepZ6kXIz&h>;MjyX7%;$JT4ggeAQxZCM~YZDSXdkXcyPGB&kNf9kXru)Y1ddHnaCS8e2HGo2p1=el?~QFU6U*6#;^f{Evd*q-&Ls)Rnb1#BL&2-zux$HSt?S#UL8Q51p@GAPZtvjtbbi zB0jbGTEO7>*IW4f#+b)FG>kl=K-(m0!1sRt9u5T+8+S@}?Z;1(XRo-Xe&7{ktqHGDh5(W(-EA%@AN?WPfxP+kMK0wd;kq@QfwnAYbK(fCAl zZJ8&7s-I%quB-poa|_!za6~}PByVl&84>?^=onNC|iWnWWXg8E?H>eE;|4Q=^)qpPtG?F7QMgD zFDxo-tV4r(Xu&VwXurWSqL$tx_>w8c_8~|K z_UH_PlwyzA5ab=!5bo!HzXP4tsVOk zx3UOMRr)MqCg~o*y1ZC$MrZhz!RD7-mK<71=bAu1vW*$G=xv`1Oqo_!d0(zMf@V#l z)<1qOYPCDn+Dx%wf}_Kc=BpOAg`)Q60(1oLhX68AR`L68pTJGGvsgUN4A%Hvn-tfb z#3x4iJ!9cl)xyCk7NP~UIp^e`zmHZtKUFE7GLYQ9$hDCwbgz$p0NcX}o-*`b*iJR( zDjUZ;teIp@e9CO}bw9?00i4?^~kZR2pFGe#pAw_G@Axgf-@|xrkfP&V6QL-@!0hKh%#Df zw*?#X@AIx&pO0@CpHH{A+5IKKnXTn*l1FC!1F({t7b6Ce9+y$-#ChWw{QBKQpF*4W z_V+!nl)U93p$FV6C$41?ftL%;h^W;Qlk?&MU*|n_bA+ycczT87qRryx+C`1aCip;a zxMPqy;l`1=^p19Y1bU4i~@=;ozZXD~Mbh5YQF4D41 zE{-owI>K(#YZ4Oq~N)k>WcTmMixZGhZj05 z3R`QjYHig@ca38tX|#GtBry*HL(&Hh`a3}Vj+?I@q0H0xCs!#IJ2rn+H^zysR>TLT zTirkT@C=(1_-+1K%O^wQS>&JUeVwIz!a}o9MgHG%V|gtW2W{!(#z64m_i*bCsc$WZ z;q_^@uRmyCyys$io-Jg5X)Wk8^`atZiKn!0eN^AeV>}ayWsuZyI``wHm@gTDw8}N- zW&%qRz;-kHCI66+#ncnRlW&b@uu3u4Mz9u55i-h_v3I3o{xtX~9N0WjivTu6d%o;L@=`coV_Ehp=?sLZWJ=Ebs?QSB-RR=1{?Q3m zR)>SMM@^gWE!5?|Px+APL0cL-vw)KzJ9qDA`0Y!t3( zOV_D@6XX7A4uy2TRls_-G5Vl$_4AOYjGnvybi|9$MJm3R?AP>tQ|_rHFx>|~i({2N zLX?6oJW9lA=W+{7FJQhYoI+qUqSQR`O~uL$WW9gNoc4Q7;{wTzr`h<*s>M7*L%y%@ zaC-(4Ke`d$;{+UE?=@)NbUCvXl+b+b~rWTDjrp zjdK|~JPI{)%kIXg8-+{gulv`#Jv~1Op3@F~S$!H4$C$n@Era`jU9vtMkUaX2MBB3X`}p-(Dm^0#?{BsQxP9C zncKnMZ($tuReP6l+YQDL^^^DF6osuf78MIy4G#ae(aW}f>17d0FEcW;{)+wpdZ+Ji z=nqUoyZhe|D1Z{8zamiBGZQWA_+KMXfC_Q`hCl&475OUy1+bUqUlAz!js$yJC1MTG z`<@V2CZnqqboCrvy};`K4{w|>bxFaJ`Fg2A&X|jvFlV9kGj0oAp_BgyTd`MK>lbc* zpB4udcLZ??D?eweyVi!0O5A50jU`{Y6qkJ}^^ro3345C`U=8A)!Jj*ax7O@uxJPj3 zjo-VsNs(+jgj$|8;>==c4gj2g)bK}WpDDuO9gAe?p0swCO0x~!tB2+|HPN5WvB(1# zTaKY!%~~z`Ot0t36h8=jc6$mn1sQc=a>-UUZULBdMu1TurTuNDJ5CV>5(;DeDkx~{) zmaGyd{w&wr&N={<-zA$;TvHNU@C1O^j`0Rxc!J1XcKuIlmx_3-iDd4-4$h6uvfPpC z)f)K&dYfZK#&&abVwZaYqz9X%0wTx;{o8z;n?7?+l*?|6f+cX?;MItd&=He#6r0wd z%0Bz`mjla{+D{uexGuXpCjg3e$BV88uknl@6$P&-KhrMWea`SaU0-B`>v!BW-o|v0 z{-88xP?zp>^5Y6sTAoUcLKob?{w38%12^_arjfYe8*`UZG&r|J{CaNC z-)?Nmq%F}faW!+dd<9nDgz)6^RFQ7gvmIQ|ZeOc6s@rMX_p6qqu9W5I)zk%?LG7od zITg=F$?zSz&m?x?aXz4Qda&x5-WRfQoh|Jf=nPe~-%J|J0Ecx?YmDyC*k-%OXQ=j9 zD@-hYJ@dhz6o@C6d1!6r5ZE<*Dl;0qvOODP;WxNCev zp=uM$UL_9RGlV}~?O>IE4TPUK&N-9E2y;FF0 zPJ!D>q10%M*aX?=ZytTp>O zzILEL5DwMAwo?pRMyG&`MGKE8rNZA%qR-e>Nr^W3s9N+U$u8oLX!hu~E=@Xv@?9a` z%_q^{zV^O9REtkDAYxYJwJX1#xWs{;-tG%rd+=%_lAo;1w%RZiv{wsnmhGwZ z|F|=~o43Hgm_$nw%yBow&d1WR=V`^g0D#-&Z?%A5|CT=4kx&u)&Lz~T;-Ta&)uRAc zVjfM=_q^c3Xdlf8js-*bc4}F#2!5A`aJGnM@I$?mv=>^c47iKI7#qXzO}(eV2L6qY zJLA>{zZO`&3-11&@$H4_J=Le7E#PFc{=VTwl5^faXFDFPJ%}88W+;U@bE?2_1KQU~ zVgf-+ZTYOjC8f%(o31^ZPo)eEk~r+DtEu0zd#DdS9OB9;t9k{*Z0u8C5Y-5Y|8(M- zj_sp%uG>YM{JGy&z|xpX`X?m;&&DqcY&xk9;i*46_>zgtZ?s%PK1R#L=Yz5RKPROv zy?tZfc7EBRSJg1}E~{%9`a`F0cqfL9L(K`?4tVvZw1VC${CV~Jso8llHZzNx*)`vB zO>7*inORBoIop8P{XE9x5&ms>S3Jh4r7O65UT{piXT zj>z?tY@nuNWHa^q9ACi(^(kcoGmq*zT3P9m$1*Z$ukQy}Pc4E&w=KmeX}-oQ{2{J?A4zJXa$Ry!*_sLBq@`G{+sndTAr#WG??B6 zjsWL;|HVsWJb`1s&MN1EqF(R#iRq2q)6#xnUB8Y_a>!V@#wM$2`M<2~9Nnj&1(ynn zJANu^c8qP~O`PQRt+99e!<{oXcf#p1%`};$3_G~-j7;4(XzHx<<46HLD;2-2*Y)c^ zWZH0*Ow&R~2CVY|RD6JT!{yXwI9hvZ`KiB3?(#lEw1Q6I%s%LhY9I6 zeZV~++m=%T+UKG&*G_A}eC{uSG@c^vE7!UY$r-Nh(MW07r82_ae`Uwh2En-BFPpnqaL}5V#XpJY2fY8Vcc`Z0kTC>S_~q}_H8gjh6tuY?l2cXp zv42t#<6PP^u)cdpOvAglC{9Vlq!!_OK8=&#? z;L^HJgb_#EyP7^8=g`XQwp+ZS2F8n0vCBoj+iAh{VJlN2EuZU@j_ciL?O(`fWOclr z6qI*;TcKs-;nVa6&zgG{PTD*y9ARc$Ob`+`?9+^Po^#UN5(% zAmh!hlm!)~mi|vRm3y@lB0hd4YrD>m+XjJ5V%N-Ke169Wb?p^xA~Ip)7OM|4C)jkS za;_-&KTWIyqqCG|HUV@#u`M4j`}##g{6D?Q#BokcIj*>-X$k)%IISL7umtnJURn2_ zfB(ZJE?wCzsufgRSKqk6b35vE2hcke-YTK|c$UNeQEl_*J<*t@?Y4Cl-S8F?T0e0M z$&0}I2PK=rmsL4azpypN8+q8ZO=b?ogr?SQLjCK`9*^=tRo&oba_0M{-u@98{k#4x zzqfDLhBeP`oE6f7oz>2*G5zLl2HKhk>COeBQgk=p>%{9S`ARfc-#}|IH@@?F**JH2p0w!@$fMS z69FO2F+%+)3^KyVCN&)|KP=LR1>g|9!5PE?U~~~Km(mgBasUF*Zb(;-lZz6PXw9{h zG%UAA(~CA}m9(85$$z}14vrXv5z1}hufg2my*3`2=8uS5Qpsk^#yW z;S|Pj0~7f1I!fzI8xh1T9YM_DVgVORc=Q-_K(Y$2XB@+z5%duhs~#tSBhm5X$qA_7 zg;Bhh5!a(rSG6rE? zf#WASP}~SRaBQH5yZL*2`;dwbzO>*=;xru0=wN9?5LP%p0$?#Yv^JpLhBJ`Tqbh=Q zgaQIr^wRD8d6d;_1RzYBaQqVsh9(Jph*nLGdyJu(;1JCa?QFet93&tBI!ECWgn`CU z3_=$YfV1rhC&>(}ydj3x49Vz_vW){dyn z#EK==zu%sc%-EF*Wdl!GyRT`~=3VG!Ny*^!d>fM}-8ifN<=dk+O^y?K_nwb|=7o_8 zkE6>Jf>`m})?Can!zM-as;VyU0tw0Lg+2t50kfO7(Lb{!3c{FtFR!b{8tv&>pOWsh zW8VCBdtu+_5;3@ZF)aO;cC*HV%dg%Skv_#f2x!BJr@^r&Q*WOXzddOH)V*om$>+7b z-)soH&^Qs_`K|CmqL6NDqg@UI&6!}p0`^6jTqzb+MrcA`5X@&ryGS}QEs(JJg~eAr z!s&=hVFJ;SNv>L>DScmmA_?=^4K+gKS-(krR~Ih-HY7Pr#3I06`I0&guc6396RD{m zRr9l;izkFSKJ1e9XSoWq6V}dy1<6g9&m5+H@lNj}&d2&=$QRF>)m92>tkTsnXBnxq z1Fs$|YtTZB)LBJy@xj{L@&avdjPO;8fo$DZ=emYoFVIBHtirOLxFBi8Ly!CDlFsuTJia-AMhrM>1DZ+EH_1`P-wo_g*l zw-ZloeB)X6iVPp&GJjh0n`_(lK*00$*_#eWeT!lvrkyf#M3&_2kJi@{L*iHS+!~Y< zJi)$CqJOeNn%j!VV?!@mOw(5}TDf;ec0U|%i@8|Md|U(utIZB3-I_%4l_UXI-(a-n z{p9(~Y=49bifz|zvZ`VM^QNZk>6h#ZmvO(DFqK{ypcSPnJ8K!uYv}v&CZoL)5$LVM zd0A-j9NQLd&bn06wV}N9T}1e%!Jgqz866`*f)QvYYJFTgZ9$f&6uH=4)xCVp^Hv~b z3|-+^>VfHuPDDJgd`8Na{#;C&mn+Zl;Y=^f0Zrb7K=Dz&)MC|H@0v zi}-4Y;NY7E~W^R&` zIefJpL}>~V@-Mv$evqv@){z-YAe4hYSh?qF&RyQ=LHy3z<{_U4;E~Xw-F<(-jhY(&EKsTi1oB?jQ<>&Fnhy>vP%dzi~e9SL}E2|CY8tYxnX;(MIOa zbe;}Ctlv&DuaR2fw{(=N)-P@2%$`-`rJ3MQwZ z!~HKL6u=UXzmibE3SIGkBcTB5XZ}h;|Am+@ut}(zWeZiaz|~81m5#15P-n}3x%&qp zCWF)BPa~%>SDl_OA4i@K=Cwz?rF*`)|L!PzOwc~Hrw|yu{wTgmPjcH6n)PX~2?rIcGNAZL_2SJZo3N{Avmsv+=Il6M^CuOGTgLw3&vL4J!& zRK5*Su-(15$GJ~`5&W7T)6^T;3bS^-uAc80K@BMOc zL(kab_mgaVufP#uBQ6*K2n|u_$#5@lqycZvdsAm_DcfT5<#gIkKuab zX}W|zZ%gH~NFRUTBoJKe<ts;=ptiJ^R`^6FS|p`N)ND?E{>5SHO7Ls;iEc}6 zu*F5cjJe4z({ga8`gFjD6GycAH#RPziv@SHf`uW_&&qKclW$uNx%=ki~XdE*ptFffN0P6 zo*yfDzLNyV z+vA&`eOi&_l|gd=`y%7prpn@*o>%kQ&%riHe$mDg78qT=@AvLn)Yz>GV06`=TsC$* zTKc<;LDn~&mbIleqsvJjlnAu1ivtZYfRn6v3Q)l)kGUdPODh~MVed{}-I zxJNaK=uC4LXOV$2{q~kv(mHJeDcyrmhjZ{)wP3k!NJ?&YLzV- zVwv(ex`y|bj}jiY+T3B)#kpwjA5h(NlLM$MZax{K51`pCkG=P8X`tq*P6BAi$rO)Yia*plC;Y z>QX?w_!HHm+7Az3?aalrTdl6=ECYM0$nzeb#uIaPj~?~NjF1d>v^F0}XztPxFPu0f zc*X~aw8hF2v3!}SzB+Fe=k~1c(TSg@!kc4c(uCy)+mc&K!BySt}8d^m(36#QYC`Ai~#eJNnq>tCSvrzXCtoIVPyNUXth7SiU>%IDPgOD5;w9;aHMaWmI;#vcbWfrb0SM zTROH`Qdme|R8V(H00d1k*mj)l7*Pt~xoK8Nb-32>b&HZ++0<`Ote#y=>I?Wq0(fl; z8irR?3>-o-r-{g1JVN7NHMXxJgmi2?b#5TDsFRUhNWmv5HS2>!W83$ioBOnU*IZLx zy{%~O%k6Xk?O*v-FrCU_k3Zi}`nbZQd6MNugi>OqVn_jAP7mJQUJ(8>z;WcjwOcd2 zmw`t$qNH+)%E>n{ySQnPO5l=`mLV{8a`%tV>Y!vwc{#d6$0*(~JSS8^eD;b{Y-&B5 zckd*?>h$Q8GC#qDYZQFZv&DDwi7K|l*YkeEsu`hgo>qeoSOQMV-gz1ShA}k1giKP; z$@@WcN}+UY()XneW<-99)q{Z;P)hm1!pCpa&xtnL<^ zHoDHQ75$=gmF9|(`?IzEBd^R=eA1iMUcO+@q2W^#+l@ENi~7!i9esZq zc1bC!q=NlIY1Qx{Gp3UpGoJif_bPbEsXJKuxmenm;CS~N*_3LNj0RoObS#^6PXD(@lCsed_j=R3h zt|LqPG)fB;47`hGegXK1bvf09O9R-FX{OWb9> z?0IkMDtBMexo7;qa~nzMITsgKyCAmN?iutMa0rPP&nuQwo)L41O-}3SpIrG(rx2C- zYK_PwX?Sw^0LC9xqcYy?5m27x5WV7bFKc9-J>c7hy|T^yI`?}_LU|ziGF=Szk7q~k z;M|V=qaW&StMmdteLJ3ZeEmVHW|KAo#^;!?-zeI~VHcHC(6YW2URcwB-Tgz!X;NCP zuBH1p4kW#6AKIp86_e6^mQ%$DjKgB`3M!gEOfPPp6qA(h$AV=NE)|UPpD!EaviTEN z`>VR_R58Vto-Gao+*-|)iQVA5Y(zpa{r!RsCKdk_>gFHY`$Do9T!vovq(6}e4?P1%l4kI5>*xRQNo%L%zJ1pMARWGN+2iixTmxh4q(N4#*hX^C zfLW%7FW=5e%DKF228?l@I$eP=Wp*hmg6={2>lBpn1+(OKPrlMw^$QFHC4pxdpM3Lt z{i812e_YqWtY_%`<>xOR1*6F7=F#Qty(0=huVCt#mQ^rJLVo(3wEUy!zL^zbGBG(l zTlcarQ}e$M0liEikK^6kuXyZIMwo|=Fg&@exM(f-1s8bBe$yjZTrJ_# z65dg*m-D+NvF4vV!@4G>KF8d#lp1nJRM=S#`zuw~ydQ(K5rYsN(?-Y}(_y9&gJv4x z8Q^zF{vdgrnQcUn9{~t5hlOwl`VmoS(elq@5c!Yl@whD$RDYs5#}mig@ziN%5y<2q zb%fi=r6Y(unyyp8AP5AHxDY%-h=pbx|IKb{z=l7%FawAVcUVV_rX9VgVD}!>JL&5i zn3jHAKHUf-j&O=>lwG|FfOS=H$!$bPVZ;#)IigkLa9-%9EQE~#%3HdR*dI05zWw z3B0Eh8Tc_*8k)n zkISpgp)Aq@7FMGzkDMWo3=b#xM>Kkzf5gD}Bb+-5F41uB>5kKnu%J8^9(Hodafhth zIw%x4_7wbMe{K0OKff4&5TGOy$_WS%0+dCr{KO&%vYL({Yv>DV9Zm@Et`HpuAR&gm zAq)2Q|G)}sVohabj;;n2W9K>_+=(t;CeV-W<=2|%bJ zj?~rt7xF^~EQGS~`GQsDre@~HoF8I-2>OpzyJ&bg<3 zzSr?T|9_dxYy#(U>uBkU7oTeG_VR~}#w5Qs7cdZ3X}(I**?K<$18y8PU9G^yUbga( z+BWE)4ht8Rk2_U$%|TzrtKzGWkr{BJ>J0oEclfpaI_x#N8f2JLy5jKl`GrD-@KciM zDJtIp&84Q7Y*y75Q~0@=YY&tIWv9->i}u7pcNYU6<*)N=V3_cSg<*ZG(p$1wZiT#$ z4@RmAS*AWaPq+@$Job$o(gsA6o01|aB8)`W@Sb;np_J1gNhnM>d_d|OtmzUs+L{Qa z*T(Mn$u(z=;o9fkZf2ntA||bK@SkL8z56ie)JvCeu(jbadS%m8iZ`dv`SoJTU&I?6i$Ujk<TUE!pG?I{fdXqz24Q|Csgl4t~74Hz?YA)sY0m$(FW= z(X{l?sgrqLG)ccSNK+1DBh*t9`Cd_}^%8|3=2S^eBl$(9L{;yqs!OD|tTe07ezHjl z2ohfu!T7aLg2;4NjW2h{TQ1uj;P6dWym&2Si=3^kxA)r4{2?|QJp)cLPo=EhQkd5g zOj)@;`*pyQD3!)J^3C;%n74Dko`+(Ax}`UrEp@udC88)YZ?E673roKuh`z#`3S=ah zPvNwF0P|8fEy1%FCpN0-BJmr^TMdL6Zw<-iUrh;fGq7;uz5@@GaA;S3ua}!$Y7j-T z46c5%(>d7n<7atR8R=Yy?-K;CYm`)d?@ZVV5}k2WP9Htez1}O$(Yk%Z^40lkFE}#O zK_OAT)yOH)$_Tl4{*t7J7v{J^0LWM7-nA$x67c(UN&l9~@vm zo;!VDWckLqosbk8GqZ?0tz11|RMZk1z?i4)WDV|Vc4jw;*}vHzvR_lRG7-RUF7Yv8 zbWC*IGizZxdj$jbFIg=mWDGhLUKWl~yTNN5R*8SvcOqIMPOpHY$y!knoHt<}w$*GL z&c74&F7^gB?T|RO_r(58;&=A+k`GN+^8xo(h-p!q#@*3AiwbS+GS@5d3m$l0#+0<; zcSxPjscZo6nze^QCdQ4)&s@wE9vCYVZd-6y)jZ zm1q1ngfgl`O7<4Kq5KJyo03S|xXqQ%e!L(^ouwzgtIs~(OPzgVbxjj*(>j6_d@Iu+ z@o^M%@SLP+ZvUap6f|9UvAQPUN8ZP$T$St5_Mqg4`q~wF#pZa(2`nr$QEQ2-T0e)yepQU=_UBSlBbgEHiyWHTIrW1WibZ30e};F z$Pg^jNXHRTEL`K!VJ4IQ(S0%XUR!q0@C|_$1_@BFiGQ0r)+KB^BKgo_w9wje)N)+l z-aOywPzEe|FMV03xDRuHGDNXb6j8VRB;+LD_(Tywvv^m*0T1+jIE9JZ6p2n_BGi~xCF~CURLI81GEi*;d1_S>N8z$7-V^dh&!2| zIi+ge;8=`ez2;Gp;bbV#3XI*v-7}9i$7ho>s_8*Y<3|rk!9@8J)t_{>`zRdT*uh}7 zaEZ67fZgI35(cTPQOp2m_;kjy329azj1>F0umZ{3q*v|w2~!7%)@4KA4gZLoFVxgZ<@FiqGjD!s(Ae7xQO)y1PrHL=fo)K|&-Ym9%IOCGH;lJvW~BeZBYo*MF_M*E%r+XEQSl!_4P= zBWw&aDCw$pzcBf{v|L^0NrTt8tJ~0`8S8PE^DkTmu>Iz-1WR{--YCI9DEjl=08z|` zMiZ9~q+ZF)X=iUbeg!FtomS2kB?;Fq`n|LC7`J0SnPHQn9Vy4dW_u=);N=FB>_&q$ z=ZRxJ6ujnJi8AVDh&D2Q+x*b%xn#NAja4ykAj{T=>0y(C9w8ve8YCbg)_2cGluI{> z-!X*arl3kECx}|w(W71C;8~yKcKz@e-KS%9KJv(Q=@d6!U8zy1W)x7X+>^!0dAG^C zOKCtW%kV7y$bw1wcjFtHRfCXMN&Yr~6u&(rDA$iYmNQq&;eCkpjr|8#lPCLLNO3Vz z70@2!f<#OuGq9prq6ocpR) z37Q;zlFZLP(4Bd1RL=4&{_}&Y%p!%H_0K7@KNXx4B^UzJIF7}a{NABsH!DA3?Ga;i zzNDgg)#VqF**Q`2U|Lu=V`gBgv$rRe{cLL7S1pH}vb64oFWXN|hc-a1WMx|FV4Ymo3^(yvAk4` zVlL!c7>{DvOz-M~w+(as*)2m-i*+GJ6PH<^vOoD)lSfolpAwxM+InL41iG|T*NkYk zMdtWQ5{qw-qU$|3wQ6cfBG%MzN_zMRECVzA_|kJ9FgRN4Q?B)QaCB4zk?OfOPDl%vJo2xIGtB!66d`ZfWem!FU=Bf?km-Y#O%Fz|^Rmvpb6*m;DF@lGz`Dn92n;OiS* z0XvvhVOJi{1lM!xGhDfC`e^Z*b{>)KEQQ17o=`67gOA_@jgnVd$0H&-^^>}edBN=B z5vQrSYg7CD!EaN05C1YyNkD(`GMAqDJ-6WWvJW3G$!b|YNNi}H_<>DA;pzL40#vst zTK~k^$s8r3ND;C6{Sm)!*JKI{j?OJ!O-OscfZTBOEcirvKcjwZ zc8i|R41`2ylAY&Jv$UT;oU+@x`zCSKVpCcMCYCnD?lw<+;stet439~=+`3(wFuBsb zZA!V^9x-tycg&ygya{!C{&oy(98zoQRl7fG@J$^*T-#b@Dro&oBA{=QUHpZFP2*k= zct7#&Hx8w)M{Ht#;q%IxuKpjt(In+9U3(AIoSzi;ErD$;GL@LI9o{SYJ!J$BQ**II zWfkHEmY}|7`Qf0eemy`F0Sa2x^Vpa2YM29>@Cyi%iW*jo6R|EvZQi$eK=~eAxFUbY zu6+O_dYO!kPe@+R5-MNT?_ovaiTl(| z6UZngce1(U0VTViC+2zaLm!JdR`i0>kEcF!+da77Fi-d>gH8ji%TT6sKrlAKF_>DqPuv<2i!NqY}^{x1G~@QC(R^;&JapKms{x@}g&J)eSJ zT)=BMz*F%Kow#=#4?G$ENyFZ~EFOxrUM^Sm){NnmeRv74KvH$nhJSELO(SR-{fI5% z<`KM$#v^gv@@Zw$k8O6t9+;&{ZxACzCbDzD`pRoXj6 zOh)Nk)UdXFBC35SD5A1+<=h>pbp-f^)oAC%eL}j>c$W4B!bXi|9)9{D?m-y)oIyXe z$+tF_tHzI7J6ld}X@%#U;&p%t z5>web-dyVT>Fwt`Lo%PJ>yR2guIsrQ9$P)QAfV+41{SEqRn#m)vfu9<;xS#4yq?pw zK`wYp!!*9Au5)Vhm_g0JHtEg80T!O5mY#iZc;(~)9h01bdSvMoR6Yhk;bS%wKEf@< z0t8x=R3->Q4fp8(agoE!N~UWvr~!44XJL*IKAIpTXXx?F9;>3IZGGR-86JbU1R#iO zE7U%kAjm_Mm)dOsK@fMS&ilh5QHsykI6*-u8kw8>DhNR7<5`>1ANp7dHJ=ayRlXcL z3vz@WYs@qD2r1S$YD{D!$RuP*|A-(_VU=*8gq{lvOBksBaj1cW;PD*PK;pvzi6fz4 z0D>t5hcLWJA^}kU2)9uGh={{Q0-{eC-FO8mAW;HH-)cNLIe7&G6O_dXn!{0@?Is8r z3Ob_v)vyiT=ge50m;{eaZbf;Qu%P-8UPyyWI=o?Lx<}|KhXPMHpwJ;Nub>1<0?)LM zeSLkjXVCGF{_zYsqH2sjqO^|(0SE@lV4V%K8{y1n%15|=oQ+`rfsLmRxxqiVm6%vK z=LnfEUSx-2K-f=orhq)NSyRH!ABY!)M8!0r%^D7r$J*e|0)k-OMGZr^hmt_j8KcG@ z1*Dmo8N{yU7Lc^s**o|=^{Zrn`KOVn5e4HMqfw9%!qw!I^s3rF9&4l_016@D($osG z98q|(B^$~h;T3Ig+a7=bl*{}~4B3Ust$YXZBjk>#aVCP?+ytAbyn<~wU|AH(dJW0r z{!eHvC!_vD9!;PU63zwSmT3V&5N5b@Apk+34idQtx2#Yh$;Ji?-eHpEWo|`OO#!sx z{|QJ%b!zGA-gWbWpSk|1id&%u5-yJ+e}%m94{?OQEGUCSNk3sjK_m<<_@gRDq5U() z2(`onuV24`Ppv;1;zjsVKbb(J54THjyPS=nt*kCU6)~zq>rWs9ypQmNg@pzh8M%cl zIz;&vlB8!j3{c?7DDp=@j8YJz$;t*^%|=7yvJvD4eEcl5(4meP1vwS7kw-JoQI6KW zx^PO1wuFweaEgIeK)SGv7JP2u4w|*p|M(F0Uy;ZE>Uy026KHup{{IWKoIf>zw-=7e zfA6e-B|h(GS8ZYVZZ_p)nRkRhgwCG6|BW=QkdGmL{4>12aFl?f_pj948N-*;1+T7W z?c;>p`TD+jd1a*PwebX=;>Q>ZK(^zpwGz4Tn%>iP-uPsS@K#nM?{;|diLzYP zuQKkcORFvdiYCHE$?o^TnBfqpWPP!6dcQ)zdnbrAs^w_#vvUQFLGEY>IH`;SeLtaD zrY=k${rUAxme8B0+f`Q#1^`Glj+`$eBghL^>bgEIaC(s`HtSTh27vwTchAM$+s(XNf@Md zTiN9+Kl}^xWD6P7KUUh-f6~tFSsAqj_ET)pm{l>~ZU*heb9+XWxxKlWK|D~R;<1PQ z>p__QHu!Gi(zCCmRzUZqi2hqwiJ?dHc~v|nUvqPJ2D?wvGF71LBefJJwsFz(j|#~{ z=d2zO`zL(61M;3)ims!dOE0qm6Q9^9&Ub1{_#E#dj<3dM5s|wi^+YMZWY=qlofk$G zfScjDy^O)8ST?1j4ma8DIblb1tbOetc$~A0^42Q`SwIt$ah;Q$LAr@QqV}WI^AG-E ztGLWFVr|8gRe~aV6rN%|g;({m+wq%){ zS7;ZVob0z5M)UwKH7$`s{zS2${_Rf}Id2cXRCJH+IqJ@Ryq}}~VYGo8pigKN?pW>l zys&)g=&}?|jG3;VnvMB2Y&|VAh{1y?6@)Ewcv4rC{x*3$KO57PoT$|s*NC6%M zg`L1s^mR#wI=}wwIrULSbtOX)&}OH={``yc&`T?u3y0(?&brpO24|%VS;m^rwLfZo zISsxuP}aK<=T@neN>%m3>A)a4&-zrARv^@jvrKa_A0V?_GLP<$n{uWXe{wMh< zy!#@76Ev>e4Voo@s<^%XYq$e>Xs()|SH)WWt85L3wPFya+(J;kPD@QFoCMywpe zr-eV2?t{F?zm=VAohzS^ac77Qd?DMWd6TL1?)=q_t6NmbXv!IYlB5wfX6#v8U`;faXE;Xy!a)Ox&l_tQnlEpiXip`EgBU59zz$GKa4{ zPJo{2Chd*8LIb1d41CW-nL1azdPA~aPpU=UsVsah>V?e^xq&`#Zfx|I_K$EIBInl7 z!O?eJ%2C(Qr40{c)&2vvJRLmEG)BE3F8urJ;eYbt{D0Nqll~953`Bv;Nf>DTH|hs| z!s>{>Q9ppn>i!%31n~6iU+E{%zYU8g|3W_jOxyV@{RHrg?O*99fR>)W(ofU_4K3vb z3yi4lJBTZbP^)6pssyzvT?j}1jb7>unrdbC_qCIlYJAs|pLs7CKr^RqQ-|?r>T3%> zQpykLZ!0fi%YMGjJ0O@7Hg1h~lbuL&>F31=jw+v%H>DprfZVzS%@K`dV^xmvO9tL# zJav}5Fy8RDYs0b%o3RQ=CV1~7ldL0D^s034+h-}Xx|?QMbQQ^$vp2r1P;e}jm2xrHOtfJ#8TucW^4OgCRz$9YoaM6U%@L-&P*BlT(6HeYLiYCQ4sy(7=@gNs{Zc>t|w4~$!Cakgp2AK&EH zOl55V_|K6olymw!@pM_)lwrs|R=jt#O&$H&ulbplMZkU}%D@`$d*2K%ap%$2Ss);mNGZuMapNvzn(;l2lz z4$EtfJ#DPV!Q}pPGYy=^mP9kbf<^eOq1p(SwwNNg6k%o2ik;KA6epFd%msopcBBVA zZ@n(#+7BN&{$0)nv?JTMoxK;(I{It{HbO{!U-WuE$j$5Db$Wb1?apS|W6=A=W#N!< zZ3s8>JKb-S=J`B^q))x+=NT8<*hIeaM34YaP4@0oR@vrq-|2$o?9?7-%$a`U8d0ZH ze>+{CH-V%emyo5vj^F+J${X&DOvmJmM6~Z9eKU~F_XCoodT_!5ZXF(`zSe6V_-*>a zByPUJks^Vx!a9}YAQrzdbt>^73_Pc58*)IvhiQf7pVg}Bo!Hw(uAkd2SY}tUy-h!o zy#_Jrp3m^V({2oD|C*$b@9`k~QJ~1-mfD^{OgjrW?+*YI5r)<#d>)}3CDrzm#_cwc zY54001<^I9x~H`@SkMR8Q)As~#il=I_u%0LkEP~d+4$rO+cKn&}9cPE{Eu|4w-Iiz)W)%sPt)#dTJ|dTmB`e|Ga@ zVE02RwWwadBbkwp(g8VavfFIhlrc?HfM2@!3BAm@wBE5R2%gwCY3GSq@{5{BsjcMm=`^y|233*j$0b+%EV>U%Xgkh&C}cxTFLOLAIHz>zDJNk1+0za zLrK3$eyd2a>^b6&$VaQ>(f`^!RR2`@`Hx56V|{lJisAp@J^`mt8P4Qy7Rm7?wPNImj48d&-E1oM_x^8D5j5xA;vT2j;V1&35fTHeCiH)VS58=3KgwB9c&T1iE3 zy8FP?%9nGjKB4iiHX_n1I%XD#Xv9)-!hh6JW#$jueadnJsC`lN@e6zQ64TX(T7Lc$ zQ;P9h!d8;q+2w^D2|a_zbntqIm{rZBo1B_c)F~mUeU;F)x@~G-_^P^rX~=gB92&r$ zn%B3!O98~K8b*FnD|$U{9TnbU5)G*S&ShYg<;M-?It6&X3pi`pJx<^M?f>?oM*r5` zhuQ&|rG4|U;|pAmfJj1qX&;WIHrM-Y)#jxm<*GMV3{2p!XnUx1YEJS(5h zB(07?M(x}-kc!;er{J-3a`P?g7rx^kRs~*l3{K2l^?o`0VTy|DUS|IU@ujPYQ+oRn zmtNR~+s*$1DVrzfuYc3owQE5qyJErU9<)l#<$=bXm(_ZZjSJH++3VUt+gyTs+SsrumSuFM_R7ekEb$SKc;9Y2@Ue(+&D&(6ET;uj@aY`?UL;^ma^1|HlPt1_d>DKMgyKD>1F$ zhr`pnIbQ2PQoRlFTOpasM)#W-RODHI%dDSm9LVK9n+yVFSINj zCvR-KJdBdG4SkmQ0|DeB%3gtmUjdu4xmR}iB$lf6vz*e#gCi_TVY$%6oP5wUvhfR_ zh)hK5-9Eaev)kar?Cnf6Vg>=5pdPKeO;c9j{i3XX$%l4&7I$vJU1QO{EKEK{6KJc;!7-cnFj%3G26en$-=~;9r&2D&*8`GHQ_jtg!Ye+LKbam~?KOIV|{a|9(G~UCYlQ8m* zg0Z9&H(}ybOj6SaKrSln7*sWNgj9Er&HVVy%qsnUYL0=K{j!A8gUFODG{DL$d`rtO zF17Le_Q_>24V5wZ)~;{+4WzxuN!!; z-*k_u8B%=;lJV&^t$o6qx+Yh??qD(S=o%V7^o~l&Ea|+7img-vsN_mzWm`K(=YI(w zp&;tLEouqy}F zlRz9)4V8d@gpcDU2oaP%LhU2;U(YQpz;mMT+Yv1Iz1RT=f|HFPxF`&U2d}_iKoI0y z077#nc0@IK6QR1iDIkCp`IGGkjo;9C{V(bWvn*xJpyk>MGES&=JWF*%Y~e(90SI!h z6+!Ib)&V+q&*Y9M!6USpL&>8Xyl9jLs>?y~$DI89hR)72 z)#I7w5l-_KF8%Q544}rlYy=rxKoDfe1VM%&4m&H)8HF|4W8(+^*soD)M<^PA1AZUj zAEhVuIVM!=^<{VzXDfnm!7Xb$BW6EBjDw(iQ zp$3&z@aSr|yo5*Bpl;zS69lP+j|;3?uX_!rL-E%)Z^1nF2fM3Byf zCvfI72>73T#{J)4bq=0;I6L}plFEP5F8}|NRC;FZiNs3ovwb|q@vX|SB37TA%b8m2 zlwLm&tXqFagAJ~3===;lFUa>&R{(=NrV8zMaUq+*J0ryb45e@)YOxVubV@aTbJRwA z_xCF?^;dJJ<1*YN?UDq`OSmN;*$*Pc#CB^pkuXa&CGRv#rvF$nOBz; z__~F(s2LAwgj0)`M3h)3ac83+wUvH;pSyU;9?z#b0N5`2lpf}79~|e$nohPfg}*YJ zySGj`<-Cy36s4Km=L;@f^_QXY<{?2GL~?QpPg22I(CsJb~`PkAZ}S%AF zXH{CJ0q-CC&c1kse*a0ROhu$Nk8HhThEk$zJ)zyaR==r9{}4b7B8-NTw>327<5(4y z+g!H9=fkir&)zkOP(XXkACV6%B4{sc@qgMEI61^??AWxwzaVszf=^O+41~$^_Np<1 zDG|2$_0*4@pTg}4uFj;@U$ZP@S~2oX+C@t&5=}8324C1aBUwF(QsTTwAK0&u)}iT` z%C;)i4ZrE2&5EV4JO-qJoNly-weDdQ&Sl9J{r5AEooX~jb)ULvk9OrYr-=c%eJqCA zrfB0EU;IDumGhOD-+NH=f@ZRmg!L9KW_Sq<7D&M;aqaE7OV{e$OOrf$D~bB4)Fl>u z?ng1xve*)I0{~+M<34WiubOT{nhB$f;$g?k`*Wia%)qVft7GT2I3=)@YSr&U9jW@2 zA)er=Iqq?1j1y(3c3kyz4H9c=f71e#t5uJrXO4KY=?0wppq-J5XE?+XSyJr7F>*~k zv?_lD2<+x7EnB)#I3<MJ8?ZUIw7$QZSy;-w=1ctH zqJ%={DWG0iUh@}dbqcjBA_l^reQr9|Q|G3ws0uY+Wb~R;c;Xpw?n~4`WTm#oU`Y zVO=tH%Fh@tPDO;)UjQ+^Ru3E*P8YRj?GHzO`!ok}%M{)anyv9*WRcs~%hUx+1P3!u z79PLZbEy3)w}ww|DPW$H%u^*9hlP9+Ng}WSsF6|7zS3*ZFsy~$qz zCxA_he+8TXz7P8=;6y#rkWH2@m?E&=ZXf0up;j+Yt8&z;VnOkL`L_v`m5jLs@4mV& z8Na!^*|3Bw??j!aSj~!en@%%3e0~oD>k0T#7o&31XW1pC>?>)jWZSv7ig{eYy1u*k z-S=6_*jfs}3$cey2A{3WC?A#l{6%zZ=WVl zf0y?k^hFZmZda+HAC-lXV{$uYi`D~I=3t78<8PX>qe4={&@QHP(AJqr69O~Bt%D!p zn*^3%>#b!(MBd1=%Pb5GBE2tfeEogs%5p;`O5`KgL!Y3FkHHahUf4$lvD3JVX0m)t zW1k!^DVjN9cHY=%u|E1NmFWo#-kOAli4jfjlh@-t?*YLU*fR&Wn#a9wd~_4IwtW2p zNM*ZGT}h^HE$gnC^33XY>2S+hB3{bRwE&agL1p7EJkYH2>xYTf&d$evbOR67gs_6Z z9vN4G!I62p14j&t*jm8)fg5AoQ(7^!b5fCV#a|k5fm!F2=J|{1XzLu;uh1wI-_2w_v>Lt}!B3lg@X;>0 z3LV55*gP=%V=w_uOZ}%{?noZ{Y{M8qzXHxemtS;? zXf0_gnF~L94{fo*W~Jv7In%%ykV@;BLeSjW{v@~Z@iRwkQ-b?(`)sE_z!d?!$QD|c z5w9v!0efAYndqn9GaZRXmsA2dDK)+ssepdx^m8S3Tm@pY+WPw$n-WcmRJ*#2VMNqA z-AS6-_8GwC$=;eXu?v;?o!-Oqt49s=j={@9PWtaIiJ#iyy*)Jp26o*|k>!h=hYU}h zIL>)&kRE-49gUkm_m^)ZRtqPG0LG&<$^+H&9Nl&P;A6IA?7etrBIPlvd>p5JG|jtQ z_drHqX25#oZVRdL_l*Essmxytvl7clTTjC4K}UHZ7i*wkCzM*;qa1l&+;w};`YreR zm6~$5K_eNpWEJjb6+L+lt18QAF_Qib0o}_K!?CHKwd(Yss|{yTVo6X4IENRq z$1-^(u)3xx5$1|tIneF+X`?$qYfH$y%PRHJ2(aH3&GRNa=y@-xl{&bkqNAqg(wHA& z*?(XXZ>Dlj$qQH|zbtEDz zF^L{v4f0^_Gk;}%VW-BbcWiHQp3$HU)IK%G?-0sKPG+0-EMYd}a^KTwNo&evJV>M!Xa28fJYuH1D zf}g58wNkR{#^SPAI(Xy^Fkb@ew8BZ(hnf6Ba@&heFWo<(x-5PxDz;*i`l^P`9hb*Z zATh17Vdhj)IkR|u{}_i%+w7rVdS%@Q3SQysu?+-5H-L#>aK|eBO%)SoTzpoZj0#d% zEu6q6S*jM+gw?Id=>dob&4vkXJR*~cn_l7y&VRjup}{89Ge9Jgul4Q=b)^OPDpWgY zPCvH7GdO>EA@(y>Qs42D(edf+({sevG)6XYz%`A>&th{r4)EzNiQO@?dq25;Onsh5 z?w)6E8r)@*)C0TxH=KQYKJIZ!&57Thv6+(A z-)R4iBgiWJcp>*VpfZK9dEVMH{F91VP|w)XHoCH74G6irIC3oG zoWCgaJX5ZU(;g7TQ+%{izw@}1O2d{|*32evkIQX@UWkTfC!AlfqR}59cV8XSrVRbC zb#V47+$9zB2&`(FT_YfK@eht4S|OnZqStR~TL#6K*G;kWODftF^bXB4TLmROZ)oO- zYan6}2DZV&O9yU;vE-!_TkNUAhA&2s<=^UV&^k6*$5$Vn^9M0C9pnD^lAa@j9OnpbOV2VO7x3F0+*8Y6Cr|pn=8Q1w|d#$jpw3&v=9yh9D%oXO)&u zP*g%IBz#*&NhLHgu6+2rQ%+<5B#Q>bi{R}sEU)jawlK>uBg75cW!4BCWs^=w+v3gQ zf0TwpCIuKY(xq2TYjNJbypuaZfJtL$n}timCtzaZlT+RHd3hfee9K$jb17OsFQ#G} zmEN|DNkB@&CSdR|GP(8L_>|-|;P)(d9~gMP8N(4*q`qPzfm&g2QlH9tQ4guO$0iEv7J zP20rE*`?Ke9sj6~cS}2fH+ppA9FL$;(6h9y^#&wlhNka6{UMNCF*L3Ar0?C8-XtlNwnGLI4o+NwnFCU8f!ypO?;9_gdk47% zZQtRrML;^&ieRBCbUeMXVTTUX+`(8rC`WtpB=~6-tgtTlNBMYWybgdTsokF@L}I`E z*=~2ltZG(Bx=C28M}J{2sKQDboiGA8$~3aJ&THqhxY4isEUNd>a56m0fDMW zctr+kC({HbB*7&c@=y58hWbyajYJh)!azt=8YB#vM7gg=Mn*?J?(hF&yFN>SggKC{ zFb6Ulsv#GUvmi+16#fXfi=aU$c9wtnNBoJ8fBBE=8rII7Ij&J+NE~5dZC&WJHh{;R zby=IhC`i=3HA1b7;R*w#glt6+vsQ%K{H(v*3f{^4&H>@!5&zOb!jQ)p6orgSNJvVr zs;-AEGiN7xQ9%C7a1D=p(uyE~*$5H@x54l^ib{eE z356IGGFX3R01Le1{=^)>c-1p8B&_m=xvF(2^w`+kg4Y3UW)M6=^oW9ipW#ePa9Kt@ zT~|yHtX0%lYei^KB~_>-OjuL}^`GBR(U;^Da8SZ$FBR&887+!Dq9`j9Gt0$)sf+)V z#V;TTA|HTYo&_AVBFIe>1W|&=-GT$Mer4EFg$g`4yT=gvqi{crI&gEtK|ygiye)#r zeNc5({y}HBALgu{C9OWjT1Y@cx=_?I?<0)2L_>NOPI+Mg(!VK0{*zC_f4%De4ZJL* zxaTMa_>}2y>9Ovhao@CTa@)GUd3H_FP2Jv{9%$`J5%8$YR^QNO zW*=X8NYwOmj$zDF&8MoTTu~r9a|f_9j4#qo#WTgo{ydPWsLe46Gp-Pcf}M^Wxs0y^ z#V7!Is6W9R`*JN&1IbtS7;d_OHy@II9geK5yo=2=>v7))`TiHvMny!fONleHyv^~V z_tLBR&d(lpTufb3D``!c2SQAPWKw<(34F=ynI~0zDeq}~+m8?1oTtI`KDc=kQk*>M zxtuo{8*7O@V%P4nb?a1k;tMcQUy2vlm~pDKqf-LsV`O*kA+!X;iac64k?Ib)xJxr% zm4&jNkS5NBy6zDGm%)K;_WSm7J4;$^cU)PlA52*%raD(s`Xz9gJ833eGYW*9I$J6e* zjkTQDdJ$mGB)PDlfP09tH-AkJJeLUZNg?M!r zo{Ojaq@4axroUw)5p651f$!ot zD-}lFYj3@CPiNj*O+OK~(7Qa!rtwyJhPA$8-2}9?V6x^qq-EV&cK#_H=6Wd+7mwoL zTf0mA4maM>f4kv+EP<*XWc;dOmY_~xIzCpyVqgIR&iW$sU^`sf$*g0#TNM* z>-s*eb&i9@GP;22<#?S_H$6UwO_d^lAcdoJ{adk?_n?Q#yL|T*X6K0<<7aUfLu-RG zs;CUiLI8za(AdTcs%!<$)n6`Ex*Q^J!t9g>CS6XBnszox8!rG_yg1LO=*_EG7D(S` z(V^#SYP3Jb3TH=)o&=iac zo|{QI5ut0<3odrvJxxEsJVST2_u8v4jAy*0<^+5Pz7N06rLKt7-^XqqroY3b4X_mA z+ZbkP{e1X%S%L_+IlCfmtPW(gZvOIGH+c{$7z#RcUkC_3X>4P+IlB`?oLuCoj?0cjiyah5x~w|Mqo>Q>-=ke-<5$RAlZH zwi3eSe<7HfTF^)E7yJicrQl!jAAr7zzhY2O{Sy9j{R;*K@a^DVF(}yHf&F}c!JvSQ zoZ_z-6u>N+zhcmT2xi4XKC0#pBFie&sv5O=iCWd5JTU*$i9bj%m%m@g72o0599vV9 zHo1E(=*Eyn2J8u)p>O_(*R&NacKi)a66WG$e zv;hjg(z@EZ_p~p$TJ+Dqeqg8jz*4 z+W;6|YEl=11bLnY;2P(!Y~ zfOoR6YLHSt(HM!-7DF@3QI*Q1;eWrn!g!=p8Z5GjlY6#rbBV<9pVg$-Hg|PYFeEyy`>=*t$kL(vk`pxQ$+>dv6>p#_NsYd&OHQjms){D2d ziCR>r_FZtN@1AZJ)~gl{@?2V;sHh#I1*z0?*H!P^)=itddtyiBp)6~K@60i~MY}SS zm?w&U;1ATdYOmW2zN*B_p^-DF_4<(IGM94jT-$u$&1oawG|xHkc}nN7kB-oYxH|e! zu)nLe`d*@n4*C;Cqd>a{U1L3I;Cgy`k8`MRyJkb|Idnm%lS#hx{%6AUX&Jq(8xq!c zz5qOG>zT(?M-CMf1uDzEqtb>_m6g7qv+m3lw`V#({Ur}7Ww@AslKG^R_0>nD^1l-L z7LUKun?I*D7QnPq&E*>ha$YCnB?vITtiLR8eIwqM%=L}l?XbdB4{3@WcA8&I{k$6 zI*yFUGgh!4#C?)nDph8vR9Z+9FrRC60tGUv5pEOK}oUUpDR2f4aJWzKW* ziLAa~<2$o^CALKS3WfB(a)j7Vow^jbv4K9dT&;xEraSCJc9>-INBnB(EyvO_Ez#d! zqV*wpTxDRYIh*VnrpLX9jlh@nuubeDU%Y=sQILObD``;1SW6c;-J`zX_mZn_;9l@m zohbU@b43F9`NK^NJS{H@#~@ZrQ${I0Sat=Nt3tdK5Iy}mVqe(5$-wp*&uYG-Qo98p>N=zG-0VRh9d&fDpyRy2^&B$x|?1n%=K+w(p)<;jiM7f4H>0nWW zU{r%Fv_o7;{;V6=ZNi1XeeYKTy^+<_xZUj%K|2pdN2V)r(p^~{`;AXbI)7f+1#(pJ z+wspMZ&ZMD(%G_M{MY=a&4W*@IBY|o{=8ZKMH?6enGn4FL85HCpy_p3IWIW$T;W~# zWhr@WTGz0{uN`{8U$(0x!Kcaoo0iT2YqQhb6h0p3you-?eT04$GMfY94DV?%`VJH)m1+*DsCz7%bS7ue(TM( z4XN+_)09pj%53W8pN~K3dNy3#0p(dWWjDs03Q|ML1ASE8vmWHuxEKlCoiy%v-W6dm zXAK5_J3kiXI^UQzH%}{W&Q&JT-jW-G|5-MMmG-Fc5w0J!*?xVUj?-FE^8o}de4Y3~ zbx7A~^+OE%EyY}g|J#-GVCI9XTf%yQL&2Is#1n>_!`IMj(cfj>?!CaG^qwYR_6tB` zzNHpaFo#ZlNy9icbNxFS>3K2h$7!FAsIFOtMr3sXOd#cO!7-|A7hPQ4#XG#acY##P z@H4f{QL(0`13Rn)TQ{ckITW^!75-+Mjz0LY^R~^yoYA9fw+ji(8ivL79|0l#MR{w_ zn)#S{4v)=?Rz1Y&)ty>PBg$DPkdd9DzV_oyld)~K5 zr=vuS#zd?FF57$gGXyKW8fQ(is$C#u(Q#@rakC*6XpKx`_V6na1&)N$5nUVx?j1ez z#MDLA%k(zsvkS}GckP`$Qfq&Zrh;*%8+zf5EpHXWVkSPV?9w!NfGZ>-vbB41^%S32$H05wn9I~9ASw0poq`=qVW-ff98mwkXZ;hs zTvc(+FN<6l5VY&dz^nU#HV&It)T8jt7CwkMvUB@(fwgUdFtz;~tLeS6s=i4q!8>;M z{i}y}4oPT%r2buptm1cS+UX7bBi}`DT1OYWe!nZ9@s+vv6rGTs1;kapo4>#=IPmcs zw<_L@pt2(QCw+@ccQY_j3pySXnx8>wh ziP-rCC^@)p-%HMz(l_*Y)Y#s$M!=^Z{zgRCAfRDs?TGTK9I$iB$f|zb*)v1La8>3; zXxQ6nJR%ugmz3<56MA%+=mxMKMw8r+(N0yts;vEKkJ8rF!>78J!{ZG$lfpLokC-ap zEw;;1$M3TM6N0WAdS#b%PtL4MJNl-zbZ*^x6k65<`ua!Di(Zime3mjivwe)Fl9E;5 zIfpN;?BY}0OKOz<9(*AYm$Q4h!y+!_*Y#E)yGC8)h6Z=1M3;|jx-fw^<@__Kh zH-`%@f_HcEloPTzM6`m^cF>6#ZyN>%heajA4A@4(%fco$UfoMntkPEYUcCfz`X1qx zbu;t7Nm%&q0ME*{AtTyChL6c!HP5KLth!fxObmE(XE@buyq0X- ze6z5G@-Ox8k~|s_a5dNV$5x;EBxVO5#di-({Ghm3(n!J?%graJV*WHLGqUpd_VUa2nIbRVdRlf(6ry&LjyJaXtMrcP{%$pU9{}3dcOO*8hTD+ z?*A!Y-|#tUGOknEZ~TV;-qytA`wcVRm(x^sUq>I%aU) z)GniKa%KqwToROc7@Lq$xh9}6fl<`8e}uupA!`I;GSC30glY$mqa$MALG$evF?+Pg+=`!K@>D*xoeK6Fay~^f@?27Rsq@%+z%Y+4Br36Q zY(*+Cskpj!{L?i}ow)R@xvvCFysGNjPUjcCvzXWfcMh+Tp1)iD6Nu+^^$ji&QQH>` z7eGQ8aFz~<6hj145`f@Adp7iEqg0Zu2srEHfwtKyXqc^Qf^SoyJ^N2Q}{S;LMVJ`7+9t&B?WZAXwa}!GmIDysZer7l0uAaGrmf zc~C%BL_|#7;O@UfkuY8#4hu|F3y-BKAh;I{AtW5oll?3b9vlVdM50>}BnDoH%|@{Q zkwj)ANFvOCOioFIcP=-tpzaJ$wwNIFtuRmj50ZrSG@a0k{_fp>w_}??DI`2{D;vRr zW^8E3h8K2GO255U1leyz$j|aGp~~U}InVf~kA@ktNQ$%K_=`{u3Hc)zuOh6WfkiYZ zYN-(b)sS$KKWa#LK_>vg(nSqDle1bLhTC`U*qmiQ2BK7uPzDKmXu`sv@-w2mvMQM$ zc?rL-2K8{gg45K(8R`}g7~=>p)SDmx${*h}K=#_w)-kaFdjL@E8>M`N)lO&HN8B^{ zBZ@rw-^1P_AVIaqXCpXg;E|G&3NnAqR!nACgFD zMgQYP4+wY?n3e(2cR`>LQoMvH6P3dOiR&vEc`ydGxA3ruY>55gGSv#He*l7kqW%_! z@DJ)N?qIfeK@*i>dWr`Xy$h#&h?T&?Dw;L(!YRf76g2t&Ak=dHRAu>}kJ|tC$y{>h zFNNbz9BAnGt`Dy~Ug#NJ)~xdKs>Ak0yhfFUk_5Jb(G($pyVMd+uU^@o;bKnQr&^Xv zKNG#-W$48y@6`%^TCJCYWZq4Y-*4(@rL@!hZ(br(FQfT6c#(!|0KcD&dc%f`44k<2 zH3-vfXN*68fB%#DMN0BF%~FIl*^FuX6^|-0_HF>on`s&=LCth}IuF|;FSio8neI77 zH`eEA#rM^0ks2)lJyFA1?D&t3R0mkK`bBkzM+=Vzf6xt}HHT7`R--FUgTsPf*C=V4 z_TFaDjDH*F3Ld^3Jhyh*@F@C4<{gV`MOh$<^ltJJyNriKUn;ka0y~kJF828c41(I` zzbP?fqo*^$Xhbd6j0Gk|`twYjD3VEX?VA1!oPwgnBJ|+)>O_Yfz)+JSlaPJTb#dU{ z4YIg-9i~FIouNmVn3;M}qE(cwtzhCbLwcgXaTN{cy&iiU$(D)l*o6R~lnN3j}LEmpld9OSQu~(gPi1TLF}Ss4mr+sgo%nLs>QH^kRSvulH__b7$Q>k)!f z){pNEMB3jmj%I<-hgc~e!lia>w=- z^+GJJ0a)I%D}}8VI1Z^d1u9xi!ry=41Nl`(rke#`D(vuJ*S1eS3mIvE-`Qh~UE1%(-3^-VJO9KP(H~c=eqHTTeqs8{J=ceg zo}kO;#l@nlCMAl31->3#68%EuETpLyk@%(%#*-{A(l(%&c8qDH7QH{@72f^a>3QSv zC}9PLl9WPNTjAJ4Q{6pKqjO5L_J}S^`b#u|9Yf_lzSG6cm#xAOAwVafcfwi&JSOgK z$$r8Xyx7X65a4E~S|?A}RJ^x0Wwu}L8T!>X3)BQ&9W7PI2jaBR(+6#)eVSgD07glJI_KY-93UHk$^>3>Y&ZOBuWK zy^opX=Z7+`7NJ&0Ikv(KOKhGCdkU}^wVc}JhmZ0jJ>yP>-6i6$z8w*1GR~8)%w%kj z^|Ll@W`VeX(ojxOFw43q`krXH@d2SR35_TC`Xma-wJFx@*#i7i_aBW9oZhP73rp}X zebP_Z-CeNA$dA*yCH7*ux4Z#djXQ6(n^KtnigBv^_O83T@{(}c+}4O51*wl>QC3?4 z5ZHPcX5Z~>z7vMEqdBQa^1m^orkwR18TSNs!i|qT=*qK7_id$jmJ9O`Rcy zDpL}RZf}j))%zAi$(11T($Dj)7WU?KVHLkOFIy-@P&ZxJCzT-jZMUXTbn+nq_}r2j z*6$qavQ&9~r`Fn^ueIx>Aak-;FYqmnJfi^0f1^y%YGpAd%uJS9F&w9!{YIdoo8 zn|&i#LiL5)tT15i>8*%Re6vf-E`!+e}AW2`cAUO$2l#JvY1Vu6uL_k15 zf|3*zB?zKKK~bU-CFdYnkR;#`L_k0UlnnQ6{C{`v^XKo?$B{2K-Z*Wsn# zzhO}Hdg5O(D1b+hf5o5xwdDRA1_fxW-rq3jKLoQB^9>$UpvbZuT~(l~N=y@cvW`Er zj-Po5FINmKSc+3(x|11;^7gK#wS7Lr%_VK{m0CEz{sJFN6oDTFp**~WwuWPKA^R8^ zBdj>SlWSfhfx?4`O0x?kc{GT{Hvd!{imYAzz|ClfiKRCSd)qJj#Hy9RZ~wh^Kpg_Q zdnT5O$8=i*sEG*#-3L?}u6$BZsTpjg3M7q~W_vIXZg^i0<+Y(%#ZJe~k*7N4Ta0h1 z@^-}SI)BGEUpu3=U!co)@~86G2T|!$YiEtQ1t~6!MPBoH^_6-#5a+J_Ii*^_{&=;( zRP(`g_Pf)v+j|4yCcV!^Bc3}j@{ZY@m_FU94f-!wZH<|^Vf<8T3nR{a>~@Pk@tq>i z+)ZJI#P+_&Zv>W=yb{O=T%-i0u zT5`S$mH2^w-c3|7lx+$>P^JRN)0tWcby67HwkkLXznGduex{Ui?9pTk zpaxa4Gr9cMOlB2UD;%5`#akF`Efe$PQcLf9_0Knl0O3r$XFGxUNq6WEkKU0e+Wb%VlX#HB9}^U;;Q>&9M89+ye(YM^FT@~ASMtUPMonlI`z2}cBkC1N@Qk#yPN_TXJH5D7@N4(L!dw*&UG8N6 z2ct0$vR$jwVnIHSnvrqIfH&WDKU(GQI_jqelbA;gZBM5Bo*cfzdbbW#ZbvKc_}%R8U842InU-D`|G98m-Pu%c zB7n_MPCUI8aQT+FKZ~YSzrp73bnoxgK7=ld7lqXPeUT0JmG4wt z-1`}D?YX+9)sp zYCjZwM4Oi{^i@8=^!|L%L6CYIH-`bgCK&2|f9V!krR(|F?-w6t5raWeY3lTCo-b&*(`mf65A1R)hv;TYhb~bZrqfPJ%FTKRd_3AR^qi_piN_|5 zGyzdeDV=W;Ckp#*a!XZ+buAO5sfD`vRx8ozKyTzanE|CPL;X!62o>-cy^p0g({X8>=( zZv80NJlWlp9x^+vGWF{A^q=Lw@P#Gv4w&YN0Vj|RdfU+cp?{Ky*78Yv&o1$a8&QKt z_>!LYsQJ!&+zfgTTBq6hCCvH5>uDto`=)p!e-(a^m;ee*+iWU{Sm7C~Ks#ZBG6(86 zOSi?Xwt4!zY2$A*6mh1TSoIE5imKZBfXapy+qX@FlQZ*-$_}@Ki|25uIm8=Qw(&`# zYMMVWaRW0;mm5C8_ljr9cx-NmK8Iw6h~tt+%V}IW$0B5PMvq{-`v9Ed6w~_s;>RR*w+4qZ7Rqss~|NcB|c@k{{`sd_J5p3 zn5}Q7=29s53}9A%-MkJmKbmy}MLePl%@Q+QQq0`mKUdp0>zU9sx=6?

    633LaICQ z&N=%>$G$B7Bx>gtHA;ETyb%v5TiCgAh{;`oq3WRxZG9U|!ae;WI_A~tOv7>u#iCXp zp0X0z<5N>Bmw^Qqp_J72K2EW7JLMBNL{wa&GWF9|3Aw+phy;|c+Qxz0wjEBR%eJX6 zEBgmO?MqrXl-GCnPvKFq^PkmkVRH`vW=;*mxcDTDMke+d*+qNgXD#BZu)oY~n!gH& zTYeZE4!{AiQs?v!p2WM)P6~!U=2>=q#Ctv=R^XdW!q9<*)opOc$nkaxEyEd&_?PcG zzbvk8k>p3^k4ck>BIEa&0{2A6KVs9mzQk9pj?N$mBcZ9>lMdHdpW;Jx0n#ogcW z>cKB8F<;)6HP4p$rv}cSan7Y)w96_ zIAJjKog*Z-Y~YKVuMd2gPIblEy>92h z%ZfK7hW-cmfWs^nJ$1@@>0U=?&MWwULKLNAB9c#GWRIdp4Y+QQ{m@k<_AA|bc)dX)QiOw%PGw_z+KzM!Uej|_w$ayoX` z^1HR8OIQ6y4wbI+CRMiLIQ59UM5U2aU%)@sebY0L5iKlX;ln~jl29LsnnRM1Fu^z) zR2@l)g2!VN2St%+LGp;wEa?!aiL^#=WnsxdR3r}z)kLCZn9vGS#m?FJIFbexL!xp> zMraa=!bcAXA3Z(2eSPoTO-e?&B7NY&e$=)1tF; zp-CiEL>>=lLs4WudhGjYcyxSo8%iPf;4kf?bnd_!!8=6jC3$ONH~@)Athr9=aNxJNLUa(!inq#1hH;Fh_0dq zJt1vuVN*M}g#ZPS4zL{E+^+kg9P;6#V<99og-nF?gaD3WCnv)|>L1c<%0XqjTRI^0#pO}hr03!2nfS778o)Q!)H+O23lrh=FkJv;*w1W z8aWes2i>~6utykN!qKh~AqWzQK@cP=1EE7F(eEA8NVuD?{zxE8%95&l8mJ(5{3H5o z7#o{79=o=N+Q$Z{eQZGR{@_QrS*RQ2!eRr$f=VBcwU1Ez2QG>nJ;i3VTiwy`4Dtv4}5bY3z9-Tc$W4{fIV8oLT z>H~0WZ~!d_0$?4}#-QK~RPvYu>*dRruX54dd<~y{Je1(S$-^M%92&f>AOis?euVay zu!`S8DauDQ=KHeljCB$^$6 zrV@`&!~SQ*X`^4MnqpZ}IA(;n=*Sv>!F+FR93EKv9 zO)o#|tj-5zT@NUnFj@1HdeCnLf()2HW4A?0aMD*Nr!52wHs0>`u@v_IbyYoW4=*Gg z8<>^tt}yW2KizW4yLKYWjuwz5YRDx^ic?rTl39N@E#$P@WWuA%qeVe0vzx}7n5ZH-m z*&Yv=m~wZ25=(tT^R~=ukv!E!k9i_-dE!=i zmFJB#cB_gCd8`rnqxSs+=6&B{uQ`L3(HT$~mH0|M&?2MXUZLk=?!i~w4O5l{jA)|% z?6?~}cB>K4jF>Fj*!i-{zVVQv*Z9)=^@!H&Qz7hRhgqzEC9&K09DclROa|I0-8uU8zOW6H-U*PjIBjFyA!m43_R;l=Y-&R< z&kjuj>~LAED`sz5p5A|RO0k!m{}QnV)_I9D)PgYp%R}*LIn1oUkgdhTF-Fef+aG(cglI`TExp^t3#9J?CrL~8 zhTl}vL?OcJnD6gwG4Jd>-tJDHUOM5E?eJ7V5abk>*RX8iBv3Mb*fe}pQ0w|UD1oZ# zE~lmA6Avcg-ee%yr8F|~;_RNU_)*8u&7tHBg{jepgUM<|mVGL!B6BT zQr3_ueNRWq27JHtr2T=-OiF6Bw>>^17|Y@QUaK0lR4#i)Xp5}4 zn&kU*7*JyQ-N4%4HwU3GSE@yed`w~nAgYcc^vx@54!e^GVrVv=9{;GJNZ1o&94hgicW9T0j3#$n`zS`yH8K;b z3%2`Z9$UW4_Zm@jGNv2j-XF51rX-d;;%9OAB;fO|(Htym-YVydPUCMeds8T-RpY@( z$(d9wd`p=v6SvU2{+;n;LZ8Z8AwV81MJ z5ZHBvv_OjH#a`t(t4^MbL}FDfEkk+}5mTJrlR$T0KjnoBM_m|s%wr2{rM)H&A@4L{ zP5s0H8x^EZqZ7p0U~w&wn|W|>4m$T|p7{9)>y}_#%SBEXE#@QN4|yjEw?&Q5x{B)h8u1cBt{tW$@Q`T=11^_J=4pWHf{ZaBkJzs`=11lcpe0?G3ub2lc zTbTq5uR@vcw=@py4l14}Je1>-+&$>Uxq~GoFm~@-gDl7Bzp+W#jsNC-`2eaY|LuP0 zz4kYdtH)UFzu0-4KVq1G#|HmdcKN?VjCc3+{+0NF<(1XH5urURp_c3UDcqgT1+tVZ{+f` zR->M>H}- z$DAjG+Q5`y_phMJo|FK#!QYyAS5kt=e(aA+y7W-&7~B7p9X`~6 zS$KA79{>elR685uY4gn4XLE;g6z4&0)RJCm#YL8b@~=MPmp+ztfi}AG8@4G_d?^95 zh!xC^h&-Q^=>T2yR&g#(og}-J771&<%zQ>i6dD^MMBeLG;9*ovcov@<{%THKm+CahV0cXH zrq=^{-nmDEHi&4%0+_-&v*r-mrZ^_Im6P{X)>BIS^oRb7R(>6=zdW0Y*A76dRZ@K_ z=j<8f$>B5#N6;A)SB9U^NjH)rHbZ*d&h7-*5()?lz^7y>Rm?y4R+8_^)FquI=nWTD zAEFj@gCCC{JbKcz`}6J#rU%_mI!g7&uA2R5;AU}lxUA!u_YN=iK@Z5syng(7tMgU5 zMjXuYd{y@$QckM~ryXlLJNfLYk#IEdF)vvqmB{+#m>I;Ov>?8<+xvF&>d(W>s#+dn zGVyE~khI0f(DvDi{Kw_-S+V;TIZ^aqeBB#udtOQDSIg~(XMj&pe&bh2oVeJClGVP9 zG}QZFqy6CN`aA<~&vV2~yO04S=KVT##xM!%O!NGSv88jAqLjZjUG(!4o_~7qY3xzJ z9niDhC^vAvH*sE@A@Auh50A+Hx`ndAGIHoa+Rb{0NDiE`G+wX@(=GN68sL$4yPf^vU}lxwvD@E>d^z4YW?`zWn)eNbTa4bxvK%`@lDs zj`}RNL~g+P!fQ=~8S>!H%%L66ay;!>SIK;NVy&Kih?Bm(9fpLeyWKDHl zDoIfRt~7Y+GBIGHTh&G#<0hj0TzC3)78halqaERaye+Iog;Xv-6FqTof!t>C7VCOr z{NQDRIl_IincF+HrNp)sfrBhOVXJXEfU$k+#WwesPrdbF{L?$~-cxSEvLW-gm>Hhi zZt06~TY%=K%p%6KMp4WuUqTzSZ$9WKN#!suk-aXc*gdRtr(F+xz9r5$AX-{t^eExm zggfoo0PZacZi@;|X1}d@+-wUf5ViLuaD0hN^RvL94d>M4a_^uAU*NWDXH0W;OkNy+ zIbhVdn$don#ARnt#oO<3{wKyk(sv3v{x+okJ`XXQ)cYWwBiD$&Am?V{zRHhr#Xufx zwikVeT_xK^N^PNZw?3W+$sa7W*YB>Y3iDR(J|DYLcG#s&_BTiYe)&q~-K~roU+FJ~_)H?dBH|^P~mLtLD~xC8o;0=;)Vo&LU~~+?BhpDCTzf zLcbV2epFI(1?2tOz8X{6`4bU|%%kS@U{W0!C8117csjr)9j9q)t^ypU$Rq-Kwc)^? zf@R#)cOoj|*yQYQSX_b^+@qeR&98zr8V~<_F^`iob9)#(m+%?vzS?L0Ja?&Kkz#1Z zUeeJWbSQ*rY{@${r?f8dHu`aS<+!Xmx`xf+i0m9Zpw<}C2A3{p6cJF-D4K?^ow{IZ zaraTev-HV5GJ$i!&pxj1o#X>v*`;k{0_P3PbNa@<%&J=Ycl4i7H?RD@F6n)@yoQJd z1P+bi#nJu}tXagePv6p8!y*^fRJIDbYe6a;9>2mX4Wi4MdG8H;Ij38-K+VkW8k|;L zKStu}|L}D|C+T^!%tBDtJw+fWpHMLLm0t8h>K2qY$rw&;myrRNyHWj7uZ{qLkfv$e zt`zkd=?O3Y!xL)BJ%jW?BP)1jkB6mA_6`$(sLUppU3A?Mp;{3Q{nfa#UNUJNBM1NB z4kAHy&0Be8ppJ>_v_xcF?(o>Rtz9+|o1o0>rZ4!^EElYHfJoZ%WpHn7W-WnA!Ye%E zqy=8BUHv&38YZqbVl%>i+%x9b)WSgFnx$v>(>E=jR|6v++si9m_EFU*Y<_k#Dc#j( zKz>#qI5@o|WMH|Onx4y`s^cDB-M-AhFKHHBy0U*lR6<@m9u$o)t9TYv%q?-NJ52B2 zifr9E!e)-Yn(?hjK+rSudO|G`)dKg;i)x^Usq*)B6BM~AbjSbAfdGF+ZOdnz@?d-RZd209xNlT zsB^1tf%D}ADOY&bA`m?*TQiM!Q`6JquyBZwO_5PS%Nk25yKDH{E}vxh{A{}59rG1|kAr%XIl$fz3>h^d7 zU)kw)$*ss3kd)QCip^%@U)Q&AQZq2fJK;dTH?)&h#OU>Tt$;QKE0C4{flhQj==}g) zc=r40z3s#{um0VQr)RyB_J~=G?BhXJ&amtA(%N5SbnM13j%thkq_r*gOM%P$JMhgEG|`l0Dl3sU#}?>DcWa7Z2wth5?+1sKyCWQQdF)f4^+PjgDA z1JelGJ*}* z&#S20yTz5y?$H|DSi>jc4264Ik3%SbHGK5TPx1`#rh}AMH^4A5M%=dGTWdGoWez%< zRCa|5y}QIOl_g2(Ae8y7u41Z@dS$bLshI7t&4Q1IMuae(9}6Ed}PjTOyAq zXULjce)lsKV2dgj-M|-oip!_s`Yf+V=yq~O@gQbp>xlRShh%cc6xcb$CY96nY@YbG zxN^XCTJWl!%f038JtBpmKS?xX;ef@NnH<)UZZs_%$-jIF0~^+B8)52!)SB$4XDgh{ite?Cl*K956x(iJ%%tTv&KS?CiY!F!uUb^a%S1 zp?!oipy<&WnnGd_1P|gzF)?v#1fY}X=qNgBizzK5CwB=2kua48(nniZ_S7hIbaX!^ zj(@^vVjng9zq&^x9zGEXXeEXqSWl8*Lr5W?K6?&#EvxE}9b^Mq&t)+xJIFA! zpb|17f(7Q$94CK6LF6AvWXyxu{QS4*9Ck^mHL6~K&t^INq5^h=l1LnA2>JfK5K>)n z-baoCT3|LcPan%6kHwH7Xx2Q0k#Gw8PXG^!A9rY>AOx)wuoy51f-q(v2vY_^^dCCN z5SYFbf}r>n<*#fUT#8T%sd_w&{l91-Nud*_uC9TJH#&Rmn}Og#w^axu;TQgB1)$vn zVYA!T$VsSwL=}+#45EkL4U0^F^(q%;&ZCmze3&P7ocvu>^saL74}cuYIJP`MKEnnR z4G1y`_Z{~b>%$*lG7tbq(2wmeXJ@}IuHZxK1ET-rcz{|SP*KsG5QeN9rTMTRg_M>- z|IV^ua&{LGc{^nc78V6Mcn(qiANH!Mam`K&%iZ0MAMps|BZ03Yl(0U6K4#DCSnb#| zu#j}PB^l^nArpfjI9XX)1DJLM`3S>$5M&Uui6BEzhKV4bFgeIEZ9G0>{{KOXi7@?h z_Wf_?=6_XUO6smmkF@#G_^Ro;VmNt{JO@AhR_L|9cR$g7CHl@Z1whWm=X7WqjWu}r za4S$~PSyEIuFF+$n+`Yq{%t4L=K^e%a528BHxo^^@Z*)mXJrUj#4Znik$4u_&KB$L zUoZh;kU07^g7vGd?_LyU%3tVC)T#ra5$u%ZMpz&nHzLS;UG3nk1eGFdVI56S&k z>TS(8T$&fSo}Areg|R&ytBmy2@q!h~?KmAFzk>M^N~yAL%dDA5i{;xhKLo8*r}}SY z$k-b2y`=F|@%Lw~)NpUuqZ{x!mzsI)^DhigIAfB#GZ^w~5*r`tBYC-UXL+8eaZymI}Hm*&dB1;d+!w!(V!!+`IE1T#?eA#uW&@i$|$JbP26#iXZp^^0L znBH5!JG`Rg+7*>k1|Hd|O)ZT-HA#J9FE51F(VruCD7a$S0^S$M81SThwKwE&*xD~u zDS9Z8O+09Y47~eXF!Ae(y9MZqb|G8imt_gkh#N4+*;(pr7|k}?hYs3I{9KX6VQ%1R z=iZ@w%~D&#GMklOcS{0wLDJ>+vvsR@Rui%JO!{QN3;gqGcA<-;slsxtjZ^4goBeaU!>kV)V^*+ZCj z;cNH;{#0pc!SHKR7AQ z)$8G|+tcUhfhXr~6#l7b=XB>8-j%f3L0m05T5U#?xtvL3vkAh5M6hN`%qB>OC2yxE zm9G%R;}MYad#I$yt5NCA*TPQB=YH@axawkV{CeJJn^j(!k7_u(?tX964xiZ5(+Y%p z=d_A~DH5du-*lvy*>)eEp%=uH)3J;`8j=gK57bZ zo^jw}4^i){iACjEd{PhQ3jX1*&m>!KCV2U)O%_N8KPq$izrJX`9{pNhe|5LSBJOsN z|JY-MXVP4y92fr)DUe~pZr#Z-nif7)w%h6Cd}Bh%UDQt@JpVc8rHpgLZ9_l`(Oy2H zuokuaVqRcz&n`jcRZZJt*8Gb?vqi5=bch!~k8++qEun`T?=1;h-KemOvBDTp^$+?B zm*lj~vGMhYJ8(bA{mIsCJ8zCLS^Vlt$ZSFdS!}SZ)epnTU0Cwms*mJ)537` zTeUVjxJ>rBh^%kTls_D|)?oM(tKDt4mwqIRqTT!T*GpPC$64g4x@FQ&VO^$t>o*@9 zRi3afo7LVfNTpkX1o~F|mv_VC_vimBO3ZoqF#!J|y>KNDFDd?&2!hvd^8QK$K_|Q@ z{5LWR7SRv+zmQSr2t@K%G75O%_2R#gQJ`^A^RHwSIA4QFiGLxZ|3J-Jj2jvp2q|Vg zx@tgIjp(WgBLknY<4>+XADjp{Vt#Tu#S}^GzIG6DdW-F6Xq4)V=D``hqq;kv-cSF0 z1yY99^d(s-`7GWGNmOa(gj(JdEWYP5`9zi_n!p8nQWju%9TzC-`;9H-)Ljy*Yw~Va zoL9@eLydW~q*vt~=AZ?VV;Z20aqQzM^^9@sxX`nkqJ#rn1#_i11*0~_uR`uX@IqauF^HS;W1R)i@~mu&T~gqh zB_-~0t0gCk{e4TzooVpl&B|sUoYWX+FkSGRLwB?;r#vcMy-kJU9)~;i>8-_Stn%A5 zD;jr=`@rjcPt4#3^E2ff)ibj{F7khkoVhQ?*E_0Amm*)beb)wPw^%myQLjv|$~NR% zmnLz1vt;|6JIC&8*JXE&bn1W>e8xE{Oyn2glSmNNK(2YKou*_`y!)mrx1fN^oQ|*;`lmM3YmscbtkOjUr9MCr-y^ADmm>rEGtTOm_(C)iKjWuP{!CFSTzCu-&gfnP6D z1#BE_Slv1u9Cj7EYqaNmQ`eZgyY73cyTeEQWZ;`-l%U-ipF7|&$-T-|-6q-xQv)CB zM{p+3|2Wy`H<$`2xUeXRj7DC$?8(-SpW)BH^y|X!>Fd(e8JWW4%ufOqL5s2Xz@eF_ zF=IpWtIfF3aXc61;ost%oQ)M#PRSklO@QLL4-m>X>hz*3P;m%$ER*J zUoS3@`HSDMy-sz{XZl`wI_Za`T%bd@n{!u$yV*>J^$XiH?QX`Mm&>b6&u6jg-Y|dk zwQ&a2%Mv-$n=ZHaX$1TS$q%m|JnQH*{>@Qj&!e+leaKGT_^xbfEZN2`=BPPl(E5O^;=^InJv^JZ{)0eL$_v}4ucqeW79uayqxiA^xVuyeW zetK5QgjCNCO;fiP)hH6w{S@vH&h(xJ(z|T}R`2@(d4aL1I889__J?ljrqT`xTb2il zBcbwnrM?bq+xN1zLCQKutBXHf+%0RRC(91;A18QZgWRZFs2+E6oRXJ5ivd*lqRKsM zlcP)2mJ{Brku4+3n2W^sc8UW8PxHqS;N$=V=cB2wIh{;SJ*Ms`i$V7+#qT*S5sSr` z+lg|$uAR%^{8LA5>NYVg*1R{kIoddHmKVD+T50-e*(u+w&*{i+fl7q+JA>(*(dAPY z^O*Gt&Id@-ofcd!eAm0(y-X0~6ARpK%3V(O4H#-&fb;si*lve6ei&KUes5c>`Fh$% zBjG+sa{eg(tl(Thv(c~xe&zb>C=vT+*SF7-v+oZPi=8Ft0IOn$7rxJkH<}uV)-2cF zdnsjxZ+e$6Xoq_{gfDKr?m`iEst50Jj#*AzQ7uVY6+$?@K%euYj3N@*CQ>qiFiF@JCfzmcTxaVQ@;$``%CE zYZMap?#*X}MFVp3dw0pGICVWgt{nlq)X|H!*+m~m<_P#gUcNDMcmTt4?#JWOL}n}% zm-f$qkA9(tSuTdHREuYx$;g|>jNg7PC7X!t?i+W;ERR`D7widZ4NOk1o6bU~hDkR5 zn?KYZrH(VnU#a}ygCbJPK8;QN77*6=3{HO8@o8xd2)^suBclX* zhPK{^SR!#b747B~bS^>Zg&&C{-@I%4z7bR2aiPy2l&;dBw0UyD=-Vp3Gmd}TAeGIP z!QTh?mu?h(WcCWV7Y|A+T8F-^GoDp{kT$o4byCd8KB{YUoLb%FUd`AK0#|ZiP&&Va z;M1~8o2E`NoIGiK`(ANL4c*1T!e!cv?(cR9LHx_{X$~Rdpbfr)wsRZFMffN9)K6PB zXX6UVD{k1vYJ&8_&XH|GR&^7r`<3-g<8zBASp_A{le+oERQy7VrslyimXh^LJW2+o zMFAsIiia}y^HZl=(9pjdw!9ki5jiC!1s+dvtGW3{mvjtHe*dva zaaz?PIHr_<@v@6gaN5FmQd+v0|8 zdz@YFRJO76Jt&SN)L?~Y@mhlvBbCI#NW2~Z< zj!BLIMb%?R02_~j_MGwaws|5RVHIop_sq5*%G`le^#-o&`*oG?N#5g>0`?yS;F{c^_B!a@@R)N1ILM$Wb7x zVh9OPGBJD6w1-DP#~>L}*f_tuPe?DPawVytw3S)Q(A2XL;NS2GIKm@g}O{`7-eLP}0WJ+686R>>&l z5ZA!b+pntjWaBP;fp-Dv3cT%3EW>p|{Pc*O^ z8%y<3#Uo5Zfl9?z$cgaU&}xS_b-)cqwVfzt?d}^`SUeU!9?Kq4`$yFBa))vUdR^`# zSpN#0Vdp?m6DRy_t_B3*hEhtHca0Wr27;V{3=DO<6cvYJMP(pRe-=D8AY>3V9w+bop^dN`I%j@7Xnvfw1MNKFWEM=rKF-62CXnUW z$0;<(d$>JSkk~;zB+TWkt!w(%2sV6xZzy0}fOmirNMy+x!TXNZGQ1T)1te5^ZfyKU zO(0?YL69eob7+{L67o3t``G>xz{t4s=j9ZX{uM`~2AiqFMZ+4ZA<;+BgkNaEKO5=- zc`S!S1(6WF!eKQyYVPTK>kohogr=)-@)}~tJ5kxM&_eB2A#{ZG2DZq9J@Ye;b&yCQ z{JID}34fA!-oirFpXd_+?JuiGkL8f)AU6F3I)#nOi_r`olt9iRD0_tMNYK9)DeOZA zcN}^ekdsru=9KUTstg48SQ2TCr1yZ6r-a0h6QCgMM?pVI`k~P(EEGg)Ibu1xgg{dR zw3{0SIVSvgDB-{E0bAWbZ}GH75HD*4z}a(%UIU@00p$BI`w14x_EET>nF(KYv{_kD z2l__{`YN}e@Ysa3XAavFtFONSA%65zCTJs{>uqIXcT?YeyVCZz~WT!cv~)vR>vfs zQo2De)R@6~?OPk(08Hb}SxFox5X;!#*+_a-||lF{Ma*W{kWb@qsg z3g&S&T-jGq0FJG>(VY}{?Q>K?Ja+|l!QrUPXs_yzo>qghff%a*7V*+c!hXj0j zoK2@qfY$J>aS8G9AVDoAC$G>X_lEctyEJa4m)Aat#mL;X#Rj{6_QLO`69b>kSM|sG zHt%0ZCoimD$04}yBVkz6rQom34|iCn~&-CR9B@Uc_$K!qvuBY4Mx4xmmBO%Y?6{;^qob%^&u% zsU;{L0Hf=UyQzI#cXlWH-rCdSaoiS?&Pua1w_SgIa%|?a0~dI&7gf=2c;$y|q)(+# z@E89~ai%q_7s<+*4owuXbNVa*H>v7nmSnPXo@V?Pnl5QZxq$K6=-5+h1NW)qoys$( z0nzs|2FkBY-Hj_QjyE`FUFfX#h2HL9CE*YxH0x0pj)QFX6E4yR50pBs`WyFu8PH)k z9@}Qn*h*6;(u$DPo!$hTw%*RaY!w6S#GP0aUnZ2hp6S_iO;oOQo{FE7jJBT#!%^C| zcD=IC{(j)CzWwdl=QsA$Wzw=KW2E)P!|c74;Xp(E!+V-yaVK1tpAQcU7>HCm z40BmCb7)QaoYf4%Z`-Qj*J{bY>fNB311D${y_JdF7_h&JJ+sabp*0zFPxfRp#b4dy zd(y%eHwxD7Q(y7;;NQW?Zmq35UTRi-b%2m~19yh!LA^C)`8g*r!gs2y8Q;awwc%58 zEBVLVC-wK$?e^&rhdZv(FV%nR0M?&1A%st)tS~AJx?$Hv*)MNM58gS8xlB6G#c2M* zsTIskbO}zpHZ**CpX@BpH&0G6DUYgWS5ioxeX=xcdzDfGyqq6L4Zo9DzcW#InsJ@Q zJ#MvDi$e6m3oIS)yqCxoGEjlT;vnU{7_4CQk}7(ZdbwlIiPv&LVN{($m+)M2)d%oY z?&)`467`(|3i>M!!FY-D{c)9oq6xT}gMIvr%v#G}c)<3Wa&jB-v$(FX#4w!GiE2b; zsTp7vL?t{X{C4IGOC|Cv+dEtWnM_@Ko_gY!xsIV?Zh-DHEyIGZ4+{Rvdmj46OmRG2 zesq+E{_p#5cY!}Q-a!2R+c(TVx=jlBPMWrk`2E{2p5K6bkzn|IB)>wKYf(Kk@Jlf|0oLC#MXDO9HUsqclA#!sObjJrISweyiJb-zrp*y2X}1OkFq?LPnv(KW*qPqeoUEf2F zJ)dBlXWlwfR2PhXe@u>&IXXNK7@7D(w^l3a*3uK4A{L~iIIO%?uD%UR&R&S?czesT z1SIpHijb_?BC)wvfnf}~6FN?HX}~l3x*M+zz3++clN?|{Ch{FMv1*{lmsb}JT>=8K z`vqB(A6g9minSLPE#_1Pw{RWUZ;I;j&fm!JHaIEOf!Y%135s%t%{O4GnX_3x@W7@TLZ{Mk+%s zXB{_fJwx$>a+y4Mmbs{~<%K?>nQdb)GXPwr6Lpfl|q2V>4mIMcXHm#I8)q6>+} z-UIr=Xxf{tacV2N-(s(BU%jhE)_pJAzxXj>kEE4X1cwG7zxx*N8|Tzl>@T8CEtGb0 z5owQ&jiWkg#`h^NG3z+$1~yiT_uAnYYpl) z*oY2wtOMtlZ|^31FfYnZ?$uwdWA(w?cr36aM~nr?@}3N2u%@{+f)?I{<+?>Dd4Jc`)TS2 zGq3X(6$~P1ndt&;q&0%p+M{PTZ-SlPZzJHv9sl5VZ{}&dn%C2Z>>QjdYKO&xL!HZ( z-^Rz3_$Yn@+O3(A?<4V2$5;uD0B0XY}#S+Tf8>=Xi7;7SM4`Agt(FBt%Nf z)NMkkTA6M$#cMz!1 z=$)3mo!SFQ@n=Niy4ctO{wW(f0p zPb1v!lfYQlN=Rxy&%?DVN*axum-DFsebfsp3C}x`6(V^rYUt9(So`Zow6FDUY#+HC z5s^{~f{i0-*`SohHe7yHmj@{W*tl%+Do&|gW9x)~oBwuh6X>Isl2_MvjZZGd?Bf6~ zT@#zksaas1*QTuRv`SbI0XhZ*yQJ2bkdJ}t4w4P&TV$s$CO_*R#$#?6S@irFN)1|M zAAOTEW^{Ia-8%f)HMO~0Sl`4teG!jL$2+B>e~bvQ^BG$OKglc}#irvijZDe6^)+>{eKPA6*6)K|Tk zZv9E1PUTd}by0_bi3eAbul2(ZFEG8X|Kb}RhmJ)=M$YSxOMFstwXL0`)Z$w4>-$*3 z*4`j)Yo9>Y(kl?Y)<`*I6%?P;HGLstaS8Y|bD*hx+2kGgu(fyS9&aw@l_evrM{l^K zmqe;GBUszRuIo5n+e`&xXm0b_elU)T%N$(|dYqo!J^qt}o9BAX=;yV=;vUY6i<@TcdrlhUYIxx|14^4npL)I4tbKjjv>iV(!Q*EX=o zJ`=4q3NJc5As~1Oq~$hm({wG^N5og3u_@?PoCFvY&mh^V(60+Tnu%cQ&8KwqD{&mm_MuHaVMwc$JUX6 z{k3Q-2mkzv>UJC^L0K*Ln-7Z1K7n}}8AV&);3w%h6@z1o>_T!nhFl6NHd(J1oF{3d z;?uxuD>oA>-rE9aYc}ht?@Lm$fT%7uA)??>%y)5@w*4?r#igAP+>}|PngB;J_W~{M zQ80u@4)Y2b+xtI`PtL&wg2o>2*QCzNUXFP?Jo=TAnP1lFVO)m3nM>sqldMHa4H%ze zJ|iTi9p1CUFOyZ%NGn%Nh;QcdW83Qd@&{v9zfABm_xc7HMU59(K5TX!7Tuh;&VI>l6+tsJ6hj5=*E#K?k>IAp#+?E-uiAcE>FZ;c&VG}OvCOh%aBW^pp z>4;R_rGNm4J{cMoFu0jIVQ8QDG^eDhzH0@C`ds&L>jW_ki&4SArGo?x}fUe1-4;owN%DN?#B2r&{F?dG7C!N~CE+GTG`yji70O?;Q zn2;4sZEQmE;6E0TSlH-*HV!T^Y88o6OI|(!YXlpPW<&NO3>T4*KN3kqECWHrQTij% zfPg;&Npvn9^}{?bD=VjP!RqoA)F<*7SyKI(&PETdU9R8o4u_yJ1i^(_HIL(9&v@7> z0fQh&B3$75vtbRyDxXn&Azs*4Ci|CU_8yj-hw;46VS4 zWmwP~a(#UR)}}QSNut~KI|D(s;5XaoH#?9;L39age}Df7!6!P&29=WR&?b@#RY;=H zl9vh|G(%5JR2vF|TaHJx;Wqt=%MLk?%SOyH5X8IzK`cTL92nJO3A;jOd&Sz?_AZo1 zMnJ2`sHi{6Na%@)V%aApSbv0(ut9kPf`jH{qucWyT|q{PREHoZYhcwvPKhRjH#D?< zn43Gciu^|#2?yp;Lix{#_R2ApB_yMwqqjzIP>CemBu3bX33eob5=j_X!*UEMtr7e` z9PX66fsDbZnVR2>P6Fdyn83(!IB;4|cvRZxaIGK$xKqCK|)z;~x+h{4bU9 zF^hyW5`!QF{{YDsuunGhImm&94yNb6hI`lD3kzNWK*OJouK^6%sZyY?qKPlZmjI59 z4l&$1wCzNs2j= zLYihV^H{b6m?H+PmNm>MR!%=g4J(J{{~T-LVI5%|om@C>P>A(E@zDCClX1sl&_Y|N zIQ3o2a+gP&%Y z4{XEx8AlRi!<%r(2Nyd|>y^!k`;JEgspv(PnSMGEk-QF7e~kh9>Yty7hXn`6rP7k@ zq$p{hgY(4+%EZ@&r_bR&`JyyADRIYe*XiV`t#Q_+jAa$M19jl)S8BCNnKW$ZQ~q3D zGO1QXQ7+3oy z0Au+mxyk;W}w7c`tH3%WcwgRsvrg-ks(?kzqJl-b|+|qAaI5 z`Ko6)lQ=W5D8iiXo4O|0aLnKiIMIrijX!9?81&Im{1X?&h$Q<=f9{LASBqg$;EnpV z63KGprslWNQIq`Lr&EKEhtEBGda>T;$*Zs{udP5XP5^ZrEywVEUcx%_VTrIS zUm>n^tRMB4RvZA7fnvxvnrcrM$=r^26VhAXr5#EJiqgtVm1M8;&Q*T_q!%ZrwM|K% zb&{=&u(#C?s=sWJk|@8gUX@W9bWX{p4lHCbNli&Y8 z%)ND76lPcbMIXrXV`3=<;-mM-pqIZ;z%Rvro>OyUi^Wj>FTGg)(sqFPSb$A zZ?U0xQ~NmeNGjx9dtqt z?}D-Y9-lhj>tR>c;1&F?dx36k(7g z4kE`kggI`mcwSN4U3YQ#osYQ=N7MeKuEdt8c z(`(9r6ailC8+F+YKa+#ssq}L9wCf^1UDHJ~yqk9(M?&`9Gho~VHT}UKH%8ALSRdn| zn^dV`s_LI(m=UiYI>Nmw@(YBRn~oJdJsRyb3hE-hDqcA#;mv*IsF+qVUN3zc*b`=4dh;U4!C>c-I1Tw>{&m6H zw=ErlM-)9VH9G0dUSLt6Kdvo-KdLVr{5V`RyYi`A?+8m8X9^8od89Zxqu{7d} z#I@{~VJ$=Q&n|`6Qbza%H)f)*T&4k%nM|_PSudtsRxP3`cD`K=9jjUQXgKNe@^e!u z)9%v-L9VV&qi=O?*-=;gnu;I($kN8NG}UG$*;N(j@h~EvlkPwgZI0#GF1?BcJ#u^c z?iU4uqL=UcMN}B6>Col>%d6qpE9RM6^M56p@Rbtc%>V9{@@$*`yps7}DP|L-m+%jg z2Uk)s%KNVf5HPc_{uKd&>+ntTZzvR)--C^ce?g%jATa2!C=@`~&Rkv8TO2%FSz#ItOs(l95I;S!IpsMZ0f=+1byJt>Zd4bV1Tx zMfYWXN#l-m(JV>7TO$<$<}!$v#>r-^q@5mH4~}pD^@wucOxhqsOmQlO73tbr=pR2=G+z z&^sU6mCiABc)=%(j_|5OClF11eZ4-tTKklpsrUu%ZfJVBPxFSZirtJzEkRz}*YChK zK7219g7)+2cprk-Ih@{2?~x9N+LEaf7x>AmS49J^G!GSWxksknAN;F+H!p}VsP-J| z*xnwxr?cgvnWYK%*68}bmcJrryEOuX#--C{%ZYS;QG#{hVl;MvoKpYzFVVlRrlh9~s9rg3A)t^y-m zqQ4cpW-Jm^s$%feKec38S95XYjKvE#z!ZF#ve#&E?8#!O?I~UaZqR0*KWJeSWO;tD z>qbbJPD0~~QGSiHu-7i3HHyUu3*?J&O#Z@-J;yklG~|B8Z2U$){)eF6kM8ohF0qDt zr@0{6s$3Zr`FkGU#Igi_RGPxIz`1`yiKL;gahgPv%_5~;yf1nsKn(lb)5cE}ma1MVl~PE)#~qI)W-k%Cc5hI3KQX#16-d0W zBdlC`lBRK_6?RaY-&4xyZDoCJfNiZ2!~Sd3+YunMwbSg3_szRYu-@w9ftd2I9Vb;$ zv3#$}!LF5^x|Hi6u8Ux*rlDQFBx7u5tJKE$t402fbrl(TVB8N(*`cd7Aik2d|ATzU z@f~ivP^IUo1NwCyFX?|Z{$yXTllAlH&INR30mDvBB=cr{i8d)WG8U*(-8D!i4Cm=^ zW@ZhavG{}S5Zuhe_1nw1xp%BQGq?0#$EL94>XqK;=33Lt70q`6d`yb>XMUL3h;n!Y zU6ZfhR3-mTlsxAw7k5o34jeU1y)MEqsTfk&a@#+=yI-vOofdJc{8$IT2hPyTiy68`gI}(R&N}w@ zUr^A*%y8j(GE)AMHP`#IK9JV`vi$hbQhl+_rx|e)9%dcM`#EkRc;!ji1W35WT{XHQPOr0sP2DvuU760_|(JmRjux=06#8ywc!!3nF9R~RItgWb2NPd>8kEj0dyclT6s`8EHk$OAZKDSHa%c5TJ;Y9CV z`SLn0-GkAiy9IJQnbP|$vCJ}IFYBkiu1I-40f!tyH!UB;H4U!At3xI8XBh=0mDOLj zkI=}>Tnp;vF8~2`E$`&7n}>LOLW$XL>bpMN3h4NvWD{1?+$rf>zkCzi53C+vdDz1$ z6Ig{7Wp`|s^~BC>;z!U|hLZkSI{nL(pypFq?XzLErjdkAc+N-2@Tmann!@DV^lBPPpZ4t971&`RiD2P0@XxL{2 zK?Uyyr=U6J!wk7XbseL9b^WJr$LQpS#^yG$Z^n5Q!JQv;JjV9!IpwV%CeewmSOgX{ zEUVwK3Y~CB9opW1KnUn!Bx*u)qJw3AoQtcz((qerCbC53A!cALwqNpak zuJR)8&Bu||^I{STYOelKu}N7a)!$ApXP4H01YdR!*stH#a}UWZXz$ra$E6kz>sbvb zYWs0XRM*J7dH~E2QczIj&Qo#ReU<95fI)6JC;l^WG|ZH$`iL<&r0)t)Bw^D`#=AT= z`Ld{0Trv(TVC$BD#b%Wm6W`U61{O0{&<_I-FY!s5de`=h|31Z(ws-c;|FHH0kJ}}u zW=qM=6GRCr>zB3hOTeg3YuCio^(}->(mcFv>@)5~7KI6*0g~z$q#|2%=fwvNV+@w-)`m$rV&BmY!!G)_~I1Zxl;7RM*X&Q-?;CG6%8nh$s|HA2*&7aPkOilzarEWK?Y8 zl92_)c#=wnRb-rAJ}*8k;}cQOUAW}8r_Ch{9E|(k(@0;`)bovzv58;0prDgnF)hPo zVK1ht-(m{mm?m$hHgJkd-bzf(c#G&7+_mzo9-E=m4~nXt|H05UFaoA1lHax*v46kF z7G5?!g>T{JANpZ>=_EM1p<|{&?RgJKNzBOZ=VNC35EjwOp7nP4+QU8`qqxE0S?kz^ zuQ-4S#O1G{Wwc17%}914SQ7W_{iD@PUDNYxe-mEd5->}h1RG>L_Y+#tU>>HIPeE~Q z&jD#seFr%muSi|f@)0e=Wgv6geZU#%F0=U&yGm z{o?B0wvXPiEvfpna|-ZDWzD@a%HOwgLi4zZPw>w}47Nj>z`T~OkKDW>zRiIBN#=*S z3!w?w8pZ^T?5~FysFo*e6XA0Qt3GMzyj%o0C9?8N7&}Mf+`Jn1EcX@GxcmKnNxzi0 z{kU|p-VsULU{6p&&e+B;nu19X_VsGMo|yX;k-D(COA55_Ki|e92j}@M-JfIA+%c!P z@<}3Nk*Gt0EID$XP;^-^sJi9(P&JG)Re3jNtAgZ3e6K<3DZqKzzm2rlf$MnOk5c0UB+ zfctl5&z?sRgbVU8sF(cLozBY(DUpZ>42nd_CUtdn^`JMK1X4#-BBrtN{rgV>peefv z6%L7Y77mGopms9U55as6M_4+7gu}x|6@>l=z-$B4H!X%J;L7RYJHe5uAhA4%Oki)f8ti*DZ_Mx!zmp> zoaZ4Gge(%$NI!(w4OI#L*xN5IAqDCq(@YS$Gi4;qJ@_{v69ponFtq=SLYlxtN;vb! zCTQx0Ux(q>PfZ903hl$k8Wvokxa6O3%!#S#*}3_Jy?w~{VFMH@AAkYkeN+kpYMded zGbITJ{5gZkt5A}hjv!aytSGjRCMeiuk0vDShfx0soP}&3TGY?E*y8@?}ai-}Vt5 z6&J2LSGui|ioS&B`TErUui$DVW>@uIR8zv}&gHDh05?%aIgH^;xUUk^vlGx`$f0q! zP8GWW_r=QESNlV$LLv?dur7Q*K!x!s;^6?R=%RyP1>;yi9Vs7tT`9NYX$DM5%d}? z2&L#zp*ON#CNERviW}?Om2^oREZVPnJs1s)vG{od@)8IN7VI$2X>6wt>nw6tQXXp@ zq>Zd?{$?8nB-~6dL-S+#ur9q*ryKrlDBJYl*P2n)i|YM)^J_Pm5&*A-dc1*N%nO1^ z7Q(Rl9?|j)np4+-j!;L=JK5%>>`s6`)}rUhr%0>Kx9zra1{1-h)31MZ(h}z6C~+~3 zh_jS}M;6XG*Gecox4E9sa$eld+MlNHpXieZ2hTKBmCP{Sf>Zp5Jj5e$F0Gvu*{Ms< z@wzB-k5v2b$Gd%+<^8M`SP0z50~(Q`Bf9x{to)ZkOB^Z6^<%>1R=*Nrn1{wtnA7)N zRI^z=y!A*>N6Y1I@iU@)DSzG5+FmxR{>=xVnaq>PTAHdZHvTATC3_?AhSEbV=W2h> zMAq%e7rr* zGtoZ{jJ8wF4{Bac&LW;7W&YgJ`bwRFQizPTE6)33=848`A-I@eQs87FAM=_^$^1>< z&Qbna#rOY7V&_L=X#^3E@C z-FPvK(~lcz9Ms?^_pZzr@28jZC0JL;n$=>?&R>xrRHnu~7&Nuy0(K z`PA~2@g4<-wZ}SH%F8e3ijMA&DwG9h>Cb5&gC9F{7g>4RI+yC&KM@m6Gq^@PG%nd2 z2-v!E?#7#wm0<9hdZoaMfc@IW#P%c0Xx!Y;i{;M7#cIYK>aqFc7LO$W`^M?hhNvo` zPwBM3HWaDeY(Dw+m@Yh9_j&X?7IR&EZ?OCU#8jKxh!>9a%`df4PcJbLNsG*4pC*qC zX)JczW`dS)><@1q_CEcnb9Xn4!Nup-kbnZVM?8}&#inhmJq9sYVmGP2)tHQ%#jjv1 z@HSL5BC3s&>D|Sf!o6mRd&Lc!pa64Z`+2-UiH=b=zrWmw`mw2W?Ibu}9VPs-|AKOCrruUJi94U&8mZNrQzG|%^%zb)0#XxT@$}bz429G7ChZW-#kJFz%Z`V0 z_|NNN%81M#XG@2y0uQUJ0S$R-1? zu`Z=q_Q+2r{4H1hn3VQQac9~dLQb;HU<-!k6>~TqIcH)-%ho*7J53y`-0x>WZxnc9 zvQhhu(0B;weW@R%u9Htzxjo-{${ih_cG}h|WX5YgMj6K}YD_}_Jk~njDjgYrq4<`I zu9v`{q2F^?DthO4^Jsb!Ex#$*J&>))?GwE`#<BvxX*lpZd2Azp7L7Dt`UM+tDbATG3rQHtuuICR?)AFnOuBlbGB zSZdtQonkcVtJZ-aZg6)(^g|D_=chScs@`I+-#=d|kKxCS^ysmI3T+*4b?QT`mzKWLoM-hMvr$HN`IiUlOSL3JmDF!)CyxZ&9(9KBEY$nE|(6>Y#qYNkfvaU&6R3ni8*1v@G3 zH4xU~;dRNhQ!~9rJxGb}TTx-gcioXv|$&|PAUbBmOJ)~mkk-y2!pNoSAS`B-Z zOmavA2a|gp?z=xcHu9|!qkLU|eEzlswqR{uCdg*r;A?-Z8N1tJZcsq3?9^?L_f*u+ zVhL%B5wC&R1QZ`8u&LB@1{^SC-M1A|H(a7~ln5@H%By3$?lJL zk@5yNYv@!KX$r6eWWeBc%G>to@hT~a@dZqj%3`i+)g<4T2+d^~<(fXOb|Cz^O)&Q z`b6V7_lYB_07FB^k{JzXm!O#iJn<= zOa;K57ZvaOS23wYujftR5>Z~{mX$X&f8ZUPo!>aTyn#sv9>wf(gPw>t&YnX++m|Dc~e*LDr zdglA?* z57(X9rj~*VyUYaTwXIu(szH1A9C6qeGGUjPhS?Jvhm`y=EL^J0nvI_bF`cYiP$}pl zyBW`AoAah-i&w+mCv|FO^|z$f9m@XdEB=@k&^^%?cOS(>jBv(Mh!!mpAuy9u_8S$}DhXpi|QD3QO&< zJgTUvM?Kub%UsvG6AHdYy?#ppZ1TT7^nKN#bjy3@CS~UeDeav%poNfzo`r;(LsH9P z{osV)!bPatj7)gb!W)&@{p}Eq0kA3tigxukNGzB~I()Bnw=yh>b&NzssqKIwU3#aoSFl>7&_Q}+(0(HeCN=Z9-4 z$|V`jt;;u3i#oaozu(Yv0g=3b;|1tA4fmxO+z8!t@33YKqX@$+2_zl7|Ey;$n=%vN=c z+z!bzj$F6=s~UzDz{(G7Qn`BxBXf(Z-w)1TmA76-*tmtoy8DmNC|CrfM!GYirMIL|qApoUOJBA67 zXA}q(68Vqj5v6*B?C}!KKV{(DQ0d8w%7%nyZLL3cZ5=rE9k^?{aM6Q{{yc&p2JnU< zoEO^F&#HN#SKY+K!pb-Bj{x$Yvh1)IxDY$W#FjwPm=41r{h;U(ZdebOwsCPxoF^-Lj^+8(!mMo;T;Tc zQpg+skvuZOdzhdCl7)?(`|=GX6I4Nsii-CzIV$~9OWP17cs%2aFa^>N!9p=c$lwsf z2j)!r{+j~%qzM7gmL3=w1T~%EFS4@o^UuoVA#yxZI-)9R&cTfQv-r!m??zy+JnW-^ z8t9pHsB}b8(m8lz9xk8#5TbvTj*DlF;3f#s(lSiM`i@ONKnP3bA$$b?Gkk>NM{@Y_ z&>!{)&FIwBG&DrCC;*2V5I{;wH9`I3Jc1xHCI}*nx^W$~f}xQJJ@lnR8RQ@43TdnI zZ8Iy_{Pa%*tD_%634|q$WdZsLfsR%H1b#iKE?(pOk`xNd9yi99Cpb`?M44|kZ zCRRFvV9z5^ZJCY$RLRv@&D9?UOGa_-{Fx>a#v-8dSFfT&(rv&mHV`2*voXp|sO%ZE zFYjvq%q#mnJ}}|SI#v#rBw3&+-a9qdzhQd8;L8o8%*w|6W#%_OBOU_MPrqL;HyF@! zT&L`8IVq#))St7{q;ey`?NXW!xLZyR(3CDlRvkyEDm6?AHR{MLzi(F*iloZpF7j;@ zz^tc~0KW)}c^UK?Zwm!>T-ey#{7r@TqM6rO7&GVd^(!YhhlW7;SSM2A{hN)b_38OJ z%Q7>S8Uxb{%jxwkq~{Y H!`sGn(jn11d2~^7oQuiLqF-6o$nQuMi(HUa$*A)v= z0*0de7ubjMmIhuHM{rbLk=D!@w|B4W@hpAleAyriO98yvPFbU1l`XR{*EXaRe&z`% zJ0hN1D$^x=%FB;67NiBD^-c6us)(2Zp4a2X@sB~|xW(V*r=2@lo^m-;dkGFHMh?J15OpbOZ*Dq}YmA4?OQf z)@C}B{I{A#nO99nvsZi3>U+59h{8*N2r*j3-5i6jbd?hOmu=^ZF(10k=btyTAD3rX zS~Lk%05`TYypHI%lUo(>Gk@_pFIG!fK8WHTDCScsMl?ei)qo@Ihf=YAo&2hznNVqd z%}|1inoooMi>N(p)m$<=~UOK-o*pbNo%6v2ayzF9s?gN)!#;r$68 zvn!b~WMcf(j9fBUe52*)UCyt2ZyR5FBRn+&UgE99>5;8n-pu5$bWZtU7^i}p6=%R@ zb+yc!(9dK)7IaTBq{$s}cxMmnFZ?pa?UvkH;7%tg?Y>wnd7_%L{|dbS)g+9IkzHv@ z^|S9GrUyL_ro%unNwGz6B7Hogkn%YYANt&Mwc*mmpXUb_esVWP3bq`ySFXJK@gO2W zUR6izI>=^1u4ISz#4gIpyG&oLrX5R;S<7vB9nXDoW0vG?DJM7@a2G4`tt@F3I=TAe zxVD0=WV2uAN#5@)?_q1Lm(ThD3!&l#=jLB8I{GLqN8|{c7xt-Me!eR}!lqlEA-r6Q z1xj=M5wDYA25Hq{kq++{MIj({I90AHU+3PH^OXL$>)@-LOHtp@qTXYsadwJ8-t&>8 z&kAkWbw%lZsCJ|6e;fc8_|n4XRmE!<*VPo8n3?AGt-L%rZ&cUVW&~DuzDUZZ>lt}2 zJikVv6Z2I(=n8Kwx9T2$*pAJ2+26Dq^DY17)$r_drT+tB%~y6 zR2XIc7ZL~>-@X4U5d|~wQ@p9r`zsNJc`kn^qCi4Y>aRo;piC}*BclJn%)xmN zR9PORmqV!4Flq%2m8jSjzW?;L31KFd|6w5+%i5|U(l^A*?jHKiZJoh1cb^5XNpH10 z>{SxT1dLCNNH2ZFza;fyjN+)fubp%!t6__oaP`SKimc5BP|oT3qL4D?=%GlKG%L$Q zsqKMFzZ_qRcgdpDjG2gCAJCrc6*F36%wM_A$7o-{&0z>cX>!_jI9je4J8@hMv;@BL z)Af{nrW}O4Wb*h_^|8f0KrXPxicEU9E>dIk*cNzJ-0LPQ8ZLNg>2O=OW2J~VPygw{ zhGTO|&v&yOH!>=)Lenl@?|>Ob%Q|Gf_t02l=i-;AQdo-<&NCBpdd-^80Yg~zUX7p0 zLrHA6BhD$t2wk=b?Sh)x4s+Yv;~Qoq_k)@}Yyf=}_SCO*CQry74YUa_zJr z8A$kn5z7mERq>HuS{8Gn+Ky-)D(!V3zTMGHNy_N7DdFQ zNCLBkIa%7Ij9ZkRxp8~fgGYmloyZGLnt z`0$JI(ZTs!tcBII(^~?sz|Mlj2-arJ4*mKox9FI=ru?f1*_F{J>O+X|s-8kYBj5<` zdEC+Dl^doO{MD?Ml*a?Bk~LI(w+xR|>$gktf&#dFf_D@cTl!^)fiQ+Cqyg{9LIzGU-=mU4?_>xRv|Irj_N4g~S}j~3~PfG!*31=W}o z8^X>(x9`kGxKAfdzAS5vrn&mM<$1oxDh2As`4Ud6Tp=&+PiLraJT`K(TsZk`kP>Qy z4&5B9xx=96650|LI<1UEI<50SZNu_i6WWW+*Jx3r?mH)4ka)uY1f zl0i9#pwO#F$DCc%;r87$W(`RNpHEoqraHPsivBgS%*F6A_CMm4b!tRpIke1|3-(J4K?f$zj zM|uYX;B;O1~@Mk|Q>>3BNr|2#!@<@@AB9d)BLMGZ?~E?Y9Fneq~EQ#MV8kc-yVk_I-L| zj%108m&$R`9Hv>0R9va=J$pCN4m5_WTUii0?m4zo>dq+#u?>w=JKgreaHDtavS!Y- z62N3Z^d%cJ0ixi+(D_8)ff?)89dwFznhs_uu51e1N-t0kbPF5y)K3VUtt*=|%?~bM z+oWIJFuIq$YwC?|V;%rV4jl_;U9|??%&&6Uatl^6jYc z)gCBdMB3eHqv8PX3o5W2KF$i5&SEn1YE*LNikI=~{gCsGf7fFB zE2wT*rwdFMN~E_>UyDt6(;HXbS9xq%d*YGRp5^@G{NTyp@PZJK6gKjdx#jX|TUpmF zv~B$JHjV1*;_g#AevP1)yXcC6;6-#|`-!?SnzU0QEu&baNNE##Q_Q!fUgL_op#$3B z4A8H&%Hd|Qq7as{t!ye=BBqs`y%lveb%=l^KB*_K+Y-1W7bCLTc403TX+;g}@#z@_ zgbl2F`lpsQIIrKfv@ZhVGh4qd`aH>FoIbS9E2F9oJF(HH zw>_oh++h^esr&SNL&;AgeG{Eh?$!%=L2WlLP&f4z*;F>Lb&iQ^KA~ghyDDYw?H}LP zPe4j7tEBzV4aB6pc{{mqfs;6^XQQV8(*g3nfy!)B4$&S4nOFiJq)lUulePbDU0WglLe>te zh4?PAld3j~QW~bJo4$?9;`+f+vs^$}x47a5CMBhj-;31B#ft(?&nH*NsYGtOrN1fv z343Y)2mkfPp~;)4B68}1<>S(p4jbFIxdUw56=Oz}bFo3eLQ58Xk2 z&k6nYd+CE~$LOSt(#fs56;+eFc-$85fgK-+7!|_7g20oos=@Q_kw>$OT!EWw%oLB& zbIUh=qBFn4dKiv|4e0bNdnmMNWi|3|#R^u<@xxuE|?H zkMEl2;d@pIT6Xyo%e%1n{es?9kX_R-Np9UX8IlXqW!oZE+2Fos2YfCPUN*REj{Azl z1PChM|H-NsmsoLh+sZHP^|;t|6)WHs^{R!>4T~IR57=ja{vqNK*(H(lrn-0W>k5~G z=E?@mw$i;PL7DGwB(!bQ3JrEqR@yLV+|6DWu~qF(N6>nDp>PhRfgFH2nIAWy{P8h4 zHHtp|W4Atok683fEGXS0q;%}40S(uXK0?ZJ31yV!YC;h1dH5URWfKJ9fpiFkg06^Y zLEYoO!bg25d_1cIH#V`f@+S82^E=~>D981)XCdiO_XszA4wo>vIm&vC5$6x$6*BcOo4Yoom7EUc1>ii%2bK=cUr?-m48 zh$>2)dK-SHW`ba#iooFxYr;hf##QR*8W_2sS+G$s(#OXa@~E>q8VD#+@t8qDro1E> zFkR}8;~M3+E-HrGmZT#{={$lUWvErTAA(evAOIDMiIRFku_x-_>qjRcmqg`4qC}AM zpFfkrONzxa6uGpthJ{MrH#zIaMo3Y!kj-Nw2sylm!UQ3J>POUo5>F~>cmm)7!|HQ* z$p8hA(0Tnw{U`^G*C^%)p(6p5dMYa2vqOzXa0+`r1OwGK-vlEe;e$ehr{pzP<5>mIo5CLGzeWb@l@e6<5v@3*T{LrKG#x>Q zVn@gbVG%g20*A*8;VYDR&cnk(&aw>tL+A*@3}F8bE+s036`z3kPtW`x(g<0j9}F@` zM-U;nufp)Q2%Hi|8Ne_Dc(5?d7q;@C2E?us@NloonfysMxQB{kwM0MD7SsGGzdGZM zC~2`RDwh==BT8`#XN`f6K-7;i*eCcQNFwToA_@NWS@{+G>r_94AT2$s z3F3ZOLf_qe&wvossxb5ucF&_ySm8g}gUdcVc=!}+Lb7d4(2!$z&k1~>P*=PNf?(k? zv9blapm8qEbD$DWPw`;nDJmv&b^eqVc}hEfDu#xvL(%a6W%d65RRhr6scGx~4sn8D z99EU1`$WeFQ}+l;IzG}wcS@$NcP{I!Pwj1!Yx~Lo(u!~F{$m8&G-T~B-$@&zS-LFw z-Bj(8RbHV|z9yQb0+^34WGFq*S5x|Qx&MjT_E(=@u70YY9IQ)31+B@xMCyP*yen)$ z7jUq~ zlu|f*0JEPhp~|T6BF6OZi~6$fe$*0SOnf#Yw|e&!JBdX0fena!Px*N6W5*L?oz=(N zwJITfk$iS$re1A3!DKiIxBRxjv=dzsMT2MCbazPKge3Ol+@5EC18n}d3T)^R~F{{+)Co1sZeWkY7ovp5o5)pHh)y&c*?Y`2`PH$?EHlftXVx2|@kZVvS~iI~s= z>guP$ZJ?cUsAM5*g!a|m%am4IB_R@n=aQ<&%y**{0nMaes6)QP?njH5re@D>9p}#C z3j?(w!Y?yuB|bj6#sD%21`h=Qy1-Den@h}pkH)08e7O+TKIs8x@?6F&SBc=EC({!(INZQz(Ve78C7V#RVbi28B; z+uz$sxbqVGJD?VqkLu06WORq_n&ewe@2!;Pq*R|TdOxJ#9B)eTb|?cCFTVu28Ptpi zc#3@$wl`soO;Ind=#(mn2pAE*I^ZGzZY^@EzRG=UyXM47E_-A^>_sU`6g&Py;=w8t z?f~n`6X4LhRj?=a^dt8VZcVN8Zr+Ewuf_)O7^TUQ^jFH9#%=&Yh7`f4UYF>W@Iz z9KfTX5>uRtQE5+YuWh-EMr=XZ_`3gr`UBsv07fb;88rJ zLnSe%3sLyxit9Ske)stRap9z)WyP;72?bh<_uWI+DkgUr%Xtof$@<|Ci~2d?tYbI6&iyaaxg5)+46&wrtS0CocYl>!17t@2kI z3KHQbZhxbppdOk~{zgN=BDN<;qvnIrQJsF-O;F2_)-anx#J z{u_LAJ$qw1L(7n1a@-4YZ(+3|b2@!s!*|!;C#%v-Aaydiz%#~wkU3`50ib^f<$cbB z8+Wer+42`#VhI5?8jo71-;eBrp0&T4w6Xv!*M6AYQopesURHcynm zwJM+!o`-G&I;w&K?_hXY6$2s>j$VoKfOdxl^ulFR4J*^l(Bp+Upvb?{Nqn($jojfE z*2Ho^lsVG#h^cm3FMq?SabHSZ7Faxyy5v!UuA{1%(tO%ivAkFH@ab#g%EJ-K4=??N zIi!I|PhmaB&A@M%`+^IfWuziTB`O0*d&}*9<#-v9Q)jJ$ya623pNCsXQbie#ewf0T zx+D*`%!%}z(zkRI)8af|fnwFUU zVZ5!@ht1c;gn8G%(-a||JD<|2y3;jE?g}M{n#gAkJzLc)0+@ zD9EeyAPR&(-1=F}wj`*DZKs2#h@RGVU63PKRPg8A_ua|!E#FVUFTeLDgf62==xS>* zjolmE!fRL3-6EFe2}l8$JM}9B{U#T_K40`l%PR?g+~+-P`tE-2G=}iQexq|#%__H^ zhk>3G0g(92Nm_DyWDC99hJ5KeW&Te&bwLtljW~?;|M$-F-eB^xbX-#!{R=7kOxe8Rv16Ig!v`{xUSsg z&52sgOe4yqqvQ-tlL@eC@W@8uDO@+^-AdfM!TDs276zm-kORy66~4pA)jq?x?J2zV zdUTKGcanOei9mwz;b{8vO;f|>6ZdP}WFuYnLn3{dSfd}>a_kb8U+jaT44xsE$E6l9}j9#PDcWAo4YvOt(Px~x?)tj?;xL6`2EA!lA zt`^>_0>DmuI%P`=9Q)=<6Y*IvP;A#5OEsAG8Xs3}@41*)OKlc~i-M9U($(4SOl z2$;rBIG8;4o^ZbFy%jBg`;!iE6r^6-C&T+F&hN0zQ#2N8-9g)W6In@DiHCHVl*HxvE@3e> zGru$8d6(E77vSlYU7b8m0#PZzBPIZcJ`CRDVeQyGvC1gp64CEmhqWY z{1p~2;cFQ^on5&acD!|tZNRTojphST;&uD-zE0{A?&N+{v}%CeKd)fGlH>i4e>X?vJ&F$Ixj;yl%^XST1jw{bUu>qIY-Q&CT-f3T*<0dzc;HCED zwX1De+hhalx9xj>9c2Kz$l~s=k}{TcJ$T}gweZx{; zS57UGUJ{R~st2^3V`d5^cW*R^SlzWB+Qq$X9rNMSZ{JeUfYRpmPT2$?QFpnpQ_Iwz zp?J->^#Gx~6cb-HzKx+{XnxR+)S{1#3@VA|ym$013Jh5ix2eyV=a?7v1BcEs3Hg0jvpKu99mC23y zfYjyE$lNz0>n2Yw(8kj71hC!pWs=o@^!bzu?P4AA;x-^n#{JREEHT8vbJMxA@05y3 z?{V5Ma%r!Mp>YEG8``GeO(`R{P(*y&DJ54z<~bS(Sre;-=8OBS`0j)AnsQDJfHaWU zwFD{nb}_PaJg4zS<8Miy@;y3@3Sn8Fw48P#ZJ=*rmrKtDMS(G8==1_YYK8$**KX?= zJ@N_+Z|k4MxdSrukA<$OnYs_pqFcG8W>GP-Hf&-Ng@5rC>%Ox>v)%?6xOn5xfKj!e zVou|GhU*U!W5Og&4RwZ=*O>~6`__`d>Up}CwL?>fhW63Z$GGGy!m9ZbpZQg_9P-NE zW0BFZflJqJ=WjEK%Bvc<`RCNovMZZSt*p5w9pG}@zO#$S8G}e$$C<_BrNJw z&(G#*CU=-Ui7CKm;@1rL0d2Z5pCRGlT0Lt@vr8_vB>*b{V8(~dmelf*p zl(7q&ids&&pX6;EazRu>cE0HJ*N|M?bAlpn3}{9(%jk?Ikp+tfcF*Gmwy}Y^-Pru^ zJ4HQ0w}R8Qj>ODu@^L8mbq(!9TRNxbzXKK^p7^e%d*b4C{gOt*m?C0TJDiJEqocV2 zqmmt(QJ>bY1H~QZutWER4EJu*#PUfF{+aHpZs|p* zIKqmi7T(!8pTFF|qf|>wpI>2Q7m)&Tv7M7dXpzUl&VLh*23gZooQBC6RbTOlFG=_% zcFnIIXuFJlmA819gN~~h9S0h^f73DncI^eDDVrt>nvUsxvm<6>S7glshY8qPGx_O4ZoZe>C z_RD-zS@-QX+Ia>631DI85RqEe$0)63>l_#pSI>3B*4{Po-KQ^D^6*?Nu7UlBj)}9& zGPms#=j7}HDF*ZlB^~JnH>C{jK?x)rB##hWp}K0)q2>`17DGP- zF@j_ewt&M=!x7Rm!?~&1Jyadf16znAAA15Q6ogHh|5k#d2qa4F86BIDfP!#!bx1v& zmp!%`Yrx^$?2gqtxykcLt}LYBk`2NT3$&~FYM=WwvIb8>O1Iiyu zOaG`JQLxcFoUpbIA~=W{&mf~8)IGw@7vQo8Z-0R_?JFGrW?QbVe_~Wh?6B8#!fkqT%l#rG|bE@P4=5u>`;T5lixu0I{2L}gpTG59~2dkd(j-oM>@=#*}en~-j4M3j(_RzNx=Bvl&e?rtRn z>69+%ZV3UAR7ya)`|QEr|2gM<&j0a!-?P>^>wMR`_nsNo+}wL)hCQEseXnb5Y<%zV zpG^6)b4YP{0o5hk9TsAW2&kA?RB)qIIJ)59EbdetW- z*fi}j5@?SFBe9CpK;LhSfbdM( zdo>@00$7ohkzcpcho_5sqP0HZC@i*BX)`O3r*@4jK-zCy8UsD#IyB!4w44nG%!^ZS6Z$NOL=r|et|ds(pA8HM>MUVpA+{Ar zUdcdDUWVPGK~3c-kN9*&f6id-A;`ss9g|-9>d-D6lw19(UaJfrpEuGX$o&{NAQ?qM28>SQw9C{XT6$D-Nizi3zFH zS`ONrM=$x9j)pj%O?GGL2qmCd6NSXj`3X80vA;)&9!$`T>?M>?oG_loO8j<+4HXIk#7dE31G>Z(EOoO%gjsFV0gx6eXn+I;pYpypMA{Kl2JJ z%ce9zVBd}>JI^nap+b9OLp#A=v7M!w+_l;P-g-%EhOWZefFTF334K(0DgxR2EA{0g zjOc1}RLLjEwk6_JO`@Fb=U|Jvz?;f?q{5LXZP>q?$zNvR(#HaqhJ`Ky$>t>^y)Jl8 zb+^6Twnu-D-~gs)rayfh&|(^R805sUG?zeUz*-Ixr_(EA$Y68@9>B=yg1GcVip3P^ z>GTrEJJsce#-1H8V9Z0G_}%7ZkB?^{&K}CCyg~EveN;hAO4xpABrKH(IK7m~=;IIC z);f+HR5CfRnjWSfS0nLzSF7aJwDf}v#?Wrb>*x$pr z>d&p)o`wQ*7XtHlf(bE0q5;v&UoO||Ptpa_-1d3#R7?d3K0hG=Y3!!ov+VB%`ObSV zV4!=7`=?^YcN58_7*8uL-COaJ1a$r{3m7Jj_se*wX^lv5&odAYej2<*(Mz!#6-a87 zg#viJyJBaMkB|g+&yb>A8ffoia|kqkB@=x~?5{K5+!+BpKj0h%Rwmm^%P&5zYDS0< z9@~tJ6?tNr%vMd5Z!y>eB;VAeZzDAuR!+xMo%Etm+nbX|md+!vncwpan)`em4_1@z zc$Iyk3S#{^uxb4T?d`mw%9r&u`DD-6fx^~llG(taR^Y|7f8tLPCgl|R9NlwReKkLq z)JEl~_0>~5OEEM+f*(uRE09@5fwMsH^Rx=igD1us>Hf?1Kw16pz4$T3 ziM2y@l{c=wK3Yjd6Y^Aa-K%9UEyi8 z{`lFC-3X`(3e-x@n@FY@2C-Rk@1^(}7YJQ{LFIS*%EA8;kxCpe=fKR{tZiLhrhi+T zadUb7ZYn5aW%6U4Y>R1GIa%^0rG`-Q<-XS%U%krx5LS}{$?4-WjowC|Un5j2`6B-w zWBzZ@V~+lVG3((N^Z4ZSuM7}C*K7aE0HF|RsLS9lEEGJ~(EKY41(2c3Us)(fOoB2Q z|H48+O>Ny@StywN20#1wuUY6n7;_5xd-@&DE~nv}8Te)vzL{Gnfc+1#M*AH=X2jE#GvHNe)POGMJ)wZKJM_G>^cdOYqi65y0HsVd zY@;xK>IAUm?Vi4mU*fXMBF)b{bm1}RO-(i`An$&cYYjcbW0w^aP4ZeeA5spMkd|3l z%H>~2dyC!DQO2)qlD}FNCu6e#lgW=20*mYUnW^6E$h%0R6-)Epxqn_8rPjpwy_Ra~ zJ9x3@S|*G)C^{if_33EU=Na8CqW=Fv&UJGu&hY5G!m z5H*bN2%)Rsm0qhy4ZhY~@hou5iLAigOcz@Q7Q!p6DIC9v_n$2->n5oo^f9C}sIpr= z*!`a0Ui+bi8@Oh(-p$3OR&H>@eb^dV|NWJ@7wZWnx4H|W@Wn@(v#(%iO`82Uj@)wb zLFb!{=Wm`3wXyRDK;hQk)5;O5lwugbj&P0f+7rTvv1CiyR0Y#PDkOqesH37yw;K9C zgs6|S0f7r9iHON#``@N|Y!oF-3DNJP6L@JhO!rY`Cf0l=z|0A%;9OUij_thtUQ zHNzMEq!F9vx@&KFNq{UY%#Sb%l)1PTapLmMQn_$5`ahf6(F_hMT8KpE))(<^6buK?55St(Oe_ zoFTRm)3;IpOae`$0`4{N9|<&@$iH9VL*y94>Q1Sg3(7m=_Q7g=0zy`ZVSKr_Io#K$ zeDzZb`*@Ha1a8KaGR?W;?_rVjFM_C1kBr!oq*tDHw}l4#7(cSgq(|u_A3jzz>F#RV zFx3H1=0xy<)`d(kR5yn zq8x1>yq{4otm>CDy3=lbTpS`2Cj9MuRdg~-7_<&(sp-wxzs|?ljAU6{`ZKdvHhemY z{Qg2v6<@Wc)agkXxP|L3Do?-uUYI$SgGKcXTcKfneA49(=0|@WjSC3~>29ICu+A$p z*pV<0!28rV>|$5-x(#{gtXg51RVX5TCKT{L*Devqeqf0BI>RBYi8WL>qDNKTC+3L= z=N0ax2Ig|SR^tKG0qqbcR;U4zOZ@CUDKvnR#$dwnbD<<+F z+|u@0)TOigkx>1*@`^KLg81>BIhf1~WAGrw4N~s1*tUPWu56)jmH(4!rSx6heY&6M zbI*XbxQlcQI*~Y`nhxQkxIMwuk6u=u;T_yoCqkGtziWv>j>OBB$2eo%<(a&dRXZI; zR;!sB&)?-QZG`hg$eVS31uxUm--_jas>^ZGkPzGnD;B1I;HFQ~qbWdV`pxBmK|8=q z=A(AXMo&|-a1^m9W!VqKw=lS9YLmkDDDPgCs)pi6jTRyam3^_bmH1At=+;q zzMdiQNz1tw)PBbTw}|*3K7L+umrY64+Qua;58;!DLFyEf)9_TrwZ3Oj8yNb2!6swp z5`m-Tb|9L&4Cnw?5Rg2kG4)WVCVm^S!N_~6S1j^=tk!3Y&$Joyu5;9hAkuprq zuOMg{y~=C>=VWw3me$VhZC}>VmT>r<>$-Zh()$(H{*sic?rnbG2YQ_lH_o3K+hj}| z+T+`M?GQ^EaL8(O?_lW$PcG~b&;m6#?4O}eQ8>-K7LKJ;dkHudOajYmXLo4tvWfb| zq%?wog~bbWO5O*mdapx27G2y{cXIPhsu-EUrDAl=X=q^qYR}&!6;A%#JtMg%8!t08ue(e`k*Tg69 zAIa$8$-jdT(0u@qR`A%AEH=+DIPMWTwD;`6cIW>n* zZqekB0x+e$<35yZ*Zr2$L4WW8pL%}nH*9eI3d6ia)vW#)^EO~A-9nT4#uxRQ=-$x- zn&S=JL(1`a<61l^cfa6>lB!0~w}nTlX8PJaq}L*(roC?iMz(f_PQk$Q$kfe0_~Q?3 zfJ606!>69{{)5=IrJXCO82!)Rt}zYBJ@nkSa?|ZQZ({NC4`=|$j8c}(eIr~CyAC-M2TrdTSoowYUKLzY3b+idVdLHdA~Mfx z{K9LN_g*xA{f0w8NyX{tpFt}sVI1_KZA@9+0XV&X=Hg%Ud2F&$OxIp-%%tsD*F9?8 z(=xj53`;B9M-JHG=b?r7O#}@j;PFLM3F_EYBQdc`Dmers9%1Uda;yZSi|4LBp}*La zrqDQKL*h$Du?fkfV$vt432(DXXCmPO-d9jI$qS6j8W53&zbz6LOSKd1r%!lQEGE!9v@b;+j|xRw#3L1l0@ zR1V#%@PffA7ho`0l^2Wxk}^V7TL-ySHndLt;fyzG#vebSq#(#N3Q};cZEivlGPqta z%zu0w;eavy#>x>!1WiT^4H7RHDufzIA&P1!2%zq$hvM*pdpN=i&KKcIMkqAriJXEm zn&nOB^cx!kDA*dB$qw4v!x!lQP2~vPae}6Uix?rlO6cezjOz1`h!Jk#2oJaZ4>2R0 zF5*GXl@MDjE-ot{gr~}nj=_)hD>U&qL=Y#SnuI^_%?oyhKn7_V|3RvA^UEuUi10RQ zxOL+nMI%HP@gPYf)IpAogG0b|qiE#yf?@o3htzZ!3LG#B3PI~dh8!G)??XRF1bXxa zG>SsYeJK7|8uGe=I3rXy(eh@HN2;ZzH6&tu^(s0R1Hy0d|J|e@9fk(+ML1wghK@c3 ziq?U5QN!EE)1YYY8@`$Wq1BvRxMe|)0ql0~Kbi9VP~AACLY?EIlT$~>5Nm{9yHjYE zGiaQr!(bQC{?Y)30uR)=x`x&Ug9OQsHiA7f_!%)7l!A^!wYgq{UaQ1YKB(Y0!`bx0R7TK7O`G1T<9$az3Jf9J+ipI zzTxNKXNj=0V{D2I*KVGiT>ViA!=C`XvHT(z6cJ!M3x}}mSqNhyVkHHn@4uaXxE4c=fK~HxJ>gl7AL7gqeTPoqlM&Pbyc8HSP-=Ne{LRVK zDW?VYgjF25c5~yGvs~YmMv`iLDba`?0%#KO_pkK56@!{ettZP^U1(Qk=*`AGx%4KZ z3Zv)!DFML1=HH_DV)ZVy*sUg}k(hL(^o^>4tzH67=NqB;QdHlg&IQ(IzRx={$?=4OTP+C73VQ>6_ z9^{O_!27YX5SWV=i3^<=1y@{?hdFsvX~k8t=LIhO3IsTG;ldixCSIJ6)a+k>x8J6T znGDymlW;gCxaJ*h?E4KlW(jMSR1B>u=hro(eWD{v+5I6rV0aM3NJ8?AagF*3c(+w$ zRbh;LU)0&+>w5l_&<7mPkLf)>$f&Y$cI-=OqQF=BRyhQ6$y`2h7RFchDOSO(L}`&U z;c*`7JOxDQ7wDkYbWk*^u2WApBKIkN>_Xf&!LjpM2?}cmzw&kL+KYQ&>_N@X>z}sQ zE868!A<59Sb$xd758_o-oOf^|2CdcAKrYdqW@l?(>GL88yVv>P0QteJgC~`gbcCyFa!r*nG!#|RY7jT z?Dz2ODc4-ir_vwCu5>{jB2ThrGKMo9*UN1^Sm?n-R@b98c zM5L0L@;^Y^;>4rPzyy|!eqnLcrs0uY)q#rCk^Uf7LNZTFE^TCl;)0aRf*jC9T1|O1 z3Z;7DuHDbm5g`#(_HKG(H2F2z?F64cNtzc-;v}33ew<4vlVC&gzg0-iKQz;8#PQlD z+OIkrUogcKkSD0mPh$NV|NK~QTcY(Nl8@yNF=~Q}8g{ga{uYXAe6elXc&^NiHl z?0K|(5wa|eYOsPv>eum<1eB`lW_=LoEb_yI`+_F1hYIf(S;yG#wyo6Br;mmi$rgUj zx(e6=qL%krv=zIOqazKUFbgfQL$M!yFhqawVkt=Br>A5uAt36ry6{%7CD=ZfyX)rw z^{J{>$23sh8df@xDgIJKjs|@4J=0&>V-Vn+aE8*Fel=h@CiD7YK1fLAp}cDFD47-{ zn-SW4-&p<0|Fdy1-+Geap?3|YLK=OB-u6mmZTlN082{mpWy2+R`p+OY1>u;gsOQ&!@gvuUurhGG>pfL{g^i z{2wElOGJN!nFG-NVI|bS@i!a@zK&1)6$b+7-0rVf6hIMsf5oBzx?cHLEDBWB;OBb( zH5LWX^~t|tQ4kjonXmr^i~d72=N81_2GbD3T!3$Wz&AhPo5h8f|BpYKkUG=wz)Pyb zFk*H8fz<=8xktzuw+{>OJ2i-~zVqL@jleVtO34}$I;JvxWew!Tlr+UNQXjQ1H7ke{ z7M2+7b6m6?flu5IR*`n@Q&RiKXMIJ$n6|4oHZgmVUZ;8I;C5(OEj@70Vts-#x{@A; zrqvOxSLaXFACF+M@Cb`6U5YP+Y>5K=R*61PvI|FM7Q-zq35>>T=`6D3yYuVf)YtIw z(**GgV1d-_p)+uAg;VmAEN)^^1#P|a*?qFGrBAuP=>{V;+CaVgqqKpMu&C%yyo|EM zKdyu{Es!%>7=CA48q8om9^VCvN(6^nHa}h7B_t{&mg#I|XZK1zr2057TfSWvC5b=* z7&X$Ht1;v>_vJliO3b@@se^Sanx4cv#|s!5lBe%r|9 z*F3aJ0!q5~JVV;k=s-~nCelw6wxX4IZI^SdPt2AojR(08y^@@{OFiBKB@(&zhPci#OZI!FVm+7dT<68VxRUXC zb+_V!6d7k`;GE>`ZV{kR&hpOHgO81dSiys&Jb@q zE9~IwS6(BRcygHOfQ9`;dFrwkGZc7c%a6=&k=03Ui@80WrWJo>;>Na1H29(Z#Y{$X z`-u-we0MlZyNgjdRV$WJt4${y&Z3Y%Rwcxy(zNo+Vrt6{d_D!LLBl*sI9BU~SmKxS51bR1koCz>tU8rsqES6J!?wtb7A13d+g(jk9Up`dq!QB`$YLTW&!uE&UqGcS$y z6HzcX=Zfh2fGK70Oc!yMOnt6s$3TUvK0QYf5wdn_+w^Yyp~rW-UDL)FAV&8fyo>yr z)gJDd)OGt2xaiiII0d=33orYPa!g)s67Xrn=i_IZTVzatDEro zH&vMK2&f@rq_v~bCvOBPcP}1-O>HUZl4@>)LcIWnpF5bupY1uhSlUx9I37Q1y5wZK z1*ZBk5^neA*4-8s)z6R=x8%?7wlfh+z%jThgYm_g(H0o2vpiT~E2gNUsWZBAn+hQA za^%j*-v4(lZKhz0fogoy%-NkPpQ zz{58@weajxQQNMhe;oOqgq}}qReSf`#x)iW?;~sb20$mGVH;OE=is-sPa!DbTlE#& zKlMnVi#F*I;_;bTYb;2cRq!kr((;ObcTH3FG7rjz2y3?xl2CWgp4B(D?H&aa)1oSF zK2V$F&s_>?wkO7s9prbVpQsu|7j*8R65N#rM&U_mWu5a|hZqE;YKdvXW1EP#1;ou> z2LuBw)u{Mu*}kb07K2TNkRD32NM&g%s~u8lu)Au$#nfo+m{^EI+r*${ zxO$8FBVrkOs=|_)&hX+2A|PY*Vm5v2m!35^GQUblV(J$j)iAM5C#$4w>=BSx*9*|^ znB&SBzIG@sT|)*e_6e0emn2luQ;P~?$Yw+ePp3d`q<+f5@SUNN#W!|6gXc7c-`E?HAUtaHNp~ZIIyg4<6cd|$d1LY&HW&u>H($505Zraxh9NH%4(SSwN5V~(?Kez zsGs>^@6u?)?wf*}#|Iz(pFD!Z2re$#A&<%&)4ZS9)^F^Le2 zpdZQ~8#YinCMe76w?4EKJ2Uko;&iQI5D-Z_0ypokv-_xzy@Hx1@HE{#a~3x)pZKSB zk4*kx6Uf;@76Q_8&kbJ(CN<5<4$g+hd`YnGeaW13wLKzUK0%tlM&kr>@X24lHHavk zJ7?tD;tQOAl`Pjd!5|>}Hn(nsi&xwh_@%Xs?6dQ~YWu?I`#!I=>kAQh9+r?+(8m4R zKl>Vw9X!?!jY&ZvF!l}ZT{*eU>A6qB{WdNitYAVgc>BKUeD_va2tp`Gf65&kjCSqxTA7nPubGP?D8V$RvB@(B$00 zuPZDGEfb56!`Py_byKrvn1r`AEea_n(U>?SL$lWCcuZoSn;?)p4C!QzSlS}tbESfS z2@Dv(VAOEpM&kwfI(os7ZOFj%8(# z!D9s(q;G`xQvczP^`D!jZz5$N_IQLKdWiHG{ZB9T($X?C;|erZyHU8trkHwWg91gB=yg`tt zXkKuYBMgQDS2{|vf;+q_AJjmFQhy?O;76-xdDllM457 zgwsdNjGWxYo4)Cu-US$>b2NaWK+_?2edrfv5UL>v1_HR$5dx57W6)8;zZOAV)aUR} z_)DnZ*zwklQ!3=Ag$S2AGDB0aw7^jQFh?)Qpa4Rr5OKU=j);)Z5z+xe)D>=72^}M! zz=P+SS~}1n>gwKDqe3xFH>}Z9?UtEE@C{`Qg;y9fQ#dptpb^;uX&#~f2)N|&M)Pk6K`1S`{XiE^(UkW5)4C3?VKLq*> zAkYuZz6<>n2=t>s(NqwMT!8R51pAMmgFidJyh8lrT>v3T0G5y>5utaMu^JHK>%+VSg|`G}$v~K%5^R&iUa&X{i2Tt_x6X{51{&>~LWP1{u;`lR@q3 z3)d7-!}@~3|HUdz8K~?326>wNn;dgQjs7T|tMnr7S89PIakDRu*aOH>-VP~BVkTPL zJMF&>1{R;^nr?DSq4lW!>RM;m4ww=!Epec`$M+s;@+_)%^^|&UArRI%=Ntk`qi& z2(K7CZ~COo-X(1BQAE))li4h44nmLm2m7|T?6JenpbH% zv^1A-J#+GH2AR=YA^O=U5d!bRj-RhhOt5VrR6f^;hxU=w@tJa0RcK&3KtYM zPZYV|;qL~iPBK`5#BQtNY4G0nE4$sRqwAfxZ_ts}|+eqCnN23g58u0&4FjwDD zB@05yKgS=&Z?^l_-}Pua<}RPPvL(D-)KnB`5N5{hPw^1Y3vvqJ&D303Tw{w=^PeCG z=w{1AXi;@{K7X!cMz+EWEF8$zd8q?N1V6;Cf3QLv@UHRF*@$~wVI^^Yc(_#52e|Qu zcfE_=8x)RA&0^>wbC%F-nkNzRBL8S79B!XKX%Ao+XF1&aHAu`<(XHo-cLY*T%lKV) zli!WMTsWxu)=3H$aVg$pX?su{9BoQw=%D1hc^7+-fX2#gvb=oj>zedSprWyqu|#A< ztIf0Ac<14~i2+3|>xqWvVpQ?$D4QuADL~5%%$Q?s+LbA8wAml%noViPqM>!Fbtc|$ zx9`t{^rVAaT+~VJCUXYq)SYE_g84r@lf7=ei@~uIeq()|DqrZP#n=8Q$Eb{ZPXr(qZMiW+Ti4H%J%5HKW99RNjZ{e zJLsIcu){STbe{^*V7?j?H2G#+rh~rMiyH0iz;S)JX$GRS;=oBzf-Q|20F6~Rg1zxqssvdm>*H`kU-uF0lh zg8zw({+p{#|5K!S(?5(e2hKR5AjZD}LI5?<{1p&_vhs?*f>JO7o!|TolmaNa@vop1 zK&Nhh1*L%d9?xGvDS*yQ{|ZX~VVsK#{&3G}2x>0FH!JYXDtz;6;V$fdyf6qcPF+2Y zh|}=JlVd_{^YAWX-$cBxA{Pl1Q{BhJVJ@M?x4@&t5(^d{!J_`Wh`ytf=l9)FpRX1# z45xp=#6?`)BH{Rlx|UGoQmC8&%RFKExq@LGkFCmkl( zHGzZa2l|*065gg9qh6i)H;O{0ywcaely=ZAc9#30bq}a}^Y|KD;gxWWn?UzTNog>X zsfoK-+CkAxa#RD2bC9=X#F7>#J4Tg(tmG$D}zGnupf;ntZ;V+h!(ndb?IB7zSMHw zyV!z0i3hCZ_!B|Jn?*Xdf*qD+LVc6rgU)Hk_&uFnl-Sl3qyrI_V&!yj-nw-u5=+LV zKQ1WXZ+`YdZR}P4VHNIoDmzT7jxwUBVBPiY2Q9Xx^WQKomqgCrdFC4hy6NX0o$U_M zE05b7&_Th=qSLeHkJ4#fL+{0h%kzHVd<{-cno78Qn{15S%$o+HkMQg9)kBeyl%g|y zl?)YWU9x;VQ1F@Q+Q1^7n!fpdCBw?BB2!~Yk~8h& ziwYZE0b+HVc_y$w+V|8Jc_!D&BwgGG9mSjZDm#@NbuEcYj-EQi{Hh7CL=zSv2ioeR z9;$dpD%CPQ`N^cga5^#l1(&B7e0)g_Wk`SRzBP=hxP_75w})poo)olB)AyQw;!0;o z?rKH-5}XUGJD6umFl6A`r+jos6`YL6G!qVg`=P_v@9TZx?Ew3Y9H_ zjTes)7n)ow`Cd6s$>Y3x6D;MT)M4&e_=f!VSxVz=0A5Fm3Jjx(Q(x$&pRhU%Bjom~ zV7z2Lap;RI+)@>qybmr>PAg;_nrX4}j;@6)a!gUs^obPGg|SeGE(8&2aOOcFeF>8m zHBD;)GloeXgV@<@v;Z-7xEke5-o;N`?-C1OXwJGHrv9d&xa)dCf2yPId+4)~1YXN< z45oXewO0qzKm^ml_~6amyohOqSQ$csC=RM0tXEG)^GY@+6q&iqX+bd!$*5q*N#(iW z9aXk~YQ(B4V-$J>>x4bIF6BYB<`EMz#Of{MX1ddo9~ z$`}L0F9>TRvqYAJ#CLvMW_0zNM3bS-1Da1!(4CS}GV`W??IGbp&5(|s2}Q$1Ca{MQd41RD%s_tA z*!`i_y$1aO17@E3WhtNc9$97NK$=6(mM~+62>_P+f%kIr?Xo{Dh2<3ggs!36yF`4b zokmxS{Wgum!Nm)lgUYHJ`WN>v$=QVNOGw#ACVr~i*u^EJ4jd-1bWfcIXt)Gy&aq|f zP)-l&Yq#wFJxmM7X<`G1)p11^FH)Z}fI+&PT-O;<+`^~p<{wuf_0~TlDF0wU3y~Pe zl|Gq|0i_D(D`;$Df!%AjS?`IshU_7ve3{*2d|=|3*V-|+d|&xeS#AApL*ZwtAK^((6T3d$p3Z)8J4oj`$oJ+(Y3aTIYvgq1o!kj z1L9U5y^c;iIA;}Ah#dsf^x659t<&4rR$hK#*UY;J_h(e4FzPx4OcGH=6Mj4ZPunOB zT~i07V}Eg25k1-#;ofFpKUGRt{*I&EkBEGS8)Tvq(rVgOe{P&ZyTkj)+$yW0T2w9~ zF{gR;_bCpQu|FuR>>fv8;|nP7>)IutWPcUY<}@m5IBe(l;1PvK0iG4;*m)#x6H&PE z3qdVar*!q zBVs%@$SE1XmQ=I~&H1vtNyg3pAZ=Iv5c#&Ap=oq6sO=(`afm4zHh5v$)cw`=9awOhGTudiuezvl1JhbqbiJe!0Fq zyYxU@(JNriJHG^-TJ(ka>)5pHvPODihu|zwFpiARrK%m2mODB*jYX(u@08j7`!K2Z z_a%nLYyJTt5HrCSd>)+mRQuiB>Vv9Tf;=$aer}r~QC(r@tZq29 z_KyC<@`m*L<^|EUebuAYms8;L)vZ{O;JI5bZN0uOT;NcKCA5EAJ~w&$p{{Xi1|5$M zXxW5s;Ii|m8NP9Ji~E?+c0!x@Vf}!bE9l+WXR1*;T0qJ98Zg@>bS)k~(*=CmpDMdy zBRiNlHWEXyK34168K7@cvaLCJ0ONV?l9+;weUH!Q_Yo!qudK#sjKUHc9(#p3f&#Q45{@OiI(yKGb9MTFuj5S-Ak7Rkx`EV7sNjU#LNqF5%1($kzlDa27YqsBZQcSSa)-LjAumrT$~+A+ z$%kttA+@9d3<+-h=$?sQRQzca9xpTD1vxQ65n=RZut0zOJb5?!b5f-i%T3_PEKAZJpP}yYeAtF7)%%%_n`raB4G~< zV2Dt%4g~e!)|L>Ay%9v-bX`M)?j}tJZtn@Zr)(NE`XD_VbL4j~;CpP=0w)M<=9>>^Fe=unk~@P#-qD5gUS&P`CMw zEOhG>TGCkyq>c1~w2{#M5?UT4jBJ6$Pr?wO1;P8yk&#hR(J^tLBqx@CM3HbsB*Z77 z!r=Oor2`$VMEc%a|;XW&_7lN zH=4+F7|I_>q!$biYRQHO?7Ni8TF6Wlu8V~J@gFP+$s!kEe{_*3a5mZ2o(?1aM;F-z zuRnZtXai0s;R*6^J5{)!>fVjNB?KmqkIycz2_Yg5iH{MeX`toNro&)#(Ecto=og@0 zQieaB=qd7_h7 z?7%WeUb1e%SXVI>@2I2D_bC>Ol{=Uec}Gdf+9xYKnu!rMTEY1`tn$%F8iLn(BA?o8V1s@Gy636KYNFnBLT0dJX>1A zY}K^X$B3$xJ)yfFBCuWVNjV;uTYU!zKdhTgTq7u(jT#$%#PlQeCi;ApQ)ET1TMl<&0liZ0AKnfk4{yI`&s`uVZ$fI)pa&JrvbqjGj_j&c=yNZBj9$s zA_8RxUE90&sdv!7VT>bWsv(FD!BPTX!UjgwMls+ZW0&SEK}3_i6&Z%37NhD*vHVDT zJRv3`Q%a$G)c6Xd8kUEQve&UD*0glrvzqNZF|o2<@9aoJ!X9N@;KHkhp+jJ|T7-Ryqb8Qt)s?I151>I z17A{569tQ^xm;@LJBEP=k$kJ!Ldb`tO%zJ1r7z|SU+!*DeSV#o^dgEYD>%{~+$T!4 zLuMDqJ*m{yyKV1Su`}d0voxzGEqIqshF`Cy4_GZTR4~=X#AN!&ml-yzE9ny^V?Rxf< zryDiWz`^xea?z%N=J7yU!y0}@mVZ;biq|-y)LS*p1-i@hdcf-w^7HQE)iKGhQ@`(7 z=lE~X3T)M#0+nTZWpGN)qR_$i!DHU%;os4%V?L!9Zfjl>h%p@|J$dxzZ~&`pCQ+FK zXyLi3$W806B(PfYElQIwrN^wZWs+gcr$XmAXrqYa!2L3YW>)2kg4p&e9nG8!Qa8(| zj!wH~NqG0zRCi0gjVPbR?{SLdYpC~NQXz^SDln;SudlsF%R-=`eN&|RpE#t*KI{*| z{5PM5Hy_e9|Eu(J{~vle4X2kJoLqlJe?U=5`LE~?KyJ~0MW7%eGU~4g6qI~|f(ZYD zKmlYT{Z|AEw&019e?g!C2lDFq8v^}@UjAC>fjdn@xN;r7*?@0;FZ_W0CvfRFXBF~< znd&Dx-S&cD{*NJA%@ojzxqnIl!-EkZ3_+I{AooH&blwimDm?e}a#s)3e!N7dY|$C^ z7S4f7)f#m0y#bA04UJ*9uRWup@r!kA=s%j*E zZp@OhE$hKV6BXQSKJGC21~|hGJ04SYEd^xnbt+5j5i$@;jgVNQ@t%@lU=1}C&HyXA zH+OeC^u}m}mI0A5qF~8Cdgc&h<<|dmvLWEf{Io@uG{)z>PU1R$Bp5Y7vLU?;P zIn-Bg>TDw7UN5le-RHqCeW%_IP_G-i5hF(QD0K=@t@eQNm5SX-TB_01C(8VKEl&S; zK)=GdH_yh>Q{EpVJf#~ZQw4`J9tGOOPr;GLuQe)q%NSE&%C9y*rfx}e zYm&jul|)r8?bye`H5g38Qk<}{X&y}c`hY{cTN7sIVp&=E_|@0oZDQJW)<%>phf7V{m?P4dkKgC>M2fnuDWeZ=3a-U zih!u8O95VN1thfJQZ1bRsD|+2pt;rip+H${Xm7I38QJx)CZ4RZ_6RU*=}Z<-b)9QY-@NVwO1F7E`(ht_CMQm7&)FKtGJ;8m=A;#qtTgf>?7|pjD zynvUEEP=09YbXP)&ffS=^LPQ7&}pJa4NI(#jy8hlOkVOGTSaqhB2|V9P{jlz5hPLo z3p)#y0gG7ci}to%(=Fl)HnI>^cixTHLKbt#pEbxrfhwa^9zE{i1rNv=vTDoSyW7w34$3-$9fmkj|!`>RORh@0w+!O=x{SeSU;nAv-CA60YE>AbXEHG zaR%kH#2u?o6ywxeIfjY|vD@cFw9-nC9*ThluKSnCMpvd>(c_oWNm z54_U+_oVQE2QTxt4&3Z;A$}(#G>fXtpXu|;Y#05(I*4w5MLHF{z>GsZzPDc_%b&nX zLeYh^MmfIvQsWu7^In7*dNGTPaGD<+-1t-6VU*Y&qOmi3VyE=w7!(`8N za*s(J@;~*roWr6(Y$KC<4vVREDy;JJ0!Pjr*NV^Ikv6v9MXe}t9w>u%wD5oeVpGNi@bi>J#Cs?c@UeqK^2rS!zBkcy7?RLnfS&rkPg1J z<7__iZXHD@eC`-Eu>V}&;!R;a;8KA+Dtj+z1;vb=BDx9g1%%G*a+&pGWgOj>Et9?s>{l~;Ke9~%WZnbkTv!De`|AbkvNI|RU zSWg#_A{Cw~#PrNWMqQ8edFx{h=b)_lL!mHj;JGOL%q%Vz^-bp~Li#2vmk2}-xP&#M zs%p^*RaDcmL4M8j(qk>}q`a#6!$*&;9efhg>W6-vAdx;$)-it-90oo&j?Cin%b1wI zE%-LKE+}K3SKl!5%Ob9Cxw>QI2c-yjk{}b%{n(zW3QxfC5aaVABY$4UpiWdqPx>)A z4vT>uXk=;m!IfAua(cot{qZ7gZqK&zCx@X`+NWhic5U~d-{27OHj|=b6^wQt*Ki%1hT~G(c`Qm{P3xDsUkYru6L7g^1l;>?cm{U&u{Q4MXEFta`v0(rsiG zH57a?Nogmq(3~DM-@>IsdPZ@#sF6F?j$UyfyHwmXuA>W6{7q7-(%obx`LZcw10ILh zzv>auXoc=F0|O(6uzG&CCLE%dp~XM8G0C~J+Wlt*d@)t+KQ7#nP603`PIJeQ#wkYj z2d?NWai2bSZxB;Bm~?Ey`l0U;Z!-ugTgT;~z zJ+s#(Av2o@iEP<>%M2mOOenLEkQGrxcD7_@hlWwf-em6;e%I0a{r-HupYP|}AHVDI zxNqm&&UJO}L+3cp^BUWa4RYA9XoN0*kvDfruAuh%v5rFoRDABHq~$b$Rzml?8Si@O z{XVWU#J>ED1K2KzUr$9>aK@hdY*OB+{bcJ=eDo{{SIs$f zi~J=LuV{dXyUII7KcmQD410C?aZTSM?#--KVrI_!(XlC0RB|Q&Rta^EZk=G!ScN{R z{Je%n#G#c`{cS|t=4Mbq&(0MUU{cn)tL#xQK*DEg_pp}I*xt$7(W|4uT#_p|A)fJ? z7I;k{9nml9(mui#*I2}o__{&+#^qMKyOYI&s{xKT76^c(C!xNPO-Nolp0VBNHou8i zK;H5;me6G**YKk9?PCt0;22T*?JSq2b#zv7!`Kl4BO8Z~rB^^?(g~4-cQlzcNP9m| zB+)-jezbCxTCM!;re4!1kHwARw}kw^JFPLH_;G4F1NCt=8vM8#UYHAnA69=O!i4to zpFi(V(=RP8FR!d_lcFlEPdlwCPupZrkdej`p@WG`zs<3XmtYMg9H%yHh!^D*P@+#o zxSEnBlt98wB?CcJp%WaEMwC45nz|G)Idd^WwPuSOuQa?id zVdstMxeiHlHPe@Mj>HFeX(%5I&M9 z=_5+t3FVJ)h{7Ru@zUkXSIkk$M_ANNiORCHwzjp6gaZm|Ax(S?dzs);SidEYaJ{%d zgaIlZe`_9}CY*BAOcf)5D^3qC3Hkt&3E?#k<)g7qa$<%MwpX&7S++j!h#YKpJt>&8S!}v4lX4X z_+||?U`8sWiE!wkek{6{2Ked}TwV;5ujR@Mz zOfVPq94guX)lCI8p78$?w3Hvh)+Tat8-^@^MnMVJpc+RIgxV6Z;ju(RXyLsk{hQjO zuszdRw(}eb3*k%^z0{~c|5qwVOv z8(%`(`LBf2yYAm-HKCm>ouD(L4!uWP`?m>Ae*b>6Ewn?_Exh5X|9+#bB6uTdw114~ zbEs?obKYNy%_`)-%mYzbdi0D7iDy3v6n1oP96o2pFl<|4;ay{%oatjii>e3iMlPEV zKdP&|zS$GMRrt1x=GK5S#ck&WeIt>m=l*;LLs1qtuzRoHdh;d`fZBc?ovas| za_IUr*Ir-3^axw+qfR@YRWExySML~84pOnW(R5>q>AyAAc4#+Ksy`VQ{{AE#QoR%6g|5;sY7Eu1CLGIB?`OF`PjB#!Z5`g~*Jj7NE?X;>#HR`s_EiFs!6$mN{- z954NaaIxg|SGV%b)+To(GpsIK0wsZO2AH8Yd0x()szq=izx?t~e3qp<-ST@} z-^WD4B$vM4 zDJ&?;4^ihD5#8QVeGsp`@p8-+&Ao-Ul0er;RofPDdD2N?oC3Vj~c9f@nQ681%(NfPvZs?4B`<74?;NQldpzwv#_2H} zrXv6|XnDF%OA13DKk;rjNMR_9rl;eiRYLz&@-&u?Wk8Y>7=21r6%@nwT&Of?S>Av5 zb`MkHKyKsgWM1Fa)RLJj78o4zyzpLAF!H4{SEKrndLA~Ws5HIfuLA!d!FM7_Z*sv6 z|EWtC`Zyv@u1ELBWn=e@Z|Pvl)25+I5FDmT!SNh5l(18cUq?|D@Mdud|vTh%r#k}*X->25I0D{3=n zVkp@AihnKUL2Yo8;c}vrjG1Fi8U^Mh_F^hPDaJf+az-LpUykKCEh9u*znj(KuHnUZ z$9Op=5<{cXK%*$S!@tqPink5@k($G`(#+?0IKt02spB1V^X%Odr2tlblF;(Eq-2gT z!RxA*zSLKGu=CYmEnbkX$04LJYA*r~rE-twtnVDz2o!dk7M^kc82%6^Zbc?n{u)zx zTYu>;m>s+9%`2*Ft7(40wceVb#=`-hbmD3`p{GgD%MkX6Q4p?sGGJ60_+TNtR<@Md z^CI(b!JL_`*p?-phP910pBDI-k$hv5(@^IDt}RCnO?^$*y$s)JiS5sKQ>Bx5-@ifw z0{n}CREM*(QL!W}!3>XyjwIz7FYOodm(66_%?HL+fc*E{R#<%+?+q&?xP!C#7YWhM z$h@+c9pu3F4EX8XPXJtn3k&o1J@hszYwfG_IWdBUFMHvUJr7G1%0EUT+Zw~3yc@B6M!{>zJRT>tGE@o(7qU!D<9pMZbk&i^v=Ke#FC#)q5m zWe**VOmy@F=0(Eo+h54D0fjslQLjON;DrEHDEKE{2)KD*&F>$$DNuzjmp^e+-~?;- z{=`iIjC1)THw7?+=a1YJbakW3_x^8gih4z=I)Qi-My@QQK<5f-w~E@Wp?2%z&rz?d zrw=}A2L+p~CPP6E=ZPwRG7hA&F)=nRqF)#-BD8a_*RWu0IH@xNEJM!OjRxW4+YvJ_ zFFKZ+h0?W>8m~N(yF^&%LQSk>2o84cntdOyGP*+b1Se=iEu`ANyK9g{+lJZviQ!N_ zxB;HC2?;J>3bj732*p2K9McvmpBn4cARXQbc$G1j=ZgbkD06NF%-uW6#WLu4n`nM|J>(c;8fj@1hYB*4k`Lzff%WDaYOI%QjAJhW642R(XG6f+KAWz^I{ekf~-KzJU0}p(jrztewjg!VcL{&v^?}6(=!Pm!CIBf0TAyaCWPB*Y==(9}A*(yEN zN|wHloF@R(ZopQ;KyehGt0VSVMjggeFD{ik8cUp_N;0fBJFFzZJ+asIw1U*%Wa7FQ z+7e(*Inl$+RvTwE#S&!>>1W4vlr|jp$(ZkC|BNKB*g=&+8TYTbzO0=c{OZ|+r zR>0hFh(`1)i`4p#7XB~#_LLfmy2#CKrPu~a+9km>LwAs|E#UPSHzH4f5~K91CxuHg zcej@)VdO*cz_G&)hKXY^u`~Sb9%8yI>lfHXWtHPOAAiS5r?~*oWYJ`&K&~s*=36u;`v?Z1?8qh&0D6 z&f&#p0g$q8eWG?Zs!i(ly2G*)Mto<_Q>@#aLS#4Wa%%m|K+zOrl9WxML)PhW6Ue|Slg_xdy?@$HT{yk z-Ig6!Wz2kpm-d^H^wexHAMaaD&wD$VMS=&rQ!C+wG3Guk8Rs;uO%kInjzx(!D2fsB zKxp2QJjj2fNg0Rd=gz#5b>;eO>>!(U@Nhf*OEA|$JW`}Lnp8tvR4_NP+5OHy|9LR? z%M307;~Z-F`5Ew2irqFDQ|?*1Pj6mi{?AV5lgYAeWJBaW7Q@G#=&$dAduk_f_1aNEpNOwvGKuJZDw{$2JYMTXc4KUJ%1gqhN} zZ;5SZ-U3sTpVRkA0-BPumRlZ1qAQbF#$b9#B|I~*N?Mn^_*w(V)EG6#ADDzHy^6~@ zyt{LipuNm31M#a7{M>4T&*?1zte)J!*sjT7?RbznTE#swF7L^e2@%L*)o~`fxiBMfI@P1ZUQMF7e^=2p=G4Y5R1S7i)je@h- zT5r$0N9MAuwBlJgKgcknxa3nrk;lxdtp|EZ@#V3Q2_u`2gBU)+*Suq1m3>=eIR*VA5gRSKf3 zWb5Jle7A73u!_k2{_w1<(W7qSS#Wfwpnv3WdbIKVkGoD83>_PXl+?Y`N{?cab97DY zo$iC~pX(UdgtT17ZtnRACXbxCwNrLo?>07>e8ct$A*&(qiLS;Ctr%Eb1qP-!{D$H> zN$f~Xw`6HANK;d3>YK=bcVgG8=MPCM_o!s$zYpP>hEPp{vpY;n!C~4lQ>>Ao9qCabQ1AJQAIXElqk(kl;1Cw7w**Y>NuV`Xv6$|20 zbG!ELJ%C5gBcy-(?(^3_Db+Q7^BZT^M0_5n*1R`$^g#H94NZXVgnC2D^f^TvucU2y z<@81T@Qm-IqJ{fJ}>$!Bl7qVsB8r)Xm2}K4bL%=lzJP8G z@%GCPO+6EV>AKe4&QCz;9@@R{ypD4it2Jk})o;YxmiPc zL~7=qm{&7|d(q4>v!>z0k3}3>fonPj^~2~myg>Y+qKd(zx{n(tXXURYJa0dslzDYf z5}f)WgdFej2Rt@lj!Wf}Ha4pqvdJu)n0!&nJ0kJ!iIkaV)YD{iwSuKI@T%c+^ftw} zc2{2om%z+19R4e&DdfDuayq7pN=h&rk_J`pjY`9WC{oV=g&Fr~A zDt1KtG7#i0yzCEo87d0%9+Y}M_^06d^=lXlnF|GhkVzI6;=d`a==_4RVSoJ&rwqdF zMNhU{@1L6CH~WCpx|`2voY@El8Q3wP2$h*hj|@_+p~|R6dD+2VoS1gpfu;90}Ogs;Daw5f?~(3pVX3k3<#fB>&wOkLrs@`K~iyOH(6)G^3Vou|$woxV6Ep z9acA?O1nQm`r6(7xpx(gN(+EulBltTk~sc`k$Z4J=Q%Yk3Poa|LRK3QtlvN~0}37C z6&P#)qYdDq=Nl0Q4%9eRJ95FADqdazL17U{Ct=KiuC5+b{KBYJ=)?XEC5=t|0s`+t z6xsM!zCj>@grYEd7(5U@jvz=x27)|v<%jo52`;2Oj+|nC445>lYGZ_`*_c_P5vaqVfugbS zET_?Iv#e~)(fs^uG!cIrO&qB3gh9z!uYz@L^n&%#a?!?1;d|Hd6HElbK%vc$Mu<7l z5UlYuB<#(<-i@99nxS^PXuGAwsN=uTcK!F&ou~gvLJo0TzNwRRn5ZvvDT4rK`6<_6;er1ywGGSf504NwEr2P8Gfi z%GM5@hZPR}Yq+fMK!;lcR&jf}AG&|})Jm})rAM2G%ti*<1;I**d)w?f)xc-v#KuX6 zL^Ey4^vpN0mJ!vOEV^@?%U|8h!LS_ND+v&LZ{f_Bhc8UpI)2?ueXo2VAAVa!LlxW7 zT#ob5B`38F^g3+O4960xKl~{$5{&2(KBB_B^IcQycH#+exsUmd0x(L=f2wzvWs~_; z^`j=AU~KVzt@cM&OJ=K}`)U{OlfMRj1^62a2ZrI=TL^CPfCI4&p0*41%m=E$2G-EG zj~qq-1&1m4O41YbnrBwQ>YLC)%*V?bO4t1364V#e2Yf39LCHWt5KVJ^93{~QQt=^& z%U)GvXFQAa(KGtzjBih3*nwAdJui`SHHDpm!nU#5Waflu86+1P2pv{+Zpo^U{=x56_EPHZg*Ud~ z<+K{WUdApe@ypAr)wW6_I+)%QVv=Ev>j`xjtPQ+6vog2?$bLx|Tj<|UyLWYEF!0h9 zi^V_(FYy(gz4e#|ZH0u(yTGvQlA)y}jqAewm^MOv5|4?8)wShkZ$;9}n|}GQl@biB zpHiXq22hnDfpwyvZ2JP)9~v#RqykCdacmxD<54Z3e$^%wH{slTe(m=K^O}o$5p3GY zTBI%AL3JYMiEwPy!2MbFu-sxoFELfZ(DOoVvIQ?RVpyJh>OZMx?YWg_{t^sn(oOUG zU(}{1F^FC`c$FVEg?F%ZY;mABeiP2yhkdW)^#Q!AF>btP|_ zgPBHf$usq>Y0(Rrl!7ZZjgfP2wMpE?WY^twWK$K6-+4&?1o9-GsuwHU<}vi{SrBM2 z{c4%~W^d>JQm7cMb6#ouTQ2xSv&&;a2BQ-~znF9u_V(;_RlrkGx1;f-MtIl3byvI zstbEhrrcbewiKSRWjuB*+|Fc6ER*1q_@d^OEp63=Z&w;+pZ!YCm4xHph}r4$<|X9+ z(s5qefS+EW#{ajHv-&TrnSsKZuXFSM$OJ)0Cwyi90}}-Es1NA=z(xW58|IH}6retG z{SzAnN-&iCPiz!8!1(Szu~7hx4}WB%sFxy$HP^@0VQ>tJV{W2$Td3VOYPU0P0$-#5 z^@0VlW?8V%=(6*D(+5|H+w*ZJ#U_AfmHhlSjudr#1ozr(6!>vt>a$$vWOlMWQNWn( z&m_=my{BKm@T(Q`3QqKyb4Gxs1oz^EaIkZ;u{g(Zj-BICsjsJ6eFeFV$_Cj@>tPpw z@r0u{G{7zzq*IEl1>L0E=Qqt{Dy;PN(dvDhMF(|`WZ9e*txdRE=ecb(KYf?!=P!0V zPgd0Qoj>l|F{$5-5Gaxwa$?V2dNKJLra!7njKo!Hic!!^efNFQWs6xu^X?Pz zU%`UK4L=S+E#~1#oT6Kq-#35OsEIm2p69LOyC^-eD!XH1 zz5ENbT*jyO=1U9{B0Wor>{AsjSueqJZ{yg!Y6wKPQ3A3)a444ywB|H*YdLPNT*m73`(g zbNcQ=L6zr~Z#Q=vICON*erhB@a>`CFAFp3Oc+4QAF4B8f<=m2SBhB*zQgC%8mq1wH z6^5mYk<^Y-iKQBer>n+;Lacc%jq}>nXYqGlzHF>0y9vTtX@?7#-O44Q0aDSof#F1GpostV1JC@tT0MK|#WQkW{q zBp5)c@ODaqncJt1a}SMfN#U_JY*oj-UL~Kp|Kq+1d$m9s5XCjZ;9!28R%O(udpp zXW_Wo#!!CZb|AC{o>^m4{87R}XS{ot5i>-*YsFPIc zz2&-dvq`b1-HYujpg(@b(t6Qjm-33*p$02~54msZ@)?$w?%8j)4CE7oV@1v0?U`066zz|eQxnDVKv}PD)t>&Esr>U#jw#9hIhpM*VSI;8ATbo%)dBSuQ+@L zNZrW7U(mI&U8+CEyq>pH2a*IuMj=AxLht(16y~`%-6-= zd6adn9%pn914?$TDr!L^TfYZk^(QpEzCj^L`NbdmL0aZl)}aGTJFs;&cI0#2$RZ(g z&}dkTOxz@WkDjw%)a(+|If?eMg`42%;3oDCQH&@##nYtKBNAqILo1h1G%{e3_HKD+ z{}&oy;*Y815|vep$on)fzDeio6Z`Z{LwDaCk$||8p~=ms;92v?hDg`6h_ps!&B8VX zv!rV|8Fh|rFE(f3%t|a7CGZanM&w=QF=+-x+j&ac*Ko)R4qiu+5oVHj)i$hhN&~m# zY;>Kc?R|r2bRx>`;iCtf79P(F>L*x)9o_Fg{Ja2;HH}^Gg?wDWBzNzd!y*%s(y$6n z_^NX+Gv`fBr>toj_l20t;o&GL>~`bvx;MBfo_fw36ktm^KK`&Aq1b-UkGwfd%ai9`2bJKS}RH(T>sG>&@7-|MWIO}JYso6LL#csGs7z75R zDOtr&S>NQ*LqF%kg^8&F*75g$QS)j}%#s0J`=7u%q-F_7I=E#wwe-_+3#k==>e^)z z+6&G_pC*@B6toN~kCgR{Z)KM5sCkyR4V>#!0~bwI;z~b0C?46^J;auE`?2JowQGGV z9z!Hrz~Zdj)HtYEwcBvIwIxZ`Rnt$2EHUR)vlta>A*7c1~ z^DFdDo}e?aYu&zA_-2fpOZ1}Y-T1GRKzMoo@Pe4qlZ52-nsuumg8?tzo#`iCi=-G@ z#bS+HeGDk?RMUN+$*w>9St#S&&e_n1>dKBVNJ308DRY4Ll4U5})0&3&Bvj{=bqw9h z-jRuVKS9K=DyhOiiqcbYBt$UzjR;ZzzZM1}*ng=b0}<-eB+LpJf%&%PR3EF#XxOf=|f)CdrfEyv)h*12J*b+g9ToNuuMn;YrC^abLLUi=P zqOf)o_HG6uOn;SsLkBkWnP0uCXnEbn9{x!S2jr1X&Vi?akQlI=#uZX6yuT%qx7^1O zSj_{O6AHw7`TCxUBv0j$D1BrG?EQwBm@pMHlMIC>UuC1L*O1cn!0{1<$3CIvf3`%B zFO3M&8;Br%P*?~9G5ZG~7(1o0LzDY3DG~)K|H+7i{%b5O90G2F-wMg!&g*gH-xQK? zF(G(>;V*$CN*)P=Fs0-SAfz-iM~Nh1F}5XwSi^D}8yJEK|8{qch=vCq2f|#)apZ56 zB)o*gp?2|&2=?zrp2R=|KsmV{ZD85va#r_hetpNrIAFbBXu%PwULM({OMwzLdZY_F+_1uBbXWh9p}G2=P1)Tq_S3!N}dWN zEfFS|wi=EaD1{`ESJ8mps1Fm?3|TyNh&NsZw&Z@Bq1Lc$Nv7t0Sd z?!s&S83^`Yj6VY*4+?@O92WjECkOI<6yPr?v@s(&)q|FnmiP64h20I`zfU6ufoL|S zxG2r>FdQRr9jtLJ1i>CZ5kU}~Q4hkjzd)v9+zdYstqK1CIB|)cek^kqe z{uE-uw|Q7||EmG$pIg>D!{C8`uKjc2=;lAd%zuvkz94x*a{V88`5&qx$xU!cLn_L{ zoI&@BO=Rt*Y4GN_G_Bd~dwUPzbH?s3H*#(P+--@^yg39}^Cd0?^+5#FO?Wax(U#gu z9=cn3X&3l@f@$>Go;5>VZEjp-D6-@!MG)=z=c(L%DDq{yftHn%^`3SzVCVqdwkfWs#HMQKemC*ehaJdS^&}YEL)ZougdK1m)C2TtaNG0+s+6B zEj!7gOJ!Yr7fS=K1w4{)dA-pV**rzGUllVjG5CLVI&dZVn|@GwNL3?Z zxzsVTVz-Tebp;zo zPku!Maf6Oy#%iElZvDqQ=OXft$mb(l$P2r@!3V#5=TXl>x@5GD;;Tp$+OGE?4SB->npd>cP|CaH)yLDlGq_h`riBBY2J)rVFY zcDGJZX4M-R&wvri{2H>!-2w1ylQrR;eeP<$1G%7h;S1dO$%ni`x}Hl{LwV=;UB3JR z!L?uM!>WC&-Z*W%zat}^Zq9+WBXd(}do8?e=rQTH=U`+wP@H#O6!XdI)`P^ZbLmt; zbC2#V_YUal7_vZp+;-U}UCH}!%;9T5u~EYf`a{Ji zV{UwDp1MqhbKtq5U#T*8;ae@fC>1+{Lev<>4e)IGMCj|+nfomVl6(ABwuf^fSiWCf zVqVdTEhKMbYNmjOhVky0$Ne4&vSe=cN@^raP0?r2+nf!samEJr9vuk*MdC)LyfhW9 zq5=0tZ}*9jO6k`Nf`tK=IVPaEcq&K+R;=_Um%dxO=zN@SPUdR!>MjXR)gt zx&zq;7(Dn!vWGboyZt-;*|R~0oAWtIzSSQ&1;WTH2}6KR|NCqA6{c@Q$IgE&jnHE1 zTXHY`K>RHFQ)<5NzBn}tNY10OztfO{%o+qq`5j6}?)87+wYD}7Itn60o+*_SgEr^a z24`b}#Cxn9pJyb5f2Pt9F}i1!PC1h}yrmHL>Nih-Ny%zO=by-!ov-(Yf-8Ifs6Lx(%s{IE&@uTaCN%`>ow)4t$lO9@I z+|_6DilMEqc-*f!+N5rz@ju5Is>Gr7GGItH3c0^E>RdVLV4$2&*7Qc_E4L-zgh`Hm z_x-UO|LZyL|00)?o2Sp1|KHqlf#P>Z&=>giGK{JDBlH6yp<#c7e&AJh&L80?K(+b( z34Vf^S!huB1N;QgQSwLl3E0?S;PxNjCy-Zwm5hIYpMP=7o$)8A*y$Zq>NMQ#qjm?V z-QhS5@*mvN+JCz*+TBR|$QnbY$zY5IE4dv{Vc(xA%I>S7?JS20aJJV75dGNfc$=pgaJ0;YiBNI$^~9yP`%5ki=uoEDlJsSisd-}T|; zg^L4;+k4oL6y~CuoF<0S^3q~06#7+f_s+g@@vBv;yMz~J&YO4be5hr%AX0t6 zcRzu5J2*iloWiC|rlL`V0%SG!(F8|v>I!<7UXip^IM~y1Y9I`=a-`m{wNMpnEC!^{ z+a4Vz*U=!1UnOUX&&AWAvpwsu#GV1>INjcHbYtL7g!Yj?8EJ;Gh+o4hpl}qd!qDcp zKx|;-61?90aP|r~{=P{0gI}-b^&n5x*jjmYzxUDy+-Qj$D+^P#buKAlP_MDfa6Ra! z;XC^21R=osM%TND8O`q%K}qA=`!XF8iq^LX_lznkI@*xh-*9%AfVpk%=V%H)Za+~x~|UClxZ z5jNhOoR}49%*vc%Zn77i&EFRD1@0iar@cpO_bJy-ib?i$e-$5gwUx(vW_I=+@uj4n zN`Pvt{U=JVadW)RfU88~F;3AP65m)}n0hG?s`@nJef0p@qjYpv_}C^r?HQdEKRe;bTR2I7(SzE-?{XCr_4-QK-rq;waQ0T zLsn0i^mjZ2@LEzXI|cb1#i|GkzR#3cX9gF~Y4u{e>9GYVD%Qokzfj(f+f`o?bY<%( z{v%=fm1PVtqwEy=Sy^!F-n!oTaDDm**WzkpE7#h>dYh;YHg9`WfLRR-C8=H6@Dfet zAamyf=G-&ikK()bx9c8bbkyH0lLgaeEWZRihs4*otIr4vY_D1__IQ{?Ob5kB#l#=a zYX!iHk3&(4N4EUb7cngzv(Qp$BMgT7m={~#-s75kd9zX+=zg+!;k98xUv@5C_#I(b zaSS1WO~u70R+Nvk@4YRPO9ZOC;%ABYn6Nyl?uQ>X)wYeDG*ieHW!aGM^Zl?Yxc2~D zy!$|hngy#7CgiB)@;w$_{WB$R(P$5Me++*v0!%BP=SUpGoqapP=oSpt$tFwh zTHNl(?Vp)aZy6^P-UK*RU$tlN-4Qr*#xwOs>QfzUQ@6e#oA`YH%V(=%biGGF#EOn~ zgq*89b(?TP`y-J6f-D6OruBSM?&=@-ANurM!P!h#qTI56u0x!uP#OQ-k0hz(m#6A!RW%(jhjo7gWW`Hs^bjg&f>)o85;e( zZv5Q8sMXh_$^J;4C!>ZV7VS)7(9o1zv>U?l*RQrd@5Al*akDD?^ zm#;oCCbtcWIzHd_`5YBFSLNUqI1GN4Q{FkvCuZQ{eXRWQ-8wig? zb9kJ^>LqCH?CG1pCOfOB<>eC)9GBdOK`E+sFD7?t+3HI_+j%Qs_vlH*206d(^TN*0 zM1bX{|2S{%#4f9_p>e?LN3+|P zH^Hvo+RMQJw_hCBEc|wSOTR6xg{J3MyvMwt>KmMz(J%^DiJ64O)r!lp$=QV^WSkzp zscQK6ZFpwYt&{k2%&UndK*DHY>y%T$92UZJ^t za|D#ETmteM2Bvo4VQlX-*2R#A4IPWwGmF-4UZG{pT))I^1FIQlnqodXftXT)C@S`< z6-*p5Tndwr`ISw9kVgaTGSiqimt~VCi~+N#VX}tLhv^lVaw(>wlbFB6%EQ;Tb%;$! zA#lyq<}uhl#1^{wG)2E)=hvC&*tE>N?*dY~HjmOV*fMjw390hHhn^*ZIa<=2AL8;3 zSnU0(CYz}g?=*WYg|-7BaBviH1;L#Xc3C0R9rc^Miu zsZGH#8!xe({waqR-O8aaU}cNPChR>Q7IX3>OtF= z31Vecr$I@D>+PR62*aMwFBzIb#V(UXKxje<0XMMoN!r#Q)-OEBW@j%xFLg8gMHe~y zB`q)BvUW~>-p)xtD0x37dA!w6q9iU_G_~?O6Mw4UgU%nLHalX@$!}|$Kxf}Cgqlv- zJ*~V8>x}yI>NeafPHu@myfDwutEfHxF$(s6ed?Q-CA9R9ypX)Na!BnIms?cn8J~=K zy}G$yNs|zSbuDY-xZiy^@Pm#zX{X#ZJTk9)z`>`z=NJP=$mo7J>@#0SRBc=;+qUcMcf*gn7`XB4*Zzw)T#`>~d@OF~M<=!L*$ zAPl6fte=&!nun()ymEU|A^WyjNY~=}d8fMf8zj8Vdqk#S8OGGg`{Cbt(lJUDn06^b z{4?2&5Nz}_lxH7zp3ya|1n=e!&x-4sMih^1Y2END>*ANS@QF&w9J0Rstm5qlTz01N z5j+9EAJ~k%ap|w#quaamZfx0_Khx0*X+4d9v_z0G=%R%Ta(n`^AZSg8uJoz7Ic$_3 z5)MK_=+Q<+KthK$%rU~EpcjV75ze}l0R@qPF#NF*!9p3dr7yz+GEij+<&YT&fLWI) zJG!E>vYG};6A9xVonZjv-}#TXsBfX7n|%EOl9Hdn0Ug;W=m?dOaLIH_lrR#~uorO2 zmvH@4UF0iE1fay9xiHNWr6xe>BL510gt|zmjD)&KV(85t+T7mVKZLvyC5uF$&>;ia z!k|OY8W9YXCK4`*83;KMJZYGCi7MkEfzEUyVh&XJBR^^gz<=npn&#A>4c*xyrzJcR zvJgC?@*hzH>$gpN96^xla5d|3Yy|yOAc@$)tM>4bbbx)}a5zG@mFq3fP-x%&9RwL0 z``fY2cuFIoE&W+qIm{eI2_#Vi<=2Lz1$FwZDEQkJh+wos9BGMApGqWA`1Q*Q%DKJ% zw>mNq!9?jJ_Y-d69HP;q^pNK)p&T*;=03u&?BmeT4O@9&FAqu%83?O+;0dCTBxI5( zNhnO=LM80$!BU!2H6$znH!>zdrCyp|_x}eyLNz43OJQ))aJWUl?IAqNzr>J#8`PgB zq0+P}DpB35rwYh3r=IM(y3UXA_X;vc6m^_~bLRt5X;O>_bR!a#`iGY~9P?g24L27-`UBDgS8_7v@(f#0Nn(E*fnbWD)! z{s|5l@zc&xL(;&uva;qGMGD$#u@fNb6{Ta4t`(E4 zp6|lUWDGaqgyrP*PxkEh=3I2ewv;Sf7Jf-!;;rog(z@zypig#bams3$d46Lu->gC3A@c{*SmPZ?JPzu`ZoS z-vKdGd>-Uo_q;!EsTZ~ntIrw?s8M%5q$%0XHB&#N3`qp>mBE&@+r*t0q-M&385Y8{6ND|_w(=G zTq>QSd?nn&Tg3)I-V5iqzG&rl2%n$l&0_Jw!-zC}STy7NqP|Ckq3``X;KXex2yX1p z`h^r}_|AM4c>IZ^fNbCFU8dos716bq79b;)jsCD8i28ZEH-B^QxuaWhrF+SqaU>xM zMkFdEzY>4|FYVWonyJw)d5=bc8#$T#7wQDB#Al2$unHsl_qr*8`xiq!7SAu=1~kuK zd-&P-nIA1)-o=<{T5}O{MH+lLBCs{IfYqG#E?ywqo-8>A&qP2tcCPnPvOHyZ`V}F& zj|@-&+rhnur%?&Zv%g)#ZO(>?a=L;@od3tOSwJ#KhZ69PMS47vt&~D=5;GndZy#Eo zX;HvDaAcv?B?iLh(TBiSnFXRplO8_XZ)#mXlSgao^Dgr(H+%FJM81^FG2(Rn z(mrc8Kx?(4yft5DabZPtdYy`u3i9-Xew%Oqq#FmVkR$)NC`2 zecs;Mm1$=JQ-qICu$D!t80}}`!Ncd{73G9;)}*7A=T_QxezMWqHH+$gwg`|;vi)YP z%nx$w5@-{`eSTf$&d|ls@|UDg`E+H`*hHBx+C;qrAF0-M;P*WQ=ps*S97=!nF*H&o|M1(#FJjc||5HtXzP>Gx-b=Gr`X-@7iBunJz}F=V|Bq+Hzb)tgV&7PqY;L&fodJ zX({SO2?{h1$NS;ub11TTgxVdWb|>Ql$bT?SCi@$tAAopT)T@rgisq`E`SUjw)|BHn zL%tpMUK~{K2lSGfC*lHJMUCQTwdS)J7eY2~f6_82O+eT?;wZYTet}CkDP5B~dmU#& z2eAv5)`>*4f=p^(bvi1h3Kv;gQSboH89u=q^B=?%8lN**M|lZYO)q%+18 zQ6W4aMpTwNdhRF(YI)Ng4-cq4q;0#%lH30Cjifx{Q@WPnzNMK5tRCFdkg z1W8&Up5sby?rN^QIUd@c2?93ct0~8t(b4+PiwNEMFe*tdGNwl&>SJ6fYBXt0Mgu;j zNV~H?lF}a-9PhtQ@?jCnRU>nTF@A!{DLg*6OF0-2WOes^A4t^7!%=41@^WQqdq%uL z#Zk+t>AFWlYuSkd+#UxFbYM8x@pwKV%@HG+F&X<<9O*~F{bzrXlV2RWZk*C=6T+-?BVrSh!5Ytopk;Ruw{+E;m zj>k;Q>348*Nc`Ynv$0k6}{^?1>whhHmsFg9WdX^W#cd=9P}XgOYc>Rn`;4X)L1 zr0%Abnnl<6mKRhOXn%>^DPb6(E}6eT`%d&eS`W~>c7uesBiT%>$17LuwvD!M75%eq zai+-IZnVm*HIL^3nZu`G5=9c9P?qt>(<~ZKGh8Uz+v}pb{pA7-FC#Qp!EAP{=vw~x zO=U`_W{Ihg#!wEu_^8+GMMYxkoRh8v-XQF{-)#o{4>Yas2OGQ9ekv|XGJ9b>qh&mi zug;!MEV&9W{Oqb!?L?^D%YVgB_vG*pv#>{9JyiD|jIiX%3wWmk1jc%mi@W&fR&c$J z3;B7P-6Gu3qvw_@Uyz=0K3mO84>Yor9)Eo=o>QFJgg-e#7dgNzJy#J=PI{iMDr-L+ zCTWgc<+S7(Hh6RI>@Zr^4aSV4?iy>ok76&5rCYPoaQq#>o5%bIn0Y-x=SW{Ks!+8? zgqKZ8FK4yrkxloBlrdFy0YbBaO*>h}HK(fH<;R`=&1Tmzcl_jcX+Et5q_}_~o^m2;H+$C2%r~CB2kF#!cZmlg zcho}z6Z-46isQ|}?Uay#)oW;iNs;TJOjwGNJC+sMOzf%(rW4Cy-F5VrfmIi-H{yi9 z#K&E(a%PCFr0T`~g<`RDlTAD_Ykzv`sqJ}77vg$fo=zkB z20*STaUpsQCrDr7t~;f6rm6_rl`ApL>XT0tTfWb7dJzEzVDYGW56{vukATigKT$qK z)4?y-AyUOWJ)1>2G_@LZeZ>RD4>6Ul#pbqtSU;v>QM(_~HT3_ed+V^M+P3X`knS!8 zPC)4{326|JkPvB*E-5KVr9)7m037&j6=hrW9u55@uF*C zk=p51SIaW>aEhk;gWQsP(e?db_AlMI4 zMrOrRDodD|5Pt7RK4Y6#?ve|c2iMPo4P35UdR`AIY3a4~?W=Hv?Cu5THK7uj+l5uu zkGj^hfZ^5K4kf*7-}ZaXLwS^3}-vb{^o~7(15J9CgWrW%?J{!_e5Cf#Ip2T(Wuz{qIjSv|S#=J{`d!N+kjc zs-_1#Dr!b;^DB7QXjKCXb5B?uDGF+j!@SKS}9#- zYa35qO*1g0w1$9G);b{#h1mRF;G07f3VJ=@^vWnQVPq$8;Kre?UGFEdD>IkRG_0@; zJHMXtIt7HL0&4oQmLKvr?FKL&1U46=Mk}y{t^8tF@1CJ|4UdW~KLZZ_(Y?d7OS=aY zAb5NS3hF451X3Uk% z{mSY9Y2*7(zk2bRi(Cg8J-uA|6he9#=eaTqw|~hv^-N67f=^2ugxdP14iAIlD(gGx zc?A8Q=GKo-FCTC^_nuoU*=CJSB^T8#8Zi^=Ig;y0*b#Ui_q(l^f$5K1n?Bm58QeEZ<-mg(tCx z>aUc+kBisBSECP9A}I>VIJpHwQn+}o-Ts7j&FGHD{g5FHd_Z?Y&B`$%y?z^&go;i4 zrk0J<%dVB%!P{5FWE7LqUU!29yRtKitG9+pZ|VjQN_;?P6WS}*eFKVE$h}fA1383% zqMNyY#T#bsyHB&xNLLHrj`fUYp?unWJrdA1PGpk#5_C*_!y}^CvHE@ysG+raSmpLoE@!;jT6WO$!aG`aLWk3?L-^0&NEEXwtdOU!G<))Z7g&3qM? zCFsT6Wr?~fr-CW`ax81AI*G6OC#r6l$|m;kvpaZ(;^I{;J-5+cmt&q6om}F)Wnh+) zJMxp7McRIDofh12j_I8}xX7-hmzYlLF}9=b@+38vj!(*|e{_e`#V-iV+TV8WV-)Rq zq+@jd3t2Y4_}vA`mf-_RbEj)CFk3=`3W_78&K)G7PbQK}URV6LEE3HTmQ&lgb0orL z0f9hAT1Vb384|>L{J$CO$4EZBr=%> zY9oE*TQC5D1+gU3Hu9=)00K`$L`3vl2r3~VZEA`@ zhvG=swQY*H1eqjMNB+(TM-oZo({^;Z7lnk8*$6~D94jFkfp(50+YpFkxGlvLfk=hh z)8G?_`pBo5AQz&^b1wNGi8S4?dSLbT5Q12W-klAe!NA3mg&(CSSj9MK-XX%R=WD&iUmU(ozzN zCAYRP&v_+u(}V}}?cfIvWR)OhniVQ0H8gMEc7?vIUPvnhbVw_Ge0w*;f!(Rv>LOtLmBu2H`Iq5>&#&nt{u#DKsjB z%X}MTipW_2Wc_xig$Iq)i7v0KZ){=1_Pf6(0?xf!|571ilT%P%5)iuv|IXl867V&;YeF$Wnq&T2)ou)*em^ghZe?J6k@4j}I@x2+y^f zLW1{iW48HEox?MMSGUOPqKIy``%gwZ zpVbG$JFNw4;RL4(Du+Z`%>2c=jbUDgUX4@-jjKm#KgDst*w2zpZ;Wv|pQ-}VmP(=f zz1?{cofM^V9P$ZHtB;y_K{>hK#Dg{|s;~OZw&Lz2k*Y*?tsbR07sw(qFAxf2%zpH*p#aAgCV-pbm#>ux_4{B-l{HMAQ*%tSS$$G>5lwB}o`bzLd;BhE;i zxD~7KLxmsu(LbSgfXS9$PM^6Kqm&;ZJ~vgr3BxI^{eE1@*4F8LlZn~H;v%S_7_8jl zG1*rFfR-_xGn04C^BH>WV^d7tj2v*!z@e8hv`ZsRGP!_GdPoF&O!8SjW6hI-x&7z> zJVism`k83rjR=wMkd_a6;?T*Pvc#F0rm60nk7TUM&dUx()MqiM#vZezdknM<`Se0R^?GK95bpkubr2t0nq7%?H( z?Ym~nE6T!a-y1s}Vtnt@kIaZllrM~Ll@>t(fe?>r)aCI9loxueREe9e=?pjV31Qyv z3Z8qe_3q0ZnCcwWA($(-|Mvy)Cg>J6{h_wlQvU){PfYL#6)-2V=6xZ7k zV>_E^$tHlY4-~vKcOmAs3=&fkF)Wd+T>09i7j4?IGF;YuDbC2|1z^Uu!|6o9QEr6oCprnDP( zbvo2Z!6S)2M8x;K2#3f|oEK~bLy2IOo?SY*?&S)~QM_`Cceu(cl=smaQ@DbNodglI z3I9jn!9n#c>0j1-WX{*c8#-TZGV|WuQ?Y*i&`Ra4F7Nh>3=q(xpUOT${8+{Ko#?l1 z2^#|q%HR@XHrXMqRKGI!lb0ZQzxU+BNB^K-YC4*&GkaYQ)OH8U^gRK*=}k@pUpH@n zIqjJa9BV9%o2?42Uh}vYL&=X!US2?ryJT{ERjuI46tK*)9cb>Sq)oWUE!a-uOlIL} ztZzS1Y<*I>qQ8{&r5)_$aPE2P#!`nZ2M&Gu@i5hrQnPkSO73-_FUEkT=g}Qt)PlXC zPbL{wh$DbO`i;ppm)U2ZS?w0iJFt~GS5G?w7~i#Graq*30rrMzg9iVK>MN+LIn=(PgnKYezi7GG&!o~pP zH$;nIje*Chr%k54O?p;8)yGC3v#YpMR_~fH82T)2<@8u9^gH5b4Z%w5xcfZ{Gm4K& zeN#I%FR{z6EP+FXMoNo?>)S`W!H%OgNdjd2tI@UTN`t-`#75yk?`7O};o<^*S%V1A3bo8#z8PKG?TUSN zT>q!Hftqi*pydZW#hP0dkrzX4*%&B9)r_LGzF_2Lb+8<^NB~0Xe8%mDb;IV_H(tS) z!Ul;gLQcYDMDyaj^#yL_qBsHDfEh*-$+z?6o)2XNJwIOC@OeSj|L~cl*VjF|5CkO- zz}n2$9u7r&`>jXPnk{!+5Y^8*}gjtv#E8T_mUd0$c_Nc%;T z=2Phrlr%pJ^!VghB2vMC_wx<&A=@{LIt+^;Enif`=ty5vc6%UX15eO z6k7DrOlc{xqe^TI_3YAD*@m2|xW{}91uf>zDnW$RBUl*|% z9e=tOjj6}$4g*S*ffZIuR$*BMJLA!yxGlVmXgAZ9XG}HbBvLo8lsy9Xou2V4c=VGE zI+Z-(3LE!``0&= z`uST%A@0(>P71=5?5nK!zfKrH%7gbfpNMCj*cz>BV$VFYv`?-Rqjj%H4bDEV8b9#o z0kXFVE}1(SOvi5~Md6g8o8?p-F%P_-ye47gmoxoY6z2D(%%E^dNV_iVUm%dQiK}Rx z{ko5V$8=Tyj(>1*)4+SMg+=G{c6cnFm^~=toppNc_7!~_zn2C-3>q4aPg#y`gY_8p zZDIfLNd#ve=h1=v?gP=e$7OVyAsHL{r@Gm;ZXmFs4^h!RHi<&OEPN-baB~k$QCTzb z#o95Iu&kMr*8(^=Hni|gPTl^F!N#Xx(m09bR#rcaLL{c;cwcX8Ny9L6o5?qB<;f^M zwSkpa6`0*WA`_5wF7NC)IKtx6O@2FFy+?oLy0VSq!%_m$%d)`yp1WWB7i zA@5dVSA%JaCHf(HmI;)3Jvq|ZH%Kddvv_tju9ZutR6ylL*ry}zp&}0V%2j(%X{czK zQ&GF^1zWYZusMaqyy7cJnVmyQcku|K6Z&_-2?CXnUqV6E%;8>CFO}hoS|)`XHx12P zQX8z2vhv@{gKt&DWGM=-qI*d$OL+KBRkZhsMcK@dx(37+wr>#C#)336iBZ$2PBS;22-=w!Qn43#4KoEhoS4{ikh4etn0BMK~8(*d^~+yWfvlV!fsB z5FT6H3NU?x(mx7Hbqbk8WY_U4=dbFNm*me$)`{emwJcMpKLr>u3H_H+HOqUV&;`ZS z4Z`9?)m~zd*_;Dg8 zAmZ*9_PAzj=F=7yF40xFd*jzIq^$#zvU*sS%~J+;@Bq=Ah*>cfySSf`{oo?I4xeh({R8}BFlG-=Aut!|^W@_gb4z+jm`wwdb{IT`yp5D>96}8P^j|G}L zR(!v17Z9A=*z4Lh!oqpS;SJ|qoyZbNSvyQAkd0BNZ=2ET6utW8OuP9F|0CM7?r5he zBB3Kv<(Fg2U%@HAM{s<4{@@h0Yg*kLIvz8dl|x`*?cg{uC6Cy3eIM{7zUa+oHfV$I z^rCKP3+r>Q~yLC+Ub?4-hhM(brV8j$<#r|pOo^WPP z<%y^?c#?G@d7YeIJ-+4LJ#BOe)wIIK)dR+$;|sCV+yd%arXauS;P@AUirXS&;~P1> zyt1a7Z&G&uIEJF0jZa`$NhRnXWq}G>Lu2#152K!B4uAfN!YU%?)rsxICG8Z@mvsaN zooL=T2s2SC)?Jp2SQXIAJ$TlJM*VJV@os6yfPrHQXq_;wl~o9=Z0P&;c>R~-5uKTB zP$l+Ng_g6|D~AYdJTS1ae?{5;{`2DU#*R(Vh_a@hiMb7R{rllL6_Z-GJ>o(491*js zVeZ0LihjY0GZJ7GHYR?zjBvU;|xH?*@QzNH_sPRl7fL==)zp!8(+ae^De;eAOZ zuOXO#2}5qk+}zyU-90_MynTbtwUmEJDbXRRgsc)$nCA=d{4fUzrd~j-gG83Up=BPj z3W|#RkPY4EuI)&RNdg#jgG{=aoLxLPhNvz8QBUNm{~ba7fpH(fjzEV4Vgw)nCbFP~ zCKlAT;o$t1i4u}rV*QOQ`S@wieI^C|rK3Ejm9ULlRP2_45rlOC2n;h=kW{uI5SDPU zf=CX+%4`I}b^(C^=lV%VEFB!3-H-;8klZ2J-MMfQ=`cx{{#!Ykl~dpFx(x~^;f@_} zdDjMIlSrJ`Wr{#_n<7w=l(G#0V7AYB>pE184v&8L0Q0l|a+iep*+>E9rE_~ph$vyV zI`Zm)n)W>C2KIA9Mi~H=lyEo}c*_dMMnn2avbP~<{t{Bc_;6l6KIkinR8i_6Nu?fS zlmQ4_B%m}lhN@90kbwJ!WkV4q9Ot*CB>dtL66!@|BfxJ{No3FuEM(SCTwFp@TKc)A zWF7RB#DR*+b5qHt<`&p_{Vy;HuMhgp`?yhIM*TV*eIo$Ihr)rkkUxPh0SJ_@+wi6O z_6_-L4@l0#qo}EoT1prn^;=5`PyGNEWRi?fN{Q^@{v8cMmTg*u4!!l*rC)XwHfUQDkuNM4Pc@HIFYfSd~WYcc>IYL#Ij z=_GPe07jfcA*rg`Us`44ya3cI!|_}e5D3J*Yy`qJ0L}`S!byPu1O>969hsel#I#=C zKE45IPmxmtmhLDyIUzQ9xyTT$e3(awv`R3|TW~``kS?4F!zVTp7dt|5wfY7I9Xcp)Z>Llj?Kk_xO`2_iO$d z^;}&vnf~`!`hROU|5g|2lb_#V66HTtN&mSY!0aif%C68IXW57lb;wTgyFaQo$usqt zciN1BeS5b<7c_Pg3`P_>#G!u2ruN)#6o}jXc>5v~hsq>EvCm-8l>lTvG?!ZqNnTTF z4z^y84�`DkjRGkXGv`!})Hhl8+tCq5tZpC)*2V_jE-aX%6os=__OpyT6CuKsBS^ zr7rCRa?LJ^1-`yd=yop+y;)WKjoId&oqIp({0sqau6?G!2}skmu%gc!?M-cZLOj?c ze%b9VMuZbcC}qg`6GlP0XAi^f!3A25`}?vL7b84p9$Tbw8t2NHqDtl zmtV_oWcfN+se)9dkHaJS`a_2WcE~!r&5NNy*bD9T93Jnvs#U4kc`CCx_Zi2D zSDFS6gogcRIoIqzDt*i-(Yx|O?m(Ib2x)Bjov<9Ye7m>$VADTbntv{~ZnC%3BKD2` zdYu>}Cy2M4zDK*X#+9F^tG9Dinh7s%7>yB|sF5JkHVy0Bb|R=bwN-L{HRss^^Yq?oGF1VquLv4B zrW_kD&`bNV7X}C`G1k~T!<~D@AronVdg@aIcGBvi`bdmla;Lr9Qo-B9>T`$^xE}j>OYwXqvnP;ah7^oYH z9F_+u;QhQ7E=i1d$>8(WW1F0Ien2E=YVjsMuRmTQQFp4I83??5OU0Ei@m!QxjU;6o z_f6>MR{klEmgn)crG6_C#a7_zSHGRj%Zikvp1CNcx~C)MhFEM8cN%0+y{NIlplWZo?UPeGnGc zt2bAO`wG*6i+)R6h3bynVEiNBAnJ>iPi{35fwx&Nu+qbC-g%N8lH(BdDrCTkPhI1v z@hzIDJj11*xsl-3{JO8iPp#8s4=L`~c!fEF}azfDD0t5g4zzM<3?A#xD>G^c*pLi*tp{4sH zF9qTfFr)Dgyc8J0lb}EGQUFgQ{>V#_A4O2UiMC*XK%gVpCI)haiCkeJSJ(^cNc@TX zdDbER5_8refSIJKjW)NnlAt(7DY5(de7GFZ~*5G9jZ>TgDm;+ zdeUpRpDk91usAVDJa)8;d$DqhZ9*JWkG>C@61x7#|IsgFDz&azk(=_(eNoLfA{jO~ zeZqUtK}N&1UHP}v`pNGyNAG=PPLPewnfwxHSBv_Rim&ocQXCLf*lOV5*65%Z4f-K; zq3QTCvuJ20cyOk@oOA8L_N`CA%fs~zT9j~@1FMW&oPD2PRfGJE7U}_v%2tOtkEWxK z;63V`e7m14**h_}>x%|4M#=sOym#$?^x#-2bFtrY7y&IcT(lRX>1?Y!AK<@wA7Z$; z9X`6Sz}eew^R-7->Nyv1lZu+?nxAmF5+BrGEigCYJA)c1oj~qxtAa`*k@DULSU;nk z@eJ-?S|i5y{``zq;A8s5g^dF~0d2z(3VA0hEr77|$Z9|3Um{^3z@%@|k$PV~su(%k zIbOh7(v1?9Pyx^@ZlNz4#1|;W*srq+&{YNb6t1g1(|#+VtcSQ{k-YDXB1hXN5B{ii)KCHDdsP+RBOMQ_q` zv#r(Cf?oWuFO7ohMxIG$Yhd$`jtd=4n>S6D{Fx@vBe4V`#K zd|jWUzoYLzp_WG3axuYIV3q{XCpdYzm2#$qzD^T%U|#YAa(^3sCI$?^1cJ&=xx$5dmZc-Zmes>G6|R`~eP z1(p{rn<5AC*ITcud@Kht1eZyAR)0CTt+wyC3U4V|P3-dMqFNCSW&~*ZF5QX)$u6_? z7W24=+;)rgRIbtp8sf%FgG2RpV!~ZJF9O3bfWn98Sep-y7o}RSU^ZP@*wK3&OkqUE zRFn}FGyL{jIX$?&(u}FUA&B_$b@shrd~m>g;S*9pG*rqasoltrTRPe$?xKctuMN@+E%g^w{~){YwB^v+Bn`G9+K{x~|l z?aS!p0*y??=&FK-hBj-O^p8MOeoU%JQ@rLPlnNFmYjKB{P^l1jetm}tijk8S~P`#IMjz?ca4 z=;04j0iNFuaj4$*3cdPuHW_SgeP@O8$j5}19avAq;WfH@R>lv`O>5e*)|O&BxO`2s zvSOy3Tl<+C;11qSuj?fTDX=bHb1V9oE+n_>5_l{Xb*HVcq7kOlp%DQbb}U(buLakr zr;k)<9+f1m`o3OCgFezs-{^R%Tu@Dbx5=zgrDRf9=*bdSdzjG8GH(pw;IdnnH%Se1 zEK0c50bZ+Rc0SF+^`%FlVu@5V!j3si{94l;lI;_TC54;wRG_bb;QsN1uKqN6AjjSJ z+dEgc?^j>8>j@7iq#DpmpL7?rvOiU@|1v%e&(K^Ueo$BwtZ6% zeQ6H&{+i~`VmF*aUoio}(q;?)srzpyHVqmsG5aFa7BiCA9^{lF=#`A8G;Ym+%^xzR z{zdKmCupP`cf)gv=|UpPh8+D8vP#PvMj0zM!DWHfUl=?YHC^ACObT5q%2&cDSa3%* zk4*J3CEe@aZ-BDlpH#tF`M2zbHdB8RzS|pjwD2dGx}af_S~!4Dsca2B>>t0%ubM(< zvv`nJvVwt4ORsL}nDT0zQd|-Lx>s&J_<%|qvB036m|V25#h_vmT3*#CYU=D?)xCA? z`i&qMQ%!)jymYECEBKip3l%r0fC5JmYeK3@!(7{O1@kg@WCfU*G+&H9Ni5&O;2hjy zkh^ZtHM@nw#-$eYczEm-!@B{@FCPM4IsKl&bp#G2kGV}?`J0}3d?FePyNKH1>A4@^ z7(w{UFt=sm%eT<%O1=Z?Y4P4DG{Mdm?W>^%Hi<;C;A!DEd@earug0!9p3dv)36fdG z^|=GbYn!&QmpP^Myg@*C?fkOzeROJiHV5B;xbDH9xE$`OT?6kYwyj&YzY_v+1B;re z`6CQ`I{%g#Qub>GQBRf*ukb55(`J{44L6SLp6O!16`| z_=u|1IzEL-Ca0>G`uyGSC3-P!vwOZDSN5=o0XvV;BQS`^Dxz+l^?q*cOi*0W(!F_b zeV+W! zH>2C9VoPMwibsikVujYKB+F@zvEAoaZ@<9O0AX2QuxYOAdq$SE5XuJxy{haQSR$0Z z>-_8l!6K`uW(0b7&alMtu^AFN_eny_D%vG&1KZH9un0Us=hMt?oIL?lVHC+usyQdB z55{Jeh&8VIee;Ny+#N0D{kpXNbkk9irm~5O_MlZ_xy_D;w4^BxJJk z%RVmB0$Hun*FV4&4mCYPm-^w+Q)))$s}}DL*oF0yUbnC8+yO4xeW?Cf8^S5iKP_=3 z-c#rx=P2e)=eZSI+~JT~*wh8g(@<&_Z2O;f^z9~kPvE`tW;Ji1QZlp7nmQsC(7Iy{ zvc`D~{X5^2uyDx8spsUib~E#cDn2gjxo&Xp&E}4@JE%Oy6F#KUaB8_eiq9K!%EDM$ zjgH;8fh``8-*Xp(Ko+`uYqDyc;_3VEVsV;zew;>=4jTG}eG$m2<(D>4(DDGGL`kCO`pRn(=j6x;p2+@|SXx*hb8#TEB(XVf_(nFmJI0)+;lw8( zaa1B4Gid=%#wRByrz9H!lwrUmqzX zmi_$$qhk~2xDuI$vwr~h{oV$Jm8MWw3BNu~AF{0|O&`fi7QWVpW7{%74iz|7AW2i6s=0YP(=TN{19u`uMgXm6V8c%SproyvLWWrd9XOj_f1H}e zIJcpknazfhN;u}cDZC14Ls0x%PzjwC7NPa#c^~}pN&o_^ZhZNQ`Eh-u_4>a~9k?8;%Wi%+Td^0RiU*;Ferd1jS2ONI^5K z-!WUIwGf$)=Bg0<gpkvdL`_X`uq zRQ0nfh)~#HhGJc_!1S9>)}1E@A+Oc`DhZVP^XJ!YRsY?EF0p^U{a<(def#tOfq4G> zJ$}P~5zhvnzsK@MIU75>aTB>=>VNdKdkdZ4rQyGr=YQ@A)-o)cRGzp~~046-?a7-0z zl2t!{VLham)aO4{J9gf+O{Xb0%l2gZQVUkuGA+(DNDS?-u==nu72ba4FTNy9`1!-ddLDrLe2{OaWqY@2O@As_KvbyoZSwVK3T9N;<{z@PyAq>Pmv< z3r9k*bR1fI8pCv(@K;uQugt5?!Fh=WjGxi5$4U-^$MMo=;O?%hBNsmDaZ44;_Keso zSzJOYw6F*ZaaWq9DK6Ph$6!{O1rK-Zs%oF1z8AB8akoYfovmG z0tI6)jUIIcFn3tlxfeALs3#=c(=nkb%xeJ^G7lA}+!*Xzp0YQ0gI^h97uWM2n247j zTeLRV`EGQhk2v!`H`H_Emu9WSq(#we4cw^p|uVur<5ycF& z+Me}V;TI_OytQ5;iXHoMNBh&V0|ZT%BJ+pI}2owRlDzF$FLTs%zR+z5u(4B;Mj4>hyS!VHXn^C z!GRQHPOfW^TRb6VN_#1Gb~u}!h^f08_tPsRvnuAZrOL7rP~<g5*FtXs3dZ| zhC6{t^p@?-z!emx31&r-kO>g@S1J*|`fB%TI# z5}hpxUOcFBk-QU#8t?uzfBjjfP%)>xf`B}s2$XpN{aUk6eHzVQ&A?q+gT>o*0Zi@$ zM4Wo@C#$NiIM>RjX?XXaw_aH)+mH-7R1o~!vYAtl8KmH3)fCc6+Hd(cx%~Sb@jsa7 zf4(E0zb*ea^ZfUo|8JP*JY=2lAEFDcr1T98{|F2LoN@ajFa&Ao8Gi()prH}Yr2YY% zg2}1rKY~+m1f9QOAItw0oC4~L@RR%x;1q~L^Y%Z1Q{+bxWS-bCgAe+^K*))UT;U;C z_{bH(0^UC{&+u;X8{tWpS=*>NSKHH%S1X%U%J6syT$XgwXJ@N6M}hwekSk{*CX9bP z7hnYFYTKU=DSF16b3BeBzkP|+xdkNFeTyD^pm6L;SuK47ORWV*6P3d0#R_+K_>H~T z=w)tjQL1Upwy%n-)0=pTeV0Ks=zYuw+V#A(0w+2*%F-{-fr*>-@<4(E%^_+u=Fo-r ze9c}Z?LQotD27BG>`gR(mVpsVmW2&5+dK`_-cb3%&*<12Iy_Hu*cmx~Uin^D66Xp$ zk51}(`B!#u?D0^ac5{rnQwOkag=ET0bfjIwdN!y793-=3WKQ_AFWjAGU$35@KU&n! zHHi@@)W0(|kGp<_AF!;M4$t#U2zXrzA)@gg#@Z>bNi&`}{^4tuu1WrlIUCqkZ&eyp zM>>_1qSGtIFd3CnM}ib6@M2zkX}AXe)YUrDq$_NbXBgRv-j{5O^-*b z1y(U%ET^UfAni)#3azua$E6f@#r=cg%JvdNIb+p)IA%EcfnoKB% zIV$L#P6K}R>rY|@$Cg%R@7@B7lq=L~BC&&t8WF7f#{*Z(G93CNwuF}uV`IW)bC?OB zQFq>SHyELAars7~$8=Kp1A_wQYJnS5vt;SRVqq+iV7_c3|2dj5UAlOEFX6 z0L~0|o;>zNKUUP%qrws?VdV&n_<7J<@y?vr&TDg|xW0jTrf;(Brj}VxM8kkfUEsL= z^3)wrD?9&AZ`E5Oy!h7NmKPGykgo3?bk#sM?7w)>53YrEk9R#sF4t3W1DvY;qr47~n87~7z zW~NkCDZ017C&H$3gy|?}Wcrk6vQQ3-@;2Y|!T~XG`_2z3wYU_H!y8;0PdSg+Sy~zg zCgunRE7i#scwbU3gE)bDnOAWqg>1Y@Jpzs|%vEx`9(m+@$R!)x;h4sjEC)C4m1hl* z^{O&FyK6f^%UdS9j{mZ5tmA&x`u2vtxPcrX4^JM6OC$2{$m2-0pQ&1-?Q_p9$B`Ar zC{di7)hNmW_pfeuE!^#pYm{cd%fpSYMh~rOd;C$Hy(muGq;c2u5d7eN-J&0gaQ3-G z`pMn*9e*PyYARvWLBpqt{%3SJO4oqIAl=8AaNceewd>TC8&{WDI;cVt<*Li(9nk%! zZIsSH08wE{oLJe>t=GRODO9)L<;zWD-08X%kS=_BwHjff+>{yjE6#dVLk>V`J5hj}ZkEbQ6KT8$N*)0oo0 zyI4221pSuNLpt~LOrgo}%RQLWGTNOohOZ`P_-<+#fWTUymRwLa_wB&#Y4ak*b-n1+ zyw}rcj7%mmPcL!bdj#4}FN-+e3q~Qn!ZGsw2{u`8<7>&*V{^~c$|>hS8W~4WxLjo7 znpEQE8`NX@tRG=Eypm?Lkh@`WC1R0IO)upOARsM#%YW4>uKBCBZ+KDv4_bNCyI%eQ z4g2^SHj%Xw>cH6L>FhqC)WhuR1w-G04=hsmht_#NaFclHI;Iv)lKX=el4UgO;Cjxh z#E~TzjIUCna-QAw$IH&c6LSuJ9S}7RFmY(C~I!*`OYIf zJb`i11w@Z3-EynKB9YRJFK_So4$|fyN=qXa#GKOYsgUZ64jd!k_$XVfQB%9;McMEF!Alx7{l`9>#EgJRoM3mIGdI+3#n(Bg?8kqD>-MwEflL zd`0Koo=$PUlCo83_3kks=aRqcUpXnB^0e;F^cPwO|K9nn?-=Tyu?cS$aDn_2KqmCo zGBS1I6WT>Ji?)u@$5xJ2)AfbqSq;m zjF=53*~Hz_bYehW_r%yNC_BN>O=e+}aGd>Tc#tD|Iw{#eQV|eYr1=l!Y8DVRui;dk9I}^gU=p=1g=(X}^=JdTD zfQ-IFY+&V>T{^kDe}pQjW$POCqNG;nnv!Ww;rJS}DA3ePOih1Q-ZHaF5LnUPJI&!d zf$*=`J*DPO!jmyiMFBL|C@m77e0Ukv$?IHjZPuW4b0>CuhexuTMAS#y?h}GeQ8_$1 zoqb}{w;i2?>F0NvgA-g2bWRNe_qRw;iD?Qax7!f7(4rFZN?crgXx8Xkc}e)Z;Twr0 z#l$3}Zl7x?Es!V@!brG>bv6Rc29|9$0$~Tgw>L$gBU6CxI+!9dyTQMul;>K?q~sJ> ze+S}ZJf(b=+l-N|;W53F64#$v+5afJptHEOG&XK(N6P+1n8KND(E{jFJ;_NiOa-1UVAR@(GA& z|5j4Mh;AsRG%$pjN#}_;(1;T@d!Hl8z_7500O&^8d5*$k@%M&jVMwn7Yv6i>pYZaCB?T(;oy1ukDd$gDT2w1fm=+71;=E*w+maB&=%K@LzKdU~B7|n_H)$10|GBLh&TBs~Z}-wjnTA zcJQF=T>p=> zq4WQ3a5~4D=Xde`n{EEXRX!;AY=lu4$Tu*1s#AY(nW3FYRiV+SAhFjfg2>HZhK&`3 z$Fy9XdwB4U%prP6x$^nT{lom0EP5&l2XTK}k!vOPz_@nRs&cZjk;c(ac>RgxyFZi z@tfURzHU?9hCl!7&XDVRg|TgVBSH;71=F$sFe{ZVVH5RLtq9UNnGq3$|0K&QN+QFe zmeVoKs`EU?1J4e4E2%DLW8e{(aMooj|8AMtDaUZyf%i@XRf7TAnvbCu7tXPbiD`6OhM+C3FNI(5oX2b9KD@ja zG8a1uhE;Vh5vU;2ye^U^y|<45sb$1Qa^J&=nP#q1qHsel6CVts zA3Qm{Hg9nV-_UvPz^-KC=aHUUS8VAP`JxnT12QI3+PA*-3)b~t{wN?>Fbh0N$2_I3 z72VCLP1Us}dB(@$8F|6>PW%?b0fn~X1vdpCTy}Hw-rQ(*^Tf)6I;l@ei-5lF%*_Io z9s{+8H|dR{fUxUbAMMqNW^VDr+um301`;VZB1||i7=4WCF=!IsY=e`pXgl3fDj6&% z#GmyYbW|0p#!2IKmrfG=F>4RTb1;ED;t~D#GK-8~32nwI3ub85uPaPz<1XCa$Tw$p zKI@hTQ%-|{{0tlcl8u5O^*+`7Q-vED%slP&>5jc!s>`NSpzTVSB@Wp!(TvSx(pp1Z zS3A$^@!Q)0-vqAC_?0)uYg(J3zEw(X+=iv^OgDcx0#4~+x;aZRtxChpL$G9;v(#$Vk)$q4 zD8xObSl~&@3+%F8`%J20#R@LY6*AKVZ4yvOJ#E{$%-`MMy}x!Tj1dG?HB>vV*YnMw zJ{S+XjfUH1N9R5rnVQbfetTu?exnE2;h5Tge86=PG4H%~-TgWd=go2~mq`bqOp>H+$Ul|w# zjhSh8&)h$jLpi{{wd6wvLOssaV$O#csHld~iB~>~1Gkj4V)dfgc>%|u4FcR}mJP;> zMmNM%t2!)$LpkdEtH8u3Di<{7(w~yg?F(O6)cbzEE|66C#;1Gf(9VTLrSKvRLQ<>D zxOmV$Nf9SYPJ&O4dDyCdOynN+Lm&Ezgmca2fAPx;XJP#3Z;>VcG#PaMzWEz({=?4y z^=6K|^Z$}`_K^L?n-%a@3U(y?kqv^Y$Tpcjut5N=y8p;X0n+OFPmB~Kr=z#j&veXYz~Y#q7)dRfOO(&iiVf5A}IJZx)7rBCxXaIeW|R7ctJWs{e8?D|WDL{x z9F=+CZqSjIj}x-m83XF4+yTd12|%dp6?R>lfvlsI6;ppk!rcPhM-rEf<+cD?OY)#} zt&$A|Qq@}g4@n5e#Wj05te(1Kqd;DUg4rDo29>sBgh)}ER zvA%wZ4volRT0*WM!jGqiH4w|}OBX0vpV8C`jAfvglSR4ugD)Xb^0}-q7iCYfI#!w; zBLN+FE9Cx4pZ3=~RY5m?t|e`^0G!0kp{2c1}k(|Kc zZY=F1g;dqo!S)(o;y)+|CIJ*rCZ2)puec~Ss>NCt!v|=-M*R>BPjxhnU%WV556~<{Owt*Sa$TTV85tz95?-zfX+& z+;G>wBE!DrVJL_>g59o0<#_GlVK~FzNi|)(9))l3Rq31i6n-yAW6K4@nr+xx$6f>u+KrJ}p%>_pWWtJf&lNR=b2Fdn}3ZdAdoWf`m)~ zF})sog_7ZBy9?*tskVv6&GS9$ zK3eD9MfcjNK3<(<4|27BAQVZrlq5ByGe|iHvirY&Q`Rz`z0DLU6uuh1Iju$A#~FNK zfRc=Tqy>BFHoy{}5}Z+KSNd^ar77}3k!|X>)wF~`C8w&#sF?OkOI;8UyWuZ)_1EZW zjV-;WR86zkxt{A=Rhoid2?p0wFMKou`4|h^F@BM|ZfY&NMX#O7R6`UHZ<4Wk77ZS0 zifx8%kdTZoykAl&Tc!1iCoIMJA*Rv+?&ouizY0EByNKsgbOJ&h^4T9Zhg?Yyq!N=# zJ~=&pE7SRrC(YXNS)gL|6^DGFyrf!3dCg=$GgWA6J(@?dtHAd`>Y}Zk{paCD*MrJ# zkZ7RDYA!~tM)bjWkM(zmWT*u8UZP}ahbYakbN(gvMNl~GCg4Tq(V9#vjW&*9;8c06|D2W|NSq}&Z)*J{Zj(U%LGaoSQE6TM=xKBR z*Kgi6Huui&3j=jihlhR3M0DK3N@`gZgXHvL^7h`{lfMm`KaF3%P09nxFmN4RmN}WT zIyjUM`qnWE=0#=9AHVO?7!t$N55Fn^YKTkIW*3GHjryP{VI{FM`(N3hDBaQ4QT67zw21m)G>(9_$;Q3j6?iE!mCj- zHnp-k4ioDb=!3?ix9}q1GZ^|!ibbs(zniXpZ}4_-?I6RY0tExFLbC^8anrrdty+uz z>6`L_%cf7CpWqVGT1CXByl+6r1$DimLGv^T#YG#R`1GkYlZVNLlZhXXusvTFjR~c6 z$?HGJ8Ur-1Xw#ywK#c>Mt{JyXaneF^a5>*2Jo>xUVtPZf@;;!fiIv^8aWFn3Ml|cd z)Zuwr(=j@qyl+^~0x6TSdqE=@o>|`}kTCSm$$Lk_rKE3a5nRyTH?**WBPnm-{P<}! z$Zl+&SozJWmfJGCh@j(9GQ}2lO<~$Mw+bt2nAWtegP(j>c6_hjE^+fkRF{73-{8w@ z9i2C?Yx<1NWuKCxJ_!jVl(i@ry4bzcovchgZkU z)nng(s`M6-2GOjkx$jO1$fc}&dTv>HEOAtPmc6Z>K@*+W+yj=}3L3X}kEr-n zZ`ry96*PXDCE}M*b$QIet?%2?(?0@uua$K6))P_-6!-J1dUuWe{N;gtO{R(91dA}H zK;E_o^vx?0nzWT3sLZ4GnwWx&d;5^5xAFULf!FtS>UN ze~X;KJ1hz96?t#4=SoA-)E-_``^el5Q79<;A>r_Z|z?bH*YaQ?0c_c3(pA&K1DB1Oe)?w!@)oFPaEA>m^=p9%3(69TQ=aLy7YnV>L|`OLw7ma%yjvB}8^ zxcIIqpxQwHbaS5>y3b&wwzjU`Z6gmKbSRO8kL{r!Dr*u!5MQ|Q7!@4g2Ydip=6PN z%-r4&9{8ug=Uiqc)I_4#Bs^YD6N0>&Mi3+yevpUS$j?M*P)VEcoQq4!d;3tK2?H=R zVQA>o5r^7#~2~dU%2L=4$yF$n&C8f;GEl|iG)jO35nZMsZ%-<9;|0c-% zrx66XZ;H^J^=_Sc*`XBF(<=`3rw7Hz@ZH~YOKWtP7GbG}lL>3JP}<|5+nH!+?d|i3 z8HAX{2yy|%H<_kSxe$bTdJRD?PS5^t$>u-rkcrKZ{}Tb{*8kj$S$3lrgb(5o=@u279kSZpf( zv9i+~&a*(kFm>~uRes=ugfPiL_SSQuEpNA8e|${luUo~t6TNZx6wH?&-gFsFMPH3+ z+JEn6-4+=_C^u>`zO=@zH}YNm)-0$D58&T+-+q6i6}A`X_f2 z)0vT*1@d3}@P#RgL8Z;ba8Kuvz7%PsdGTi#rqFeEIf3&!4InhCl@9ooK0iOb|%qHaBJ?n#O~=+?a~6bt0Jf#kuZ21WXs6t+{UP5wuWKNW9*@ zK*}SM7PH*H>GM+arp>J${yoqw7S6iU?c#MeQ`b=q`;cnr!+{yp47?!(Oc$!0qOB{6?Fg>uBGWvm3*`J{OV5 zh{#1b50oVH4P*3YbpzUkn_UM|*t+;v3J8Ag?EaMk0+`YA zR~icV1qA;}Ljf!={3{Iwu5Qru@D~~iV5-nxX()iLhJU4@|A0)g>5HgqsSsaMpjMQq z6%}elJstd?Xr?{&7v=8s@r0gFOb0KR-G%Np)(sL+xD`Ik@K9|f)#3p9F13#E1jtHq<_YmOq~$mkGwW^ZRk$uyyt;eJT#eb^nsz9UsO+#+Hg9qmWh;T9NrGb z+K9&F7iROxzAd~$I3b`bjpRk?tFM7`ve)(OTmt7O@V^NLY||O3cH?$lDT<~9aWZ;g z9V9a*-&$!WiId)wO5rQE=x(EDWO-;ZMXeq-fW^}juc!!~30;fRA?qp9ALaL*4cuaE zD9tUxeo8xutpT#jsceSWxZU>8pY8@l>rRKH0wMLaEK|Yi84V}!F%XiHz;`@f|8=w~5OtVgDOI`l*z@$J4 zNw?d!VZPbmZNfNemm_`}jt$nloSZM?=V@7|B`I_JhjAP+Oa|hK-_H2n!GPZE)b0hE zhh(Z>g>>^D8^v-IQF38E{gm1I!C+zhS4C@swz2LkSnIZLjONR?HjjNaibQ=cDLQbK z4fIxGuRogEX6y-g3NJySy?r^uxY16Z|Ei^5e|}M=s6eLZl6t*{ii@9Ze5R)r@;2fbFw%qv&2HpIOB~s zbksUFtxqn9ffbDJl8hB6jn00ur{@c&0)sy1+xyHjbE&h4(dwKQV*`co80(0WVD$34 zhMI-0KMWgPS7x>8KU8!Ex2kOBk_ZE%t7YeBewb1BR^sT)@cRyUvkZwT+>$|8!kw`9 zcll5YYTO@-eQ8UbC_Db7elNe8J;uMEGrA|PI4)_dQ>i?z0xYbl*tg?Hri_Ty1=e3D ziecqu3PWQ_)5NE`9;$#n+yGvVHDBSvkGN{l!y8J$F6};gdWri{{slJ~N8LwGZ&l*~ zbCH@5%^hPasa4e))faT{KioC+`=k(%V3mvW4ZV#{0eBe8EoX~cwv0R+nX%De~Q4`?tOBL&ta#2m>Tb=z#T-@b0GlF*#|R^kCxyAE0d zanDnXu8EMh-;R;Q31q+P8&kmW~yE8TY@ zH)Qzk{^Zn}@Bn%KSF{q+6fACV*5A3Xw)o`wkL`EQ7XkM)MM|fG7L3=g=RUv0)lTafce?L7mTlt7lT3Ek|GhC7*12pF(_tyQYdf6+k6a8*e5woS~tH8cS$@5uN}Cc_58 zU=V{w%zCjfBXb3~)&G?Rq$L9qJ*b0(S+T4%9?Hr#dCh6n@ux?b; zE%CeHwK|r5Gb0v^{@FRoZfsrGgs1(@Qb>Ic57W0bLERuJ?T^eRKjYluu z9K`WTt0ZX=N|O2#`^{kXjO~a^zW+KZ3w#_+<4NgQ+h?l2iS?O1pQO9?Bq?ohhxD4H zzIRl7el2Lg5xXvH9a-CbRqTd~=i}(!sU;i|&-|K(&K_auz>mX#8iXXj+&H}8*DqBv z>YQFNcKM)}Tr#WglHliE(%GfUV0d&B{rQ|#T&97^n`K%KZ1JJ&t3%3(Ks9F^%`35W z0{ADV?UReS`K?hHdL*`V2x=PK_xMdnaY4q+$|fo?s}NpT#eE#yFtdZtbXmYMe(|b+ zp~b)|6&sJVZ)uB^RU{cOh)Jd8jD6O!1QQYhpB=KFDBi8;GPRFi{EeZTN=W_~WEYL^ zssxq+nU~I81eRmZYxs%C#T_2R&&-oEu;|{o58U#HFeMdLY+b!#5_{J%Ulk7gL? z0+LVbj{za|`OB)sp9nalWAi?)bf9@Yde#0Nojeg;oRV2+Zp#adZsXWGdPHsR;oS|s zpPJuwMNoZqGGHaOM_Basoz@i!aQ)>4Xb_s;pgDg{R3{=jvtXR=qMUiyi|l#8C354| zJrEQX^Qy6J9g9suE-19*GaAv|_~dCUd={Iu5B+l+asgmKR6^;HcOSpthGkL9m}og2 z`PJmZ@KJoy%L*PVB+=<$?67W~|BEHI42+D= zEi7YDUn^6ziA#Jjw~a@lQlS(`7KzuVliaE?Ld4yKQ+JQ%<3)VyxsFlA8qDzA}jB>Z`1gxXLN&IJQ}>LYx{OY$`bc!!=sdgi#M-sVU6OE)oI(0 zAFr@avyKOWWAKOe$n5&v%O1fM9~!?M5e%=Gr@i&*3n?agIq>6z46th1W{l3-= zZXQZ1YY^EL9%Eln(=_)Ai%tJBwg8s)Y1stza|^zmaw!?*d_KSsds$f3H!h{1?4J0- zGCLOxod~%{bPUW?Wu1_I=28^==vm${Ld+nLK=b9Ivfi62P`gjDjrUDJTs0z!TiUm2 z!CUuoY1JaNby}x(QbBDC0tAJn6%DNG_kLX!b@K>%x`G#4gi!MfYvh#ItYM12odJx3 zRIdRdcr1DZSOI1c(nF%5cRlo+z30|!FMGdU!RyL9^@040coL6iMoMz(*V zG?6G(Q*Bp0NSnFzw2iC{t^d6@@tHdLhM znN=MnW4j8`5WkR+q@F$;sEdR{0b?eiVd@`Yq=ltx5Q;;>>`Rm;(hq7PQ5v_%CIoo~ zKZt_t3yLC96+jecshaVLiOH#uO#aKK{qP9|%#(rw&`)g(f68v4I~)45rxB=&%!Il~ z)W#3U?VvCc)^x+O-A2VrLTThKJj0gK zk!SiybO8YtSR2{*L-5JVf^>cBhr(@zdx=NlLq-7z^+OZxgkr6iO}!twV< z^ACWjm_b1((>AnhXFwQPT#|W~H;I%&Fo*>kaG+Pa2_gNXl`KDllF+Pv)&T@i(okpz z8$k&s|ItfACW$g^pEW`o1CutPJQQj|Gcx{w$(K2%2=yz}{BdfZS+xraidwt6`o1)s^-W>I7}tN* zO`V6~2xsvKBO|E(pHWm*`S|$M^2sTRPC_^duuy|ylV@?RXZf0EIFb!M+fW&1Yn9Fsql`{Oc5+-R8LROFom7zSubDZp~4Z)nx`yp zBb`wD+0|vKjDz}1>+K)f$x#eaM*DR*jX>=0hhV@zvXdqR^NjlYA+b|fXlNK192`ML zXxta4MG%B#`jh}cSW)%rY}2QNFx++elotu5n#M#6>isXZ6PN&3$Y|31L~*!cgzIGK(9!Z^M138?)N?*?Vr9Jb4!f0X&E&{RuH=^ls5`*6ct z%!~5?Tl@D8;qI(`Yqg4tq8PD_Azz!QWLxRYV4NpJavZ0#pzz8Fj(B3M;YOkx!Kcmr z0G;gIMyu25d_0MenJOlK571_9Hsh`yV&pTvq+_jBL63PgS6vIa^OTy`m`ckly&dQ) zh@ZAzUw8P}`JALxfrVvt^ougH@MFsB2Ct7ydnr9YxSQFU&!Kg4(F3AGv|Q=fx3r5y zCu5^EuF09;wMQg4i5CrO-`b4k-+txkC*SPQ z+lKYll;@a1YwytdoG`mMV~3nBU~;>aCy+iG>^#%x70MBko4aR9M4?GT@--fbC(1T| z3$B;imS?_Cx}BF}cQL}k_~@&`ms@nK9kG}JUR=I&McAoo|hg8`^tV|9@^}2d9PvO0!tc6g2syS{RE?0kkoXE`K)nP#H$hqS5&4okNilk39fKBziYe&jDVTSTrRNk{I0YQvF-`TsVhq0rJ zjyadK|8-!L@|?(R*`>9*AZ{%3^f3H#&~Oi(No+GSw?}foPU&dH>?6-Tx*J+mp(nQ~ z@BRArnG$S_Ola#q3pVtT50oL5WLw14Bv$4o)WS(;R5*}pd`6#P3JbuV&ZF1JVW~$dU9=g z&@bsnIPi8%W8o4VVN@>!2c^J!ytAy`1 zI%RU`OZU1YJc{5Zi09lS@XrHP+wE*VPK|2l&^9ebss~Nmsp5NS0VtuS&aq9*GWHd-WIk%S|4X26 zTyHr`l1yYR@fwfPZx!I~*=P5y)9BLPPww3teWKpks?(Pxc__=oQdY?lRs(DpKI%WI z*dsTg7@RblrdiQwse78+$I&%;@WF{nz2yHI<6NVF|DVJEMh4;PMs!T;F1c$87k`(6dW|UeT`=UJCy4#zc1D^D{;Q_Ak-2+*sxz3i z3|_h8U%OVaVKN=3Wm;7cy4YlgdX*J%5XZu{wyEc5TkaAv2@Lkn-cRPivq*Pxb^#J` zAaQG%C9(`-l<3=DJ3q_0ofl(QJB{gvfg3HI$YM!PE@KR?8acno>ugxp86akx!8L-pg_aa zrXc5KwAL2R%|a<=@jR`+=waWKuLWYfFAXLfqmnC z!?gL1>4PwfNgsfD*KdCZ3wwON_G`C(5ROrH6Z{ktW=gw+ItFu)lu!QgC}@abLj(`n}fo+E?v<-G`QOjgB99`zWOIFXV*8bI*I#* zY!FzEk5XQ^{xSf#z9)zxJy|k3e{I&&`L-!{rfHJ&&R81bR1eNT*bUhoFpld4oYe|* z?wyuh{&LMx8{iP#4%j5ji2m%6G`@Os9+=mOI>t!7!5FDsk5^Gk@@Q|rYBy5(>-ydt z$#_W14haw$zvk?7gy|6Tf`juT?S)_Go~%FDyuqj;NpqQ$hrHr8xT)7};3FU8*vhng z;t47YXXP}?ZSp=!b7k0n%l_^23N$kG->o9l3!+I%ZR{)rfzH^`W?HdPb37XiNa8e& z4nX_r)WbUa)JkE{DCB#qdQ?%;SH_kRB9ma?j)?v6Yy)ZO&$F`Xb1rANk7PE2XZJL^8*_aJQ_#O3Rs&>f%1*ME0 z+GLrcd2aam+vUGs=ET^eZ|%3B-hS{Tr*su?@rWB*KYUu+J-)q% zNhdMCwR1=$sh>8B!6IOGFAkFkP+WX*h_AZAsWm5z)w6N+P179dtSI@l6D#-7fXl&S zn}Ac+kXJ}H{s)e7Nj2dG@7Q+-q?fMgSOmYWpW5S#Ny-E{oNAU|w~3kAOkQN?)O_5f z)Axzbp4{gUwDx+I-uQ6~q&Cc*5Q}jc2fVJnVslb;HD~`oq6pilM?k%I?YGQHU#XmT!W|>Ar z+ismfxqkXd+LwJG5W9XgG@}D6pzl=>zG2Gt%Q|(Ksd;V$6Ht*&GYI^=V)jb#a4g8=(cJwdC_~jv_PISxM6{xo23`obu*<=Y}!ZA5JNi z**dX>MaFz9y{m8Q6od3ZRxbHP&tdFKcc!CCX@bF;5K+jf!n$Nu(;&7)UI~WsSj5D> zx%-`%*PA;+P4noWTEEVtNp+fF1k3B?hdxqDepy|&t~ES%Nm*TEbBE_y;PuoR4!A5l zFtUzGq^@Ua2gBs)LC!oD?b{|&>Zb)IU>qnuicZriZI7bYm%aXoiinE?>Tq)#y4JH- z*VTqk02S@ih1F#S!mtRdclQIEWK19XNBz(&Z@|$pb}Fd-HV**1n6YzX$M86j^X9Iu z&9mf;y5{vGasB353{mgu#vQPKgh}L|*nC34Wrc41yBuwSi((*sI+R96*~+fuV<#K9 zT5xjj(ZC_6jG|_G5{-6;yCQL1q@q^u_qhC3d?7s}U}EMT5SNx+jo>IM8~ElFwjHA3 z5RhHFAsbrq?&Ht~4Y+hg<6(GY$M|=2*6VihFS1J7){dpUGvdp;*0AJsLYBal*oIzK zE}qh^oKN!ykA@?+2+ngi9$pYGY3#I#oZ2M@Y|55_uL|B&P#OJ_&)$7XSg%;wIYvlh zmRH}nh;d2K3Dhs2UgEo^XcU^>G=b14LSOusDbAegFKFlAwq6#Nng`dzvM08Vafl7> zJuj(WCD&R##a1?sEhWe`vSBQjO(9Zoz$D86|y^3q`*2*0R^(*QYf*D_FV2>OM+g;BW&e z!}8|d1cG5i>W|+@>2JEeW1qZqsAW1PVf@FOO^Je$C=PiBAW>J{&w6f}pfnQ2TnzmX zEJz_ChlHQGg945we#p6h$s?ga(gH#z$c1bmnM7g9@bEw3kx?;F9{H!+C$$>)Pt6Ss zjWmS<$!QpS^hY5H`)(Q<;fF|*AA)>nLIA{*(4Y>@=`CmW^tQIPo+T8eTtkT^*Ecp$ zNs^FFLa`(jG-?m>p+k>$CW6Y1gt9j1M27OnGlAs4I(}daIn;x)2|;b7s;Zir2GD@7 zm{9{Q+B$H~)S!3FVB5_ae`z9NR%TUIUE^8L&6MfC1(C=sO3Mfx+sHiJp!-JQ^D_hoD2(wjY8hz(uhMAwVf2A%sPl z)Kyg*h=DHD2c9V+&yphlyCf0<$OnlT=l)0{U!o=_6QziRpfVR8EDxH{QMPSV>(A=~ zc=k{h35Ag;09#V}_FV@|FFg}RLTTh507(Q9B#daCn40~Ll14)Ih=C%H@R&G|*PZoA z!P_%YB>WtKDFU%8Ov!|@NK$I<$B;kzA?MHVBTPW}gC1c{<_%c|3&n zMxYcD^&tOfA>j`a{3C{h4D!G1>ZpWFcMqRRgS4^C@7}ex4-Ha+4OO#H);xWR1LGB@mykI$qv=x#w0R_R z+Tnj2zxjXBnSQ!=diMbJcCrfX|J0lQCVaM(mHy?<&EqjsBqSd#4Zks=kLJM9(Ke7X{5^EG~=e2nH~h0M!$!&63FMh%n5#w5!5Z2kegx zrsmo!Mn`w9U-O{3R|wogr*UZm7CZX99~Gm|Udpne3K(JVAY6JszVM~-si`WsjZhCq zTevWsEaXPth)>l=zt?<2IqBW$L5FTp`6;L zmjs^JeeEqAfDJjGv~!u8qaT0v{HmoMx^doL^-Js1JEn|x)l%*b>(uUo=_PK}%v%r3 z)f^PZt&!4-+2H<}j~8N-I;)Om%RD@1fUcJPY7X6->=V|~2m)hlcfI>i3gmS&)re%JKV?eUAxeJTfx zbO?!>IJGLXxYGJAXwO`wyqrt`Zlx_Y8~8lGX(<@$f^Jl#OzvC4GNVD#CKs&Le>mxS z9eDlFzBee@p8Zn{ZRp4ZsM5A??zqJnV%6SLa?-Uh4gqNt37qi~fw`OGy?)WdBjjZ4 zI9R{LLN3N9ezoT_H-7_aJlzCtlw&2hr_19h(Z1s)RTuJ)N;UjhJu*@zR7;Tnp32ny zq%w+lBUf_ov68V^ZP2oy&+WdFeQHG=6P$r~FJO6nLjKc36V4({_8102Ykafs;?I5g zV+`|dZdY)6{K5og#$yFZ#A+p{`CWZQxv7Wu^$K3U&-#*BiQd-m(9ZoKFufNjuu!W; zeIYqzy`_*(?C3T=J#AXnd#~0$?rZN2mBEcCDLx{JBfehW&qv`GV87fN8lDIq|48`4 z+pKwiN}U#Hj%egi6dxtfF~`?b8SL8Usat6WOv|UZ%4&Y{?4utCi*-uwE7_NCMw)i+ zs4ZT57bF}g;*cJ1YNf+$w-C=q5BMBR-=R5tQ?f20Y}x(ZVeobE1O7d(pqF)FwPN%| zYKlO$_j}b!*k!Yp!fh{>+9J6R?{Ww5#++I^_ESpCWgqGQ5;gh~tfLB{6<(*i(xGiH zr+SuEcHeL2g~)f``KE6*05&vBj+o_cMXifx` z*R9MNt)rzWWeqQy!AdOMaoDhQG&B-_j0My(U}tFEQe0vzSxgU>tbBO~y^V`Iobt+V zh0=7sAx}PF`0!d5X4cO+)>qf;hHs`^)7!Vhe^!=Sb#grW#Gu7Z4oG(P`af2%6(Uc* zbFrAQ#A!W?(7{1s*La_~;cREjGUFQl+V*pm+~dUGIJYOX zF7|{W$wcBF8CBY`NAi!a$U7q4&f!PBdRCjNRi&7Fod3aI{%%yQWlmN;8HC z|A#&Q2QWo_^Z#N@pZkY(cA{A4O*Qquf`#Z^DPuUG{8^!~@KymER) z-kZ-i#mz8WGMMi)koUcRzjkg*-R%Afz;9Q*l{@N<=9M0?zY;{FQX7!k%n{=mH%v0l z_D+rq4_tRV{ZeVT{Ec~Od!Yd5;uDM)c0vzHhaGXW+sKzPJ_LfIWZ#^GJLliMc`EQr zV`$yEpT5<)*fv6G)DMqNblt83?2&lC3jZ0+$8~XA#$M7w$}rab*G4;0bL>o2pN>;N zIiPh`-22+ILZFqX@UZLn!9iJ93d5cCq#2i0r;dGlDq+B~a*fD(;92~5NBc(C;mylL zYv0VcG`Jo}kC}Y>^yq~Ic&jorE-+|nHEAgjok&Z4t|pX?;~u43g4LBletk=B`9daqjdy&t)4!WH`b6Tr*K)I`p-dsHRByPHyTs6k z$wJ$KS5m9Tk&RvWKsD*g1**p)&3Z&l3V@?Uos%n^H%@x2u%12tv|j4&jZX95L)ncN zJn26(bgKcX@-%5O$19#_?5Ele#DHJ9Solr}?yb`%!x6rvl%Fqw6E}l%nUz4}ftL>2 z>GrJ;)OW*zMWsHq*1dbgrz;RW1%^${mByf&1J`weD|ua% zkE7i1L(D|AJj$8nH4ZKefo7A7dG-nyjdcV{&|~O6vC9=4-s2#=Cpx96b}c4m#{qm$ zWqmI*BN!AG^j-LJ>+*C>dth2Qaab?m^pQvrfz$!m6lwFa3?inwdk!PtOdusr>nJ0U z5;1uE!Op?E?e)7^z#SzR&o!YE8@yPh@x3!7TO70bAQG?rUREH@TWlpf3E-qwYQO3_ z(`dr={#tB_66;dqyEnGk&9%6b29pcx{S06nr^NRBu!dgO^)E7fOc#|b114E9*0Mg{ z5SdtH=tl^<-3#>!)m<9 zxqkNU*Vh`~{dg4egsEAp?px z`e+_UjnSAT81vE{y)3-5Bp77IGvE3CN>o5u7^YUQ< zN0Xk6Gc1@C&9laP;Xefldtu^o63Ie7&u{WuKeMcCY^u zSK`h;Prh9tW<*g<$MEV~;;-ZNIS^ui1fHa?SHr1Vg7u=d3I1WPc0LNyv*- z#}YDr3fUAI`k=D%`y3N(O@DPAxi?QW?k!;eM(0p}qG$ZhSr_q1O|H9tbP$c2BazCb zOD811E)bfM4<^>=6y1Br)+qs-fMq~QHA2H7s;QSStLj;N#A;?20^(nkz_#;=U(^N` zE>Us(vO4aOsnwECLk?MOAGFI~&;;gj9v&XQfOzULjr})W zFr?2ytUibON5ucQdv863=PFdT-Ip>l1wtJ3(o=Hen-#K&e zJ28!*d_YRh+mHm z>(E?(+qu;dN@q3fN_nL&D&R0_yvMp9lSU1$P&@N`e5RIi#SwiJm{_^^i`+J?jDy#} zHsI}-k?&hJAgHAMVN`0y4X>!;vc6xov876uHVzqYD<>DXj%fH@9)K60Xhh^x?v>Vk zSyWJIJ#P_;@9N=eL|)T97#T-lz~Bh(@J^Y#ewhyy6cpSVCZ*wa^d8f3tZP`u2Ylqb5FU5d@ z?kPm)jz`QC6Z;j#rXLuWB=qjP(TW;-Wmc1VJ&bk%vH9Jr$}!VB*R_k^RaDQ4zN+QW z^Dy)3XR4^ve%ZqK3`}fOq-MWf`|%5n-cI)TyujlKirkE2&IFC?wVmqK14{shl;>XI z?8??D8p9P0>)_n_=B2HxG5c8Y*-Hx1MdOr!Yx9@PP0!@kVNQv>6$*wKN{x)hArj@+ zD#?5I`@H-wfia$y#}njy_gw=bqpG(~ICP9m6F2rLGs@^xZUsh%jDleY(NtEN#?Cz& z9z|VW|Bf9_e(if6Z&%Q+2sSLQ)oy|pZ)@5o@y|19n_6Uc%`D@}7`Szlq1MeJ3KW8njF=60;gP_|<_(nj& zxTf?LjI?Q9F>}xl9G~| z4s()V!3`=-64h-3z1&T39^S@1W0RjdmscSgJp+>)erLi-WOEup&OtZ{t=uT#h>2ChQ<*VquX4XEI4xBZ?ZBGKnfAhohvdf{K=e zbP{p(JFDMD+~MQ!fQu(wyi5@QRgz~iNvM-N>m)~U+Q+cYCp-JBiTf1}6iJ>jN<0};n$8}vXZ2B7s3+X>WYqpCsMU+iGo2)6 z8k}@L1j&F;&dkj0m$`X`sHg-eOa2$5gpd*nlHnVxKJ4Lblp6WK6v0Eukx^*!@Tduf zB%q$*FeL%`4VP2+6Gh-a@A_GN7A6iZ{sjmsQOaagR4XbcfsO}O-lOs~p)^!LP)ASi zETr{Jjcf!DVVrpukbsy>!{eAjo@)lj9R7GoEZ{)nx}}x1Yw*)BSgsUgj0rpMqoZ>a zN#UPX0<@vAkCQw`p1vl)7cP|PXC0Y^i0Uf zJAKLsY3Xziyube;#6-RCJ^rsZZ2v*K`A?K4>Kp%z#J|LzSc`u(w0r+SnE%@C&+UH; z-~6vHc%PziojtDqmujKQ?o5qZAr^;zmh|}D5#n*mG%PA1ToyKKTfspoibpEI4i~-C zCMhv=CHLt)Zv5>$e--qa{V4v{@mD9a z3qNLW8wx*8diES+mRo9k>s20gyGM38u@m|qN(LOFJs^cyb z>MTUWfFfq3p-ZN}NO`#-`nQ0fpV-pr?LoidN zl{@NKx>}8ClEcNSy-DAp_Jcmf>5;7)A!2j6?o_H#YUsa)3Y7+HGq0cI;rpbk>@7Q zNcjk{rT1>(h5E`EZj04(f0;R$Ex!+5xO^Kwc(|0cqZT5{R8H2QOr$VpY{2q+iRn$8 zjGRz0Am5;~+jF{oLur}&ckBJ1%|(KBcI}Q7yX#Ei{EE%%3V`J+Y0};Ay(3FsGcWFM zpHtN6^2?B~?_i+5)wXOB?(+@Et#XM3Pw#WK5M-=;qj*xX-~85?sbF7AWji*89AF6D9td#>dQ|4K zxFJdCj4Q~{)PdX2ssoKztr6I_P1RW`ABLM>wP_?O%v(`w6wq6_YSlWwBRBzMyG_WW zO(j_J)56ey%x(QY%)ND7RBivRJ#?ou!UYOSNQ2T{A|iqyNJt|kt&-9uok|HvNhl41 zgp`DUq=ZNah;)fG`&xMa>e*qcvmDiTtP!{~CL@V!?vZo3xUEljQxJSA*+@H4n zNnygu>||OYw6s;H7xb>JzxliH`DedQwsVq{mNykIEw)8C%fzy_2+jO_PM+c~6Qloc zFy?;3l0S62M`WqhvNPN!UI4OWN zkbmW*APipm{u?Jnss{hcNkJD3UjG{>1xxTs_}@6`KZuhV$#uDaFwKj|h6UNMPS^j} zFUx;^nZhRZSDpNI!ed8u*|F6;H+#_01jF!UvxVdh5}pY7wJ&N~f$FM+@f!>pIR1kQ z-;XCPFMO2v&`qU{)qX`!HcyOvp#t12c_dVy5=9bJ*Q{`kO_({%A&vG%QDKC0aCJh} z2~R3u@AfsjH7~fOI5Xnx(VQVOHu2O{|E3Q*CmZ7v#Vp4iAdlCA$HCH0rrvcbT5=rM zMV8dM?5G$L+Q48QAfJAE9c;Qw-`32)k301(yzs1ZDoNz&jGGnCka^-pmcy`znGR6w zxyZR-)>hA6X?IHExNhqD%Gt-VZHGNd7Q-@9sALGJoF^iR&JbA3FJkbufxnFA=!O{*CDrcYl!*Plyu?Pn*&;M_-g;6-j3&$~@V}RrE^w#_?u%rzH=)X@%AfaN~f~U$yaUGXwqm zVbjx?1h$QPB<4yZhL*cAtfS)Xz`&DZJ3GPVaTotfMje79mI$d@3;m~K5B8Ymj@oX| z)dGuMvi?n*<`L0N&)at@7lwumeiJg+o4k(;3qP@K*y#rbtKV;pt`Xsz;#nU==dQZd zQlpFiN*Yxd2qjvdH?_n9Mm%*?=Zpr^AIj2x<0qFW=y#d^fYXlOr5D3oNIz8;394@G z2gyZTpXy56RX6HjUrM&XPM)tih?>Ne^&eKizXLcH-(qc?!yDumEWdD2KZ&MHQfQRK z*c4XDd%N}XcEoSM?;s_zuW|8ieEQ1>RA3U`g))gb4#Bx@5zX@fXu`kv!I!(>STUWH z?tS8`GCABBYqS+H0$z z=8K;z+qzvrkIAJs`BWm^=e!p{h$G2obB(cnt<<|#=h@u_4KT3i!O#je4Po}VyWgvN zq?oLkT?G0Kxi~DY+qZqf)cUaST?*Xa-mj1Ix*D&)FaZ!c`lf> zoBZ0qyIcFGOLPJQk7=YY-Umzty@C51UVi$k6L(qj%w1m{HJq21_{rHMbJCOe%8v}R zdhNe&Ji?%*&-2<@%uOzurwtbD8#~92eN0koZm^C9GFFsa1b*%)KKV2eJoN@wPtJ?m z+67gi>vFFQ<3_B4D(H|5c3+8}XSiJL--^@Y_>%RA)!{d08tXvPMAl785km02gDbCa zsp=w^UUUWT6yMw$FZu7-wKQ4lAf>!$>w6yn1}#x2j=mPd`^5)LmQUWcvq%dG<;CYd zEK}XG&3X~42)+p^{qDRm&+fna;Jy@d)sHErSNACE?2BpKD%gJB=9~ce<4POhaSHvP zUYMB(99=2LvoLMz&G=mvaJ!i{&f=Q~Ab59l^5e7Z;yvNi0IhFXytPGP>2xaX;m#Bn zs(kU}LP7ZbOeU?MnE{)3Gy61DU3IA**J6V`3tQfL&AZahG+6?PcRqC3-Z@9}7;mqz z?{ZZ7{Yay^ENe;@nd=kPmt^xeL{OU)E_)~UEcW};PL8uR8Vm$ zM)sqtKg#Wzzdydha3hvD())#DKXraLI>1+aizn=Zc{k+M_GPX2_<_MXAu{Q2J}rcm zR1RaC1_Xnc+~M&ZMp>t@*yTNX5SvrcaY}PVPQSF_7Yh3lw^%$#Evc?wIKboEaqvxQ zUcq7H)o_nqUb~RzoRspq>nPD5;CV-g5cM0a&>MSqy9%DcHmpjDp^U_ZC~d(t8^JV}Q#OJPwQ5 z@n6rcZNk3C%>L|RbTTQk^OM-d;W0(kmu2`Dbb-Nxz!zkcg11AH%ctkgX%6hDsattP zym-As!4NYcqM!^+Pf)}x-12&!$tx(Upt3K8qITrjU5s8U#$l=HulsjFOU^}xXSj4~ zEsH`oCWxyEc|0le7b!EF4xVJpU64{r0>w3-hmNt%owxK%&W3g5{!Kf4@{c0keOM*s zmUn(qH3ydPX*7eAGG5jEMzehqLFKirc*EQ_CP%?Bq3g0N!DKT?s*~@)O(?lU{a&hK z-J$oZ#mx(sCr@sZBnj$TDc&*p0NACpbzW9o@y5hw6T4;6i^HdMM>jOKm6$i_6OpxF zY7^KW9p4nTk4)^}X5r))QqpxVJSEcgh)<<(h<~!n|11SCg-$K460-}xTZ^AGv5s0l z;#GQq#veDDSXypgFBI6v_c8JAy$3A6`H}2boR8GL}dbqB>Tt~^Hr2HUAV~#Iph}6I= zgG$4>{Hsh0(@Hf6*$bd($1!^nRy8%x=<+16xNG#gv{}US!Jn&Gq;FeR!7=Kh=z~W= zT_g-T~_%)$>TW8c}+H}f8t2V zz}#WZYICC!Zxe(gbiNm37S-1;1_#qEJ6sA!q zOgO-;s06LgKW*EPb0QL@$_@Cas=@_}$6>Uln%b>faNr8IZo9a+c+HX`g*PybA3{kV zU;me90wrb+T)A+kc@U1Bp-JdbLNtk_Nd6c;g(10aBW=7Yk7J@(;exF7B{3VuXq zK-v!<&RAr{A>1(@?lJ)`#1eg;mi`C#p8@~>YO^OrjAZ161z%K4XD_H$HPm{*ZM2Qe zX?Wbg7uD9b5ejW*$IJvkGxV${3$a6w(3zNFR_n!oa$0%$_>^x32z(%&zKr%kYWkEO z4aGnG6NM6(K4nI#i%#iL<}aoR{$DUT;rJhNc3zG8zx6ozv4}-R)^&N+2%GAigBTB0 zSqWM7eeOPZ^jV4|YEWz+l;l}4%XqNnD~b9E1bUVdR{8uC&It_;S7j$JQDlw00|L6D zeICn{d<<0r-t66d>tsW&`(iiPY_AqoIK7N7R0bHl2lrY$ElCoO!WE^?4V<8J)CgU7 z?4g$E4ew4Q+&2TJOXAzqCJE~^RQ0%B*5XXtm3QSfk`~ZXJbYBlqAS+`I{36AwLs6& zzRN`?h*?&M-iUpO)}$UB-OtkREUDiIqJkwvUYI^|*n1ezp1;)~Zgt=abWaS@(C z^9%1O6+o;X>@0ERt!#`#!5il$jg_=7o88IgzrQ5PUqgkp$IpOcqxp@3dp|WK439p1 z70nA0qWN)E)5$&efpsjuhgCs4(89$0HHJk&t#gs>NmG32iqniLl~aB|1Fp+MKkQWU zF5nUEue}`A5N-@udAVt`k+MG( zYFnJi6dHPuryXzjE`}S3Dk?Ct#l1VSMT3n_w=i^*{a(>%&C}-0sQiqBC!ft@!2`96 z0(wuxYM5v$@+P=-?%7w-hukVz|Is&Qa?=?{nHofe9j)&OUkD*sGTOQ0oM>!dm#_WH zj?hH#^4%=WNX>N+=&4xO5#h%uh0ek_9}~F9RK=j~(el;h(w29NjbO!NFjLHzDg2ou zERA44oP^Ma23vP-uk;1}Wyz&eVczodso;6-N}9YMS~aUv?8xZg3C*RvEIj+j3m-kF z2!*YD$TR`|w|e_2wrxSGTkO=A>;lj_%LKxNVv_yA zrT203CNqO@w z3khJ-#A*NaPIH~eC+Edl=iz&4`o|IhFHkaSjp28z9BHtBr!0Q0ilA9m$ENx>Fa63#lPVFqGQD~bH z^#`wilcw>I?JC;_Mv=G&pG#T7es{K_-)r&}J=adQ`2MJfoQRLIbojeu8JJ|w_FwJu zCD3pcs`jgPaFo+ZyRTx85?(-sIpxXIpn$eFj$xrW_nu^lpG8+Xp}FJfJEQtz_Pwx& zP1Jr~Zx6tNQJtR5hDL7JEvcINgkfGJEhRGM z?;Q6k?3JCy@{Ro+FPBIXJn=g<2Xb8=mGVSkLjIB+hC^+87KtO5ni-?4sA%#ETILv7 zPk;d0qu=c+?sjTZ9bc?341M&{jJ_aQQVkizu56 z1Vri_JfE)Y4b`7_SnfKPm}6)1K=!>kdIaz!$+!Jd%PPDZ8~>@K<==qJ|Ad_X24ns= z$oX$>`F{dAYbpOg&Mf#@>La}R_cuZaV6gaK2_eA2g^iPcA*BGh9QZd<3XqJSzmZa4 z`M~P0q!b|2Gk+tcATJ+QBmRYy{sTE#r+JW!7l<}5BO5kk!;Wk?rrrM?=?uhHn%K3h z^<7XUyO`*v8~=l?L?esIN{5@e|5jl>#V)92)5A_-DoT>59tn7YovP>HSHcuBK{%kd z8I@aAmYoLc7*l>L@mk}K6?l3s7=WvG#EWS7$@4-4=G&%0T z=mfL<8wYVcC)1UdtocVgip`j#z}J9_ZT_;+hw<_M*AnF`sCx(T{t z34zU+p8{{yr#lwX(ZFB#uS>o$bE~agA+p}LO@$&>7g@rb&-_c_+U2yfs3m1&$ zjeV*&2%PNXGQNuiq~?tP+?4Y6xdxeC_wqis4OVRHwS`O3SZvj8DuqRq^b?lrAg$kK z5#ya_G``qvf4mnLt~jt=!d(|1I<#TmUK0tPPy#N!pC+bMhcNa|kCQ}bymm?)+`4`W z@7#T{;(}=?7^n@TaF54~6IBbk7&F7s96p?Xfa10loVpO2I~yn0+EPakLlWJazNh=J z>?|{Xp}b1sl1hFMwelA26K4?H2SyqjeUQx|>7PnGcw*m%aYVAnz%QtTcMuR36CM5f zmsAv8-Y)Q`{k0yZUNh)IC(1|c`<9MPZOb*;z zmb9yAupf>I53bbpgd3d$H}eZvOY?Dz~In#4qL%(iYS7@qmjr}qhJHe%l8NSwQS?r| zos36*s(uOcnj#(jF``))!{m_V35s2o! z8UxEUt}K|Sb1lIHJ`>yPCm6RxxeG-M`<}j1^aZ(d=eA9RWk;{s+0xK1`)}jV)(08H z$TuyM4&7bZ-*5%Y*54wxes+Fu{A3SXYKuhu-?u%@k1O0367s+`(GEXw zO@XDI!{gNKs?Nb5zlb^b3?H~Xiz)d=qaPF=mE6`jID-a=RjeF6d?%M29#L{~YZ^RC zOl9Oso8HBvi-W8ShQ~1lEN?nJ2BXw~Ra7rIqngVoyl-%a;IZGE_e7NeHB7RqgtyI|vp`NhW8R>t zkf=jf?-Gsq5KhJ^_QWmI3$L>J$G9>-%J4H}8$(QZUE7{ycDke@oZI z=@{4EEugp=%q>$(-ZN>Vt+;E^H+e!l%)?g{EL?@P*1qZX&2XR85411+Mq?2hUBR<| zR4jKpd_mjfrSPg%8!icswCOUQJsS8GuqGYhY;9L*QT{cX=wY#lWg%&G-{Ukr?i)I9 zn}#t!Q}fq%1p|lsH?&h2OBc8Fo)3EO^{hA-h@|`!kDE4s3s}=$(=1S<@K~;q^h&*@ z*1PHK&m{3fr2n9C&3{I@{urE>H4KyHq56Hx`P>zkA@B4e+o?o0L%C({7j>uTdI>o| z>>@EmMr_oEfBW}BxyKJH7#}Ni?<5jM!PmK=dCE#;8gtTItT6RA#G!0&7(hHGj%rnF$v;P1l12N)9 zH7HoV%&*lDYXxDO_ukIh1M+nCZXS%69aarM^FWtl8JXfYW%F;jE6>>#a~9U`?CRSx z6>O0{I(f(a08IVvuP*J1U(vx^ z#dTcHchWa8-1CUd-|T3~sb?4VZ(!DgUW&ekjT7uxX)T4d`&Q{gcN0Q+r17U}HOD^3 zQ7!gN!GUsi!@|m)fyrG`)%KGsod;J+#WYQ~DrpsH>LW~Et^tM@+!hc0!=>#V^vu}z z8T{{WRgKf{eWt(k(5p~K2=svy!=0JP(~w_khYR8=>A@Qor)rMH-+nN1>-O)E{ea&C zB~GWYu9I;K-Nwg(m-KN$!`SrPBB_Nnmevk)EU6{oV3AiETj_X0>{U%kyq61v1&R9+l7Pov0iBz z*F(317)LMU?(Li_{qT`Z*jm{uXY!VN7mL<&uofVCKwmw0W7(+hA;`o0WwO4|`h67@ z@}k`?NP2MoBd~B9Vo&>`;9c!Gz9+9VCzeyJO5xl8@HNxbpc+_;th8p+qyk_(xmGEj7 zhgTGrfTea~R%IVnr(@#qoOzSfO(!n_(fOiV>+1asS8^~+Ye9>Yo>2p}U{HH->U|S) zkLTh2r4^J~qYtxPhaLzT)K7sUsTm8F=ZCf``oz?idb;EH#I(5g`sGVS7ueSyc0_#x z<99+fB=4HhG74zxX1mmzZ9At5_6B+puHuqcs51#eAl1Ot{bPyqUoh#?7E#t}yn z5?FvRqRZm4vT|p|Ht-ELvd-WmQZs&2Gritm*IHe=cKT;}8&DyCx!rG+v*%h-dz#tfHkAbQo-4T%-Nt$L>fpK7@S8EHnc6 zAm2mkamX`}7|Ptj;?C}FWB|Iaf9R{%IzS4RPT_lMOae~m2*Sux2;_wH&Klc|kO%-^ zW?=_qKR6>66Q2luS?I}rfc@(TmOhhZ+v(do;Qof!HZ~D0cJDlb#{Pqhu|0}92NB?{ zGoq}etEd0e2iBzf`5OwgR0+ za3Tq$e;R?{wErzlr$?|K0C+rom7SM|1Zfr&6cv|L^+N%4YHD@?8wU>$A7WI1kW?7` zNhu^IAq6QZa`pnt&+Q$Y;t>Fvo(^7S{txH!k965LICRD`;p4)HhK>dGN%+Vh3zpm? z$WrL4n7HA6Q{+s?m=PevF(EN614_irtsmFcHeiSWf)39-;q9GWV#p2m_Yq#mdYMCA zL$d`N@qBe5hPH#{+0>@z<85DNm0eMz<59(Xy7LeS4<+Xo$laTr-2BqsaRJC9? z!lTC|PmqLBh^M__sH$IJPVN*1&f8IVtiXs+6LEYfy~147so7;5Tw`23(sPtog&{l^ z6E{&bQ6iR8gzbsQ5t4G&=WfDQgn253`}h7vm{$yUU+xK^&y9a z2-e)<0kDdNwrApt>OT+_slI}_v+!7GYwuq|?zk}q9lYXzJpWLDMnZDoMFuVbjk`Mc zp~me0Y8fUi1O)}dvqZ$%4v&njsHm!GfhzJ(WG$_%ib z7=t?PhZM)F!Q%L7DHKX%S`j9pP79z=V$v}>oo&{6%^ z9Y}ipt5u*=`#AvPBB274>h-ooSw@2~%A5mqW4#N)M$`i9Z_-oA%?kjRVZ}H4Jlp|X z9^vnCGoR~@27@t;JKI@l`b8g!4&Mv`qo^vvhmY+iS$$>{amvUx=V?VeVuqbs0!K|- zlP+8z2AIx%vqKvac}nC$^{JLJ`1QS&u8#w7uejVDIW{744glEbEAq9|lM^A);wHEp zeBn!jR9Kg0?0)v6eXYDRb-@^nNh@;tz$%A3hTm>cam0OkdN^A)dIdZbpH#YLVN@0l zI)r$Wm7|xF@x|JHb~$9TB<96VyARg~GI6VVb8vsC06|BR-?$F6rkUk-q*gJ>)Tbsh z=Zn;|1f($dLa<7>s(@LDhC-E@mcVqgODlGV_03OHXp~1CMlDO%O%0ON9wmT^3H#Nb zId?8GXKvkDox`BkNB0OvBn0B`b`jv5D6qhChTy?cPR`Ch}8 zC3nsQTKGJ>2~cOw<<^K+h%EEsy;n6BOsLnmOl`=BHQICPUDkZ5B?IuHSywPj{kUSx zMEEP@po*#|mp~<@MUF~NNoC`ShUW?pZe_Xo>wHWWTl!bGz6a)P2SK;0FLeCEN@V_Q zW}_tO3WSrpKX%V{J-6)GO7|T9mG)JckY(e$Q?ZTvPq$M}R1o+m(`f%GUg)_=59jv< zsZmaWy3u_fZ!(>x3Zr3TzHugyY$220oK~WyPL^gwkvoHZjUXYTGb{9DSW;KeTlW(? z@a+Lkzu(N<9C9Ax%#I9joSChj4G%VstNE0~W1lR34LB2RUeLr(arm6pm)>s{n&eAc zR`JvKO<*jBisp3I7$0b@EIKHa+<0P+^;GMjisrgmV;OlQ#>D2uK{wXk)VvoURcIXl zYJD|Zl(-S?24tFV zl1g}tTuFHt=s_)ZLT1|X9_G(C7FWNaiStuLQOGkfmh;W}Sm3_VXFLG6yb8_Kw>mXS z^-x}8t+yH$JEbHz%Bc4&6{IC>inrUr&&)4g7`Ck$L#z5~Jd16u``>YOQBu#mGoM{o z?wIE{1wDo;ed}%q24eQnN9Qwc{#IRh6Lu-C!HqsF;A@%kSUwoxny_ms@t(kKqe*qK z;s*m!Ba)+~J%bI<-Rd*e=LLh|nJBKZPdtYrLjC!iuLos)7NsU{YYm{Kgj2~p>M#Mc z0cHn0IyGjeR%o;(s-({{Fp~`A3tn6ls7`BqHog@Cm|K;WisU~(*1rB-QlLn#tLC$`oIZ~$kp?NelG(y)TU(SRXdwY*%#w0z0zuHaGJl0PyT9?(`1R15aEUhs zMVEV{&!fM+&yuywmmyu0FC0)L_>?8n%I6Nz+#QBHAHI(M3vdle?y^glN;+h`~hIvUy4Z}1HP@?l2xhuo^d&rp0Qp_~H~b6Wd#u0WUtk8)!T*MT{~@;=(@rQ9 zCxU9PAR8`Z!;Nfskl&c1RSypDb4AW4r(T1_JEjRLGj0v65h6I2C8os(RB zhNO3xz@gKPB_A2haMGCgn%8!WB+F3|hr6(9XX(RG^MS<70hq^@h@?@QyWaVl+7@K} z?iZj|_5CExG$^P?IYY`D==sO7X$<#-y$KSF_JJM!wF!wa5Kb3=%Y?muA#@MRhbP@pJ8jnp=3% z&5h{sGRT8TMUtg9?*JMt;ABA9!td#P(3V%MQc+`S&^;g%y3hTQ{nwXIJucv`f90>~ z&kx%s2cG(47TO_9SUnKvdlqC#8rX-VDzvp<3%!*!S9j4>8G@Dv$_x{2IxFh$x)_=CYtus*NOQq6y`{jXoQXO}X zX!};0c*)A~IjHm8DtBz0{y-$R-^?xVaC&HB8OFRhOW^vt?LFJLT?&}yEzrc*H2gUH z)Qv?{+ee4u(2q;EQDeL)rB@#)1k{>RE#;(ZnM-rv)D2tKFX)D z^yarYkgfSikbV9d#-2(Q6Vat3e@q$+_r?2hVh6cE+KBc)ylUU}3eSNewDj%cLIMDmEq{#wg ztqHiOn+umwFD+=B>a8yFzadv@D7Q?!s7&0^Kp{p4F5P3sb&S2AXt(*BZJk>p5Q`q$ zokHqmBy|BEm(5|$BGAHplW$I=`$bGz}a)V0j;@>HKAHlocc-FM)ZDZqQl%)tY>Mh&ucha(T99d9s4W*L zKd64^$nKG19L$k*X`Lo{Rc|V7e8h+R10LV&%Wv*I0J6R@jRR-|S5IV8-iuWnpMD%z zJMau4WaH5<4aiftr2}4+?D&?-8V59(oNB&9?^_uS2rD2DIM4m%n9{t8vYs529!ncO z3=dqV+8bJRuV*)F+hx!%pPZ(m%k1aAX&G7s!hfDHm`Jwl(beLLDVNqt$|h7Daq%AD zZ!c16bJ{m30L}OFc-jRIw~rE%o8q~N<11rc^HmNS{SL$4Ug~JTgykk}f#KTjv3nd>#n&z+Q-#M18V%A7tO#iz2 zw!U=&xQ#A$vr1_X`sPr^gk@jR&&`}x-Z4D&47(dcs*QpZ_|-1Ew-ZzuB&TV(*Z9O5 z1ZZS>?hxK!wRBHj@1sH6LxI%(gIpih(8A*A&x=wv+l;2}Q_6uAhOa z;1J1gA}w=IUn*hZ!Ao}Yk5{kUvXpw?Ii*$oETI4AU)*_GJc{d;$l}|w;7I)lTqDtF)Bf@sBy@|{`VX78TZet# zIwE-ESa|(dETd?F7Z`MXiT`CBRI)I^`r~rO`^-h* zYznua@?(NG<{IAw1MX%l_71RVggAn`$?3)5$}yEGvw@|Q(|gjpwZX0H7&lxZXAYPM zP2IX_`t6p9fC$dL^r1<0U)Dl_3@5es1@ZoOf2&7luaolmVO!gf5CL??n%hf4Z3Onz z{7T_!{bAAT+*-_&g9#Q z6D9Xt<_|m<3O%ZMNh`e$tbR!4HaP0=xm&l8gU{`&`8aeqM9exJQ>o47`!rKq?b$L{ zYFt!5a%LI4I0Z{g->**I%_8$%8ETSL(4-+#GQ6f^?K^dVvVqd!!-sTd5sgSXqm!%a zlhpK=8JXEIZSm75IK~VCW^9R{NWA*}@B7mR~YM~m=XIK^) zQiq@oqBbvY*j?o78~7RydzF+xt~@%55GZ8M{M$FAgJ^aZhkyuHpVNXr|jLQ-4X5n>9XOd-ZTgJXzC3qnKTn|`Fj2$EwZxZq6v zb;voPXWETu9)!4%Zj#u*2j156064-)aiqNJ^S}TbGU*L5rC{Khe+wVRxP(o7_=rI^ zd*%ll^TPgBWFZAjFlpco1dqt$gP`zQgkL{)LuT{ck=WF;ae8?FzDLI9Bf1i0APJ^kT15+VnWA*llh*Mv&% z3SyhwxB){*|0uMGJ6rtr?b%d5F$oO`snr>)eTWRye}uRi>E`MmnJ{?(@=tWA=R(*C%i5uF3YWRLWsA`l2E@K@zxan}`UM0*%{ep->Doe)U6)sk z`YGWda|=l*C@y{jEgXb8Lv@$@6&Y}Jc0p>z2L6dH7#{uta|+1 z2N22kOz{uq9@bCzT?tQ7jS=>c^ePd%IQWOSZ>+%MC717ecV;*|q zOibq>poZL@T^S0|a8^?N_HB(j9{y;Q@SykgMJ}!c28Y5NxbmtAc(_lWqE1nyU~!rF z+&M}rNl6(fBqNtNl$GI=<>(6Ad)?eTQqy6!L1reBKAWAL3m0-03^{$@;NbAs6s&f_ zz$SqAA9=#BUKJLTeK`xD&kQL&ypUmQ7nP8Zng+QtJU9LbePpO2!$<_Ar0w(G0leq9 z_~)6&Tx}rg2fI8`Bghlr^tqX2pA9Ozd)NWSmYEkX0d^{mk-{3HGdHRrLCr~7ir|3OUG8kIc z7)5#IzTwSx=gZi6$g zD~1hj--s9ckVT^BCv!4t%k~*Z!$n*xb)r93VzBV@@q?{7V3BHK%QzIenqmDu;zicL zR!!AKD-m27x>ssmB@KPrHoy_T6K~~t{KZ}td-706E5Oql;y5mNIzaPra+kbfQ5E!h zw9wdVb5j@#Q#>lPA~O7}@R`eLo}6q7dXh^)1ZSjq)8&((+GoEtjPf z-1UBW<|($E4pNFy@Z27kegyv!%X^-?vcr!Q41c_DLf?}P@H3`ojbg*K&j#OkMt;W< z?BbA{3<+^vRQgWvk~E~4`-rS#r_WGWiQ51e+onVv@iJE|C4_VQe&tT)DKcPdf==hqkFj`+wr<~;ab7d&&jyH?JaDsu^ES*q37Dy(gCM$)vsn-%8=JF zQw`JjQNPSguJ?ZAP9tWrz*T%wX;ug>`fP*_FJpg3ll87Ee5LFTY`mO)O2rj=?@V%E z8#!17E>Y9x5`VbqtGl_8@O)56Y+E4;9cSDBppAvskY+z{7d+i%4en54$njH^xN}8VjY4ozz96q>HfB90b=kWfu&xcb#O1Zt3;-rM@IXZ-{aF2Na zM^6{@yMe=`wHloi^b&*~M=MY6dJt1Z*7_c#=uZSC11b)k-H9H%tP1N5^j50fGMqKJ z?YG5^jFRVtF6TxEuY&F2KtMP1lUl@jh0}xK;~>JR}Uc;Hy8Y<;n)oN!mqz zHFqu93jd^xH|l=Jb@?OJ_8yhA&%TrS7bYt~Fg->pMl71#X!CAg|9sqNmzofh7j`jg z?xRW9y#S~7Rp9P^zWc`Yl``&*^AeX8_Rw9H7Yndm@&_&JK2`nn&ou#N@@m?rUbPal z=X1K9M8t|&itgOQ!1G6@@l5odXzBxzxXUbkUd5WM<0C zxO|~Wq<@pcR+cCFC1WsCSB@B@bLaFAF?j7YPhh!{gQA zblO{~EDG}prV8*p@&R-zi6#0yxYnk)bviWmj?#W*ypJYjdS(eev_&6sYRLkqwa~t~ zP{q)Az1o|^;}a1P|L@<|cx=)Vt&hZg3+t9anQ>Je&90t?T@;$f(Hir)T*ZMWx^os999w5drO{|& zOE7L}b3G{3ApphhdHOq5;(I~`vw|$xcwi%(yJRa^X0!2a-E7!9H%GvPBD0H2g-HH^ zSLAgW6kyPUeAiHUmU~dk;bFNebFmeHT0y3 zbtB|%{6#i&Q4{A+cQ-fc|23ut{`kK357GRWpUYxfFp~#ae_{~+<*R33`JbErjWIL- zV+Z3Qb}$TB`YWIX@Iw7x0WI)_MU;O7)gUwL)n7q1K;EkU4O9adEcI7V4d6STzk+H2 z#eu(qYUHu5K7}Z`63GpMP?-79RvmzT1vQJaKU_;=H;hW^YmUpBW{Q{M7+ zyJ)C*0nfBeb|3U^m%FC;b}rpEjT*Ds?pwelolvMHI4#{44wyILc-jI2E5%A0w~ju% z+q!&d=u@d}MxrK z?>p-qwcz`*#;h*4ump!d1_d$I&0@tTx7jS}j`7H~bT43T-<$#uqv+jxtqnU`a*YF) zNpOlj7-q!3pkOSH`l1_HpWQkGsFUWnQ{(+2{p@v0e%cj#nE$3~A8pfK*A-)ysc0wH z2EIOaEaD}vKS=G?EI*%De|CL{2Y+~H`~C{QNC5K%6Em{zTO^!}BTC&)H~_F7dV#08c8X zs@~0O8p0DJo!@O5ck=o_bo}T_7VLa3tf~Ap#R^zrePt|5*bE+Yr}rxL!6y+o=M|_; zs7~A8k@js?&|MzvZW^ej6=Le5@HDj=f+JY3``IScy%b28Xs$`;;m~sd@4`5uuDd_h zY*6X`idMOQ>34m+vel;k9rPUxp8nTbP$kX6Bi^2BBk_C6t8=|XRs7(9(wx9zk6Kk=7teCH^;Igwa;{@eQ?_*TCF78 zr-TAy_mO;3?Pa?Bz>m-00*iuZ=I$gtZ8efL9nUgqvxGP{dd!F4#TdlRtFN4}*MSr9 zA7VHTL>!HTBU*|{w|hCI{PtK%&)W(y-pXfD)2RZq;sqZ)M9K{A|K$1j%iJflQoQ`( z+MQ7}tF}}^hO2LN*6bye=V|mUzc(JwsDXd#o+;~V@dI9U z<#v%oehI^jw zHT%RJVB-&xd^+W!Xpl=yc%k-9C{R<8Wxl+pgkpqM zV>T~18;n)(=1wA0R>210 zY1}U-lDx4@Z`kd;S`MbYT{w4r=ZkxO$=rMNUP`X~(`VKL0&`e6KJcqlnbHc#i&Ku_ zhWy0pX1N{c7)hzDdRO1)C5AzZVeF@@-Bp1>uoYAp>z31;ye%`&q2`j)c1bnT&^hMN zNvpf>L{Pe97BI{yb@x)62R_TGy=UkWUeB21hb`2qR!QUH^t8S2DjVoR*BYDN2kfGH ztv|L2nEV%U2^jceTV`3DqH-#xW>x@>MMy&v!(|ow$X13c+=)MqC~UtbQ(d+7i;ml; zrMl<|)Y58Fyq3s_ZaI+HyKV>`9z2XHJ{8h#(rz7`{=T?-4hX16bc`OJGD;QC<*k$Q zn04)9ye?~)#b#4@8lL=i@(_qP`6Z4{tsJ9as&U&9=sL$_=Pv2EQR`CDTfd_sRn-ER zLOUdK+O}Tdb$c8i`{u|5_rm6v@QX}i7!8ecvA$7)r=9(DSM_7N2c)zgMyD*?^UoYO zIA*vgaxbm2Wq?sp2Y5%ks-4}#rq#4^baj7;#>8P_|0KA2`9McMHY1O(ofJ?rTz<7_ zv|!fNGr&lYQns?;@+P^0U=WR~q4l@QZL?EQG}J@ZRo2TUq?uj9Bo-W(S}|}+ec%3Z zVOe$0z|hYXK*Y$zEqG1c-W4XGu4y?uiD?};B4d;?b1to{*~MoN0n+jsT3z4VdzV%P zIp77T)RhgnxRiqXGA=6Gt&?-c{@~g0=ms9Ms@EK?dejef4j-G4UN$l18!4^qKEfJ> zA;o~1j4^1Jn#n$~kdEIerTT!9Q}V7}_?y=5i9Krl`=*b9XLQ}<9P<@1NB@wT4;^2R zMBiTUKGoEVuVWE1|>-x!LSu-u<+BNWHjZ;>pzJjL*S(cG*5=y~Q(hhdz{+%V?=F0cU+ z-_9>O#H@w9ikbN$%O%b~!}}0dL0$#9rnZ0JYox{qaepD8{ZI85u?)XWokPM;=jITv z_sj}~fR2pJV;4}-&;TGU3*$?bRc=0f=l~HGOfEfZRf4MJ<0q5|0rt(xhSUFNta1QO z_m7N>c-jHTf4@%6z?ps=JOXH%@}EJxe@6A;u)ZjbsF*lxS2s6@jq279Afj%e{6P%=HM%D#rHeWPCVX`1Hrw{5pJEY4uZa_YG3u)Pc1X)+#%=r%- z_wt4}e42qG^??hD*=L?FRI~j9nqWvo28ucedY_O1heALX5eW%D46lX75+x-cKG8!z zyBw|x2_@`nEex9F(`3Vq^Lqz^#(Flc$fY{|_7>NOW zDKf}i&r!gI2-?)tw5+U1U@KfQ@P@K5Tms6R5aP-EK>pVR@m~{)<_xhzzxa<~eB*}N zZB4CvNNf&mfm zPxNQ?T99`l@epU(wQowxYY>DzI6UlEf9}gD%&Uc$58wraZ{H7(p>vCY37-NixUk{Q za57LRTptwP*}{j5zz0S0$8d#CGYKg@sAG(4uvp9Fg!G?YEvSXVfLbMZE(rHw z*#t|qnow9UpcWo}J}4XrR*@?Pc19>H7!Uz3*BGHp6Y-oMJ#mkRwE6jSQY^(u0LZDo;{v04Mu<%&nVF08^4QJwm z|NQX(6#N%}FD*f2+_Lg}3H49-%vd3XQEOy&uFbRo0%1F?kFdHteSm|}Y&t!I(V{Ya zK#l=T!UX@PQhNBa`pp5E}XwKjk9}%iBl57=Omx`nd!+_fftYPze_oT-yq|Rr0Y3s zT(Brt^L0BVnrBrmh94K~%&Tzrf0RhaJN zJQ-Y5pNP)|PUGq=R2P;FnB6VkCJ%rVnl6K?G)DMPBhEE;dyjlzn zw$}U&^4j(9YpD?@(6mjz+x8DV<5v3O@fXi0?Y~ZMf4}~eF$ds&3DhzjU0g1HF(x?| zIxM@WQN`t?uGC_FVp|WFfx{5=1f9{F@5b+0Y<9q!=(^+HSh!2YLc~#Yvr6qe^R!GS zAY`uhl5fM!c|Vr665N5$=A0rbYCfo>bjLD2S2M+h662whm3?j&^$S{+`NbV|tg^u%Max+BphM1Tz-`UMa!t*1((P}FJejWWKYD(D?8Av4?DdZxF+Quw1;51O&q^?9VVrJ> z&b7?R*bKRRJMS`=R-uxNFsWQ8So+qdjizP9%t#|k%6jE_?9`;Oze|E>&iLl;bR zF+NNP)M1&k&KMe&TOxRz+-TpefbDYA>8yP|;m`soaMOFG-q^cTeKH@zH~DP`gO64v z+x}IzYxIw{B?A+1fMDKf4!k@O^RlxvYx}AyuqM-S&p^*Ywuw#=lMz9v&D3kW9(8iK zLoh{)m8(HaUBm&a01!Xvx2o%DKAHW0_IdwHB84fshkMBbq!reGj5;Lfi$j4_Pv`u3 z41^@2zzYX~j3q9@!_#;0_!}~)N#RHEKVqT<`|#4-5$~fw=h>qu{`H7Q)1vc;RTM{B zZXrXH37valq1FT0uND~utmxc`4#knJ9+1(-iOyvOP#l?1jEp;c==`@LiX+8fWXKDl zbCWA5{`u(s_s^j7i2xMGI2!*}0-e{!p?KZVcyD;5@t;wbi{hh4TvQRA->OA%WS?GS z{7^yX`h6%qa>NTSpmVkb6kj>wj+fE-&Mt~?9&sLhbpGW8?&19&KfhnDp!0D~6mK~i z|I8en*Gr-Jha-N~8lB&{gyP5R&t!+rb!S+9^6FTSfMe+0_p5TVgXQNQO@rdhs zq4SVuD86;XPxzyAr8g)(e8js0(Yf?{^!e|HqH~JRDE|IvylMnG@BfA3$ImbJLv)@_ zhmNzgCORsmD?L zc>lxRgwBV*qx0!Dbl!{&(+yxS9qm8Ty3lzMJ&GUiUyMJZ^DZG2KfZt3L3A#pg5t;9 zZ(tOiGn%0I{L$lkG>Oi)Tu_|oX#b-%i_RxQQT+J*N3@8}my%Ju^JxB#ujst85XA?N z_}w4qJfs=Lza4SKO>}NJg5u*x{9p&2D}O`rA4k0A0G$I&nB)Ot`H1^s!=Et!h!eCZ ze*F1xmH?ga@T2(t(fD-|bUr1IK7TObpDT3{eSZ8 z@%M$}uM)RzpgvF9kG98uK4{mIsB4Zt?`lyW|Npv~xEShe+0l4E)aLycKcRs-dpy55 z>A%q7nHF=@<>TjT17;fiGa@}ump&fNUqbs&ia@yCLtU;t;y0Mkxk4K1^6~c-epYn; zrv!Dm`DpwiCp!P!hPr(Gd790K&YzE?E+2m$Si#(-f5yEv)TQJ7B@q25h3Qc^sQ1tD z_MMPG=e!Ike!PCkvgjQ1==;y{{?tGbowq8X#vku5C{@vUxDkpE9XCJz0kQn4~idu-#P7% z&go=Pocw5i|2+_$_iCd!<nH@xG(` z-yB8fj#DUpy#IPViOyv=Q2hAy;W~@XSqV@({Pm;x1s2iy6f=q+f8SpIiq88^qj=@f z_?#c;JWmzHmyWp2COWq?Me*bPC+jXc*KkAeIOBjl89L=ACje5Ty--aLs z#ix$A5dk{iFGBI-=jT6vPan_o|LW;Ki+qv4sgaurxh>?7GWOrHmjFzm{%iIUfC=<} z&Ef*^NX}ogxBxN}`)^rX0C_a&Z&_TRt{$1E|G%@iz~mIHV)#oI7b*b@6XxC{bFRdY zi?hguIC3E|4ZmId-wIz!ToUIsQ~Jb=r1pdSNjaT84mrjA-BP(oK^Jp0wpa z?N!n^i+eurF_)6#FRVqkD=lX~XVcYcndJsL04=?%6cf}npEZs4zulc_R9er&_Mf!a z_oCH#l$0jIYX;&}%j=60RPF}wM8vcuS3NDNoQ`Ha@y5Y#EKbr&JctK4=V*TgYx<69@%si#`$fEy=5JonCEXJTgMniw&Ip~UAMM9k zIU#vkpUx%&JR{^-C=Ge}d!YW}9KZ)%s@~7u(+7<7%obK^XCC0>PfFkE)3)2X8gja6 z00AnWai%*W8VnqI*3K0bubez0?$-8o&p_5d zI9{(<>AuZM37{sh?HQyEBiDE)L(=~GyrD?gr4evbE}@lBE~kKLBiw=dh0Tn3&7@Dc znnHhMcj8_!zXl$_J-5|?^)>P`YhBXpz~%G4(&zDK?ogFtG+1T|>(P#MfHlHX99R0< zYuX0x1VoN_^4EVdufOu_WU7MuDNJI_94nw$z*PJ#VHLA7(?|T~uSavacU%O62?oU1 z)b;j6B@}FcUc$rCqB~D7f2r_vUXk$->m~DzY5hZwS1=uL;YK_m0*EzMUlNQPmu=8F z5&Jfme~Q1h4{pB2D3Dlispy*ih_cbwBsuF|^DTZnZlDx1F`&G& z5JwrzcNo2wo%TP^uk)nn;v7xm;AShAjW8~$as?tB`%-c+u!vaQ3SM^D%Zk+-J-4|2 zD!s_Kq{gJ(_JMzxN8e~49ym!mOsqNb7I$&tp53B&-cWNBbFkjH=en$%BHiiF)Pi8A zLtQ{K>$4vjy(~d*Fzu&EJ`;`eJcUe`)PD_5J9q8_3|{~E6mFr?N0cUFL7&+#o$`3` zsJOGED1YyC?OK_dAP~op2=kY)mDq?JUzD)l`SRq}(eWV?BMRP3Ra$nyAGSf zAx76+xOwAYXT=BlSGlWCyx?G9{_H`)L&{x7Lv>=3Tom`9vH0L(+&~;~&srg(F5prP zx-@!KRXWOz80SXvv_K-GpNPvnULx&wz*eec=#o1!okz#!v}~HiEE4mpJk&P~TglK? zzW4sQERdPG|0HF5UGG_2_4^V7TRf^6?ku`Y3zH%pY)u-bd>&xnX7F~u;qDDjDGcq% zk3p5VPWdlI_`R|Fur#p(uMaMQ#`ezEn}QdAU7}FhtBOt>1pZ!U5Z~_^2Zot+%bWcHKc|z$-R}NO=wZTHyZrfE^V;>BFX>H<{J74Hx?cm~RCpE5H|QMk8}|((o?tOh zA>9AqHSO;|&(mpTJ$Uv2U|JWZ2?(@xDq!Fit;Ei=G51!I1WK4T z>=U1IQ!DL6Jfk1fUl7V%_%R*g7&ven=eO#$h-YAYlHjCYcHRIh8Ozn657WfLCSC@K z=3dxLLFKr`R`K+}k8)@`UXCZRhQm6)29M}WDjD~^wC)*_k6+fXN%c(pL1AZ-{rFi&K%NJ1^5X!0oG-A_DvE+G13myFle{bkFjN0IOTLxwwDh}h><%@gv2 zCVwtwpOFU#;3pe z?+zZ9kWETvYdhfy1~!rKND!O!ewbM@s(fNn#>kdbP`73H%fcV$VIqd}rb#28TeJZo znHL>LmTfx0{^RJ1Z#3KwwU`pW{bo-YEF&)8%zphCNV!onaA{skd(%s6a65HP&9Q&? zw1mZj=auj9StS%MRe;vX1q79lq`r0N{iswza^bt>%_9@Iaz?}+CsZRUtLDJ{xhLaJ z->)zH5^;%E3487pDSI{Lwbw0%7Yx0F>a>2BfKk4pIR}r3g=1OIX)#^PH}&nqOKPq@ zDfOK@q%?r*JW$W?ng4@D#;$nY$|@K>kSOz5kqQi z8}?rkG-$>n>N{N-UTuhI`?BiauKl?Qge@bIzFo?FX?1;?!|tnwUB>HStMCW2-}XY% z^E!tnH^BCFm%AMUOfqse;xeW=WGwyf6_w3y^N1=a>li+L(XtCL@Hs?`uR6sQH@1!` zTzi;W*4R5dNh=_%<#9je0QT1eQ5C-wO|Ra4{%(SgqPI2bbhhyHQ-{FfYviJG3I_B+ zkAV7vMnM&`$dUAt6EDY2!sC^Uvwz_Wp1oN21o{xmm#3Fk%r z2c?VmqAGg7{?;ieA9)22va)lZl9j(5nb5>y!FPUpHT%^>LT#7)-QMLgMgrd3VWU9X zKJUk=`4gqe-}`2kq|<6Qcew05;~Uxe-TaG2urw`!LvH;CDpl9qF}v(pN;9jw2`wXw zw7hy|Rz7iA7`#_pL8t87eG)2B$pE9A$mQRIe{h6bRCEZ({PmJbnG6CReg;aL0&ZNw zM3)$**f{0yWmeY@O%lB06|+dk<#xIi^r#hd_pV^z0eknzoFY6{X(OlRvE6-1ZW-lU zeM8&)4lWeHb3||Y!bjRCq(fn&=ToPNAEs1sovEzfJVB>C39K4zodNa&@J2EbRR#fJO z-zq5;BTMD`FOFUbl6D9oi~x}FZ7f7?=8x?Y?2DdvBR-7Lp9<}mQo7mnned!%;W~~8 zkUpnpbhi$N)$LxbSIr(`@_csaoPewaRzqM z(>6LRGd#>bx_b5MEnae)Yxa)8cOqa(Bn;Tu4Qa=X9R=fI#*sfq5CRKX)7yYR#92Ud zjvxd+tZqz%E-=+~FrNl~FKtBD`nA7@wUo&C22)g4k?|dw6tXsrKwux5Z^Nuu~V_LBWqA$6FPoj#q%n#<01?#ILDX=0!nHGkjV4VjEpG*+iBlunOCr10AuCuTV`3gXwC8cn_*KoeFX~bcFNpM(ljBGB6Ou%Xw8kp3T7IGi3G|46+4S2a7Di zTwTW}MplZ#2`JzMl<<^l8Uc@FA{R6nu(>2myF!|8Bi*-;?6;XuvO)_OXh5xm?2TxF zpof*0hgHI`NEm5sqh)jLunHUIuw}q*8HedhLC}4>0lIHbBcS~@a+~_XOMV#$guev> zaSLus*hw%b%|5KuJoL9YD$Rx2ONE7mB_-uOhc&GI13?JvK^Q}D=x_!Cdj!U4 z1_E~s225v~Mj!wz8HPV4W@o<~y4~O+yAvS;S-A}Jf}$d9Pjn4wd1D8=5W%*7q^M*j*v;{Vn>VbJZ9w3n z>}{UF`$rjVHy|*OF54g-+5R#EnW+UI9ej8>u-y{UZW|fMgeJx=WFRZmVOva8LK7-a zYh&~GRuBTQjhu1E0?KI(&~`iM$aNc@Kd?Z=Y9^AMKqhY?jeU4wgMDP23PMo8_KC?B4lwcGH(wi?wN>46VGRwKV7)QS)^c)1zLg>$ zz|NbnARfMR9>s+UVW(w4lWlnawG9XxDLmv$3x@qP6j>amp_1V^eE{`Zr|AQF1j2bb z6>-<R{2PFqS#>gx7G5*U)p!C2#aM*mWb+o7T4a1`nGMno! zSs10LDiq1i4A}%f*0){o>2+lnOwp(eth8F>yi>QSxOJG)SDSB zZtJa>j(XknA;aaDz|j*)?DUGMWc}IY^ZURDoU3ptuS$;ePF%p4%0Bq>sBWaE{$TPo zHzCvMKQyJ?AHnTHj4yj3dIba6is`1*PZ@=$*}(M{cEZdBv(ekAft1+O7B1_SKL(Gn;z-e=*4%}%c|+Wr;sa@d90jZ z2Prq#ScZk~N1q}o>p7uPu{DtUq)69tHkqw1D-#>rl?o6$5*>u%kq>u@|<$r2qbDT!e zgn9SFHlp`J{me(u$DysZN>pJTp`{Qd-s7QM;+0&uMbK}6i+_#5lCY8!kf|Gt`M!8S z(Y^bn($M>xT8C)!!`+`(+urYLXkC2wM;+K^rD7F{KA-fu=j^3)UCwbYZ*?FbW%up% z*$DBcmuY6e-F?BLOi6zGUfS-H#2=)pmA#n8KD?%67Lolz_hKmw6FeUH=0Ec+Rl@Z5 z4KpXJpd?mJ4`X2gD^{}h7!R7bU|WzewP5AZA><}W#@rfqE&8`xgwG58PdUkE(bF$4 z<}NOQvDNI!Jr*`SVG^U|)Q@Let7=JCFG{|-r7o2qN%xDR7>w07SYMoEOP|&n|Gdz= zk|<3cw0u#?RnoD6rki=yHxtlzYfIpq*%%6T?C!+I{)I4P)fGesu!s@LlJGwa_M#_vd)`5b^8-bIm> zr~n&puetCIfA-YfflN8tm=?~VXJv1HSp7}{mv0wWijO?9AavQ_#~*+C(&(A~$0u9* z3GIu6&3EM0r2*Ti^Wr{6IaPi6crTkyxh)xm$6yRN)$+1qHg#?)9^?TAX0bOV{expa zW>~H=7|FPO?YkLx`QWjZaK7(HOy*Qku=qQGMs$P6fH3{E(MFbCjUj81@XU`-%B>{2 z`cJOW&4ReK7}lWifTuoSXCK;v&&2EEE3SwYn{e_No~dm0?*f>G>|tv69NcQyR4x9L zR^BLAeQ>_Ny^Faj=Cqs5aFh`6)8jUt4v59@+`;GN^>-%0b>kT*GZ)0t|HP1SZksI= zH0jkm6w4eu^$El2%@(aBsckpI{am^bPs{IYx4yq3*8mKB(SA)?lQH~zmXZqHnO{`y zJyy9yQFx_8ZPWB&v+xSjS$gYpx;Lh@rh0L2R%(~>H2iqE*2yMYrXB8ESN&i1iT}!( z`Y(G#yHNjC z9R$0`CMSPUNdauY@mG};NXx+F<-e$;Fz4OuuPQ0&35wb9D&Y*HpT`tIj5avpuP4?}`oc z&1Wtd@JCSw<=2e>{(zxnn&>%Kf=gKyCwltnpGlpo_@@5ClKtLk(@e6&2SCa$`q7)Y zAuGFIKHQ9(TbNV?`#tJZR4%SWo~l))bJO7Awv%qkl7)K7WMIK344$!wM>aiWZM(w- zcXO9hwxpDR;4TT#l0lP__mBIn%HpQwi!QXDewyzhSP$w&2D{gaz0Eo_R<)a74o0p#U_vyA7hMnJd3vHqKrY+0V*S`$jVrk|jxv(8 zg1@_KiQUs%5N+RY$SZ({ZGD6fm|c-WOVTN+$&vIlETw7vJ7{SEiKcg@0dw^V;P+kGk)V zTeB@X*qlN?f@Z->J&!Lai}^GRQqx={36OnEcm28lX+(7!@i3T_b#)OZ+=q$=)SvUQPOQR(0IsT>!4omg+lXdOjm-%mpX(*h6%w}eg1vUv`}1fv8-R6P)x@J7#+uBN<_oJW zKG-{_a~W>0M4R~-y!cQs{Q4_!{v7Ow9@vmS)7GdAktLC zMD*BLi}p%vr)cb%)3%L%tIr(wMZbOG{Z&C~*AAL3Pw#PP7bKA`&1g(K`99X)b@vL^ z7Sj!>Ros{c+2SjpEa3Y6NR<=bca%%Gil`Uarg11AEL0~Fb9CCX3;gPN0@S4J6q>sh z+eV7<^mH`3@_n*OzA{A|^ip-oI4-*v$ACC{>kxk3KFz!Rk_j?jvE^0VFquKgbK}BwIc=v8Ie~%^0QeG^^X4=yM8zxz}lDOD|j< z8dkt|lJrImDS?;KNP$lRPfGhYO!J0sgViY!R3@g)c_FCVHJAY3{Sv0=x)iOe?AzKH}&b`GPsw z>&prjH|~Vt72t~X^jl*Yd|bRu;rU&8Li&0C@Z381ebLhQ?!Avo#OHMblNZ1JRF10n zvMQ(%RwH@Iz`1Xd0x(|jWNG;LEvix8>6`m6-Wm}BwTrJsvb*SHydS^CR^tO0TxW08 zJ?g{e6}F1~h)?Dk7?xR4y@Nw{&7=0?9ySp@FtMKa^@os_TSUjrr=a79^3~h-6H=PC zb(|BkE8Dr{uK}N@16)G!yk`|I$8_LEZtXu*Q%>H}_gWHoy(Lyn@#Z}kac@U>v|FsS7kNz?l~nWTD1IQQwZ8<3}fLN<@WxO)Hex#UfTER4<);B@au}2-@Ja$ zi}2{L`+}Ftn>#|+8&1j_Af#W<0!3d@yMQ<2SQY`&j=6=GUs5@ZAW*T3 zC|(wfNZj6|rmqB~S3^fOqo14oZx z>UXo3ZGm%aRqym4GD>5Qu06T2tKo}3vFK>tBKWQ~u!R>+>W72suZeHo{X{THsF_Yj zkOX0OedoyG>Rht8kzYl7o=5!#sObN^NF#Z-_Y1F%ac*(xB&mUY_V|tJRXhrn(45N8 zLO?3Cbn}#E@B&t1uM6AyB{NC$CpA3c&Vi2z9}Jmn;VJ`-+b4fhoP2lwQQy?r^12TC zi#c`BW!@kvF0;8~bV5cEXu8~c(1S~y^PZdEJvOVLdgRlr%;WTi0kw-E&pJeuE`hkb zQUgQxTY>H3gESt?aVKBaY(D5!E!KTGkEfcFHn|I!{9^SCt@^%4>sh~@=jb?XnLV~{ zOLcYh>ko2jCe>T*;Qh||S2ZpDSbWj1%KI+ot~q9v4XzL?8JW5JB-M8gd4;($Olcq>FgmB%qO8NzWhL4vS2!7wzuFP}29nWOa5`P5*{Jvp2Sh zNA+^dqe4To3M7u*iMKlk;*FFYpx zbA^}$CunQewh_b;t77}kH$HyYSH1?M#ACRHtRHGw-0Ym#yj-|&`cBPf2}{g$Q5iY) z5?f(DaN|ViJ-@JyJz6u@+p+1D^_?GP)@gY>OTG{&yT1%Olasp*f*n|vfM(>bK$d*@N2eI$p*Mbl%NJ$cD^#PmfA=~Tyg0Z=^ zy@#Mh)>AUGK&1x2|C1T8E&!!SvazvSAONhUME1r*w&YgQL>fDJG~Kp9U?E!t!U>`93LS5vqM{$c z8pGE|M%&1ye#owVupX4Kx&c-hBJH-PKSS*f3XwCjaE7@CSVsxVbWo1Ogo7geSa z2pnWtrK(y3?5Wm(07%~(=r0Kaim+Oj^xO`;wqaQ%vd9(&BP_1;LHe2;8k^ikTAM)C z4%s{o%64#$7eNRd=m7%lUXb(WSs)PkK?uZ4C~+doD+^v(AV`rlrLd^9v<%i*4h;=k zATXga8H6B3>XOL5bx2c`EleCZ6gLBbz=Qwz(+F6$(E$CokXg;c7ua5=xR##e~(Bu)Y$Cl0gXI zf(%$pjMQR1ZhHA2I#DC7w^7bKY1Ald9@rr-C+8o64=_H$ z=Nj2a5GlhB432z;wldp0uu}N2!6d8{hP@dN4l_n!t_sv6ad4pE%F50KJ>H=*3AYnU zoU}mTABvN(?otSDNk(DVJP)bv!!CqSl@$}0fCti%A|;e4ufnGd*+=kDpR|UZ9-%sE zd+nO@U8wNG_?N;0$!fd^YMA|pNsfeW2t5}+t+3Gkm*z;a|5bruL4Et+G` z7}!%0Hoos!gm0)LsozEx6TYQvWHK-?*4J&6EHDsI=chW-`JvE%=)S%8hYl+J&(*PD zfwJ?oCL)Ap`Zor`WqKbPo=+bzz%<$EgOdn^`!q6{>EBW#+&HM%`}a?{|J@z$_{IkZ zvj1$S_aB8y|Lni#`~TBV@82`}9$@etu2&Vtf%L&G)5G&OQ9tLa{QkYhz8HY&%r_af z64x^xkqsNaM*Lj0>u)&ZmPtttB-!hg-iQW3yF^_nNMWRZcxDi*{-v{?s>prHtYMoS z4WlPwESw-6N-XZx(LN8`ZWtz^}bzB)HDG5t&2=Euv`=!Sz0={DE*!c+j3Kq!K z(0QjS{5{D!?3eni2`_eDjE`Lvb29Mo$a9l`2Jl`^%^m;WNc?*9n zis#zIXr!|z_(=0&z?I`O!Oo{k=X90|o)m`!Sez(Pe;@rO^W&CQJ^)ugk(9-yQzZr$ zBnsH1L|Q0xcF8))B~QvV>boEte6z&Y*U zvdvEga-WNbQ>$jZFu9?!J*klV^v5e6yt<9sCg<%H+vemQhJb!6edJatU137mGhNZw zRdaFT@!vBk6*zj|;B_zK=OuxkO5zl%d0n>=U)mT97q-tu438Q}DPBC+O3qa;a;@MV z*l#>T&*8_~jb+65Ca#0SDKKJYNjS0D-p#&a2H#d|0SMi%&k7T}eq(yrHz+mmW}LI@ zRH3CfDy!RGC=@C==7J#<`_fo5b*1}@$8;$8t)>*%5^ehQ@mE~4LUSmdNezS(Sk*8hMbF3Q)eR{q^=Zsj&kUyfDBQSQFhAZw*2*O_k+{-Y5RZh(4!R ze{u^UUZqPj@aAL?)oT`c&r)w#9rDKDtTSOs?hZZBB$p-#{YsXJFBGilfAhzkjEK%c zaQ-{<1xpO`509287_=xVSNZxNM^Nrl=oh;MBr{?GE=UKUAvtGJr$fYh#K)=ujl(wbG zR1^txg2b0%O||qE?h|3fxGgTk)EKs3tI1}K2IP6n71|c6jeUxar%WApF_q^_OYCPz zGkvsU$gZ&J2!O)Nk!I=d?`7pRJKl3x!;YTHSfrp=jgBO4@X2}pm|6jReMi)KBGzC; z{e!%!8(oT&2kq%^JO}sgar>{uM{%SqGf@u4C8$05@nm|&v;&V}D{AUOu3EoKB_pk` z*_GXYOPs!9M?1xT$)AS;C;A@oa4&lEfG%lT`X9%O{xx(}8nyrYFMCt({}e!xS-|iQ z@d7U7pq<=b)kBa34b%Rn9s<~F_OB``7=isB{-&Y=WLw|Asi*+D!2MN41rn059Er zGv?JRE%GTlkhLah9&RV{{jEt&+!etxW%^ z(h>45gno#Yt6>oQ(B{z@F=$s&ysfd`xFYl1-lO(upnf=>giEDoqyE|RQ0@5QUjCD{ zV&~2U{ZFhPGMTyNnZ-VSB8`3idYUwfrvn%kJ?bhp_aZI6&O5B0LK&l!#QKt228%_u z(Riznx6i0<@ zyQQDv(Y)E_MCK%=FI83-lX8Z!C3t*Uy+bUnD5GFvrxs^LAXu5jGB=3o}v*Ymmp?n<`kX@!=K{tZ5X2kbOp$3HpXVTC{s^Uxy!2E!Fw zktr+gmMXpIX7w#_juTG;IHg>z9Jqht4z0MuvhN^BEcFs^H%&_O6&7E;+Qr+Q1D55B z>0i^U)3~jCvTS&I72F$lj*k!02~kuao0-(q>j1>9sX2kkRJzY9p1sN}87JRiy&HdS zVQzkvz<7nxnB)#Pw@xva^;wtGOrc*e(!2QV2mDfgPnoUv#0)C5fnRES=C6$&~ww( zXWZMg_k$8U^iQ7gJl8Ew@>srLl@#oJ2zlyQ&*8%pBO&~dmAh2v_I}3A_er$6#WH3a zyTsK%{zL7`(!`kLbghg{H)q#0b@KJ!SNML+Uk$fbzde?31k|1=O?Q~S4u2u|@t%lr zsK_tLvLh9%x_;HBqbB% zbO&r4Neq(e8(s`6vV_N{|9tyFAj?$ht{oj~dXTl1W`SeJMvo}y!xH~lE>@yC zhZVRVtuuLx%{Ex>HT-Mp=fNnq_g9XE$Mm(99icIX;h4LBOt_8~I5~+`w zQe7|G;z5?T4`2LigEPY%k{%<=7aBIzzT%vH`Ps1qL)~HXRV+QAa-s>fDJt*gIq*O4 zPv+|?Trhzb9U6Pf??c5kx7XfN05RpM&vq`lNJ-nvA zyaOZ&t@6E|QjU40GxEZ}mdq@JC9fowUTFP!fK4tWW%3x5?vV?fQ8db_8Qq{bD`ny6 znp2KVO3!OxUfwo}Fn4MO6LW<6egRQAy>q{?l<(gEMW9qHC2u=w)WX1Y5b9+!oVh{lSJsWWXuq&tQDBv;I$3R$0BCu6EU^)3dqQM@v^bK zdv#qz-XJc&_$NK! z*70c=PXSef(LISzDq5z?SR@P)wW}oD%BmOdHFnQ)iRxr;6^{e_j~I;Y!z4vG3jt`fA z8~}V$Mm9Mm7w`Aqv1vGBvx{H1ZER5po7x87kE-k)77$GGgp>iP>`)x$S9Id9^(VXXbG3t0ec@Av1t3yAKY zc=loR2dDqTgocidKbX`WA$c|R3qM#nMM~edOTp z>|Ng0c5g6i!Ti7sd~1SVo?AZee$S|u`^>{?qH;o|E9NmGEi;l`8DA&;TtHaTjX)|* zJNMZ3F9;I8phDIQT2~xv-Y;yjS>4RaZTbj^NNLz4u6jL;eOgjIy9(G;)m8OwWn|?S z)_45mle8-absd!K7u*7APOF+eu4|tmy#65bLYI(C^W-5$efHL1N18nXRi%IWI9OuZ4r; zfl=&J!pav+uDU%as~wvB{&NeHh*CpS@6O}Q`lc0KVB>o?Ij8O8iio6g;Uc&6x$92h zjUPU*5m9idnmu{eNes?jF$pi;I-_c2;uT+nAR?gcSQMn+ip!l4nRrCu%C7+o9iBX| z-)Gjbj?MnckvmJpeV=i15kI`Jo{&MnJ%x@R$XzgfkXhZ(JT|wz&wkFp>{@c($H^ZE z0$wfW zLD%!+*^8F9STr8^7pjjquN}}W@DZCF zu!t+_I3<+6|Mc@WE}@LRabRrbtARyfnai$TL48Zy&Y=8+mirDq5s%G7hwwf;agFJ8 zCOz-0pQ47jhXxSrv{0NxI!f^H@(V$o4$6~IphPy<%YeC(4bbcWp6bCF;l!h|+!Tl)a$&m97Lzd_#!Vrazz<9^g(vZMuq0CsyTMfH0_nkAG6K{swV z)f+fvB|KkcfuMwvWle3vG|ZWtg@H<%gei3khc>}0UsqR2pvmu{6E_s?2oKx5A#IXr zXfqJFM~RYfMn<@DP>4lJu{oRIgq^ zA@X%uKT@be2J9C&1al=3BMk_|XafTKFjo@JHUVe*1Z6#Bt2-#rBGW`+{nY|4F8*Q0 zBoR!Qgh4`bWGO;UNr|)x2}0n&wr>&=l5jRDID<5ly0Bzm>|kIghxt4}$Y&r}V2-Gg zvI=x2pJai+PKNPh8UYh0;f$$p#x(d3b0-n$ z76?QJJk5kp3$%YZOrm^|laETAgh`W7T!m)h-A8$o(1PY;`bq53m9>o>C{OS1?(V^{ z`_s4x#2=(+d(ePz3xYLb2$+eIfk0qEh#iC=hb`}LaS4dXd5~Tnhi2Ou2)aX2QUtn@ zpFL{;lP8Z8C(X=}vfkBc1a!>^f~Fa8<&RP)0ZN{P`sB6i4lehjpa}@fokZFR!JJ(f zvD8qSjE|2;nyREhJrboz*4Dv|iIo1K_7CT5Y=G6bK?rOp{WrG+ArP$=2)Z_8zyknK zr0wikgt|Dg9p&2E+K&tb;%5+o87cll?-`hg4CUBAR8aXxCL$kZB144|*=mp-`QqV# z#{rP4Ke87dH+N_zCNKY`&_paK{ku<(AP^qY2LOS%Iej33n1_kP2Q(Prz0)!n*98Bq z2mVVz+``yKFdbfB^xv{Lw_g6o7zLDsS#*FiHT{43;wfzX`_`TqzY$eO6aV{%xu?kC zRj_#p!{M1Xh{GS|wE_Pe_^+;a|79GAeDiDZ@;MS!7n6e^WhzbYR-3Oa7C+7q5sdtN zYV@Q~AA||6XRpMO%`@a){)HuL<}+(1vhVEo=f%nNXzbgK*XsfM0^O$4g%C@l`L)`G z6Lg!;7GARF7nJ#*BqJqoJhOKf6cERkk(<0)YJRnPGohIDPp(P`^JxR^X7z3Kd}03 z0DMX;y-$6cQ1Pqc#p^3V9uZZZwap9F?_HPeqi%x76wZt;CzfKkMdbqnmKxWb(-S?z z#=;&FoAZ20@EiIB&8b#+1`;!4h8nP{HU;^=obKIIy?vcG!flgv{;@xg1E}DT4;a1n z)Wn&9bYr@Tmy6Bpb<@mq#G4CZ<~#)mkscsS{M&Jo%uLPydBLXfIg;P8Zn0M|(*^bn z6y!}zJLoq-(3k!9c0x4+`evN|w^VNI>P7w;e4)le&P%*~i|lid8!*v~t`1;HpOESE zettPkJ=!9Fin?}U@#=zzIjg-6Zz|w8dyx7dDmc|Q<{*@?hSzPqjlTNoigl3`Vz4bG zsCWce$~V2gMl~c-T|z~G;rzCe@M&YK+OYS=U_nlsIG%Sa;2IxalRj9=*b(-}?aOIt zn-K{$HTuBB!SFMWBJ!f)C!p_krK)00Uyw1KFbo@4d6{U*=~`s9PE;Z$5ECX2JPYD^ zSWK0Eii8C2i)IRxe|gb9PcP=7(`RB$7MmBxc@RBYfzE)(96pe(i=^!l#--twpD zd6=Rk-Sfz@KU!&L{%p_{3noR1q>SG=rBMvZyE$0*hdFbsE~F5btu!*euN=QSq7e4b z`&EeBly+7&Agf?b=506mG%z_on}b`!Sa2u&qqfmV^Z5@K{EU^%Wx-(mO|ex|@`Ep7 z2711(=La1ybE{UFcOx2#&TqLBGxvheC;1jejYQujzIflgOMB^e;J0v{_%1psg+I)E zdhXq205ioq^zJZ%oBq$)JG5V4=1U8`Ei(ODDD*n*ge*mk8Z>R?&2$ibq|M>gynP<1d*2$|pU$7>Nx$I%@Q7U)XV+=+l%H z!5s@1cAL#kcZJDQUpC#;n%!BqZ5T4Go`=)y#L}F{Yz6wCJ?<2e)XiDuh}&aG)No73 z_)Fh0!jqr99L$w;MJgG{VW}>bq|W71ykEF`|B2%xL+RPSP;qx;7u1?V8MI60teTGnuhMwhTW zDFW|YTMbixRmfe6=+@lxt66PPOxJ^?q}b%98g;6+8eAUx|F=Eju{p?p*&`nA%2DPZ z|7GNV9A!e@c=ta|sF6KX;2#3nJ4_B9qx`G%2lNdL|0?|f*xmN85-5P>I)9ZwL3stz zZtH(bpkQ!l_^%Qu_yy}N{w9F}Y8u+VN}$NkBdA^~PB$WZ{B9ymzTo0Ka-lK}dpZ2y z>U0yL7x(&^@S36ueM;~zUKmv$)c#p<49T>~sr%sYCfGRsUN7~v~+ zCthYvZVWlK2d$d!fsIQtUZMn#$Im;cFwI=DC9gH~laY7od4CF{Ng=B%egQ1*u!xOh zH`GkZ>y4ZZ&u2`*2y7ye9x>Md&E}1_X9s{Sr8ZVbTAYoK_==}2wVqRLk=~^j8DkBi z{?}K;3gFSPjwkY-IP8y#`rSRaLn3JiQ}Y#*sc_E@2+N3$x0bTe0Tn+xMYrLBMfX~v z$&xtW>MN$#TP6Cay+F&a^9Ia4|saEww7sVpNy8WHDOoAm`xvv(;(~r@mP56T(l$p?o%L% zjfbGa`&5UgP?orSZJ3%Zsc*RA)0A9Y!krReTSwGTHJd)3*x|FYtQj?Cobp4(jz9Y? z3b<0~uS#6EK=y~UMnT8@mz`(D^qYnW9}TznEC0B0U3@fFe%-lj zpwXH7lmJlRfYBgu@U!#{F?D9k9R4o8>xP$4c5n->*0WaZ2QIGw zY3s*zhkMja=c8_N39GF0HX-ug)sZNda|qyuDaL;t0g88TH9JeP{G`Svyed%T+h86I zR(_7G*sIfrkodgz-3MjDLXHYLLuGOg8XU+?);s(Wn!~>7Ns*c*5!o>>=?*{$kFlF) zfoYQq?jp5@h5&`gTSc*~Ga2$%`(*k0TvwbyfGuOJBZ>W<&5ylTZB=AK zVpee#*yz79?&17)qC;QyAX+$+MC`xoG-0(y!WrYc?t$81wdTG!f7feu5|W* z!Y2Eu_L|ceDR~{|)ik`{#U(dS;}C(<=Q%D&Mm#L-B~^8g$b8(`w!x}kbS)#h`{S{a zsY84znEbq{scRcovtjHLgvTWAHtm=v7I2O6A^z>BAJ^kE8o)0MJQmX_ml`Y}r$pTE zQA;(VX&fiE{6XxpwRcVTItnmrrPV+utbu<<>&GKZ29ChwlEx!bE5Gbz7Ng*jiUIKP zCpHlor?ipn?ehA;(?Y_}8Q2vJZBqKC_Ao5$UHv0L!w2z{$MdK+1Pu8+Qjco!eaAL` zW=-Q3{q%~+j7kl_0VVTP60O$6>|Wm)o7egBi#Yidi$=boJc_uaOdM}DcY>iK4iQVI z=){8I@gtkS=-9gt>ei33{G(FZM_H9EW2V4qGvCr)nX8d^r_AhaDwM8ZSHyOl!mwE3 zHN&@iWonfKPC2?ZUK^e_a%@r5k4-MX6Eco_(>wg-EQ`FQSAA<21`t-f?&JS~>dEu! zX*CTKyM`$Z)5M}R$=s$s?f83jy+1(U%ek+-PJWMbH!0O~$`5lq{GMKH#q4pd!7q6I zMJ)9>IN)+8W|a)*kW*=Rk3*T(!+zakqtT>(78^|Qs@Q+p10=w}3YSeHr?duzNl0=| z$MBk8(u2bG5n@RNm*AL!5r9v{6qi~tI6c2}MkJTaxV~%c5EEQ7@>$z2d;OF3N;YU= z6mGtQYGZKl8PEKs+>IKQd!P|lxTrbjgUBCnq{_fMkFjSn!43A zuX7hx)whp*#dOO6S;cKDKhJPUc|WeC7EyFc9_AE@7}+BvQBr;P&GgpeYOwU=+iRBh z5qV~4Y~ESI0cl&WCqIu3SD7-uv#~SLO8)UF;6>*wN=EPH*vjtSfrz@f_1$-4+qlH69GC5Hu5FXB@c|3>sLnMd&GLP} z=!DnnHrHZw?2F|py+dl%J&QlckMM)&2b^*-3#OtnKBla;p@R{vxaWeq`jt!NadfjP zde^Lhtz+)MPk`PcaxFq)!N>Pj#VHX zIq`OvMc(OA)n^h})dzz|q&%1GZ^f6jyc@(eb@ZDDU#LU_>c%l}&N8V+^$dJr35W{ue%S**&b&@X`c3S}bjrax$ zMemq}FZh=(>sz|ib@fgDRB#SP?_){Ic{MhHwTpT-v1yNrhBx;yn8aP$$%WKXv$}?g z$MlV=N*90m0PHKHS>JF9s%LhvSS7VQeYY^oXJ3B~*ty#nLD}_1r~!OAB`hhU>F9kk zgHw5Nd*3 zr#~!lyRXvmxu>*kQs`TGhui_F#pNw;KFv#CvAR96ym3IuU~cDAGCGNQn&!7~k``$+ zHh?S=Vn`E<;NLwI5fLX<6t@rn6WIcllW>WK+~zi9ZjeeM%1P+7jgL=Ad{BJi$pogpm+OLf~46 zjK3t3*e41}IQ?($dPN0t%nlJHf+tUW+#gTK$H_}T12lR8FMf8)1ef`p9 zd&JBAH<^T+-w21kdokbx`obbVNXzWraEiODY~i zHy6^}46WTw9sk)+0jKGMOMeRrH2^;j!jD7n8}nN`c>-le;me&udX%Ah@^{e+3OS*| zfW6j;mm4+}5|KcKF6%u%*(9QvghK=wqHu_bNh0QM zD-`aDwcE-X?&b-ew6(Q`VDg%yle3F!)a{dsVk;Pp0GHpwN%(S;k&)7Z0+3N6< zSTPNMzu>G!*(h=Z_QL_iB-SuF0REcYiqt+A6@?UipR~L{^ZK28sYo^gg#A`XGy>$H zRoM{sXQQy-FP1O*4T9IFkvM{57KE=KpMiiKT?+T@-&pbFG#ObPpiXZ7F826;q1yb{ z1pjs8|3k3{li|M*=YO4_!(tB=`A5^72Bl|i-x}7i! zFa**QPM|NcuQS|?ut_f+5$%Y-xLRiRf%`q{&yj?9HgNFRBIPS>*p^>X;OT3rc&I+d zy(k9FI`Vrl-ie;1^eN!21gVj=Kl6IGgHLKypM2_Sw zn=P-QQ>_06%9(fF-a zrnV_I#i62#dI=byzVG=VV#pzONOR#%J(J``yb9odVd~EL7ee=c`6=oGClw4K;v1q@ z=U*v=dR@1)bS^Y)em>4PuEX(0-c5%;34CT94RPdOt>h!-eNA&I_M8U!87$SrY=>br z3+@Pf(hnesWSn`q-h7@ovMLsv{{?#4+2A{t*>2ah__HgGSvCN~E{hGkrfB!)!HH3Y zPQJTuC2>294jBzZavq6zakesoS^dVJqbAs^(bZ8Rn*7VSs^!GNgywyArm~SUvD46~ zF!ixg!;~}CHuWWLj+@kT^lMDHcnm7jEgIbdQOz<~J?0s8E5eKg_rpu3a2`IRErpgz zDe6wy?`~7mck2Z{OFaU+hDB37ok3{@KeUR^=5+b6%rmrS4&P!~0=;7yQO->u_D4F) zns_Kxs|WdAD>ik-Vn1~4mi>#seC?OydX^+AK!~V{=HmDkrWW5*P2R(xTc@l0aNKy4 zg<4|A6?!z>W`S+C@Cc{tT*RK zAN?(}2-spAJ5jChnn!wiyf@{!^IGH5rLXkm+v{bokSrov}Hy5?deVA z`!QO0+T}=umRaJ1#@GEHc8{@DIqiB_;XG=(IGa^dLD?a(D$=rrFvPqU5ibd9`o*Opk4^r%15}y$MtXq3j zKF49VhZ8s_GWPyBK-$i21Z$LB?h5{W=c+1DlZb&@FqF(rCOz9Tku(jESa0(&AM zz2YLdht$k~HK2x$zeVohyAMrId(q(>6^|H$6z5uPQakj;Dnhc&!O^Dh4Y~4r>`#Tg z-m6Vzx@tPsUJsRZuo5Gx<#7&vLOn;&~1Q zi|y?B!qn-g0;our`5!~-+rLzr|LZd+U-%nt{@3UJ#}vQs z{IBL?Bs>=WLm?rzvPk9IpQs=}jy3*71%VJO%K8%>1y^w=)8ZTo9NnNE7@p@)4f|~MvCbA zg++vOKB&rA;}v~hQ)VDw5eDzF-)g5;CW>{HmWT&SeiNgg(|W=Ed~lun-rj(ZaeOsk z*5(bH6`2}T@iO=o+Cic=Om&YhEyv%Tk#=a3O}VWBxRsDh__O^Ko6GT+R=a(FG4X~Du{!O-yPrUTE;I<6 ziUci7o6)#Ik@nK|(wo_fR95EOST}0UWsuDPm5)MCR*E#O9jr%F?__;Z<=wVjC5hsp zK3qv2r#H_7K#Sx8bI0V_SMv1Ki2_#kx&^J67g8mRoD1#lJg8%%IRJbZ=AVkE^*(d{ zY>0XK(ca(DtiP8pB>jMGWIbm4%nyEGXxu@bxF{(5Wup2X6ZKXuw^hs8SIc{LQzHHX zauIj7!AMrYyZyW7Ns=LN%-YnW6?mvL+kWVp-yx;S*QctdNCH}|3*wrLH$|`M#ffD8??dPrnbo#-s4f+&A68gX>gdw+|DT_%YdUvgA*{Q;qg6*t9nT zeH66fmVOkc2YPYYl{5$%B=6u+Z`a{lUgD#j4p(Hs0v^fZL#nsq=EZvA?S{qFu6 zN-usz6)gXCT}g_o2!P>B{4bO6CET$Wn|k|43ktbR>(ibz$G2y8`jD6FUNHa~quBO6 zH5UVFivon)e7`u}c^An~rcC0ST81rju+78`B;RDCl(?e5wTXOSZXw*?G~~&S?rxBl z@_*5iByjo0B3OSFaq;On!-X^(jL;h5tX6lNv+up*er?eWEp2V<($awV$#z0AQllLg zT>CRQl4;+5#JbDa2I_rCa^5a}NsitDZ-gHjZapkHu2K|WYkTChr0ws*bajN#;=+69cQyzA3$tbQ9Y&yzU#!~SMEi6DvvsaJw?NaY=UVF9CW-X;Z%Uev$MW6)M?>-W zosi`_I?@$xjzE>^%iqBiBJdiHDpKK*aeCO?!6G!PMhuY{Qug*lE^WoOzXp1VU zgk|HQQ!IX>5xK`|e!e+RG%H_seH>p106P}USc-~ao$h>r+3#*)QtlDlm$D|3s(48V#rMaXY7x5$bX+0EY*FK7#m8_5Qo_--s5&OVJ#EItk8 z?kJ0gMlT4nai-$M4=tR(LHGSL*f8c&`C;17dhWhsQIzG-nTY$?>?+i|l>1xT-xk~) ztHJ15d==K)w53UF?a>X^9#vy_)totGCEHb8DxSI3 zZpGhWwHww7qAktI49%);3zCPX zH!;asFDe^Ig$i=04Z>K54(0}jy#PlvJmjXEKVifEz{m9~$cCuZVy zzxTFhWcC{-y|jwDww`NbIgFYF!q%SAF$HC;e4>($3CS5x^V=3z4uH74PkteK;eeJN zh*+jPYTEg6=CVuuHn-FzgL}o*ovWnO#v=sIj~;!HlmaH)Lz4TXn^ouSqK;p_Rt$NV zUi5B_jKkcwzNKF}yAuU$5|S&bNxhtfbAR>IzW$EMAuO)!=o5JV#Q@G}RZFi>5M4M- zz$BMn-SDQncaxTjPs`9HEIPl^+_i9aT~rG4^6&mD`YF%rc*QRk5s2+E%IUF-FEr|g zIWG=}mqt$F3Vl`FV_SkGTLW;$gABn30o4sQEb&Tv74WK{)oK^|f$O1qIuWn)Ag5%)>APys%o2aQBURes-E8nfCkZ@%`)NP9*m zTV(X(G9js{U+{yB#Zv^VT%!8mQBCW@E*aO2yJ@pazf3*T^Iuih4vteX@ZDKsUR}fU z0I!+^jEXfsUFfm=>Oj)WJMUYkRn%5~|8X~G(7R961_fYhsHApm3g2;>SSc_ox2j|L zl9H)S%9f0}b@1HhO?(o-A$}?LUjNAA`ZfWrx?ylsR$as5cQ#F%B4Q?XPBH6;;89us z(l@F>Y}S`O6!d-zjm_=vETXcs-_g0&jC1N5gaCy^dbenvKXsa!YdGSr@E&Ph0h^!N!Q%rY2m=~E(XU%cu=Wt7Z8_O z)YQuD9-Th1xQWkeRna#_C}d`xnp<4+5iH>lFh!(w_Dwl#d?(XRPi*3Pf3I>qlp&=W zlLlW-wHeUrq*RX9auO-Vevi29gGL4x(A^8h80EALY`k@D1iT+b zo#Rundeu6;^!103ltNJW?MKBNKqIs29h5do2PKWHVBmz_DQjOoMawOFqu{K(j9{ja zH}GuvEGKG@?-Z5ECnE0Ay#M@+_3O98>b{Zl`tN4X1+N2KA)}1GdCpDZ=%-`@KZ@S2 z@JPt$So((M47-FRc8sn8X;pJO@1YkJpXTWpS@?{suO(#^)S~x@=(q!;UVOn;1g~ec z%&z+dewt^0*f>KW?0^3iyWdS(Hp`C0w#%lIZYV%y5?j~p-ipQ$kWehQ@{*?FJ6-;X z9jw z)PzH2WDAO}4vusjU);|IPe%Xs0E57Ax1((k|CijDC#gcU@!2k$f%f@zfu@~ zM@$xkLl1X23hTFnn*gdN%gSm#jE#+rBi!jDoM!@!LZK$%3QQpbQc1`qPpsT0hW3+S zO{fxuubUh~N&*6?nS>R&FlO>Mm82wt@tP-4@;nfO<3u-^{g-aC1?D=z+tLufA$(Fs z7VZg>HwjVUB{&omlvOmfAhbKF&4spZD4aw*-AF(5X`~?fA#`(}__-mGM8+dH*<)nK zpTHa0EhwU=$T*QrLPQxM4_>@{g+xsvg2{owlg?ZSBjFew|F#ENLtUW zToUrPP0TrL3^*|1ZE%t@dD0OKc`P0=3DU<52mgtM8ydK25f3+F;)W?DC0}x;dF9;y4(i9o+?Xa>72tY0h zpl-o^i-b=Q1(#@e`!-x)ngS{|8-J=I zQ~zss)fcs|J~xaSC|xQ|WqA0J5k$(Uj*jydWvlVbtP=_*;I(Q6~`*qy69w;Xu0uMjF0=#r;O4%mAa8Sp5A?ibi zpW)IgXrSsV23>pX1>Y_F_cL&^L$6)tn(-$VV0aX)x*EO3G?jKUumohJ3(FZRCnhiF zeJ!0?^t!;Z!NMQ#eMs_>iSgatq|*i<9ox%4pC zQlvf|X$*bntb1@YR@)ijPG7^=KxXtHfoANn8EapNIS69sndquGZ`N}8QIn4@o2Zn{ zi(@9#aXV$2c*+NJeQvNr+PAt?Lo84u%5j*1*Yo<0GHT!TVZYr;F2C0PRKRP-MKRu!^L2vyU9cE zhV^w`3nX6ctimg4YYe&8m&9^|?N(-4frws!DDUU#!{ywdi^jAigjhIFO5!)K1_B1h6vm>;0E>!> z`!^Kz@P}6dEEW!F2j{}>EoC3^IhBL=s*+4ek8TY`_Tl2ZQ!7l_;BMJ3xp%rK*w*(o z=72f|Fpg7}x79E7oQ*yE4)7E3%(7}59A4HDYGsIuAhLN^0UlGZ^K>vcs8srE2>J-d1(}(Lubi8?mdJH$Ux!ES5TKG8 zaru||)Bvf#nYuS9Y~bLTk9n!w*U4+vPp>YdNtUO-&0;(hCCcqtXyo}}j^+H>>A{W$ zYpq~{hO+3gd+H(|gP$+oA8MFmn5MND{kIa+jr;Gvh<~dz|KTs!;6dIWh$!F}5d0$%1xOmvpNJ@M zgNHwVBBB5uH~f)^B5yoYX{w`DV52fZFEx>s7P8VtRyt^#e}b7e=J1KNMBmOYnK|#w zWV#pGW4jzK;Hm{$j#=Nm$=b0466~);+2r!ScQR%T>kBx)P0Zh_-_%oAnD8OXwd~fu zJ`hdHe01enk@sFMk7V72i&js!rP5E8Jc%Mst%|(%p~wL!lTSEVaY+Y;m6Gz$`(nHO zlG$XFX!^dU9UuI5>@=Po7$n0keaS8LRCk2*If2dV{N(#e0*063IvCwHct^FR^ue~? zMdt@-t=i(jw8j6b3Z8d@>yE)!mSpcA9hp!# zuZ~d+$BH#J7wWrxmHnaC$oA!hJ#g0S^A_54H)(2`$GOIkznt%R>Bpf-G^6E*>v=sb z+vK2<&NFuAhTw!@QPEoF!-Ay;nsoeqM`y{2VkpLa?!LqNXK7x z;?KMlomqTyPwWgGHn^+wgV+k4+VjGBCW@l!;XN@rEtXdUws+j>LehPg!qPx7xI2e_ ztXbuu>yVa?KNCWley3f-IjSS&YvT>p_>vuc;xncNX%E zXsbn&(GTfo!TsyCrWcfF%ZT@uEJaL*xm4Mj*4SMi^!v@%_p%w#FaYc9GZBoJOBmLP z{D#6Zf}5-a{n^`I$a8X1R)o7$`N@L2eFPs_u;}DF^l)`-?b3@pV{eZqF4K(kiJ#Y= z<+o-9+!fwcKi-ybP?}@vx~ux|OtM^!-3aMzWKWCl-90Xn0xqQ4y1~D!glM=f1J<~) z+b(B#n?EY6Tz_}mP=>GDd<3?|6YB$$N0$k8sE@~bUn_o!o~Vvqs4C+NdQNIQS$+?A zx<)$E3JJuPy~T;k8B48XDifLhD2IvZeVbQJ*kG0u(0thK#mK*we73uyACt+7cIW+| z-v>8h!LN>Jss0Bk^uT`tD_N=5S<}8_6r=pB`ryP@^eL&baGrTn_shFiJaE8Ijh+4h z%bGELEnSf{wfJ-Imy&F9hexihj$;e6o#USam6bfhkKc?48+{RT)(kv7eWm?JB(IOgWVuoWY0J649mq zdG@C>U^8$rn#;ZUh)1Ae>+49)ylV2j97dvM9Qx;q{F3S6b>IUF*22_iZ1eu9&g`&> zA8t5g-1@J;YxYp+npa9BF|RLM20SKWF+dT33l|$YKA}kjuatDoPyrQ7J13Xq zs`{>h=*NX?o8ppcptSAX4ileObz9fKG7cddr;L7b?lvwNn~J`%@6-BE3t)$c%`)Ns z5HQVrjTchckyWmGHgiZq{}JisLrxLPm(u_yG=BP;=KO&>JSt{nh9R>@o6|M1Jfyn$ zoQ+`Mt%u!U?AIZosJfMpe@+eUg)63RPs(eCC%;e_*gE_q5xnHslOWm|!Y)X+_?J6$2rd-twr>v7- zR~&F_^|C&C>M^kYs*lgJYQ@N8mWEZ((A5k2-Rmdl`F%jj&{YfE5F18*3)pYRdU}4_{Bc21#8%?6ce4N zsRW%5N56Uz#Ek2wIn-ELsGCo4%Ldoe2Z6Yz){b%f(?CR8!=}FRGozt#M)R2)`MpA> zv2Q-C?HdAz=;W#ad~!)mqwB#*CCy)c5L|2fAm2TYO%)MY^}{k03==1m4bxpkOI>vi zt5MXu{osOf-TCg1ztT!;J}yQzkOCPcGcNnu^cl~{_P5xV_IMo1So3N+zoTSq?5@R? zG(eBhk)lyh?A^hoO)_RPpNuyP?BN)+Hu1SFA6It)y9CV8bjy)8@sG~PC6rY&Zk4yz zOrS5hu9;kV{bteHxwWpBU|Ysd=914d6l>HEd6h5Qhb_0Cnu!uHipW;gyFM#um;;}! zJOe}G@0GnB{y0s^!XcvOaNVbLlt(A3pkQ6mQu3c9o3!GU4j7g@E32H7-wN7Ku9UTs?kM?IKE6IpZ)|H53+P5_OE3zv#B zYiZPHQt_S)ZDX0I9hl8XA*!()>+i0O3#Lh3(#%l1q_;c-Yj!!`TJ>orHj2wXgT%W9~({GvoKB}ACa92cRwQdtGgp$Zo@gi&3F zt33=af1ZbeD6J|ZWRz58J)~(y|Pjd6} zU_lP_dczn>sEPY6vTQ+NArebSK5>eX@d`ds3EWSl9O$>*y|T7(6f(_m$c+C|Si+{9 zPZ*!3p@A9+=A4~{uJ7ezEPQ+dn9oS~x9{5ug(3+@5yB)&q$CI#6yYcUDejrGR8#_@ z5{R_&L|gf{s8T^mN#!z;nYHb;e|f&ckxm#C3LnN&A_NmMO!$a9oY@1uop_LBLTRO! zH{4qvL|Ymd1cBxwWI%D{I!aPjboNJv>>EF}b* zaL@RW`2^4?sI`Rmgj!Ij3)v`?FuW}SKZ;tR$bN%dDXB}!7BF!DMpVM@HzKsOu|-lU z?V#4uTKg>G0RP(pjyS*}%=|5}#6IDfaM}lG6bkha&Y6~tI)eyHALTr&Zh>6A6&9tQ zAk9uI)M>~vf7`#2!l0qim374b4WICL`s?5MuW*)KWV-L!C`<@5vrzy_Eq}s*P6TMe zTrc>-k1#MW&oMGHLz0O|E-9hrk`v*VqT0w0A0oNDs%r%$mvGU~hVcsUqaj?3khjAa zPWT&R{%wV~u(YGKy+GJ34iNg@@8-g z#_x=U78I%;&f5@5RSq*A-bVx7ZeJ%^WdOR;n0B&lV{P#GBA4<{T6jXE^A8u z%?nS?jivvMHvj8v_&<{|Prejc9sDQS{0A0#@O%Ec{~NM-fXr3r`tN-S_&3-5_j&ri zGU0#;4W576lWC!H2OiS~jvh1jt6sr2$vCIWig)tKgVZg7Ys9)EyGj!)8}{{r=pAD! z_vUT4JEGsDgY>N8fAOEc4H6IKJ-^o5+6^k(aO_A^4Pvp_;?6d-UiWhfvTwJ(k^>&0 z2w4ZITu4i2E2<^pRI4t=b>I6DpJ&s>ef4v_b%!4K^wDVG_>%fBLC4}k2ExF6V!goe z7IIQs%<5+Mr-jy#->5NOO8b~})gwv2^=0?D`K}k;taD}E%;%-w)ZRX)$`0Bb*0}lG zKD?SF9Z|@BmX@YGd@6+YlQ_Qyk9d#tRID$^r>KlRXOy>iFS=y|H+}uCMm6ps+FC@` z-d*AKts*xq@Ua-b9#5HyK5mRF{iez=tYpzCl9%_HQc-s=mfU4`0`Kh|qdzZ`kV)3) ztQ&Yz4jprjTG@p7QfiAPnSeT0bx&X6XK;o*5|pBuGItC z@3YQQ7dnw7;#F(yh4D?%V*~r})BBZn*h~f5Ms^CXC@NySqDs8-b5^u_i56~U5E25l z*fsjQyh5exn^-TekAEkucQMtA9mSp#8u9FUD0H*Lpxx*e-V_p*~plm z^ozlmGp z#kYW8@g$KxzjN--mNze; zy{CBwyKBB>h&eo{SEC5G{)-O~Salm}dSV30}W)a}oFuAv%Fe~=|}jgY7H_t+kw zwRt#(2wYc>g22ATwZ}~jWu2jq_-|TZOO?>neg%rW5w+|SMmEoCYnXwajhSqIoU_Jz z;TFY!Ta!bYYc!v6hrcM!^vKhWid%+*!Ic;y8)M6NXWolS9Vzh2>wXw{Hs%*H93AvX zUFF#@79dn36A}2v|4NJ}MCO^0?n6H5<1ZxO$7#DpOe06yy%=DAzt-llgm6kW+s$(y zQ*0*0q^=HYGbUE`VYfQR9AOKCr>P!;#L6UYnv?v$o`jGpzoq;@ziwC(o!n(wBZM=7 z2Q=)ZuU^jBu4n9xoUCWr_~FHNXmc}vsh&8!>t*BjdAjql?ATb(?y6rOBspUoW}lI= z?!cb&WGMf_UKZ7#=CC~hazlg}+Pl({CCQ;)5u;qXKB}cyYe{oHufgb)t z4a1e}4HwrxLP2mR;qD)yASfz^vE6@wqoB7BxnBBz4MzbI%kn2U3SffHAK@r~7ZCpl zM}Y>+2>KHo{flerpyOb(Bmy$8A}d{FrH8Ea(cquBCgtYtfWl6_^Si$03ycjL6(Yh! zCYHE8@}$xi;~M&HC%|_zcXb~YW^X}bVq!PPTaRc$t-e^d>0iyFl1SVkwOIrPbi!{_ zcVhe6={wI+>n_h!t>7A5PUp($6G({dB~n9!dXxAkZKNTAxa7VQk#2lWuZL~g^XkLp z@HnH?QZ~YDKy|@IN$p7!Z|}uBkDokBs~@9D>l;S5&#Pr`#(4L0@_;w+66WBun`Tk{ zmNzNi*H`rE>@Q{K-s2(kxXh`~-e?bcDDI}X@Vq)FvD@Nyb;j|M({le{Gloq7*Fi|pWOP;T0`_9jxh2flKHmz3{Knqk@3fZEcrbK-*c&XG5P0#eau)5!2a7o9_MA>1Vz!5fwrp z_EhP!nR^PQW`JYqS{6z85t;$>@`zx(N_HNRqmIXEVCO#gdWx2CH9`ls2xWG~`RSMJ zbn>D&|5@eHMO)XWEZ_m-dJyH3NGpgJvt$s8dU)prerJWq`f7ZRNXlg~33}jJr0V6$ z85u`WN~}-s)zUht@|AaAI>?KW_?7r3yY)w~`0V>Pxmfzmd5rxXEP0jmrBYP}A;k{) zz{1G3FB8-m;8X`~6Xw}!w!<;LNsPjQ&7K6-*eBnteX>fV~`QsHmd>@u7&fttN!(9S0z5&C!+F>OA2 z&RiyR$JLJ>WalQWdgQny?gRbyKJ{;PPYX^Fn&M;h;CvxntR{SsUw8Sq6NmP=>dSSo z9A(&VF!uvokgw#Z#Jh{dm?q-=F!#_pm%tM>TjNv&_yg32U&wmQpu^4-x6c=@G=IIb zOB1~9sv~wv<{5#yFSwYfT{t=Ns1xU>ZOobL0~8C`PsFY8kEKbkI(@>*iA({^%V5y^ z!m7;b{qxh>iD4_vHhJ8t=~(i#2XRWhT`m1w9JkUt`kC z+C^`yFI@R{0M?eDQ#dQNRfqwWdl>0NdlP5v`Hjcu@1$Q8SJ#)FP6x@qSi&m}>50v6 zC)0XcD76c&>(WXX4VfsZRg8Y$?vDes9h)ReVl2DNEI)LE7<4P{U&xCPo^q7n7QcPm zAeCMMZhEYv1Z+gYWS(4TcorR?yY?i-iwZw=pPuVt**U^-4^a2G?p%6>+_>-1uFdSR zyJNrc4T=K33ABnxE(ZZW&K?NN;3j9P8bDw_IkKxJNz2Wa$2nh+Mmk4Bh~k zOJ1+R)bfNV;_3PGNqt%=4PGeqwi zu%7uo7QQPlL0c-V(FGbBM^Bv-yR!0j-D!Y}P3oY7z@M3DzM;u4_?!H^6?PrC`x;%d zC(1FJ;nUFelmA>ef294}(PRxG>oE$(WtYUa0K3epZ(C{x^Y*gU$5bk`=DDNd8$7Zb z_*MyH`^i;pkAOUdLscSwV6>3`SP_lPuE(AJciYWaXIA)ydMF9PD`$bL%+hT`j;GWO zrf!}&zf|l}GGJxA6|-M6CY6ATG`lje_AKw8~1WZ#bSCjG$J|+YgRSzqeaXyo_he0 z^la%Gl(T%CU0UAt0Yyy4qt%2jAa}*Y+7Xjf;_~fXaDa14P~6Jp-sTY%kDZIBPce$e zb-1!?;F#I?b|e0kC{Whciz%p)RADE4IrE;D1J|JJucC8WVcS828+43I_~D?D*t+7K zTdT$e(}u$X0-o~DX*L02c@xXjp-IX32U!bXML% zY$B2>2hzH3v#reptv-u32O~cT{#!of@Swm;H`(2~6E6N^KjpM77 z%)EMF^oWy3O6AomKDn`PSk&{Tj~6ubrlgdE)5`|&SrpsS!87IiY!AGjNcc!=S&ZS5 zkees0acV@>k!ap^@c;hlz7rUaeD&VEbBr^Lk%eE&Ds&WE=#tJ=!?GIkiy_aRk71Gm zNKlKv@7V?vj~vj;de4167ibuMSPSu}LTz&_p5y`M8P<3-_lc01rzA|Di=UHzaLPwxDP zkWb6kUu3EIrJWP|w49c4ncKU7gw;H+d4x>)n$wMlwyuRY=wB!jT6(sNnx-#$f7mz% zf#Ol6ujl!$TIJT0ombJf!HDGK4S$cpC+%Wlyhl9v69w}3lcr`k41Hdpt4Gn8irQZK zKA|F^qtlb5mQOx~oKgWe5;`4giiTzmABiO#y@KPP9h0eqrd0gE*EP0yJAGsWBA!04 zBV*Puj8CtBy@^3U$IL1ews1<(@-#2 z!@1;>s#EAGFb;gCmGrTVWsT?zj$+I2|74a^y58K;rJ$zw;^U|9C_F;I<{mrCz!aVN zcw`!ewGy3`R=i^3(Xw}dAsxEBab#u#JfgSg!0~z49+s!D9YBDs=2gjvcSZY1el;GK zrq6B{SP*^m)oYJ3*<#4)j?-`&>1$2XklG;>o%O^|DkfQnK=7=#wK$iVCsJI-6GhaZfI7FC;O&sBuP=;ATS^}GN zhM^J@rZ$~mOE}LYoOcSLQJ>)C)9}s=qR2zTJF{>`M2QOHD;GXvKp7^~VItv`e+e;> zG98F8kt(6HXQ^mKC7>@HI>WP(UQIZiG@MEXF0ycuYe8W{gSh-9*t?_v2NK(D7c3s7AQ>k zO*=uBRwxt>TyWu@L&+%~K0cC1N(oON;Q51~5d6#qD-?||(j0{7FeM}mkSd)MObJ0H ztolZyP$*kOCTkZC<(Jt|erbimxrPi!_%1?6{BA$!TnCg0Qls6;9Izmv$=@sspm<&d$y^aNqF$rj~HhcgR4Lr@aHn>m1qG_}yEz zv-4Yn37`lQN-+O2h{GC!0~9S({~{J~CT3(H@s%i6_ylYa7DD+Y^ozHkP@M2V*erzN zhEKtBlH4gEq5_Mop!gDc>X2AiBp3DsTbdC;@uh|3^}rwmSw@Bbhv*Vc6bttk6$fXD zN5=1NA%xu~rNbXcgkK`p4d69{S~_^y07{_YC4<*ZO)Z^WT{Gx~MPwlA=g&*XG)No+ zYQ+j(GeF+sFOf-@YisLpuTM&bZlbABs9We`VJQAYA7i3!QKFC8Fe2s9$K-IUeygm@H zWutXG1}LdyikMz|5#2q^AhB#nr;m~#7l}GE-23`h7=~;`2L;GFfBHhT0>w4WuxpuJ z!F|*%XL@`k7rCWZMn~q=p5dB+xS@?t6Z)hYHxp|q_$eqkZcGrA%!@5rNPb~oc5XHe z0fMKKg*_GhAG*V>XnMJtV0!%aCt0v{HYb6Qp7jTxCTq(jQ8WEp zby-uWVyinEJLRY;d)6ZmWWW>aCZ%V8%dmdEZ*|O5b@c@q>o&vdp(4%c$B{X!;AWlr zjpn&}fkuy7NASK__eM0eXisYh37&&ZeDE?S1vr@Rv3NPe`}S(lP<@l(v-$Lyca#KS zKP2jUopr}&cXfgKz+9M}iYO_n_o=~0n_b0Cg||D~TEuS;pQO7>+}||?x!94Hl$%4p zzmzMj8l>^8*BryW&UO11tpa(dC~@g#4q(-PcQOCF9qXA7HzHz0-+hrONsS;66&5&l z&F-1+z&rv08tFoVUmuLf;Id!7fYGwk{$b<;k%7h+CJ7xTmGf(CV9|p2E=~S}EZ4TX zvPS~2y3y5bt4wTd+hY(1U-IBU5aef6sO3}7#@_wNzVICDb-u-?nk&Ja*&3WO84skV z8aJSeJc#>f^a6OH`aVSe#c4;rSPz*brNywH>^(xb(grhNrulvpAA?u&P2p~7W8?Q; zGvDtCi0k_MUs+5_v!j%u0UJR={CYkl(Yeym#jQ!{dx{hz+Vo$y2VMw_^Gs5$*n*o< z!BV^%D5ic{0i0h#LGle=PZgK)HqN!*3Y2N_ym$2_9c zyA*sNNKHHXtAIfjG~z7FpsTT8eJ;2WDkCVj_cHtH4aRHdAJ2A9W}A^HWdY{S!V6qM zQGQ_uf|D+|wfGh~MelmoyL`$hi6VJOj4lGn`In>mQbf;4EYzRBZYab(P@zc0#80 z;?pja^p;&g4wz8_B8ai>C63=YTa+mN4)p&4#+;~YBk+I0>9 zYJQF^<{~T)$!&|aSdyIH00}-9y6+CJkGV`5*!;J_jpDO<%yX?*w%6^f)~_e?3v<@R zD9Rou>7QD#xIQGC3mi{f#|-zA>?R?4U_&41E`nz`EoPmZsGfzfBeoD~^%98c=5-f0 zV_!;=x@+V#j;E~!Nc`4|IZi_$hQn0f6<&V4+z~aC^^3uOhr#`w5UZq6XrXjL2LRQA; zsehuJ%7?k`#^PJf-}|KJ{A#JtzgP`oMZ^rgrdz}-$%ZHu06GjER@+OaR6Y|~l1TwE z)dh;}j)IS_TvplsggUc6P>Dk;ozeT!w`bx%7~7gTQ7cE9y__LG`i~uDl;cGu({O=h7sb3- zNT}wt+NaGJQql`3KMP@hw8WL?A<^~Z&dOEbh<|EQa&X=ytQyn)?s(o0siwU}o(GEg zB%(zOxdYD%0Qp0XWc*~}SHWVwf_vT8HbqCT(dL=ip#fn;wINLJ8-Uo%6UOnu;rZXq6dkqejn%lE6;Q+3b%=;;AW+{&4cSd!P=1$pMIuJG^(2bsEGyX_NQ!`T z3epJDA)$bXgp?o>5(=DaqtElb@AJLiz1BJFth3IwoN+d=FK6c7_h$BQ{$b5W_hAYM zxAlL$9e=%iAbnVVSzskLtH-J570q3JPlJsqqWdqg0P%6>Y-7!`XmHBQw%R6-we3d4 zIGr0hm8?#XdZvmBJ}7yw>1njK?vjwR^|K-=@H2H!h;;B0n&xNB>!DRz!B8z^jz#a4(c>3t} zPr~FnaderA+G<3*3SDpdri1%EMv^u&@rOpKV*U4QHMEpcBe=&?MFZN56eOLQT~NVL zY2cbB;+C81!E&fGYly={oF`NT$WXJlYAr0GT9N{vw*`*LjkT+~uCjhS#HncYU*@0P zV=2Wr2{ZjQ`E`Z{{IYed4?Q{kim~~CkW%-gzTsHJ$%v_$X`P-n2C>{T2mtZgSL-oh zng*^y_V}S6CG8BdnK(ltPwY)8_!rmD2Y^Ipu*?wCtJGfYDo-xF!!Jo!`>bfHQRBXK zeSEn0{o5KKv5A!mOYc^B#9JzZ((ujmPO#gPpDP@Xj>dV@g|RR{f{YX!6K5_LMjGuJrgX8w{t!>qVmJ+IvzyaG=MKTqGOszDe3`u#j2 z`*ki*mf=>YV{VXTnlx&6G~=41u^2l&vf=O8!N$Nf@o0MoD6e&fH-0O{*jzfig({ka z>tk)ruB`4Hajn|>Hg6MA4EPy=*t1DOr)Q-6!CombSt4rC*c+C#`s>BLAiSB@?<;_S z%h>F0_RUtCRpW;FRlJ=+oT}SIE8Wv2#iab!CnQ&ZgSO547gB7>x0BhiC=P2o(`;D6 z3<-S+PS}JftUh1MRBKh{*MOR?lC8k4?v^Sne#crsZ89ejG|Dd!g`L_YF(W zF8%b=JSVU24VXLOg$b2U`(&-|#jo)jc)U75qour-1^mm=m<7CZ7l6*AbfsGSYX0@4 zpNjI6p)+VDG*X-VhCa`*8JO6w7FU9w?AH~P*mAZQqTF8&pkIF7=NFPRxR2Vrvibd- zS6bd1v@fl0(lfKlC}~>-Wi}3se*MWJrdU!pt?%p^6k9Ml2R`qdVls$ogvKm?r_eA< z$(!3h5%Blu#}8V`LJCd)hE~ zlE3ovRHA!w=1j=i`Dr6Dt(4ao7Q3jHoo`TLyZf}Iw!AKT=OOv)ky#}ADYrFuZUeQZ6UQDUX?IOCuO_op>S+>=k2i6EmaWovF@v{ zt3=5Nsok^ZFB)eTshEpOYUj5PuA5oe2DbKrV-yB%F^#*P6)U1zi(7QCFUcpRWgGLV z?$gc@QXr@V;@anlNXp(GGO!7m1}YkuhNCxsl3JX3mDWOdrl!?}2K@HvxHY^BD;mzO z=Z$^gmQ@dmZyM@9;gH^CQQoX@+62l~kCyI5cG<*FZy#BBZ=n#0C_Q*yJFlu~=HM6C zH**BAWwiC3LL;*aDrG{#o;*Kd6TDG=ibpMVD=4=KgW2d6z@b!XSiwr}{ekG-6lfok zbM_J~x;?*wg28e(H2%722*6gmp>4Uub%`Y_Xl^$@VtjV3?)|qNN~^8kXZZA5LpXq# zT~g+@&+CEdUpOqXswQ5(fuT_a#r5N#x5?y;TL1@eTgM`5?fXVvO?>lC=j8l?}!BhFkW5UkNVy>u0i8ViKihNPo z=k(I70QNgAiZ00`Gh~;H!I0jAqLIbGn09V`Lz~h!-7}jsTvEn1{uRx%;`#}hrJ!PH z3*)+qNBqzvl~K&AhVHRlo%~*wK{{n`z72+})TW?Hd=5A0fFNW3D3nt76+%$a?a?=q z}{O z?Z78YT7C(|jQ+u$eJ;z=Rw91yghdVW5>Qc%#_N@4#lK=&4FV&6S?`envj-8+uevu3 z&z*+$iGZNN?O%p1j$8Tu!XEOmi?cKuhIdLkB;}3G!s9cVzH9sh*r9V{TA#o)nm7zIESO>=+r6r^~r8x)$Qfdi5?;{Z9 zNY+yUzfzfl02cwCf4hb1UpGOabbvq-YaKf>61Y&#v0ks$w2n-~?oS1|_6REpIvfDqYp0l%` zznnry1+UDZ1QBAkzsgHYY#az_2?$v@E_~zykYFN*kPs>anaERnc>xna^sk6ZB+i5p zm*VjGe}g?GBw(he+zlk#M1svf>PuTV$dGB57eo{3o{zXdnF(-b6X98f?chmI;dU}| zr&EwCsK5jeX{JGgIkJf80_P$f^;uc)JmlnGXfZ)oHylW`2}{G@K_Mp6MnAr~xefi~ zkY(=fq9J>D;0qL)c8Tyr?!SeYC%@pn|Bd@RJ3~cB$7FzFOm?WnMCM;Y zF(&kq^OEvHlqqmoQwM@f^!vFUYQyt*yO% z=wBHo6k;M(n9#}&NoIU}@&&%Ehq7K6d)d%{6h1>}j`1hT0sbdLDlp%)z|%kvZS}~M zPgq5CL3N=9wY#UMuMgf)fZ+y6pt)&*Ab~6sIiQmsS^-HY;7x>XE5s$ZQ?$sVrGuK@ z0{M06KKn^JG-}hUA~6F!S0JR!CyW(@9ZdQPUgBGL zNTkMla6bDCPhV>ON6;Qw8MPGVP17Aw;AKdWRB6W-@7jMy*nkFYy87&L;24>Go4Uq) zpGE5OZ_xWy|4CaXuJP+Bauw1H0!inN zaWV=|uw27_wgkIf%7U_%L+h;a zXM8N94D(_$%!iapOqs!1M<3}f@x4rg_N2X$eGEqJNQcEBnNLMO>+Ifpvr}FHou%b# z{huiGQf`xuZ-3m!F+6pYVjLvLb8WaQ+S+(c955=T$px6#0@>x zyaCFdHcmXUf1-Aq>DJ}1q#d;4PRe~zfUE>3f3)sFmdJTBpKgVJgiG|*RF$n)u_A8? zV_qhGr~soIO!5>trH)Qv1&mL|b))&?G~a$Pr=;6cLzKN#$iH&GU|<&&yejq z!h~lkJCCxpn#v}6*4|wI8Ui(QUDjYa5TKAd{pnM}hnN6cVk^_#QT-y$_AiLp*|gNH zNgn(k9AL)5f+TR(y2>``7r*4d8=1nh$6|VNVqVM|;a5B|iPiw86GtK|zW*~X{!0bV zv~Y6DQSb26{}TUx7$&AXZ}Qw6^vrxMlHr6c;!+W@U-P z&x2nQ?sYn?u-x1sXiq!`ghkpaDj4@>e%!uK%95t{=$*8CfwpFXG0j;zFLRfw3AkjL z$FmZiE!Hj|KJ$*X<`F?NOo-j*vYCEd^`;|zeI2YXP~3K4ZQuGHOw>=E|IPYr@-Se~ zCgFT;#UJQ1R(%A?IMmr+jO9ZWxJy#7BLxZGT@ffi^M-l8jBi)9i7L!MQQJF73k7}m zi73qcaZXpVnEFrEwgPWt6HM!_62(^s14o2ZPQ~MybceJe15ABo%}-xxONiqmV1GV{XtQ>e&)R?cMcWu z5Z>toZekhwDXw_V+rVspN;f6>36HVop?il4>1#QKZALvAwW#YQ)7OFp=1PE5&CuR8 z;;ISq9&?P;hJ``SfU?2N3S8$VXNJJbZzr>Xu!davTKS_A-8T|P%$J{KkwD~kdT=ib zu`R+c%mql$e~|0V$R5~vk+hlSwc@=EdL+{*H|rn;qi(<*fjm!N5( zx2OdV7-`L2?#%fT*{yr8iMBROsNqx7n23Ij#|(%(&P~&knIpud z7GItTA1)jXeBsr@&k6sIn>oaF<|YPS{q*Ng4SlQQTk_p94(rnpyS?|DmMI1vBB~<` zzD0WkNK$<~^Q4CV+NPrzx^kC;aYj()$NZ`aIb|7@4OJrhp2;&% zPNe5+tra9@(d^VPURFyYV2k%_n#M=D&>%7Oceg>MD5q#BC5!D;xaN=DHmYp22c7^eY%=wW zZEwPCCw*Cmi{)-1ml^w}e?4Ad?Wgvz69WXmO(4fc=vg#LNq<1?7lZLp)*6?(TxnQx zG2eWZKOZm#qJy|7!!I%Bv9dD2u`5D?ua(x-HMS%Mmq@uGhpXKP3>>;$Pkx>7P53qo z6?eUpVXXRUk*sNb%hiS6@Sy?%EO6jNFvGPf?MxLx*`L(0H7zXs za+H2un)3TgTzTyuz(=U{^X)W_$oRZ+akaFI+dps#6ax}c>)-b8qvI<$xQ2j7ZGGBqk-OwH9P$~Nc^CppJ%_&;m@-F1 z#64rO_s&$n>nU8(yU)%pi^pgBkqtafh)({FaVsdjc9dCPh=*!m5=63tNzo+A@d6FRg3h8v44IOf{gpcT8h;dF_bdMb(E@ z3^Qs$J_fME+7Xi+YDX}NFTuNX)j~?BF(9w^iGMT=CYYy)5 z_*q1zBd-9zZR}#)+i4zSN1yPj-h(3)dKP}Uo5l6RAGc6BL}6rVPE-3h3+H7iHH+NR zrk&GsB4Jr$>pcn{AstuWr)gcMfRg)~)7{F|eY?nK*@eukB4SQ8$$QC2a7QaJfkHN7Jyqm#{?JU-Qpp`a77a;#%d1~t>7 z-e{lXY%17;@D0-&+WPTG6{*=xjxbwVj&kWrH~_8MlaPY`5l$Y7JMTW;xKocwB&@7v z{b+KI#K>*t7oHr5dEGqt4g0!U$k>N@K^4c)$N3dq+gL&}H$wIVl@UTpV9~X7EVP!y z`DOpEu|s(^Te6f>+HbtL*~O3gcLEO!YDX97`L#_# zs(ZgE>skWmq{gu?dp~j2O# zSHE&z|DiM~i5vM<%O)l@_xOg(0XR)-8Rjh`(MeP%(j&a|LD+bOl3PJl*ZPj9e_a3A z4+)s;M9Y6uMZcnMOj6az*|)Uj?c^G&q?WaNNlknAI4UM^bdAVvoe;imIJ?d(qM7lY zE~D~WAm73h5mx(&jo+I-U@SQC`4ADCpoT$2&LRdqw?%l=Ooh4KzPNvSBePC?I(TW} z6dBz%cTPynr4XN({e_HE#=z|}9Vd^tnu$jWs|dKJVD07~_%JG_rLAk6%OpweELcuq+o94RaV2uZQ$(xgWpWDE#-2Ieg7o|#PtWT0K+4({E$!b z0q>o=jqEnAzVoYBJoi6uxK?+r=}=ldhHXu+7Ej2T@3?s+<(KxXpYR3LPt9+fk=>3= z&-zHpFJuhjj!+3%WGsC{+Gh`mM8qs@8xM}q>8{3;+>E&ObiBw=}Y9b>C^}Qg!^ud6>aftGAp!9M9 zagl+Eei4Bg41Kj02y_TEgF}KZw3iQ&BP=W|A_u9wywF`j*(KCn!cj?%bdE#ocuh@R zW8>HqvXck0OeEm>WC4Yj?NE3LK^8Lq5?LAk<;x%C<)5i3+W?d^m6iWUlrhh1x1QC z;3-f>I=wDPraK&etmDMU%Jd74>64y;WOf$xG&$t&xaU`-tptK_psK|pygB$3OaQ&5E!f#>a zARx{~78Akqfk!|@3;`xYmzV#9U|zVyq0$ryYo!g%37}CN$tjVf60%C9bkzpVXbTrR zxY%1D5Dqy=0j8rPgqAS#6RI%X-2a_|2^E-7ewmtvj^vaXfd*JFU$-Osc)BbQXh<~K zj`$mV8GI3Zi38o@eSdV9aNi)T92%PY1`*}ILofd{hW|B)lOqk{@Q_hpClt~kj!e5` zV~2ahk%K^RE+C-R(gK11U*ax#`GOJHf2pmIuq7voih7U^{e|WdN-qC~U7A5KYx^Js z8pJOg;_!&kkgZp6$|&TN(a2Q{Jmgs9K)U7s*yR%v5>rx(tD)xdf>$=a&Vh#UUUn+q5)odIra!7psXzrVu;+T;O}&;C57f|XYn_X?0V z3y{GKjBqd^2PRTs%Eoo0z{mpSBANlA8Vr-c;4JEJxwU|RxnMak7Ayxr{x6d}QekRj z>~@jQ5CBgXH|MaQ-Ulr=x|DN~XM)m(5&iUU}pMW<0X|tRVIgbV1+pw}N zV%mJNUoPTWbMXolChkU+&GX=KT{V%2u&7v0%C+9Gr!r}SeykCL>9j_JG%I+-BXjG( z=L$71O>2TWBL*eZbag={K&g$kcnACsQtsVewXy)vTxka%JEf@ZP}x&E$v*N)N+ulh<58Xw{Y#Pn@P}Wsv^~MzqQcRh!0mF##A!SJ~#J z@SuF3C#j+ z?m=0IU`w3WBwA4c2;t~CX7UtGenwgOnB}1f^HYFzUGW)1MbLY;bD>2GAMk{S%jCnT z;Xb1tp)SdF{Ftz%(Mikiy)3$YB!Q^u)e_)F5Tl$p`ToS)RJy8(;Sw?t1XS&o<7HG>N>M}Pu8ExAySue#~e73R82<+s!ldlDA26rajm4KBlcJ!<@vs=F%Z8ifcD(hqD#_bc8NAoueRa$=BoYJUx^^jkQRT>EM+FN!s|oBWLdOhKZ(f0UNG5 zOgl8w_07rAOG$Slqd(qEfoV0)I(JM_M2fF{u(V@?LM3W0Cm4j4n0DY$`&7X_3j{Q& zI5`Y<<3`G$Fb^@mx6?#rY0<)&ofGph^gWuM76kmk+1xk2kJ9sN-h`KoUdzI+airh! zisLVhmeH#4(K6As0+u^tt{WS#aob%Fbb>@Y9Yeym2KMf}O7ECv`k&qr|64fce}6~3 zc>nxkV*ekW`5$lS$RGaSOzho%8Rsh`DSGJm4$se`4|>`n=THnlA2TR8;!+fk}~S4;Iq+JA}^GFWZniX!gIGW8^3!-V!gVzLau?*fh|5&y*MME zdvr(khHSby2&d4Qw=7f0%~Pjc$ES5~Z7<5QoU@DCd;Alj`1+@8IxrFu{&dx#T%+>c zJ^plW9~u9n3B!!yH%I+J)}#yf$G8AAo_rXLoPH5?CO_T#cBd`OkPSF9YcXvhZpZxy z{1Of5aw8=o{9U)CyzEB9Ik#Ndu0Bd4fe}r1HUsq3uM=k4i~cyZn*FWVreAbK^&KX6~dA6?J!D#Phcpv*x5tc z12=g|S##Ja_T!s(E=RLy-ED27@%)}~Rgb~b+*bo*5*WjxexX_;L`@ykhLVD-J1wnc ze!J$27Oa+_lh<~**~8QUf5{SIXq;tb6C|hAXpa*4hKwtRIf!u)1oz&&xkXJ~7@os7 z$$ji3YcL#cQikq+lYyO>`3`XyDu``<;bbGQyiwj*VbL!`eWhdZ_vL;wu|6-7z|(GS z98WONb)7x*lzi{%u<+C578AOEZF1TypC>{c8HJwQC)d%q z9c6SD(pVV-FlZ!s(E_eN zv`h70?iZ4fN#32(svRR zHl-<@M(dnZ`B)>bsvq&K?h@T>1`gpy zqI7Tfcg|`cy`pnlZ#3auv#}s1r_Ab8#kkeeW*rZ7S+~23*^2TKfJjf<(_~kiAy6<^ zBq&TH-rHeLPop4X+u*ya+J?hA^uFXoK3O%K?94&2LrDc*w(`XpZkEz`&LY#HRQd}`g! zCSVMlB#w^@&TGhVv`W$I1Gl#}yRK=h)=As%cD4HV3P#jO-Y$HnWaEPNJOafY_QH6V zZWrHeJUgIaU;HHLH=M28+@2seY>th2*_^2~Za5qeE!G%#`|n4FX+}X?%;D8iFUM5P%ezF`qspHW_7(}hCbz`@=MxnLqlGzHZ%_6N1wV-P= zBk^4fn8vBTwRxh>jVS)HIIFE=uB^mHAOf~t-Mp@dVSu}0G4gYaI{NdcBgc-HF;C@N zIgSSiG1-2Bp0v(I1Kd0v{`1u$L7g90YR(79H^}CWZf*UvF;K3b0ixRTQ)jN>+Fa-w zLdSSox5l!?n=%Jk_+A(DjUO^xI{{Qg(vGrPAErer-ImbVg&yv(n5?05O3NwVa!k!U z#byR==)#re$d30A1x)H7ek^EUxb03Cf%2 zzO3&N@ZPd>2`U?3#ANfXe!GF7wRC<+q2v#vzd#)^!=o%xvq@~4D-owe{{E{3;Z=gh z8%I_V>10%o@(sb)g7p=ew3|gMn|qBP7xtoi1}8pJdnTs6>GRoVa7Eos{D6ld}zp zN*)~DJY*J%?&#geB4_mp956HmZnNJwqcY2e4u8=yKYh4j73or*`B+18R(dJ;`>~*e zFDSOYli0Q9EacYDb<;MzgYItl=I2bm(6OIX>;d^zON@Z^y1IQ<$6Eq6ZpFuWr-2U> z)3WkkE$yP?P}sZsR(I|K4tW(_r?}jqbp$CB&kZ%t$kO_O$(IvzE7YvK$_sSY0l^yu zefvbR2j9g5E4z2m$vInT=tc`6?J;@VG(>Y$jQ{dI*;EM+WcV$<%XJ5RD3Ij zihIF_Q|f@`?|Z)h4Vwrw?VCG<#iA+2)V%52#iiloF%FC=q?C<(J4$a093Dg`y*niI z@b!;*`F3oXn$>-up7E}~7b>Ph`>Ja92uN=Jt&u^K+`3@a&_A_BQCmNEfjvr$Yi{E)Xwz`vY$Z6v_@qEOU@aLJTKSS4$~-%>l=uE+H{EPZT%wSxKcIy9Xw{1 zWl+)zuC!m?v=*G~y|#o(%PCMfzQ?a-VA|4+MGnMV!k)Zr8v2UQb@`gMed8=K4Wp2; z_3ObMK27sZ5$hp9q*VD~Pq2J@m(Mq=mi@|dfRSTib=KQMxxgi!`u@*VKsB^RFurbB z?7CZGYV8U7i?QvXfp2fAn+DGGgEAR{vj7o~lCot){qhfDK}Yw@{PvLpc3yR#_}2dM zZ}=>Nms3Gz;{w^0yIUt(Zcz=*pM}J&8n(|kCDn9#HaX=gyFXF@vwO;xv5jro8N^IH zvaYYsu;r79X{2aVzs2tdpSV7G4cdMI31eHY%(~__9E$7O;V-{lmbe-CC?>H4hml>z z#sS<7NU7c+pu1MFwL_&5l~~`=J#$G=Ud{R0WNSYv3ArQiE#TploqSSJc2L|iMbq~Q zn><)AexKWxPQ;;OU>n^ZNLXe3pp5CqRV?^LK+hxXIurC~DcOnn<3_?jLqeQ(Z3P;9Q!mE00 zY@&;9bSS4JBIV{6`fCKwfw7grFt!p-C<+%bxQIi5Ndong7Y_J~a&%cFk*t#@xJa&q z;z>KCb`n~_@ga$Ha=!QYBDXRLPV>|PswUy`M>Gk)kOmL`k7hClfq2%A02d`hSy{QQ z5KML<6_bAilh6tN4mtWL{tYEBfaLJR+B(dy+|NN^{Yx_mXFUo=;QU)M`D+1z!1#?E zr|{o6vs4Ed7j@wmrBF!8BpgV=B(jP~Ku|YBio@| z5-#*`VSwimAP1ub0>K0qW(x#>RPutva&Yq?sXx>%YruijE<+3nwV~L~7a6fIB^J)$ z9}H6#auA3>#5r(BLgIRV61 zjb?5Rw#P6R2{-iyeC;+t4=6UlMn4aJKbwh~e#{3<(3a%tbu&EULgGiB_XXuY+HjF3 znD5r7+ifE=K2s?L?XMP2U#)u=-Hk!Jy=O1BAi5BjbHCb8j-ZDnhS!YO2E6vKc%gPM z7(*MhEmL~4Y6Jx>n>|goWJ-w;OD&Q?QyQFOCrOrG`Sw1cKI(ZO_sCbA9`B|_tj?gO zp%-Wgtm#o8(y#D|0bO&~?44>d6W&pqxnQHp`^-tc>ks%6B9(8c00Z2PmL~xe32Qpq z^v;~`YDN73a0XO!@}Lj@GHa>^nDuW0BF0XN>GM;HW%F)KHv+% zwrU{Wo3Gkg(z}d!$51*=2IhN~``!8%+zOXHe83UsNpo6~t&`sNKu-QAw*3W7HgHcY zkKd@wm^yp5X%_oK-|bCYQ(4N4HcbEhEFXQQQ%NAqfA0xnsJ_5PB!l(Cn@`7wIbNDr zn^@QGmwSt<*?d+3v8tCtXWsohW_`$y&)_Ap<|VTCbCL4CDX#+crPng+L*OZxI>OP| zf^H`=?r`z5w?TbMFM}Oe8C?ieKICH6q=HUzimlW%Rf(%STyKXX^XKyI?J|R0sm%6; z3eDcJpj80ou#QnzAD!Z#iz-WD54Y6yx!i)TW!0(Z5(b2fM!jJK^Y@lni@$c{97GFn z>m2SS+#Rt0*cPV7nUQ$Y;)89jHL$p?{%Q{`h=W%@g}c*DgIf+wH6nuF4gYxhw-j?F zQz1Z$+iR{;kmGnrjv^r<8ef0YjmcDqayK5Ey{g={=_@hlaOE8cUPYhdQCLn}oU7Gx zOziPiP+-@?m?#_9o80|aWB6d0{1)UGS zcDRfs$u0LN4c_t80#{`TdcWRDc%Y(_bL$3^xFHzodqrzaW@)DIMn>d~X{t-)+N;Ui zl&qe4+(t2j3kjoOTUq<1s>A)vyWL_Q?om&khmJk-T4>7|VQK$LOqqRu1B^RKaP(D?QbCGf~2C*dM%FXLXOS=jHcK>1OXhS8ZF_(G&`R%6jB5b;+jQLrav6<9ZmaXT+3KqV=2%vuep#Xsbubch>gaSs`Ir&c@6v)WR{UZoP zo@!VyWWA6AZ}1|ar7d!0hg{hsR}Kqg{}aRfSoMfOmrh?#B=g->jk>MPp}ob$xhNdI z6h-nxnQsPfz=I%abi(!Yp7jVJ&zZi;mW;%z5ohXe5|?7FBQxkc{a_rfdQa_}6B_bE z@%v&2H7AS})FHc+VcoCIhm~U2-}!?txTw^^>_Tlu{ zEeZ_;{iH8YhVL=RMIp%LO&(_TQugtFZ__YTC4XnTbL4s04eDurcZHRstkLmW{h*%`avxU^xYB%&tsdO@B1co; zBj)y8|I21|GSj)=V)cWf{w#g}$bIZ3JghOWp;}O+lB#uIj@L%vcTd#PrgU{X>6-nq zJy9HOJF37SDETq1S)ooEBjuZ2dj5E?h+|^7u*axXr*>ZQIljg%4GKSKqPYBQuy)>DBWgZl}X&93h^J%_6>vi*W!DxC*lz#8BMs0sr(zqE; zqgWe=>q#{_5=y0~ISlhndJ@2lS~tkLcB zNg~)EjNhw_-9p&X;gsctPuil0zB5%9^Z1U_n`LxlxPK7Z4bmG$DSd}vo#a3(1;+i8 zs(4M&pX+yiir0T>3DLT(DhAr-S??(}hAZAZ!+hTv&7?p3&SVqqrCUadO6B~S^%6BG z)Vo8IJ*U=Lh|40mGu0f>8Rf|EE9}inKA!jL;~2Y}z;tB*JnzEqeuL#)G<(ADC`K`S zrrm5Kb9HHbMPo-N7EsTeUQ<|LO)+%h74G5YK8Q7VC!hLyGANwBDU_rsR0>F8ZPdHA zcMg`|G1U8~Tu;1PzVyu_nq+|Eg_&OC8Ql>Gw0x#1(;(4#t=xvnomd^<4Vy=c+V6xZ z8c&mD@nxF=t7sd41?Tx94BQO=sCq4|p-UQr?^ylbYsup$k&fnE19PtHswNx{<-!YH zE9ENIYjmTTSSg9Na0oVRY=;bb=>XwW*C$5vp4FW3Q5TUd`nE%I$^{s;i%IS_9J5KmJ~8dXT2IIzrAeVp@yPDjrHbLAN7ETXp_2lg}u~nJQsP%Kd+U_%ZztYK>-*n94Ai5HT zluA_It?11xI*EXYq@3gZm=~>kq+$|M26x=UYrxVsHi@*cj1{1P|adbf`-9iOzX z9;CER-U@FxA)x>??3FDnH+_BtR0!+wd|th5XquEu%p~0I@wBk2eF-56EDOI1WYGMc z6*B94675FPu!VQ+mQ&_$l1rKaTiB{v4?tcE;Jm719FfuTZv6zo8$7)E9Rj?h!j6sa z!od*}uD~-QDYKZataV_MehR1Vuu`=RB;a1ryrY_+WwspkGzYX{5ge*IyT4;r{Q0n^ z@3N8|jqm*r`*b=%2qLMjeF|Y9W$hiBUeiB3&cGy~;o%#Rk=NAvVe^oKUtG((uJz+G z;1IZ_74oX)gM@|mXWTlS=AoEfW=&WJV{*Z&(qM zmcKKKB56&@Z(?qLH$Jtr@rUSDP1BAsd;wJ(5Efn1-uV``-P|^_^m~@w^y^GgLCw(A z^_Q56rnO&b1(;gh6*h`a$QNx_pCI2-Tb|lt_Kj*w7{L4}cuWn%z5*eMvYwm}$`dx) z$Ca0F6yaU=%zphvUft;ZZ&iJhfV2g$w1!DREu~`Z7cw%of*>$7cMtD;H!#7(tJQyQ zbI&gkWc7}TOrH`maz6S%j;s6NB%q*nJ(-M_G`7C)htrx%4;Uj>n$<2WCh*&Ter>~L z8f{?oy+Fn{Oc4pUh_sUWz7a6T$t&XeG^Mbtb9ftrhJiKdRm;>40{vP3%gI$tW_BeI z5uZFTKEH>CMd;x9xU{BYfP-5stFY)d7893L)RUWuVDfd<&*888O@mV=?ys>8`i6F% z7q;M2a*LL3##;Ioa)VV`r=d+9^TWQl?W4jj^6LhP;LE1EmdAte(gWq7oKZqz8VwY)o_<5T*=^O=qv;Bgwiavh%wVTLmq@wAA?GPR(3 z_?R%EWc1+o849+{T~P7q>xqz(fvJ_Pb5ufp|Iqgno!c>quUfXC3IB?OX%eWM4y?r1 z(6MoR7?Dx?X66UJfTWx;nqM`s6+Vw*>dgeORJL0sC}9%izvPf8?jFz&?};2x4(WYiWk+(y=Q(h0q?0>0&jRL9BBw2Y z8-ea_Jku_!Y>1;k%U9! zBBl~5B`>PckyuhfLQ+;v-`oNVV#y1sq^}+-M3aZ8Eh+B~P>1(XKO*h~BM^=`2!Ld= zaJaa*dBwt_@MNSw@*q4S#zR_L4|&@t&4k*;Pqkj`!7G@Qs4S33-&ggeHA ze83AQM)1K${1yl*q_15_@1hJGnMn!tk$*xdEf5qY7r~sCR^B0h-P=$f8Tq&!3MAnY zZ2{$xP}Ycnlt;$K!AJ2B6JLaKwj%&M;HSxzNJDosazGO|92W*|7|GeyKY;!(+xA8d zQXPqa>d1DejzsR=wgmzmmI!^{fd_q2hYoe2B-EGSpoIe&sDO-9K#C-pnQ@qz;Y$u? zzN)|^1z4C0pRSIa?7s>l(U3^e0s)|99cfyJhIM^Y7k6ZT6;vO?Aca7rKr%Qagcu1U zk)Hq|aE(F+zP<{EISOzo&w-F1`AVvU8&x?7RLJ<@{|2yi5sr)S%?s~(Q&Y>#r%&_9 zGzB=I@EGOGm#{?4;IdQ%%6J^Y4*0yJ-p3V zF}m-&Uw0K?rn4dc>7Nl|L%(41${bJ?PuZqmq9yK^VTdxHuDV~sJ9}$AtfxTdC_03# ze1#WaFSsOX>9L)wz8X)ibd;qT=w0|l7-g=0R9VpDx3O#twr(8>3HAl%y|Aa+^Az9lCGC91<(;Eqvq%rkR?9Dm3RnqI#n^J zJGyBQ%i9mxr(Nb%%AcV6?g>0{7Wj@x z%#=PXrHqN{?`mWzTn_fR{gL&p(2jfgT2wet@QvsrVhRvZAYc&FXh#V`;h^8~jQ+Jo zrd6sgts7bZ5U02sHlwwpy#?F6I1$Hhq^SwWyMbGQ!IaHMLEh>kSl(w@hy7+Jfl^0X z%Qk`WnnWkvF#V^J^Vd!JVk_8Q>Qdo>CJ!Z+)9(tX~ZMGft~myIQrD z_+CKHySIYzIn3Ct%p~GFFKeA=Ctfb?!_yy*osaPz2`Coje*wa;V$P`&uhQ!fNPJg4W$9XN zMb|G0+!ru#arj&+!B$z6x^;Q!$?<^HQy|sjaG2L zbx%5wJ>mn>+P80+T*II*@`&PCl7E=wazLGby(H3QvauR5{K3)+)b7Ml<1^=vvv!~+ z(-RQy?<){jsPT1Q`G`i@{7Us+4Y)zyI*F>rF6LdBKzro;!Z_Dj!yCJPH>FQE0ky`2lh1g-?X`zA1&`d;pHBqd>m_@+Q4vf#_tW$dn+aI| zobn9I(QmGuGsD3@lMTIdDLv;5D{VXz)#Eqflf+w48*5|WxccONb3vgvyYROJt{Foq z{@GQcT__9AkgVxD@ogm#f4ePd?r^q2#1^_`kIaMoTq}kKh^z9^1#_&w7ywd zSJnKLWr-MJ7DJ_LLLs%V?fj<1?}tsx*BrnnT1RU8McpUs;o5;2oO zXr)y+oqFRLxi~bxQ3lxsVlLAS1U7s4qXC&Wq|;?I{wN60Suh}D-#8<*zvDS6u@1$zcNt(Kk@&{L;?J`{3{a$zv21h zzcJB&aHi$l1Oj1&NTy)+Q*MOzS<7;iN!r@)z}r-ZWfUz|&)9p# z=^hKEG?+n~qrO>f5BBC1nZ_8O{%tDF6D%QnSdWE})@2 zvE?vIkTbo`@$~9q8h-cVdzq1<*r@7y_Q7v)V1OGx`V|Gw__RyJN_V0k3ab(n^2=zW zJ;^#$&F4r8QP460F{VKgp<2yr8)x2K2j)A(DYx2Leep946z?*;DvOy31t0gsmemL7 zYKxrfYy4?$cnmgW5x7k^j)^_UFGNL8p8{`|?tSbMs!R(sTzLD=Iq+~!j*?&WVHl?G zhcZRG)!hb=W`HoCSn{6!Q0hZI+brQDD>?NWQ9>J}9QK$pz4gZ$=+%)br;S{^|KdR^ zNWd3mXy`GduKsq@IWJk;HS22F62Lb$jk>8J!rgpYJtHa7_tkfc2Cs=S`p4^09LLXd zmAQZ`6dpU`HMTerOD%=FJSDC1ZE0Tp*q9)x{8Ryx?6Evxxw~)0(MQ7_zzW6}1hljX zb*J7XR9DnIRag8xZh^lK%-?zyDSd^a&q@N(q6WwP!0vm0-jiob7mNKk;MmS2UkbM$|2(AYWRc*`Z_KAu~| zmrF!zkd_z6;@EQljXXm>Bz5m!$GUOlcB2bR7YkXu_XrPV>24(W%_jMZ61?eDySYs3 zi{k#7TUsKsSLR$eUw5@-#@NID@ps~kSFM0_-z29eHT<)0Ee@l;nf4zFp<+kd1L zbqm?l{f_$p0Db39%R+0W^6*aH>NCYjOFZYbFHEbKskVAkBuS3lz|;b2NuG}IVy4-? z#tYPW9+HM=Jp`Gjv8`?5OT(u;`QM^#6CZ8xZd$~Z;C<4>3WzZ! zu`MKyU zo0XfAl#*gxn?m;QVkeK2K(9y0GTGXVQAQ5qCi17@l)+=kIz25qQx2`MuIKa|qre`& zWAIl&bIO39W`ioK(#LB!WPEdCmSb->B<%zF-sXYGSJrE2BiyZ5Vp=y;EP2=LUQ~oJ zEl`~4HkKO?rhA6~@A^cx)lkh;Yo>ZE16CeOr7&|WqPb1g3<5b<=iV0mKs zCtW&?O7Nm`&vB1pdeyM@QH=nK9WbRuT=;cy@Z--S;NWt}LXt_JkFe_hZii!^pDjS3+XMnY%jIRZsFCZ2D0I5M9y`LcDAEj~H2LsS(S6JE@zb3MpiEI0 zOXC(8pIFi={zC9kcv)53bj~&h$)#-4%*&z?RbUX8h~b)V=*u7Y`i<{?Q82NKNCyNz zZ{67ajo>v3jqU+5c2A-+y80OTv`rI+=a$j%Zz>qr2E-&+EMXIHXnAIV!p5F&WO6pq zKUiFXqE-dcyKdW4shV|8WiEn_)rX=rpr?Fv^pbx6T{Xj%BR%6Mm$WTAS7t5!<1k4Q z(zvA*Re`!zM0COo@pZoY(5I12#Gvskr|ILsD#hDRy(HW=QT<>tNVJ2@vv(T}Fx-9E z95|Cw;$3g?{DakMU7L<&Q~xh;-}@=Hipi(N!Ot{BpYdqfe4~1>$!KL=BZnyOWslD6 z!TbPeMP&_x=V_(wTZGKK?$M)12w7!4!>qTTXSdO)7{RmQFRV9IwIjPf-&N5GY#-kl zT=UNVGS>;NXCz-W7@7wsgxPOrZVI@@wRIg06%6pO(1@7i=mm#%>qKL}OKQ>w{_}?_ zJqzyW0G`nMiy3lb3fH5eee<=GHAxnMJ zv0z{v)hONBw`@n-Z4H! zqn`Plp07Hhp<0(#?^|x|4JVLVK-bWGctyc5gjB{n|Lx+oM$Pu&WePS8gTP8kCT@Ok z*E+6Y{Gp{S%(-Oc^$40ezkEm2)HZr?cUC${+c$j@Fj`vO*(vQgBdI#UG*6s%Dnper z(Lg8ALD{jqBYPCT0yZ&o-;q#zWvt{CG`?HGrs9{luc~Jq);db-9{3jJwkFU?Z0zov zI{Zy^mF2pWwnIivRekFm3Y*|V!&r1iLHPiXJT!)Dc;;F&Pp+Prc81~>(6_F~!limqK8BZlRYI~vZ}$kbF@BMK4wdhr-=wj!8E|}_U-fBd8wDNL zFRy-h0h>(ArT){%+&+es;^^E4DhA*;wh7AZ**v181=oe#r%(wlhsC{FNzQLs$7Ki( zJ;Vg^>aEz99kcn-L>2pY1xxIlmVVIPG7X!=a$v9tDqgq@6tYaB+Tkxcp@{kDl+VM*e_;GOhO&;mC&+$N zKfZ*WT2$K6uW1k-{XFH>3Fdth_ke`HQyt6i%=dwV%d@OPm*6tgLrc=K$I&~N0Iy@m z{@X7baxP`O-cN`C1xHxYibVMPU#|p!8mZNb&t!|Ui;60i$t~UZZWAz2l7RTOoOSZ+ zhA%UVTexJm{DKlUcX-x4qf%exjo<=CeQ?af?^U^oP!Lgy5KH;+MQ{M^!-F%rJyA*P z{MwN*A{wgtO|5>~JPEaEiP4v*H*%W0`Ym2e;68gbGDU>Mki?M9kQl*J{1D5KL1;t{ z$CUsC*@cP?X{d#WNE{Bh9}G{52OvS>xxTa`&@ZHtMhGmVP!j1_heT=`_Oo1QC6Ptnm{2K+luF{@;6Nx#$o`K= zGT@LLh|U6_Ef0DI}6ePEck{RNi*{R1g(W7G85{L zRol+q%@YbGP772=cEUhO*zb)L zNB;3^_w?fb6R|lsx%(4RNC+*F)i{U0kdN;O`5GPvAkdIN5>ANm$F|*$AVVI{Aa~@^ zF>qm;B$P)&aU>h$kpM+OfZUTd(WYJCF^D3{#aM6yXDNG9QcWb(qV zP636vCahT~f6A%gqoad!fjI;MK_39+lJF_QmY_d1LI3#Hnb|nFWssstc{mgl6!88D ze*Gh#gq&Oz3MgUptA>V-^M#4q*Voq%PKfb`RU)SjfKvw|SAvXSVNVvU>w$t&q>nuS zfeW>w5fPCE1yG+{W`qF9>R8AttEw(~g5YaWTUXyWaiOW4MY2lx1B9p&rX(PLc8d^h zUYs4EfXot7OXOHx{l2;N<02&C@?|2Tj!;?>WYjDCRYPi$;XCgZ@^=hIogziaw{O3k zJI6yj!G}4TD9Z@xxqXzbJ9FpPP!MTzO#er$`ESqM|2hi(8`i8l$M}Z$E7m-{c+>rl z#c#WRmj_*Z_y0jpdc)6#xUZ`TK3*n*rdJ+s^x+lejfEU^0gLp+cN5rWw&3R4Q~&ha zTh4+(&#HC3C+XWI2YS^~%)bD;1A?_$cW~0Du_FRw5p?GH>Lp8Pe zI?{metnR%)((&#rYx;HGqDJne!sKuY#UJ9^Xqzk=U0UX zwVm65BAVcnG4;Zi(Qea23@--BC*9-=Cri&3GM2H6OsGBif#&uN4UrMk+YfidE7ssn z2vy;5ml*HyWf$cLzIA3<0bnKn&SpTsVvfFa*OupqHg()D>s-KezMVdXztPEmg$+ci zP|y`j%8@9hQKv_xOkZQNn;6pcO3R3LVq}R+u}lY(j~+htt$2}wNA=Y`+k~O=i&$^E zm+7@O4eFSFLOB}|fTy(dt>Dv+&Os&dDcRMv;$@EAAP%18Ox3cN2_DN=_JKw6aL(@s zz@p0Q=Cg=HMzYfKHtV`G5zlK2*PCQpVs!$l6`bUr zm|F%5-$&#@=#ioE^=CLQ)%70)lM`4q7^Q1jaBK!*qGZv3<{LUQ0)A1p5^oh*Y`@d< zs{WQLlykc0bMMpKC4ENbh|{g*6ZPy%8wX?dxw^>{m0GV}*r=qfo7ST-cd6|K_fyMoy>DgEl}{ zfzB%Pp6g&K*zP{H#;O_XXt`MFZpvF0ikB`YbTmhR-qJ+e+FEHZoIA~;wo@bh-A;sH zuglY49nrNLcqx=wz`#Z9h5!>{#Ck=rvYV5xTIaoO>R#N4tBkvgt?0SP70~ES5j6Vo zl3dH7_q0w*67h@)5x$%H>>PcJCL;wGz8|=Ci9+6v_K3B}$~x}XygWC8U$Q+jQeA1E zDNIJ*ca96ZemIlbm2jU*w4g2D*Ds5;6$Ox}N+M zS})s1|A9*smtCu>c}ro+x%*j!7+6o1Y_*iTy_TAC_!jev*E~ne9o$uVT}!7=(M0{% za4Lajm$RcK4e4{~+iPqu>yI{hsxWal?a2h*r}fLfnG=r&&#X*`2U`^`7uuJj;eh(j zA#^T^qxyp#=vpA|NFE~q2wfq*B%<*$wmR{d`O(|Zceob3adIIK& zoS)rMtvpvAOfkRRzU=%y=tGxN=a>CdkYo`MydQt$cf#3Sf@D`JksjT5J)k9MB((xq$mSj7?3WRnf0ZW z*LAFw?%lI+Q@hGA_WMN@m0$RcL9x<;lWtIXBc^uM`nDtSh)@8!w>K9%%86b?z97AP z91fX|{>T8B(f2`{Ao<9x=aXxA$!)^r?paOgndlge|89x33CU+M8qAecfy;$9inb_9 zAL=uuk{CXcV;|oBdg;k>GqdA=W0r{X@ISf3<^Q#b?O$zc|7FvQKjn412%-OgopmVi zV;2>LNMIJ8szqKJpga@)LuA8+1dQ_eD-;BsmM+h@FfszT)Bac8nRG?~9w6E)Cq;I ze}D~b9fTOVm_+xTMf~(440Q0s)B5fxVx5^5xMLTQ=H8YuEDrACJ^^lmrY=;74_Di|esXZmW2sRn!wzjFr#2s0O9|sH?c=9~ zuR{)IAMhlDe0b8iz)10rt5_xU7VFHr%Q88jS~xhQrn18h-K1^uyN6x`e!i};q_$qYw)VLgs#%DH-z z0_fhHYt33d^Vdnc8mM!s{)VmSxC;A8+2T)hdY3QMcqHI+wa-UNS*xCp`FCZFi^l_6 z!(Ms(8W_Y3<46o;Lq|UcG4Jg18NTbaE+sK>)ZpUFJ|8Jsw!~jgU`y>#6ZZ7G4Td!r zCOWU(-7Ne;-}B}mzDWt^VK|;br(36-%V+bq4+BB(I*(?Z$Y3p(j)~%+tsD{Y$p*Rc zI$c84l_+zAELjAwMrXQaKaguH`Yvqy{IS{TyNMl~8uY~{KkI&{+FVb%1ysI}#(&^6 zqnl{0SN|y*?C&;c|Iozo`;5uJAkzsh7eJsv-*l-kn&{eWXr5lv9lszm?w( zU*yZ?R#gEph9Ugoal~JMS(Ee~FS1{k;|Vs+608h@{7F~JN6A3*Cc-Xjb>V!N?HG% zAA!cuXisf?p86>e6*@&_-Y7srSBje)H2G1sZx^KZF4`cu`+O;LQJKdLyuGfn`QdxSN*PtuGqQ?F$N+9CIz0|cs^y5RsA=q2BOn(6DuM zPR+ZkBcX?0#LL#8HDWC`_k_{4 z#oWW;Jr#?Dj_)`6S)Iq0_zYpwUYRvrP`7uTUsy5r=ZUW8%bJEylSE8rZo^|jGEjf! z5*(ZG66Du@Tt7qSzo+aR(6xt0C3(+0`2F`yBGQCc1*Hw01E0a+Ig64{p@iXwZc*>A z93m7v?dFjQz$UCE~xp(yt5nWb0y?rh!6%_sA z0F|0vJ|wRi!2-B%>U%8d#}&?F-BNEGPOD=&jviw&e7a5%o>cJ>m8lODd+qQcHYZ0c97Rwa^L7r~sT`X`Ebi5k zQ%nEPv->pHwERn-rG8i;Q@xo2QjUz{tRr8H(Z?5;ol?+WH?Ve{C%ndU)7CL6y@Zy{ z8hH9VsUDp?zD&g_RX2)Y6L5)a?!v$(=8-^f^2umAh66Sul$Q+$N#$Mp$%ZDA=-RH9 zZwT!tj?r6&zaL*dZv6sCPz7}aH6>Lr>$pY~j&fDK$4`FV?^rxKWAU$5G<*~RUTp#X zyZ6nDS~?kdO+%6kN`4}6X{7F1y0r9>i)+TjfxUB9n|x-mJF=yfgR{pmY%EM{(e(;3 zv3PVYFQU7FKVY7URS)T?upJbP<;W3Lcu;<)vbsI7zdUIRn zDvN<57I>g&9@@lP(ziz(*~n-S`aWUrgFKUqXY@3)ta0jF1SS9iV&ZV`DL5={c71b? z+sf9}E4+V}l#xqC*P-Ua@D$iMB)-DLC8TWb|N8ynj&W$~L-*I6M;O=Gv+{6EzGT*b z%W~^cg}$Gj9Mdy69*J7T4Be3qDJ-ttA`dNmJ8(kwkmhA}VFPnYwyAB{>E*!0?^Fz| z!K}$|{6gwxZr{Gs4n>v_>qx<`(ne5Y8Gt~@7$H!h#1gh=LyaZWyup%ld3nW$7LZ{& zI9}vUIy<|-`#^yuba4M;@%Dzp_a$tKfsxCiNN5RH3+E6B#A~sP3F+m(T5*u^n(3LBAePNVy1P5! zJYDeeAppuL;WVGJ5QuJgy=M-AK=i^ZeMSfhD5yk+Y!3XXI3M~vI<}2Wp8R!WgdjbJ z6cZ_|yr{&1JKHEwPss`=V1wI2bP1)Ds8C7?SGeGf-0&+8gnhhlKz}<@Ny^73AfSw7 zn2=!V=<4d~>A|B4LZq`B4kKeTE8hT^%HRHvni77Eg7ZYfuQ6FrOKF6lzUVi94)Z22 z$ZbMGN?Lk75@A9)Wea?vkp}P9Hi#@Q%-x+my^9dmeTT^M542oIYy?0>C7f?-4gmuv zjbPwp00IN4sQj@DA1RVv{>j@sI6OL~qJq(re`J+Nr#B3r#6?DJB2}a;?7Y0ed^oVW z6*-Whu5c>lECfOYUR2u=h=-8)B5m#M2%xF0V`%8>ixgBM(_Dk#fRai$pr|sZu%rYZ znVL}guPoOJI6-9q0`X=J9uZc-&HoWp)*vw@1eF&7n@vqEZPQ3p2_YrQ{35KzT7qL4 zmYTz-yRor_iwsX7gz9BTC+9HXSphgH3Gzon3KtYGKLL4K0K!T*E=~-lm34utTJHL-WWXzp2A`qE#QwYo8Ij{etul)ZH z0F%~dWy|$=#F2zK{eUy#leI-iE(L4cY7PN$^gw&9Kr~pQs~>cu;FC{(|8>XIM8SY} zM82`dTE9xM`0S@^e)}fKvf=CgWSS>#`btE}i7ifS96e7p=#)&KhLW^(#C&K7WR$xd z2f29GS`NSB6`sW1 z+CC0jM=RN2eYMl1+T+5 z1SzA8Ztm{}UiN>vgUW3r^g`>#m3`9?@WG#KIMSMDR}wX}SZoHs#+fz4;anIo@(4uw3Ah1a%eKObM0lZeU%YF3Qe zH%|G*SY>_;aAqhH2h{rB*KRU333~Il-)o$pwnw%*k~@{>d&d@{U2=ME z_fVs@c)#*?_;sKbo^*wVzNkC0x5=(iBz=J>q5j#~3~QJYmk4>llqIaf#K~_si19l*+C@}t`bYFHN(W@F= zYI!huoTy{0ej;{3GCTg4l4d6~r#nUyteHJG>NLA;$7WTwV|2ygn+RzKo8@_eB{F(f-J316A*tn+zw4&J%ggl@o2?9tkR-*_WU#v84Qf;;Mx#`%@#+*UF&%~@L5$+iw) zH2>IQ5F-b{vwe`PkloF|7x^dvB~$TBZXJKME!~Xd%A}+ks+yP@;P~nLA~TcgCjuOLG`pf zEss%3Vq#T}E^|~_isH)Li2j_cOka4iAIC!@Ec2CGTr=pN|{trQBCIpu7AL1!oNF=>X{wwtZ zb@0RcZ`2PU_p<&*Kf&RzqrcKmaD{^Muk;fL3PB6YU+5=*b(4Rkp8%S7{z^ap0hdm5 zhVXPcl2p1N7p};K8*<@3_u#*Q%UQ!6%YfC>2(v5P3x_KX#9OsV^Rsk<3bc_Gl*IiyBMF{_!FH z%^LrP{IlSy$y1!}H=&s8KZ{pgjsW_*_XTfGikR}Z2^bJn^kuy}Of-Y)VStg8 z$mTfBfkTnNp!&7#T1hPx0`Dosv-ng=5uwABI&0v{mz&elm&I#nTUYYtD@l#2NOTA8 z{Jc6lv$jt2jZ|{5YLXL%e~>@r!LcSmyZP+8pK=`0d1T%b>$1^O^!egEK+N~xa!Nck zF3(<507l-sqGJ6SC}!KH7Bb&aWS=M1xBszi=87s^d&~;lYolX+{@qW`omw52}F; z+M??<$MxThvt7h8SwF+B(nk-0s8}%vA>Sa0aGuZ~X^H`h2Js!L?~{HqP)5Hj7tE^6 z04o)TGg?Gy8eb!?*a?*ov*CXxqD-Dd4ObPX*UH8u2m)tp2SL@yx{_=ytv~4TV5Qg${ae zU~`w038;1GT{qq2Q;!%PW)GcYz&R(i>9Xb-sUd0>xApQyvjc-^`K0o871#Hr`J9`{ z)Gqm-82hX?kx>bcP>SD|JtYGPwy~P^(Hfi?Pm)kA@Nnpb0N48Yy4cEnMLQYBR}x&H zOn5%2k)%Y?uR#zuD}Bq~_X?9ew}poSm0PXgt%qz|V2nTklczcB)W5bOrevRQo&PLC z9O1sY`8dEP&;K5iPMDl;w!zJ5j5se^9`t0Al7+O;YTOnrFTIlDr&Di?e6(8xok5~ZpbVM2p4@b>eQ-t{nTCmdJB6A4{GMNJZ_i%&{%s}e?dwDm_a0tlkRQLP=mB0ZD$#i4U*-{X75m2J zftB4J`q=1Z(MvfxtXJ8eOtL$Hr@w)T|L^AoGgj<)Rs&Xt)!*3bys{M^O&_YrxN;cj zgM8E>#%6kQrumSQ`Z+KnI#kKs`^1U?<)#;%F^-BX)*Jm$_}MfD>;u87#X zMI@7uOWZNAcLs?qyT6IJZ#X4ncJ-ZJWs-_~R=G>Zqw=`2R(J0*V9f-Dbv%zvXQE`k z6MI#Cz0>khRp0g?@3{9839GE(=P346&_MP@+c>J5;;N9+RZc!Z4c+|GDMB_bAu;oS zMA94bejq)kxO;qZbO@C?Q!%#+kL?Q{_`JSDdlTrHhP)U?6S95MPR6P7JYi^q_W9N9 z!TF{s|)3rKd#17u>sai6(W z!?Hgu>>r^rN_xkpm0l*N6Ia!?@DGho%LJ8!+iYAeC3Vd`D0mTlgHx!ugcLV4x=u03 zSYTUxH#T4v3M~18vvos21=UJ@q~lf#e^A~GIybkYU)JbZA&U@rZ^m^~rTJUZCtkft z_W`x?py)wYufZ?B#VjM!Ugb5nf%kkedUl2VIM+D1#jHY-3cHr|EZX;vPL=fBB43uz zQUk!E);xN2-Spth!X=@)?K`-3M=zxiUqat14E;{4>pk$XV3y@8yH@baqHjM=X(HcC zIHpv8IL0df+@?b3z&B(K>{qodLc{xi;}QBLysn$Mgv))$HYW8HVc#`C%r28%4mL>G zgtY6(SqzLk!*fdq1jL#q^Kk0XnWflet>(85SpmXu`9P!1wzR9C&9)s|RQ5$>0tV5W z)s4*WKE=Vl-k-q25`$j+5;mcJa`zUsf$!tM=$5G!YUu|y*{`d+me{0#o_$#V(3ewU zO6TV}Z>HAw=vnyVQcKDQzKk7H-c}EZ2CvF$IpecRn|iSMbu8mk%beXp`#DSmr88=! zRI(Y5=s?yAVv$Hp$=#MQ%x(gr^kG?BG%YdatVXt|mxPpoRony@pT46@cImg>t8MyD?%$~F zz>UE2B`orX3Hdv?n$I_wv*_yQ7{#Rh(Z1^0dS}5-G;q#+Q`;tQm+&5^;^6d6;|^*p zZ%0!8EKH}Wkz*UzkT|e={bfN>eaGG@B?m9xeRb2I@S(MBPH6>8M_2Et*y1;!zG>#* znC5}1fr*)IK=i;emwfb_CWJ!rGf7QLm!Wwy5R%~R@i?KU-lA>KARu#-)7GSY6&?4* z^!+-?oHCB+QZVdU^s@cK>|k`pXRoOIT_fl8oVM?me6uT;exIY0Q855EQ>T*E^@nC| zFVn{HNLe2mK22KRFkE0$@YIVlSu4`6itqf zA@R`o9Nf{KfY=MEorLnWnVA`Aw4FymLux0H;z_8Ug!%E7QU=N=&CM<0utwJ0*h0AK=#C1@ZL$y;Nc(nT zQUC%KGRG{WViL{)E!@z=4Y3+Tk5D%mfVhlQh34kH>w>aLIG}LS2tfxu+&#zvrIUYf zTQ@vUA_PAde6{UO^^Isv;GV(8#T&qi^H|#gRxKcRNg$%!0~DB>4-3D?#vv zVE7=PAp3p(6{3l>uSY;(=(7aKx63Qws6?rJ1Mgi0v3fOBNM1n4hQ{V``1YDbj<3iu z2k$YDoOl5V7#HC~#YQs7KM>Lg0al?#5{|Wv%{_bqLKr7Nd?AtiBa(#F@iH=26KP-v z*90z9l6QC ze_SX;hFssr$Jak7YpxuU{kd~`1mYF)@G58SToU5_xlqK@qzhL!^1$`~9*6%r>P1Fi z{+F7b!=nGSk>sBZ{_h$7=i2{^2uudOujeLB7yr$FNqhr|%_D;=Gcja%(&-)VxE@X) z1{j+69QP8UFBH1&{i?tRVOd_!H>{b{c%{Q#@bLN@ebdxxZbE|Ls$9LuVq(t?viRaz zZ#C-*E1OlQZGSIer=_w~(68j?!jZem$Q+l*1GqoDJ}+^k<9Mk;$@hj>j6f~7{@K-e z_OUR736lrYHnTv?^g9Q$N|SI(N{R-G3ihKonq|Xx(@osBFVl~;TrFn;@=A)_K_Oqd z+x2VJF1?lvVkCD~WZmDlB27Ud)Mn;+1}4O%W*s6UZ!~dXcRlfL+X(C@{n;cEqCy`V z>+=Xp#t{%IQ_V8T3bK_x?7_uV5I3Ue+s!N;&9e|bwYME389xNix4m0-DPtun_h_W9 zrEsOAVOV|MB-fMaKnwp>9yl`wHhnLl{S>WO&!YP%YQcyQj!&{{o6{Sm-;+1Kq&-gg z8q_|DeF@XhwtnBmkG~}&Y8<-EaPF7?YgZ@*ZI9QP6CVCeM6E$&Voc@xPPT z*xou41rR;*ihlb5g+cPzum6qd$-_HrZalL(JfOA?!)$GGGEt(2SP1Po{t2sj=i-Of zNd#`bX1@}`;0eeRdww|DLsLgtl{>|?%2aL>rNzTSdMhO4Q_rBjKq z{PFLtM2Wd9@l5JiCK!%)S);Fn_};rh4^-H9UyPGvM0@QJQQY6vB&v2jC-nQtD#s+; zPeGq{n#m*APg^bu+T`j!inF=m>r$WiZCMTtHnY|m^<03m`CwN$!Y{$0(#5p`rrB3dR>!=6E%oubiDGu6vXzlc~N@5Eq2`mY3h=ZvTj#r zOA*@YXjMum*QXzxqTn?QYj%e<%(GJVfa6k}QVu3SCNxDIj@HPH(IBvuX*~YLvbg?L8sjKC!e+*}1~t%!)!Z_J#!ZlPmT({4 zG|~>unn7O@fAPZ+{nJ%rT$u5vZ{cq#z;pbn-}SlCb(M1KrBcxO8`1WS?~F>bMNH=g8CJQW&lQAqW0^(;>Z8|GkkIFKjJUn{(~o9Bk`mf+(q~s+XJp{?tf)_05<&nm2rY$!Ok!4~p^ycbGgq&{$h9fAan&-oRA5g>R5} z_ZdSngU(6~5unWKxMH8ea?1#>XL*#^^OM+N{dsPi!XRrPF-@~c@FO61=%4xm!xv!1 z^35Acnx?(gErl{`zw?DmDY2;R;O}4)y+ND46H_rYGhxldmx#T?r2g4kMk05ss9~Ss z5S>K8XBhkhkMacNNdc?1{#% z)2ggOG^Pm9Q9qkinfFE=zdN0XH!H_3$(PsK>C0kQJ;bWgr&lKgp0qjRn2ib&)*pCz z9?O5e(eV44{#4? z&QYRYCRNv*?+N6_O}phrrBt6?BHDh`Lq`O9W4+cd(cQczwUB~WeS_Vuw~6AHD!Skt zqoNDCX_qBe?v z`G+|u-4ypManBf8XkKkI*isZ`Sn#MBNwSgl`<8MWm>!Usm|RajThmp$toZ39`Ll5f z`g9=w*%xE&<)`i=383t=tb%TS&F~L$Iz}r8mw<*vi^`#~X;jMFN-s`$ALxSjljpPz zpD|Un%!*n+uOxz%8O;H1y^B4;X4Fb6>$BtfFe{oSNx|l$zDk=b2?aLqOxE6dpWx1iI`wXv2^fqt*1_8&Dw@*KWzOKP0;q+_%fCFwyXf%z_pOFgdc=%M* zozu%^6A3xHW>!M!AsK_T;$u+TbW2GyEMwqA^lA12f?Yyh^=a|Q;<2TB`}p#{<&-hN zbaFxD`2?X1kFW4yFmUU>`4&1cy*Bwf;}P|B>Er5K8wVVc;Kc)araNuUsU0zkjDE=j z!ZPaM$1fjCoi^kT2Yn-BCKM24TPGh@*%Gy~34mmZ4Yf^Gm?=*#^mSbMsHP7sKqr2>& z5P|AUl7cC0IK!$Hz~>WE!nxc(5nbJJpjQ&G`9RnV28YHyO2o zTk4x;1P-O><5$%K!(j55nnlql@@Mb)4zF!}R@UPS!y%haZCduZ%8 zBs4t(KioA4N=z+TS^IfJMhR%yo%|}6n3x{~hs9Pj4^5`fEAIxBFS}Q*4Zkxl$V*w|#%tD}9!GfE1 zsD2E9Nk$Of!Ezrv`#A*k06}#pY~F@qPgnQI7Z?8R6e9%r1&BnNjv#=9BRd~@m?4CO zTYofy;>UI{R06AF!)CR3F#|*vJ=zDY)K@UJci>!19=50 zf`kE+@IV6vh4I3{&B_XKBs-j+1J2J0zjDD@|5dup!wdhz>j4NF7^lexpSPHn)`f!` zse;sp!vHx9A;-L6lF-2oGc?W2{DPq%G6D{yhdVNA4gvL$MoBn#{PKbCE%fmBq= z`bPu_=TtSi5J93t5u}3vS3B{j!h8L*;kN+vX`I9vHgyzWwoV=(X zhqmpgm}mLLNO2@&lkokQ1;vqYq6<7Zhk%_@?Fd9o76MU=yix~O>fs786ofW4LmB8S zOwxipd;w;>!sqpePC^Gal1%;)gl=yCgi=YQN|K0(7@Zgn5+f*If%A~Tc@X6A%9Q{F zf&y+0ece>ZuuWvvCN14nCYYZf1;;;S_cAi5Fzz(~K?vhsp==WJNmFY(Dt>7-=XjN3AvT++#+pV+$j zo!y-1Q4T;KbWT@mGksXwpNs) zm}J{~d-I+UY!NRhs`M2rp(!a(fv|=a@3niX+DeAk<(E;}e)d|MZtI(g3R2h3^l8MM zTmxEWfffW>*9Ymntlu{BGu&o2w0Mh1l%NhgQto1W<5~nr@h3!52V3SMgI`x;JC}vr znzV~KI!#u4{Xn|$+`CBTlvfLvg9LlIpaPcy&_rT_&TvGw*YtK2QJHc7RORJBnhl+I@ zpMD(p$zrA~eghA1lt$mO34BoB^;vVT4d|<2u?CK*KX7PcYL|Q@w6b82i;Y6y;hSQGsnhoR2GeJzL~3Mjr6(|WKcbf{K0!u+kMhAVV{#kw0-?)+%O zZcGtxSpfX6mW6Ybe91|;%^SE6btWXolx}Etz5;IZSET#874pCop1Xs8so`i5g|fWviIJEY!TTbdt{Z7 zy>|$a5E;qHOtNQLzw4>j`}6*MKHvAZ-ygrfe%Gz%$vRi(968RppU3cWsh$BeAE;y{ zY4y~2Tk|!Of=9OTurAB@u6lj?%9g*MRq#Rwv^dn3awWt&o@ZQgPGBqI4UC@ODg4UL z&^1Us zA0Fls927HJ-AK2MtubiA))Wzm@l&}1iURP21=|DL?4J(|@(_5>M8`aOb#nZ=jL_mo z{vcl}E{Kt8OglmV+DRAlRNZKHY&w&W7xrdvZ4I}VE(Pl}P66!}S_03s?v9D1gGCwz zmdl4uj?SzD8pgdg<|#hEzrv_=W8J*;h9z3xxBCIk9rXEm3kB-E3afRREm|}00$g-K z&7B(2)PTDq%~fDacpaChqVC0vWxWXJigU_)S6+*&;J3&!2VNGBt=~g%17Gx)kK)k1 z_F68ZHq-iNY0|u_Qy_ky$l&9NRWJ1c%cDiCTs{V&k)GKkk@^uM-%|aQULKIO%2i#) z$r`^t($n1x{8AP+uUtmANqM|2?zu`dJhBe7T)x-W(mf)(l2Sne$YauoHlmcKmY$U+ zWwI8S?4G6q(R8-NnI_)q*lcQ%cC;*}5uR^hC z(-VIvUYXv)#=sQs0W1;gpy5kD1%{)0VguO{HZp|F@+#O=9uK5He84p*9THFEi1d=k zA_4X_y=~*FeU)9uRQ-mWvj$Bjb)76%2HrV(KFNCbBjpn)8^l1%rA<;Ol5u4x9an30 z%C+tJQlqTW7ri>CK$qJJR!AcxKZ!bCuXhgCu&m5QH`sfnrk!H-u1w~U>Ed(Q%b@QX zT@*9?DW1n9+z#alx;!FUP{T!%_Umz9Azz(tmKyN0cK!Nlr;4i8Ndm{yeJFUWEbFq} zy*wtoX1|s5kgFlU;7SPLn`bR`YFl$??|~J|fuxRprHS>( zar4-i2jn_aWl>=fdo-ZfL#5`{UGJVN ze79-(KhAmoF97q8#`1ryb#W5^pNszkU>^MgFz1i}6NYsEmHq)9c&YI>`UhY!-Crpv zaDvxVf1{uvHV)?J{Dp#oib|NX@)rsUhChw`m4bq8*f{t%3i=Pg^qyOSy?RJu>4RMO zA{TyhUH^$)<~UHFCeT{dFlZKx7d>F@967Z=R=wmTIEekG@|!jwfxLTroZb(=BLeq! z3&Ej8q1X+HPD_0LJ!+doigVdCR!}6HSj;WgDGy z&L=8^g)z4*LGHtE+9CuBfVOk!w!DJK2xd%AC(qWy%I)LAjV+7H!eqAMIg|N#Vn8Av z)pmG=qVPbtt%f1VPtnVBt>W|pf-y;8M*DtRwFh9YFS|)l-m3QbAQ&}`)9Ik}hvv%r zl`V&efb8cx{BB3U>-gT?>7RX*2!yu+m!aCKF({F1()1#9)Et%tEb)>)Ss#cfx zyPG28lBR%*Zn7`)SC#E(G!vI%LcYn1(d3jLx8E=G{kqiZvk^=T*72U$VOr+@vVR=4 zQSb81VIYa~tl)b^C3}RL8wr2V2OxfL&Y1Jg%Y3w5SF7txY}T<6J_R1K*UIUAAKBfJ zq%8*1Rn81D2}cpwXVt$0ujHF8@Jgd%DY%p*`}A%O+ltEr39-(FrXR$Q_bKRh6Mk^F zABsMX$wjDhOw_70A55NgfdsbJ)Ka6&(4$+)BxMrHYwdS^+TVX%7dP6}8R!3TR0rNm z$Y4@D(C-wfOL!)i-`8JGCE7Mx5n*2-d_>!{F`5oCjeBp$g;gfsA{=!T>&m%3=$Wvm zjC!EU=&+u#&G5PdXn(gItiyUl<2|kxp&lc_9206ykby$DIjO|*?aAhY1i+PJVqwNG zcB*|iLSJvlAhoW79_kgBv*#jxsphkw%n>uhu`A^;Xd}UZG0hStcRtS zuJmtw7Jasxh!d9+-!!J2JMxvP7HpGit0%|l;$P4Eam9a#f}3$w`}^U_?}4h>SK)X% zqN5<;m4hz@ow%JJYotdS&$n%DF3N!F4GH70{?GRp*nl@++2{LG_xbljpMBDgx%8w= zR~^~DDB*i1S*qK6VERbR!W6@_LFJRTCcU9Cbs(Akzl(A_#45dX|dzh7m;H<|$an$Ey zOF>`ze%dbXhe~DrgygR0*Rtz^FV&|$D*#t><9v1PgrewPBKl;a~S@X z^^40~S4#vNO0I(@bv+rkou)J1V?T353zdl6vKqv`E=r%{B6M{P#2wZJQBa*T-Ipqo z(7yfCzam1YOl&xD$wpqNTy2@f6Ep>|N@$6kvu*TbYx}S0s;d;8>lJpjQg!oNJzNO;B_Mjzy@Rk}vWmc?cMB#h~M)z_HZwnmB)d_@mr9AxZ|yL`b2#8BpE?H)6` zMlo^_cyn_<{!^inPF2G$2w_W|B@@2COeSQr-EuSZI@iG10%dXS#4Pviq`pOgC%`Wu zdtm0?qr$Nnd<{G2%1L5!);p?p;rRnZB*J>GX(IrKhMrd^l!Z+q>Gj+$aZbmSq^x>r zqpv{C#AOoLaP<|4sl^?bm`7KP(~K=1qgM~E?x~zn@ZXR9uIzZep7*8$&<*^=y~ga~ z?N|Bn@PTf4;WcWuyY4=5ZJVf=6pYe$m4K0DVPo?(o{{_WSLu12^2ZQT4-CTUhOX0T zn1mI*8_@;PUoWTCM7SN{>28~}ng&F?mJF@Dmio3Io9sqRB07KlJN-tg7dd%7vX+-XF?+{1+;6Ul85IKMP0L*(B_5t>7(Jpxy;~_5OiV^Ebx+TvZ*&HeNJvcA$!~B02hfN`=~awu zTiUpEy&qi1zysR$Zh7CS*&a0a@u{fCkODpxqs3onj9N_#o#C^0M2Kh^%bO>@?D0kB zd<=dwy>c5^hb(>2wlNQYB33ir_2-ySK4vRW~+%NdX)b-gASTLG2|R za*FEr&d~%My#hf?4?eR)R>>C>Ts5r!ZsuWAioZW%3Hq{!FL77p zMMfFi6cGP$e)%^OtGcGSUrBAlH(~)Ncb|Z$)tx=`o085?WBS3+>b|+1_t0`>>(JcR z!Rb|o#vdc77-Esv>2B&J6YysM-fE?=^9zzA+fMc&IB*p`v#ygS@1U=JGZ1oaMTom zm}K&&D>Q8CkIZsc&#}N|0-E?;t*n%MEFPyRU5kQa5}8S9o9R_1HZkoZ!T2nY|9Wm+ zBslYF1CNpE`jdBWKOa7A9XfKV_z?O$?oHLi7C6EtVf6`_!Xc*@Gqp_Vz@ag5t{aZ|;;5Q9?qcDIdWOClTVvrhFtU2LcZ|y>==eNeQX710&6H)tQMPlK zBGN3}_g|N}N5Qs!NXRJPjU<$Xg%q%Tyr`%Ij*99bWD5=wQ6eEF9Al7@L8mtKYC{e) z*^WSb@kb!0;M-sQ5dg~Bka{*~qMe`rjs_)@7jj8t+$0v%Ok!hShkkA7*v3UNOC+?! zN0#3R3f^o-ph0LUBn+n$fus}t53_`mio?kzvJn(8@elTp%fMt86EoP$4F}|wR#s3w zX>9|u5^e2Z2hfE%-8K4;V;ioU4A)ITVmPFP5|IYqOP@nP$89zOz~sqHB*H{Ck|W0- zsc1oAH!^_|$|wO+NC}H@;P}HX2cd3sX=9IMmlq}7kx@`)2$3by#2t4HS%(8*CDf0mW@lH| zTr}b|w`3#Gk<7BSEgOMoZ$}{B!dJ%}0)gm+-%Hp}4z-o=m_Uq+RFn4h_Rp`u&Tgoz zL^`;Us!C)D(BU~Q8O6V?+cbsU-lOi*f#C;zrhV&mo|i(jVqb8X^!+{ShDpj!@*dXaowo zkdVSXj*8CzBdf%!s6fU}!r)0r*Q=qj5=u^y+RDb3X=FJLvK{B!Je+SK8-ZAyLm&`K z$i*^TfEDEUm!cAhE|KAzn_Ea~32U0JT?5yVX6`>3ugH*BDmvj?kf6heSLA@05*3n4 z!;4-j#2w_Ua_tCoh$`jf@51Aa^m!{Nw!^L<=>A8#*;O?lxrD>j4QeYdQWHEp9{VFT z%bsahaQy>9(=-1lmUHv6VP=9Md|&|oeg$WEJ%@my35EznQ8ofm3|}RNh|AF3URG6I z3x768KL-Z|KfVN8six)-l5>hEhVjr$j`Ff)?i>Rprw>w26qD(>9h6r;|Ci(vc~g9V z@?Vzn|De)5DEwcm-2RuW6CGw^{;wPD+rR()#V#lpv6uhzw)?+@V*dLRF6Sr=7wfg5 z{1@xIa7niL|M%$teQ~7geZ;BN!>rt5QjJ4?Uh9H8n|Cl0TYos>9!<7(0>Po9w?dtz zv?eNIkEg53P(#w{`R9ub%rbdw_?kTg5dh1_UTzecxUs{&OGSGZSg7UEoQ%GtavJzF zGxlQYEhk{rTXP|OmmO@m_!1MeYiBYRNy?uQIZJyT`=u9rsg(w?ZUaBjT6ESKdXpXq zpL-a4DAv^Pjhl~Gi{Y%k$DhXssBE9O1}W)S%D(ilZ_ks{oUm4DAI(0`?eBmAUbPir)5P^<uV0=BYWo=StX`l@`l~{cq2)~A z_YzYvkJ>A36MEnc(J^`acV0!k+T?O^Wm}dE{mCChg4-Fqy8;7KTpgd#a&moO)ho{8I+FE zcaNIbxe2^PskM|JjA(2p>5?!9+qg(l(=aZPa~t&r&y?;eX3m3pJ5EVH3iEOI8#H{z z%nP#}0?Q+;qqmG^UUh4xo8fs>G;~NZt7*F*+@`9Bx_dxmnoc@E!3foqdrNXKel^gda zDFk}v?ZS*H*0_OyWao3QGB&h(7SR}M``xjxgY2TNJ9m#>GN1V2x{1;Z4zCEtuC`8A z4&$Us+4Cj@aeoqaAR-{=zLS)~dRxag2mGK|W}636EqNM*&J**T)7ERB-j_5GJdtVY zrq4c+QU!*^_2FD(1x{tBzAZOT*M2Uxs+=n%Hj<$U`+XE6XuAXYAG6^X`jX>3^N#wF)HvjRyyouOUr;L!qiNtW3<*XWzYH}6Kd@;lijP3^*iqG<5t$T3(y z_=8&=(WFT;qudp%easH*0w(ge#F&EZciszzorrV3p05VfgoWh}y~Au{@>0*$h?LeE zMLs`F)t~&vpgTaagl^ynzAxFyacSSRHRWi`VTtpSS{hQIeDqUb{eC!J@52tV4OlZrw*WKL5LI^;bp^ z$%82Zr?xA3p_HEBmFoRg@t!tE5fpc?qus?NmBzPz0|({%@#3sxH-_b809jFqxVUXk z^w24N`N$1}#SN4GCg*iuU#Y?6MY@;cN8kqL_S+JIDw6d2g)ftZl7g+E+wssm*D6yB z)!EY2B@krXuR!mtkk`}XW0p^|F2Z@Hy|qmI7U1>Qh)kZCTLx0vX9T;J@-bv$$K)IR z%8s-O;1Ey2udaT|di{sR$-kZBE?obd5ogcCVZbpQ4 z|HD^4{tx$TLvqiL@Dk^5&=73E3xdBvLvUe9_zOG*$m+wt!BYUQ6aETM0kp~d6`q2q zXm}a)7kCOvkwMjefv3ph4SUP|=2(y>4@f%$kc&X%A_%z%o(n+y*Y8XO;^Ny=hXA2Z z_c?eM&_-q|GhSq0c{I!Pbx-J(z1x_YzwIYJ^nnyGXxNg6L*F;<;JQ9nDk!;b8sZ#w z(@5Cp#ZCFnWoD6jAow+K>@Hr31yLipc}uj_&}4fFUL=ov%ZgUfUhbM;Fg`j9*SXm8G&x`>lcqzjTd#!XA1v)&u5V zR$cOE{1BG!!g*ce8uia7;#_42^QsN`8sAtehq*&A+0J52Tyo1-2GLzbASG@jL<90R z(xqgrZZp?!#xY5Oqh`nP6lal&_rHx~4+l#j;}PVd}p0)~lg)3t?G zk!qY-w>fLHYs}t0mtB!EyB^PHz$V4a&;#zI2kS~Jy%_AJ@YoD6Pu=w~AR72(_;x8M zR6EXz;UE)K^7bt5Vw{u_?Y7j7E7&+^mM78iDt~`PoWiI5<=eG-P$lB&*gW)xmsNbd}!HX;V+q_sJd3%tZ|= za##|p==t-?&D!?J)}1OB%5)hMp+V>IkS7$3J(UK}@1GL^c}6`-Cz^IFYOj%q0o%1Swy6g7}7*uNhcWQ5LDJi{*b>qyB`#GmaWw^`@TaZH(=}cEH5I0*m0M!+!RZI%Td;FAxZt%K4QnnUH0xnyU!a(kuiqG^%M*s! zx>OFGmKo6g&;%<#wK^c*`%B#nd1(**Dc<~9qo`zDNkv^s(i;PCi{Wgw^5zi5ZdogH zqLWvXTn^gPwkN&a0`rQfpHMv-z+NZWo3-L(2ERD_YE5mu{r5I)k2qEP?~dHEpL!&7 zb{#NOyoo<0e#oB1RGiFGnSn(Rf@j~bS!~$>D<8%`UZDl|suXdHcU8bEav z*b8JPvz;B5Yr>$O#7Y4)o~ORNh)ID|@sG}4G?I3Co&0j*dpO4{_}Ff8=aWtQ)AX4GuGYK3e(SNcm?d-WGtT8r ztX{Y#?kXTb>=BN(Lrc%4n>McgQH}lUtUfOaJ5f`L)_!+`48|GK9T%{9~ zv2pVVc)NDpcY2z<{{5zG2&0+)>e*+EUQ9 z51Ay@unb;C<*~;kx^8R-+%jrfKb&Dm zC}4|9zPww_J-tK+mxtO;>JLg&vw(TEQn}mh5@-kp*nwwp)f( z#mkdLZRXk9y^_tmaEd~okykC!l`bNcjaqSR=?U7 zkUw^;fI*z^@ZvL;X$7QoeIiG{KYUt*LBqo@p=}Y?1-`BC&~u7Nr!I0RshCI9wRde_ zQ?A=0rscQJnLZ=ul>l0{{z--1*PrIiF+{&En_asbi7sG7B%U?B5<~ao-G>=4cZ|jI zfETMyz7NyRX*}>e+zBR@;|VF>&^% zd35pmDPCy*CSEhCuxM%5=srqJ+fN_R%3$Fe_^M-cV(ti?N+~e!$L5Zv&&K1HPhaN` zWfcRG!0ToVdL~0ti})(~^p>{1F*J$W_x-{u#y5Wvlk(m-F$cCJO8&5n=Yh6DCyMu5 zRPN9caoGU;z=XFyY5CK#W)=ZaSMMaXxMI^)ej`1LF9*pB*V~4^Gq41_E^fk7H}*~d zMO|}i8(Wvq@wjfP7&^KIy?!&ZxQ>H=osv%MVOUgYIT$3M;@}Zd_Ki-Uy6Mgs@OcKC zR9HMJ4vmOi#_Aa%6&+xi+EwzWeul;qg2J9VwgSVG)m*$zT)i9HMoAlY2~FP_g_o86G8(RlSVxrNlKkf1)o>Mq%DH6%|7Ur^HsJUQEo*q+O4vZ? z;EiHb(uedSFBr1(KRNNHhUZRLCs|D{_~LFD>x;Mrn+&3nkT68UD7YbfJvQ) zUOR}0d%9flUm-MFIYvhsz0on+5jfE4eNmMI*(FkbiG#$5myw!ATz>@O${bX*L4*mf zdZE^hlurN(FaIbnq3jYHDY=9L_Lab@6ePNol|wqdEi7R%5E5KokV|B3jxBOhJ3|<} zo{b>>(`4=hhcj|OjezHiq$iG)Nb@%`cJhK*LSzYz?YVh{Ep45DjNkv{O~Ot5fYe?N zAUF17HUcpSUqkH(#4tp0NXz?0pE)GBNbl2y z!qqt8>&6`7B5)GHoehPSaGpO-_kY!v!yOjWfwDuS+7b#aVcaCXk+F%HS?E9YIItiG zN=%W!4!KP+hEP`tQ7;UdjEnb&#nkX^7_gc60s^h1BxFNSN@_-SbBq5)=wusGV|k&n z{Ko)}HS8s%725(@aM6K`33NH10qT|M-}oLU5)hK*C3BYZ94+|wd^N$t+uGJSzp$`?)Ky;CzW?2hgA`b7emeqn=$0@IMxKTTBdc>(fa0W!?Bgt!FCO3NUJ zt?&LY{Ew!2Y`h(rssML+5>EUDPK9KZUkwph|2!<1nKeXULPhBU@@FmB&(s#@%0lQCh&mB(=FXL1Ir@e7yln0ltVf?ahc~PhbNK()q91Ski~F+wKlGQsvv$8nB6D9P z0pC6KgUf@rspjeng>m+o(CdpM;~Iztil#%D~OK`^@L(n0@Oz4vCZc+8Izc>ZivSqq)<^m~rxi za1b3$B_t=hZ4E!5S(-L30p%@t`Iahw36;X|XY4(GWjmVYwmG4xa7+%rrThBbm+LK1 zL0a;w6>ZAlN;yv#*} z&DU}_w9aYPyyqBt^tGU}t7UmnikL56o8UL}V>9?Rd_wmxwU^nqyWI5V(f%+2kl+`Dq%3T=28>34bG+`ZQ~t~f(v=uM1%2YmA=a?U?N@t_E3UVqNGhqPjaOa14{tsde*J}hLnAf? zP&2$$0K2bNK8(p4@pHZcF1t}2qZ;cvU= zTySaX^JHfy&daQB8UX6j2zz%tGB?em-)~hjF^bA9{q71`NVLcZeQD@}O5g>$#7;CV zn-eFkqsWBWO%0XA7n*Py(@kXqOUR3bzLwI1m0e~`}L)j_SfDr63Q&&g_rD6>LmMV7ZL&USaE}DRqjImV7wTGW=14PXS9&Ypah(&z@HJ;3fSq$}BNu5m=?yGT5+2Xkr+5YbtKE=gk zXx=yY30|)ol$7MT484+a_mEg~>tf3lcl$n|`VD-+iTzaBqzKGYTngeTKh%zTWsH5r zkh&{prg9*B99}4CE~SHsWseT9MD!y@Xon8O7kjG7v4}~XHnUpO8#vTV&MJxSqS>Z{ zWvm-hPndMq{DjFnUoj-q@P_77#}W#RGb#M6IVrR60tPDCXS5ANa*~ZPwT@eaa!us& z)@6$CUpQR9fejdn5I~-1!$wSM`BBf+M7{h$d*4;=8g0ApT*op7v2qX83u1uQfuFRe z($(9A;k=U{NgZYgN!ZF9HvN6Sve|ED{_C8!e*}Ga~01=Z=#!3c3W^ z&=#G&pD|t1U^7cFk)hArNJHMp^x>L#*C^NUS4QrkPi z&yH7T6Z4I1_aRz`pN%8wW4WbY5y7Cmukk>+J_ld?d&9AVj-vv$B1UE+Y2l)^$eQ4* z=bXNPNl6&EiicVE8S9`fw5A*lIkw&MC3E}!YKIi>*}AGW&^7f8Hkv2!=Sdm*VQ3l6 zJySlRxKAQ8JcC&LhG*2JM464a3OEJv(Qy5EDw*{bUx;XGtJ-JB{ldrob(j9 zI4w7z{FjjPKLlbTN#*~y{__9fM}Hmxb6Ebu1VJ(Uo%J^+2w$Y;5iR%0~aen!$56@WCpQV}>9Xp~%HE2k-LEYN#y?p zWc%Tk<)>T5eEz=sB{T4>Odo9Bus);F>f}9Hjk~pf{hPo+aP-wC)%?oV$k^#byBUmu~lWvt_Q zsgjf8>fk2m4=${y#Z9!nk>7s7p>P?=u^7iQIRWJre`uFcOSKKJH>9WpS-ZgmkY7=d>_I9}QWgzv$uW7Sbrf7i%IK|yax!Q}`P3}jKcnRR$ypeFzOK%BFE7J4#lOl6`>X;V<)7%H@ODqFq=_}eG z-2Szlt0qVIt>kaGJyse`tlcprGt9h#D{`5+oA+5)z`5Eteb=3!2wa@RjBf^ueOJ>n zbjdX~9S!h}B_5(WvVitkuUhhqXsub!Tl*#rW67@Fg;s3TTXD&?W%~VuZqa~by(FSn zvl~a%5I4QKtBy9Bg+c2^k$_%6isB=VFyna8u1|e7Z4{`^SRVW!v86&7Whi1f4lnqV zfTh>5DTP5OFzQ~e6I_&J6C+o_%~smRmiY3DK4AhpOUxpYu@T140I81ag2i@hNhHjd z{5A*)eC&uvY-xlF>c8F;O1+VA^(!Ed4hp`N?O;Y@@wRQNfi3h=|3>>@_*_Nv?Tl(2 zsk3u%xGIl-^{T4)XR4lIv7qAhd2|T_x?&+3J%>r-I&Hp6fSuK1Yu2pf{?M~V1IKl% z`$o5+ee;8CR`t8hET8oCgn-!PfZ8Neh0g`Wn1|MlO1VpICNyar9hO-~i*?i*u4+J} z<6hBWZLN=L$1wMqS{ZSR2*ZIRYqnkIQ>XBC(FrOr5|H-fEZ-`blhYrHO%Kt9VN3v1 zdVkdO!R4p*Y?4J_WP_+q$xgUp`fXBb1m_%y$T%OLv}4ei|@H0h5QL>|5>Ys)D* zGiCvCVqTZ=wr+o&jrt@#*8N>OK0pg^KjG$Z&dU2I*;grjz^n1!?vIQ1F$<;40+a_{ zXk}4Tg&szR8{cB748CQ^mIG$)Ddm#8wk65nr@`nDWs2Uy$8L25<#8<*vxzroqaV;)r`E>a-{vDEB9$>I zHy^R*bFr@Y0MKxh9%nBu9{{FD`tC1szik_pPRy_2Kc3yXV^)Jg&JHA`J#)+2=eYUB z_1tQ{Gg;!MZnhZWB?^3&VWDhWSicRzVw+E}eYX`tvzwRh5SAEvG<1ErE|^ofh=y~G zTuw_5#AGwG-wl5;K=RP(S#4Wy|K~LP6519SU?*MVS-sG_M=VQufi!{E^5 zqDv$cUZF9c55#qBo)@;f{p|^Y_#9tcwmrd+HFzf^6{-I?F{LxRXkbb7*#mm>?a16^ za3(94zs#X&?H#=*IQQo>qcKeR9twUVp$oDPm3{Wz-w86q_VIB3lp%<4_Lhn6y zP)g2+g{yL(zX#&qgkJE2&jJkYg&$CCtNfRE9ekI6Zc4sFq;9tFV={2x!r}M{yfx}~ z`NVu9Qr^^0YwR~{KE20rw z*|E-JVxL|2ZUT_&=sSnT#5c_??(5kXf1y8myLl$fx0+Q1+F8l1EZ0B0edvzWMDY zg@%DK@ZL9TMl<)0&Hl=8-^zU)tE7Dr%iQUC#RSitw8Dm+GjLs_eQW`EyYw^6l%AB* zbC1eDYhT7i*Y&PgIlq}$Kme{5oJ4X-XJr4j=9{Ox`M|`7RZ2TJG_mNqY*3Vd`Mxc1 zNUZvGdTsiMkeToP12b3mz=YDKcSFA~0R~eSFWl()JFNOHVR7&9#6WcP(Bn?~FVUU7`K8sgo|wckA<3vwSMSG9VLM{QMw$YI@r#W5 z!LLVDQu*sgiaG6_atit{*KqGRxrXG{G>=XL@#w_l7Qny@*m7ku@B65}VUj73a!YY>8SQ_3Rz-P94gsYgyp`O-1Fl#HB?L;b|`_ruGq!dl>I zaZ}f!QSspJIfiU#QgPkLwrBXj#$`@!algP9ecx=s)IoOox@N*EHOmyAa!N*(Tx{ix zBtfmV;Mbq@;=@bQK&RMnoBhtims@)$3^I$@#1u;10(YN$82+;K`<#fAmmercXS}Z3 zpg*4c)t+fzFD z1wR+C3B{vp9Gcf~(rc!2_KRqcP*i)~i@C=_Wb3rIN$%Q1MPr?m+C8$2dD}iDIW4EK zyy5-O=r%Q-q`EuQRf=RI5Tfk}To^(5FEA-C0Z|M>(jNh!qzy{hz|;1`6C7#Hfv;}ba3DO}|Y$*;~05rdR2-w>#Vh-(+#cO-?y!eNH$$$xZ{ zh6o~L;SFrOLBdv1aaC<(-3?q{4~dub=MXS|at;H5F!VVKq@7mek}5Y7wLsJ;QJ znU{Yy;tJGGLiOZD3rwjqPfkuEGhE?8gdwgou-0d3<>VaZn<4{Tk&0xb9vS;Wj%)~t zemj!r!+pks-)U%HhaV#JM-W`>Ch;{AQi%4EfeNCF2**4-f(tRSw6u&I%(1aR!hEPzM)9cmXWD3vM7Kc?ZSR{?Det;$K9DV*c#k7`3@P`9q z?txP^2$Aqe`^cc<6&%bIS!f?5C2hn^o&%o5`i1d58*yG51A7k}7ID_#RDal7pF`(-fWv2nn4v~WJOIuH@Cr?C6YC>hqn-0diZq$T)GsOX4 z>NIsVUF`M$$18FH62CKtUn7BK*Y~AKkLRWD0vqpUOvB73d*jXzSN=Re5gkl z?73N`$0&67>8-2QofADhB-M16XQ#kO&(&Cxz_y+aHzW5OYe^ILGPiaJb3Zfp<<{lP zoCS7+t|!L2Q(<|u`?Kb~f8C6W1f?;=>`AE8o+f!X+k2=`OGJHE@Bny&Vj z&QX^%UeY9(koe7B=2Vv`Z3G)HbBj_{=5gF;-zd)-VD2^$x8HvBp?lE1{`!51v$CfE zchE9l%!9tYrVXA7c?9(rtVl%PS z-Xij`?>cg}cTv$YTS432*B%j;^~_M8x}PD^vX5!J%L{?f$Ns0yTe$TjEcjrjFzAu3 zkzw*R3`bjXrKy;(u!ZN3^v4cGQ0`6QXSw$QN8w*tZ&k=GV|iOjndvp9pSDRau_zPF zv=3=CKX=w326WdguN6LvvpZ%woqEYorC`ORlDo1#;4kiVbk&|^J{a6umr{+l-2NEq zF)3x&Rqu^1d882k;6}b!_>#_H*v6f8JXqICKg5*W6sLWM0D>_bRP32bLce5*=wxYF zmSI)IbB7+go^2ghNmD&1c7Vn|7+@~zrtO8A$R9qPF~fS3xXoH^lejbjWWT+y?K9g8L3|k(eCg{sz(TvC zF(N~a*WB1ecqN{00uWkW7tEdHdRU)F!;l{{H6)H`NVYP0i-7r-8iQPF{-CKHWG-K} zs=_#NK~H>A(A|>f{<_iqGMUygxUW5OnFhprD+$w?RurpusDGx3B0XACJt1cjr!kd$ zI3f3eJwp!o*kV=Ba7ze?JUMnC(TVxKoaKUD_=(lNOYn^s?(cUm!NGh0Hr}xZ$8=qO zX!nohL0pc76ES(t*%vbu!p|A!!$3^s$8YvMYZOm#Bk@M4`Mx#FpNx z{Hqt(AJx_Sh8J{h4u6Otr+-4l+sY?~v6#}2RnRdVtzM8U-g z^+Foo?grofXE>t3-;e*jsQd$byaoRts^LNcKBD_8{R5U()_lCN5U_2} z!a}p$`TKe3_2X{ep9b`!DhLUDaRr+%7W)h6rP`K@f94iS;Nm1N8fg^8Wnz_ zsR!PjLrKGq#CH)mZTPp1MR|kYF~(k19$5{2=V%sa+Or9k`9#t3iSkqOCWi9FWfSxK z%>@?OQ23u?>&sNeEQ@D?tpuGE?w_wd&z(mlP;}}w(~O@5Gb%b2E+0ON5b z+s3u{-(&9Sr1{_OshjcEo2>dszgnnnVa+FXp#rQ0r#t!Yo*c|BD_6_4-Y5x5J5*cQ z-*mi&@>9@uKhhTTVk|Db*RkluTJv+L73(Obx*|p3P8WmLk$ zPm)j84s{3~<0^5Jr_VcG*F&)di?|)%9Ok#T848}FJ+429K9e;h*Uq>2d?L9fhT#vZ zawr|-2DiOmOAAv}KKR5r5&e0V;4$-M?Y{UsQ6==epDcl2!ALjNM(ru29O!(U>y3wiO&7r@_Jl|nL;WVVMN8VCN0zAXAciV|YRv5T5YIygz|O{3 z^P0QewK9ks)6cd!Ybcan%}&G9{%uGx)@`Albdb_Q^?_|_)Bgbn{+*h`%R`KdQ3Rj1 z`i#v!d3lZ+Jb`p-pHb^PZ=!xU9gpAvkoxwcDTje$2TvH4c^h#Z9$Cj)B-UhDyfuIllE)$m-{O-j+7a{MM!h4Vx6$K z-a7u;(VhqRS6L)zxYN=3geL6OOK#1JIE+PG;8RE2x3=vKn>;K7*thpd14T|%a|51w zFx>NX(9I}i75!2d=D*{Ow&#F_2F~`gOh$o@4A*2=-muRb(-l2M3Q0sd*7Iie2M*WI zUV<%aGB@Mi@~lf3PU5LJvQ9w*lvxB`O7pi=hOMyqB-_CXf$n=kKm8jibT`L4e-&~5 zdio|K#Fu$POW5q}R&I7V;PMq2CDzqX3*CFWQKw=;bVbqY)VE)Alvr4rI&c5QF^Eyb z_qPbTv44r%BVVU*=Fsp5b7Jw3DPA4oQ_}Q7H8r?1`6W4G{JhqFSdT)y-9zICZOF{L z3O&veuD&XdaeD&ro^q)cAl~T|6|3!#H8=@erO{XUEqO}m{_`b!cu}eYAS{s~w%J=- zie|+j%Y7R8eO}Q->$$lhZwzY`V}oBp7MR+@p|x@fj7rB+)U$O7iipmt`F2CzGjwM6 z;P+K_Wk-;eOX*Ox!}}yUr%k)@k?)g@YwB9j?L^Gt?n}Rf+ew{(TUt?h#o|wVLgHKI z-g!OB_N6Q3jZ30?T1i5E+^@9L0eaPYIwn#5pscFjGIF}+vBg#O-;PynlJjQvly#lF zBEg4a3_K4z#}|5x3l--6#^=TJHcNt*}_1{F_y7+Tvq4EvyVasmI`ITHCnV)=Y@?uErv!|*ZmZ~^;9XVFGWJM(SvifO_mo?|eR`i&^Ks%Q z92m(dsbUuW3S7q1XGyCMc|Gt|%sQaD?d-C=Mf=C8A6p1sARa}^C#UmyVPpX%UF&JW zXo68@Wf2#@R>xjf~WN+Ia99$WZicyhKRG5|bVA)8!#$6@BGKUA*MKzmbvxwk^&e50Z~CM?xsFV&f9&*b z9P3wa5_9m$=!Pw!0#TDPV%AesHr|^?c0K{oU0cUQT(=b;xMaL)UPWM0@B&e31vua9 z(Q)kCR(75~Wpz!&)-ih$X1*C&rC&LCZUU`@{58otww$+XXBT7RT^&M-+DAD?q_bHB z?v{VHn8XG6qG=C)$Q2HEW2$LuZF8vmPHwQ6+xaKFY&q8St?xVqm`q7GFjqfntsc#zK{Pg(?35-FR|4n9T-w3bTJ$eZBgQpKu(eUqoxP;Sw%u+{s z%K;>Q7`sFwTG%BKX1Z$TZrsl09jckt4z6-#3>?b1`CEYGg8KH)*JuT$?)mt?U*1Jj zbZyWv!yUcnkX-ZOm!cl9ubt-PcPTs(HqI*evbs+ilG}yF-NkO)cWArD=C$+_SO>;k z>CIyE$!9#kDL###hMb<*h=(>c3b! zME8s>pNfi*v|=*vf9pJo`Y?H9e{!ys^K+9!7oH!4^e=y5V-SiM zTjlih349)}Wt(+G$zIv;L-oCO|FBRRD6^?9pV_9kbXCD6I(Pe*f~I{!;|4Yr-5tAU zwXL6F4IZz!rb%e$F9BskXWyQ2_+OZik?jVLs(Ds!_cca6-%uDww*{EY!sDxIRyOvv z(pdyeEMwyHM(>@qy-!awN&v8>^7g&VVBiMdho7^(|geqX`@htxo zP^+0)`2{6+PSCS>_O5JT;SthHt8{!EJHG^JB;=8hvDhO?l0!BE;b@2;{x6y2g=o#w z*B91kKs1R8g_01gA^qJ@QF#F+|M7R{6_qsgAh{%*;KLl^BAOEM(I4t0k<$(tUI--- zpV|?q&{Yeu(dRF)1rs?=VIn0mk`g{4M@7BJT=+8yl`$lf5auoD+T=##7KeYO%ZL~e@P{g&TbQk6k#T%xw)l{ZCFGCJ0f}x0fmzO z2y|G;4Y?!|NyWqW5|AU&9|54X8xCX~Cj_$TdG&2Dh7x8_!hRfxC80PHec+-O#}I~3 z!q;{-0lS6Gk{oNOF7W#phdQq>AA7Ho?1#NK$tEk5 z5F#NvWfU^9g{;Ufva?4>wrn!9l|8a|wvgZB==FZRKJWMU{qEo2{qlM~bB=Q!=RBXz z>v^7!>+^QM-L&t(yL3{ZG|~uxe-0+^-7_?XK++c)hWa7vJR0Y`l^Z7GoVRhmeuxFR zYb*qIP#lR>0t?9|k(*mKjex$BNa|Wqk3g@4RpkxEk#O5<&h0nr8XB8h&lQsM^U!$` zSxZZ>fqP|@2-(988`qBr2!G2XQxMolMu~)!m*Gx?&D`YV6mTFUtUOmp{!1YFPh&eh zGKm~0D8hpBNF^k)gc8Yf`^lR~d8oR&=A9=`an75#otz=GG(y_?BM|7&ebUtp?pa)S zWI=!ld)whl!R~fsM!C;(KR={6G$Rw~u<$R*B-Bh^C_qXk3yVrVmP1PgX#NVRC2}u} zr9ejoIQB$60s}fKOd?g1h-o7PKz6jlf+ErH^GhhmD5}3OCY)fwBDg?^)FUG`qHrHj zAwju_C>%=+K3#kofj~&W8T473U$cd2s0y;#6&Z*In)QJ&_H1pRbV<7Wfr#ApHZXCp=>lJG!#As|-5~cXN>vfdX3e<8A3z~ptp9|FUc^_QhEvCz3Pt|X zh6V#JZD&rLDR*>R-cO)pvG(l-*hRaS&ZvTEQPcm)%=N_e%DK ze(qr*jA=!8l!{t*NBM4Skp99vq#7Lq0#`>W(Y~8u&R(c27r_xFSNF31A(eFnt;u=D zj?wf}4oKwZP;(=Hh;e^nKtIUa&wS*a^*!>{TLNfNdKY`clf%G*`SQ-NX4#YO<`_*e zK1=1Pyw^(33P)IPET4mbFzNz8(5Pb$u5(_l6hL%1p0lCpB zXMr9p-cL2+$|K*ZR=f>+AS0VkTjg0g@Z{(HROROZ=AbY6zQ@Tvw0x1OtBCNsAZX)a zcjn!5E4D@2=xRq}k0eN;V4j1^tjE!|MmI_?~oh zr*BP+LNG?{%?=&y6v53;a~|#WqmGGr%A4?V?(ud;apOlShkc4^rjF`%;to{FN~T;+ z0(GiKK75uu{-7H3>=D=I3F3OKGa9<~grRuDcK5(-{Wf}If}iQWdq%*>nDC^GW_!aZ z%u=hXFEl&WcZ}t$bE~Jtuz=0$nU!>4`nlpG%GDv#AA&6EG;W>EK26`I%LTev_=4V= zvg0vLgMy!u#T3T4Wxh^wsdt+qgY1>X6d8^d_J$rf3i#NNmH~RUVbK@b)W;zb9~N4k zv*k8bvb}5yLYq67sIBQfzEBFpafQ4VdM8Zq#HU(I%*}J>Dg;=aoKfB)GNs!EdYW&5 zA6EYQ%$$|IcU8*c9b5VOpQ$I$;4abw7r?r0bZ7-Yo)W#RnO@jai_y0+kzedAg1%ADZ&3{`I z`zlZ4?8@bAuqFPYmzmCPMGWwMok^}op8xOSsCF!KlKC&O}~__o->k-Qgt3D;qd%R?u3kn9G%Ucnj4 zn!rRqXzXM=K&gBE5{2Yb?iCDbdo*WDXscWm-?Zl(cw1y*2xKRd>6i*7%CxGZW>%Gm zuy|gf_6w5>G{3b>lw{@}%L5h^KXreQjTclfKH-!hP?S-)_eht8i`yZP&^p(E>G(_X zXPg07T55D-LzQc{t~ul`QJh?H_3EVH6)Tj8m?s_B3-&zue~x+QS9|`; z(eV6u{qHNe-y{?H;D7(cnb;Y|68u*AzbvyGGEDd%q7<&AF)-ox)gM40K$>6w2?W9~ zW@OL8{|2JK9QN4!35Wv!7fAE({|!U|{EGe~5Ctu*pZ^F%!94WC{u2;Ie(yk*88-a` z0nhjL;rSkN6@gquPFKLQ%)h>v{=t}yb*=6AJ1bIoX%+(u8?EtYzAvrSzC;Im!YR*+A7~eT031q_z#LN^u80 zmNkEFBgJjcLtchPj%8hzck-254D@dad$=pG1N z!jilt*hFl&WC->f09gcq+t01$j-Sr)57$!aNj53Q7wRn+v$?MmG_!%JM(!%v)650; z@GfC$Fyl91!=-t+=Z@9nG6zL7Yj%w?r!1|{|c$X+pf9+ zHch|F@c(4`Fr0?bp`U5HNQO;UN%8hjHkRyRK2~~?9YC-U|HIABn8#(snKr`043(QsFJEkQbLN0Ejbeg8Lxevj8E!K{yuZtz@ zQ8Oio*m2JvH6ohdx9vYjwvh{-o$-DL`dQ3sJhAb8!jJZ&wcl!xOocx(-F&z$)FDeK zOzdjG4tfH0zh07vVjWJgkCC1;bRD*x|Byl3AjdCz@0aY2wMwu`hc(#w!KtmP(4ee6 z+4-9scE>{AhwiXv+X+V-7>VWJo(ML9hgh|MTX?lOc}uRv-DOK&k-F+73i_4~7 z;5GmA)s58dlKs4qBfqze+k^B4Ar0;qUgs*kMUds}n}AkXv94y^-r<~6!GK(i+Pl8W zPqZ?Uj=v~3(Y6CIqH2IkrQ(mf*-x6_L#`Mks#V7n7Nn2s8u7YmQp=NYjnf8X3vi?m zzNBZKo8IlXCDqu_=T~u`_cL#9Qxd=RaFVAU=(9xW$yGZc8V?-29^m!l(@5aaqRgJYN)N8H&Ld z?a?M0CQmjDj$_9qw=W%gcOnTs*l_Zf$$hD1KM~406Ej)bhge$4&= zeC;2-$unP-OV^d+kl zTvA#w*~JyjxYF+LG8(2BC2tv6WER$3dRN{q18&-Wzsw`nj z+n}hp{T(aKtCGNHgHLmXTz8Df{wbHDQNY#-sdKQFUtr9SkH%&Jubb9EdNU8N8-2j; zH4_WF_THscQC*Mlq2-M;A!BRzW^$VsAUd~a2ZfkdH?$N3uyF7z1;nIfv@Q^5RBv9A zP`L@65d8zQavD0BxbB#RB+g(GTG>?N(b(E;)7YXI)-v3C5ez2I@QJA$QuF(%ub+mq z@<^E^4g4b4&vAdA^6YxddS(*X;J&V9;+pnh!qCWtN7+3!YnhydSKTkJV(j1)6Q5EL zsE%XeGRYeefP2q8`WM_IYCG7jDcYA$?xQQ@9_ry<0sI-4M|htz>VMonE%S>h9NFP^ zaBE#$-otR0#(yjC=njZo(n?C(6r=OXzpWt9sF_t?;E>(aaEeJr!66jySULn3_(kPx zo_rjcIA9Z2a`p5+JQf!BUSZ_E_0Y>FZiMzKkk)aIoj9lpoyxW}rU=Tk`qWCm$nmg- z@s^x|s<3W`1?VHWZdzLZgoIIj7@ue@Ze;F~&DYVDBZQRf4P$4|@G{Ubd_h&$KQZ&; z?k{RNUiHXlGZb} zc~yyWP5!2L$lEWoShONKPhFruqoH$Zb{)aggU2JHaJQp-Y70RwZfx-){TtB(uhwxu z^|)>Gn$ee}k0_Uui<;|4r^VG@Pn%VwmrSDbwR9EffL_eCN)7=+h4CMI6j~7z;$0JX ztiA`h#1sr-@=u>d)_{%yR4jaj`_{Jh&V%1K$vG@TDi2S{ZN+^PQcuwyy9a{Ma&jfd zS6RR0rKa66pBkY^`#5BaSXOW7K6%zVe30D^ex+J16k|>Pa4Euc3mRKdcv3QgrL6tH zCaR*bV`S&53n(~1VBso7=2bMbZ|)vlX5*DtHgXJno5nkX%9fl7Lwm%e?zwBHT8a64#;NjI%1d*t?<>SbL9vL-{17omN)OE2ry*M)T?f z3x{y|alN6nt%!ZCdxx+LV>Ea>`CZ1|hbnc$W~sn~N<>wcz&j?RW?)G|R@*H(J+})C zU2^rU=$lzwIle5UuIu3!T0OV0j1anh*EOVZ^%R<2{kkG`DCl_{)=~)~>Ui;YhT|td!p>HctG5llW(pyGXIkb|q zN$_vUi2yo-h9(~Y3G;8O`^}IDEJL5DG;<^|7ACs_70*P^B!PsHIOhbi9`W7}fdZixq>#Vkfs7Cc45;RV zqBbaN!zLggB6e@VM`Flxmr10Nf`7GZ3mYM@Ar6v|)z>#LOo3v_6!`4(WE}7F zv~GkcBv0rNN1B-<5tId_f)8LlJePt#2@4|N6#;1HlhT)_j z&|n-+BYZzi(+C8j*$9Dw6iK$UdLz(bKKbW1Zv=UJJCsRwclY(f4(*-u=4_-q5^_jy z1oqJpVI)LVNL47W;FTM<&Rr$X zStJrfLT5>&wWO{t9yHa2cokYdzz`fGq;C@n?0kZ37g9+0BN{TIdm4e%5+D#b$P}QL zFqS+t%y|bZDmwN95=X*lC8(7|x=A7tB(&13ZE9{Eo&Bpf8xFYum&JN0lZ31i4VlYb zj{vJs263*D{8wlC);^?j+{m(OD3e60B#|xI=VA9q(g>5tp>rl4q>OWCSV+8x^V|9W z{w>cDBiv>}xDdg2bIuyiJu`o|q?5wBL`Fp|1`QCP`GL&EbH~hcK`5NHS^PF^^@dSX z@cA}y`Azie5ok{!YJ{Hy=z4&3$#i%MsWVa+>gpK8OBV?e=0Tq-7T}c z{I6mE(G>k{0{8Dq&40JW{Cm>=U!Di(TcdW(xS3zE(L@Wyy-H%OmAY?O|MF&SqiXwD zMk7iZ7!Jz{q`7M3md@`kTgITJLj>F3v(DM4(ddK1!gyL_CV+PjBO$rL-W4X{v6v!E;q-XN=$=Wfn-s`t4P- z_+NB;>B^iw{f@1(QYA*-v_^|QL9)K6QZNaqanL>Yc$sFPH!bG9SSNGYFPVO@RKqvl z`<3L?FK^m1K+e{fgnciMfU`zxP%u&|!+whK}N4V(|S| zc;&uzge0unNtP+j!7F`+8hzvTmq*~W0hM96`hEzfRLt-XC(VhxU1QrELxdSh(a64zU4n4o#Thlt zX$>ctEZnC86=bw2V4oFpn2>Dt>)!l5J(L$$wko==+Dtn9vN!*PuujG~%m%UeCoEM# zA!he!zDp~oXmGNQKUAGEqjF2p>QsMgZ>IueR7bwQPAUx+r56#+I(_t_d#&JgZ}A5U z_eZ_FcAMcFfMytjMKArK6gp40u)%3VVCE87DJa> z5gd)wP2AU?7Um>kqW0<^P-y-5o&!Ai{HP`<3kNNbGU34sg)PLVZv^ahyEN)d*s;;w zjDhH&u)VkJp_WWT$^w~V_MLd#H$m3SkJ7glRxa?Rl3X=j2Ry?yxA;gx#<7bZ#$uJ1 zj&luCzgA=lh*%-k-b;0`Z-$-B9giN8^Wlknk+SLcrH)%hX*(H@5~jTs920PDU#bw) zVG&-9-*uKuk~!WmzluYwx$G&4W=@lRVMy#-!cO`H5Pu2JK%21p&9*;xA=r*M;6(3N z^^KK@{K=oNQbgP+0Vwz`d*Zj?DBVOGjyhO2FS`?VU`9xFSSB8>9TI5F7XZFc5l_cx zVtN>l7+g$#$%4M3zgSXL-H0cYb)+8|mPG-6j;%FcYS3v`AGwuN`eEY9&wn9#w{ zzHA`lT<~B9?6^Ps^cF2Vb&snUi-A~Z@TGgzaY*{hRBA)n3RNA`iy-!P#_*q1cun$+U>CzAD=0r>C(U)kL|iTmwrXn09Z)~8cmDQ7D&9ae4)8k z=vWrr`ze!k3ll6dISI?FcmdL9;w$0o@mWjST1DlwCM9$O-`bxz8S(D&^1J|?Xrk*JQrrxJ^kmvZ}*?V%&os*<~JnFY=psoeh1$Y~*Xr5cGaqmio^CcesHk)jy!J@@P^?^#PUbx)1?ay)kimCj9EN?y}p4I7iYSPWi% z$sRiWN?(429Nlm`zH~UW2guNW@Nlx}+>E{`Pg$WKOnXAzy&AiUg`VbaN{G`cRRpIj zgIt{~VZrf~$>wtpvjluA#+p<+bu?EbZ7#+QC@g{l?@O2{zLV>pNP2S%SE3Se(=264 z#LC{=U_2Oo(r<_jaAHEyW{-9*w>=~>cHfGL-%t4Fnma6gvn|i6%81Lr72G-Wl&HOt z6oodVj#}OP!j8}z#wANiGpHREN_|yQs|TRCh+f(yZ26!?<4zM-(OX*Z>1}X{b>X$C z!J-svCIwJxTB5j(v-)u?{j3cAD3tsAcy-dK*nm;bh&rb-H)bo)$OrW%328EN1G!>F zg424EH+csiebX41{mG`ylAv4*R?59~wFY9mk4!i}>NFb?QE};}bzk&6nzA*`rDFcz z1s?2xGeI`HFmgtIbvq|c#SXQb%yK;0PqeUw)OVIVs6gpAS6udDCCmtQs#lk5rf)Aw z`BT=}7SVpA8RUC8#G3@jWQK!jRZDVJb2W206lmk;uN%DGZ)_oLzW30>I;;Q}90mnI zrR(L2MokmcZz`+vh?2RYg*@S=a5YnmJn!2iAg|3My3O`GPmaaI zct5g@()X~>u(#VWKgFujm@>?=hLR;MmIY)o0pEM;TVpXJyLujkeBvy$E%dybKL z_O2)V2!yH|xcHgp4Ls2`zd+VzggKx0%+ffkn%&mOk%g>#~i(3ul z(QU7)tUopmMjAUwv?bO9~ z0c$5px$wkjwUh6Ze!m5(2Ph%N*cE7R5qwb(Xwm2fuwt=a;Ko0T`^{dN>>XqGO|a)m)X(f5d; zat%rRg801OxnTuD1|{nDvI*PDK^=svk1g4U|G=lG_K`|2>Itv1m7`h)n2XF0p70FCrw zOVEC43;BukdPwLEF-EGH?yLZ6uw7>_O&mWidcHUupp=PX%1eUkrWI2jM)^#ET3Mfgs@A?>Pu2Nd6 z^77!*=;rCU{s4}_A2;3bc^qn|0=ft8u{Ne1Y3(EKo7pBWXc{MHe3=C{dF=y}zc9!g z0z%_*3d`zxMi8iynl2t86}#j-@pZgF@C3~%iWyXmj8Cn~yP@EcaO;>q zihN(rEv>76-^4TW+v+I_E)@XmA~OE*WwqUxS>%+Ro|lhbBv-hpW|>i3b%}~DwrYzU zgw7xQA~Uxu#-wGumXbTRUhjp?OIseFLc!#ov?f=n2R@(*7@4l1;$3UkGOF9=SXkd9 zV2P?X;v3s@934+-*j~EU*5PVZ2vsGw7zp<2jxxf`O{Tl^KrZe?`B`E?>48k!gsw|V7o)m%!W~o` za%PWZc3<|nYXEOg{8iELMR2>d`!db=G1nFU(i#dr*~bAXlWS)eFR_5)(z+!c;jm)H zt0s+K#%4B7SjAV57;m{2R0``PR2&fj4&I>!^RP{&U*hjlml>~0|73KmQ+-ufeX5tu zr?`<_16IkBeyRq?3rKec$Fb?#*t^V9eIX>Z#+TE0_9`;7b{6c&I(K178kE$`VSpiSh>kSeP?R$;O4^xcNVS44j@vUCs8xB!5eFBm?38~GKyWZ$FuR`)C zPqI!=zJj^iu{~RdBDeHjqmawmXIA{!{YApWezTTeO5gBZ;m`s2iAQbg5E!1*H;6?p zVemNQQ`01kQfORZ9h$Ur?ltJNC2Jkj+PP!*x(HvTSbr_SSh%dRC~r=4Dm zy#|L5MrVKdMdPd6`atfyYy`MJec3+%&?f;W-ZuPAO=#ePa@{nn$TvEgW3@ zPVhLjLnGdoclFQh5_v@d3>-CW^Cw=FUCKreJz^8`j<4V9IG|>@ZV*~H|ATPn2rzYC zcgCt+d>b`F6up0|p?&I-0v=6+Lqs(O^TUM$rf?9sbF6P=|1GHeURY*E?-n(eYVZ8} zlD26odcNCt%wyuf2(g5Yp;;)r>N$!prSF}V(>TB*rJ^Y!lJm zat;{zdAUlW=m(~O(lyCjEANVrlnHcV5&@Y(SgwdcAcK9;C4~-|ZyHNJN!aqqaPFgd z$I>;mbsnI-NgtW9ba|CMNC?!*@hE7c3ae||C)oLnp1rDV@A3iu5pUDQwL)Wl?9*|Z zCzfIRf91OAmB9LLefKwpB!Q=~=a!kUt(K6P6)FXJjG$>GT=?L^k3>%b^$2u`Aq9mX z7rcTjsE0&cg%IjIs$5(``u=YY36pN%2`fBfg&9Avuhz;M%PuG+^cBpvF+!k3L>!`s z!gEX%!~q)dF!dgS3Go`@&A+se&^r>2mjG3tWfe6@RV0!}BGb9gm61pkiHx_o3|S=X zOYa|CIroe_Z`Iy`wF}?r-ZTP=BkK`}19;;w1wjULexOa}@i~J19Z8PzTO5hc%nW6M zP$-CO)rM`_6r5ZF0)kgm)sUW%$bu<1NL?fZl5jX3$jy*Q@@_o>{FP3AZkY)wEV2xZ zjZMrfpgs_aB>jLigW(T&X?KlToO68=_5LQDyt zPYxFfr1+9@8i7Dir64f>vXF#37Zx4TJClJCx#5nKRLChI#Y4Ji z!oVLV7jGzzH2O;$2?utEiwC^V(;GnrMUmc6BKjQmtHVtX2nzelND}EJ2?v6*NFxNI z5dIa;4Kzzi`XLcNmq(rh%h9oV1O_CQkXhCv5R-73f(z6~Vx33+{GCn?C8A5~n@G_K zEU1qBD|QO$*-A`u9#IZel8{-7h#^ZHzN7?PB;i<6-f)hGB*n<+DR^5p1%VDZrks2V z0w8&=?nzOP*>z`7@atV*973R zk#pEH`%nMMokE~N5)ZSd(EXA~ph6jWSpeDWj%;+t!>gS>yNW>6O>ZNhs{yhxyqGf{qeZ97YNr_ zPh*?@tb3bBo$V7N4L@qi>Y^oc_q#S}rxAlUZvmZDl` zulsV`yrwtkdok_)G%4-tT+LxwMV0|0OJa~Qo}ih)7poxaum}Y2y*|ktzT~FuSHfJe zUH`JM16uTa`1S4ZeRuHBBA2zd*G<2OhSxd?G7BqLt$x<%8!$I^0RdO9hJzDnB?aAz z*gNRn9^7i|LBv3I@csHQC#F?qFxb@38K5#J?vL>OSk3TGUCxp-K&yoZ3g^J5L23Mjc`S!nJq|3+A zHY@u}#!t+fjNTZNnQ#;X&V#fxd&?7nClfN&pG`-|J|sC`EKC~+n(@=@*7a}A0_mNB zRB;}>B!2i}4EO=TWZs3I3aP|S273Glws&Hkslmd}+^@xJjUAMNBrI)I(g7XUR7aEf zQlxteWgVs3$WDP+n~PcBOTjlQnNOFmHv7B$B;v^-^3Is0k5M#E3Klv8xM?}DOcau_ zSlZG~x=Tt3A+maQS=&bu20m@*S!wf;~7G zvUufQ-UL@Ft2n|cbSLM!p0*XDIlu7`N*CeUT-}s?B_jF zJAnG)P6JcA(rc6JY@cU$4K^khH!%r?D9u9;<-RE9Cl~_Nm*iKw?C+Lq$}^cV)J0ZI zcTnRhG}l!8RaHa2rvH{>&`tHEKRWsC>wi5yQy) z_LGYA4o{*&S)T^rYfpM)_=xqvBWJ812q7%?t4si`@notcwd%ghdv8$m^!iLKmX6~h)Uzf0?eys!1XXuu>{MHkiV z1Zos(tpm8r&IEcjiBC>!UuS^ZCGHbt&#yN|xYRcNR7caHk*WcFvm)MfHz`vDs3v>$ zxcVALc*mke-j=Z$4;t`htBq<5*OJ6m0Dbt+;T6|xyoX}ZWtWdC0C z7mW;$U$lwLKA`@ZyvK}(Dr(1FKi7C1`Tsg1o*(>v z)6V~LO#CzLJb&)LA7ZQjlXw1GBRagm_(xa>l99&be}IJmo|XL(nt~~$4fG$NDL8{2 zIDdqufDWFW`~jK*X&LBt^ap4PpojS%p(%3LL*Ds%+8HKHA^~R{auttUy+y7PrUm{R z?~EmH;zlbz4YQfda5ro&-=?|F=G3mRYzPsjg>&T=h&44Z zhdGrZn6R{1WN=^#IU_LtSx|a^vU!fCK$dnov#^BWDz)(e+bd=gwHN>?oFO>^J)ruqBi3#Bk$8w@u`GY}Cx7(aBL z7CFBHl>+;O4{llfe8u^krWaaiV`k2ZfC-@x2(UKeepR! z)ix`gFfk2Z6rV84(5XEg-C~RQ<~%3HzA{x_=Lgaxpj8oBKgP@<%{WGZeu6m6D_-L>^F0JI1It97>xP& zR$SMk?k_L!Kw^vcKEV}P1k3d$xA{# z?E9k~Ex?mJNT`1<=4XnVY8P)C;mAXv_1u>>S!3sAF*@l%{M9~lwntpM6oJlKH2eg` z1cy9|z{)WEvr=a0pvC5V{pU*jjIIg745MQMc_Fj4XO)!9C}8_zGw<=MQ0g%B@eLV^ zc~<_T@kRBH(u|VU2fYewNAo+`#j&N_nLba+Y` z^MEU{;GLBM1I_r2C%}wVK;+48ir>0&sj1lZQH}k*W!_u1Mw~y{NLLukbH0OZscOqU zDFJ$xZ_B$!8y7AF524NKIry7XevsDuE|A;3l00hOS#gYh5%4hm3a(q~W?l(E=sM~2-2wIv-V_=NQ?0a8 z8pA(lInP!dIiD9TWoUOaJ1Dx`>+A*XOuC;HA(RJHXR?%xq!Rq}sl&$b; zWsT!8NLY^){rWf<{eBoo9N)(rHIUQa{_>>LtD{O%UY=W05GWMLV)Jo?459LBJ(heS z?b9asU~XA7<4S6RJ+Kg?p#x6+y-)6!awdsR)W)0r7`5$C&Qy(463TwVpNMj1H){_t zU(h~Lz|_g%dod)}y_GW}{fgD;I{7k>2=k%&bDsaS6tAq|zPQFo6U zrD?$6L>&w<@2fJK2T9W?4(e>E^$)c7GpyykZ_1z~jqA18(dvljJX6!-ZUVclTw}tO zEe8#!xE6~UDJTNNJ~%0S86mU9J0mW78>v8uhcz!xo#BG$AYR6+!_L=s&M}q*JXwBD zdMNl@zdSAhiZyD!oq|Br_~a=(*LK}hT}CNa5!uqtbUG&-Hd8kNpktU@T!tW*w0VQg zD6IKBF!6oW7gTW1_)XF#RH1Q2fzrm$9badc4o_V|69*?mnfO1K3j)#>PcSvnz)NJb;mv7_LuI(asHC8v#eol?~dCN{TE z=`9{V>zbIxxXdVcRpr(_hiCqun2Wz@JA`mnECCuGez%gF$XM z?injMzE!>A&oBVPTZ+hI*J!N!nc3r)(`t)q&5C#3V&8TMOX)sawV3(=FfLIGNt-<^ zq!)9|Ed4UEh|9<sx7i7L=Dv5HP=>YhNT`sd>Zd?aoT?D;7$9M(0qMER0yg8w1F#HTk2)d-5DFixF7=%2sL5W65ODWhiMmD@W!zA2)vecwAat*CJZn@H5L z1eAYU-P{)r?;P1Pwtd<#w0kJ|;!xMqwRHwbwHFy#)>S@vgssm~CHK;})M)1v zS$Vdt9I!;>J&YLxM@xcu3Gy zZS7p@dlvw`^oy`h%?}>H+) z3xnLsIp+)%mNTo*LQ!*P-)|dx^f22*OtDMYrM@q@#H$1zzew647SQnv%BXH>KR{qo zS$ZDe5Rs{-c6=qi?-iK_GRsF6u`csVTG{#pguiW$&I?7EvEJBt@-EAP>0wc&1$Y>@ z6HUcYtsI(KHp~?wB-)mH-7qz09!P1Mh7eHF(u1qFj9r3T#(9I%(lZNcKjV>0-F+CH zT)MGE!6_snZTbo%70oV@QHbAA4`0P)yCQO%O4tS_NM)XpUzgJFpEWfAl-(;n&l_2d zliv?-GR?X4ZXc0eiLd@nqj1~K>s?Fl1wh=Wgw?W!!DkmTNKV5pBCr3%?V6!Q>Sa2U z=-95lB@!SNliRYqD{)KT#kKMCET!Ddy9N(K;!1C6>fU=Co7O)8Hn6D0lxGgmdF6GJ zzK*5T^{WZqox$Ty;L~hnvz=eX0}`?yTP||k^8G|&MdJLC=1f@Pm;EJ$kT(fg>u40L zl4{_t!5o2#Sx`wCMou4|Z9rmi?^jIipx)sTDmw0vf^BR-Bd2`lWi+PNr)}-c%m5OB z!O<07*{2Q2n0|_UxvD?^W8i7EV zLat+m^iwp4A>|gxLJBJXm0$kI8*$0X$`)cHM`%$G3u-HW2Y4GH(4oFEHujCEb1hyR zY;3PWX5m1fiG-<5DNtYumljB;5UubPKEr!)kS>%^U@S1Ji{BoUJnJA$W7p!Mj){M zN;2m$wgm#h=aBPn#U(_W5NjG3x*>rcM0(!PWZoNrj-;7^$ang;+!6yaO-MDp5tvBD zCG_EhZ#X=@2#Gb3M6;rzva-4w+3Q_f*U&KgI|c{quOOTy_->Zr$SWz(l5!e>K&-+2 z{abNak3ej~k+xt#1J3R3-J_qEpz@Leskr>z?hQ#MH4P!M*Bj6=%E&>030u4&LH?)7 z8@}J0uvC$|`4$`=oRdsscDaUzrq(m0-qQ8lozl}2=9WXxO=8~{!7m}YM9M95k(CFB zfbcHAzzAm6cte5-M?n<9ZT+28UXp?UP*MuFv8MmG>JoZWA`6Nxf0N7a--+QSFZ_bY z?l-f9)Dn(G2*)BqjztV_kiZ)k>Jf;GaK8ei;PNshCHw@6|CKofb(jC-lq(QiSGkY$ zPdK-8wSA2IQ#?sQAnc|Q2!uWImII`*Pmu)$m;YFCy1Dx&Cc-IQY8p&uf`k$lG~tAR z5^8_5A*3z*ty+f8t|aBM<}d-@$qWVrUwHKnxop5MSY61=-m?IyyEn ze+KVFfrSbM%;)MCY#ag-E^aiWVA;>w@39dILdXb#_OD9>@aZB(2tw$+iFDtD=Cv}i zvI^JDf>BIN%-rTssix0RP%;>&&v;NWb*I0eETTYzOG=bLwQ0@Y63ze8dj2=l{Ezvu zoP+FtqJk>=mp&2wo{rR_g2@a!L&`Om!m?|cl9I;CJE zjq0A|k>+;itxk1XV@gG!;$dpa@PNfT@tJ6Dz+k%fhWd4)&R3Ei3-E;L3aWovnp3xF zm3r{Z5jPo@D6qltc-s8xuDXYFxP(fYO1(d^-WHjoxG zvNAbr%5d|1QuafqkDeGU=DL>K1Zde;T4+NRWiAY1`nGoq zn%l8>c&u?~EHZ^ZM|`Pg98>yG6sEi3V-mQ!*ZBjBB#5DSN>hE)~F ze{_wz<}k4FGWIfxT(ZSy`mBe7yg!u8_hx+S?Wd~IQegmqq;(`~ z(W8@hBxc{nop`G2v#;c5Pj-jQBMw<{BJChx*mrrHKl?|K`R&VwkNNS*LX_#;#tkt1bK!LeeKsxHPG-Q^D1_~tmLME^Wa8*SrKq%N>zDqzkZ+S zYdh{HE^6;_@x-=AAE}#f#de)|$$BCX=(8f&>RH)eTnnk&P(fo!i5;^|Ac}tj&d1|e@rsq zjhw7+iw{tVD}FXzv}9tV8j$EPCH_!V3u+oR@qCT|FuMOirr1yI)h(6CwuFbD*YjrZDwz!XLH5{Mv zh*&bY8OePEDs|*@)0*wde(P>RG?zDxNUx$bWkw83Q-0GT;%Hgn0}OGBorn+?)a3SU zmE}lMdInFCi=+znPg!w3^9$nCw*pef+m7qfC_K!$)J97ulWq=$bRD;__3)TmE!8+3 zH^e|cLWF2KUNpwRR8EGOB81+RzoE2zt~0^&(|l)E@m?@skeXXUw``41PTL9ubb_CS z9Ym<-M(rN6sBlLQUs3D_%Xhw4MjXTzbF{LOJf9Q`z+kx0GxolB!L!G^kzNnyGq~wR zvszG3q+A(M;G&V1O6abj4#K;xl#?*q-Mr)Jt^j+K$rr|n<((MnRhsS7s(vnV6sm5X z+Iny3gVtp1hhrdts;8^iQ{oktO)9n$21PU-R+RfexA}DEPs^j~Wdbq~`TFII%j=T$ zv7i@MAnWOcUa^%oVVepEr_ z&lb>`0=E%g`}}wqj)V?6a59dmRfB8fh2}`Hk5na`Dkg<$HtKEqeWI!~Q%Dd7TIam7 z()Iry5zmjj|Eb;l`9XFe<;vMx0 zdh-lWAW~Ln)#41;!A9{@ZkjqKziO{j>h=!*Yo(l)K5V=8E~|~ORXyEP1IRrvDZ~!v zsGncJ_38Dz@LiK;ZGF)^4@?a&*VnE3M}iBd((S68D86!I3|%fN#^g?#!>FcJ;e>h0 zI%A*CE)Ib5gBrmJhB*yEp}{et*D{V~`3jW-Og8pDe(#psJn)8rL~HM9SNFa>Dqh}2 zdIg!s9r77eO{w|67?#I{8udbifGD@#JNIOIZoKi}`IHQ8zxy-wo0+kCk6q2`D(c+S zvcSc%9~-HH^P==y&76~5TqcCu!Ocq7#pNr$mN+*Ye9Q(~f+MPCW^&a zO8eh1=6g%O+<8|*w#a7#^i9;=EIMpxPMZ`a!>N%@$1~FGuUH_DR+}O*4)XQj0*irI z7RL?7qn^E$dshYos7KUmal{s1$FO~G0Dln|>>d$5WE%77XYYC9{jMFy$aaC9T#Rbb2 z#{g{s)XJ?wxQ<_^-O;y#!sFbntF}QR0k#u+>8URq8PLE`T0r*3!vL-{bUg8elPPf0M-wVwen&tjBb$1eHKECk$+pct?tRhJWjYK*+b%&14J=` zS|a3fDfKWDq`y+Gx(!k1+-STtSI{4&an;@85T--S_8<^0N~* z7oYbL-Dm&>t}lCxJ`8_G*gnec4lM}#@|b+PI#w)?e8$>d{S3Pkl$6DAU*{udxTIch zB`V!Qb^95On){&JAx9yRsqE^L3t&pXFhu#Ck85y-S?ff9%dfKy{yygA_Xz&`kxr4# zT|a?gGmAhT{%gyEOzy`Vs@v2W^6eNxskeVn5HzF@@`_UeesvGYqrseEzb=x)ro}CB zB}QyfockLDS1{kyeAEc)10{qK&%8|hpRTyxKX8n&A9wLK*u6Ydsq&32K$tU^GxlPE=%x6${FU%~LG7Za0J0I}=g_9X`yDAH13XlGIn9a+cF0$Q^z4Vwvu0gh%L_ z`m08vFp1S(p}2_i4Lm|;@K|_9(G;f`yRKy&n8&6#FFU=gk>#f)HekTG=?Jd8FlqC% zVNvwH50sb>#*SHsOpJ@@G{R5%sKyQ!QD9ea53LmjkJ8VZAM1bRJn~OK5HOhpHL~~x z4R15t)XiH38?@FAF>iY@al|BVs_C0K21ex!{=y&>Q+(-cO#JhCx)bYm^GU%VKP8{Mpk>OLWoi z8)pC(V7JL>-9v$`*SGx&K2I)U;uG`SH?;}Qn%Jhg8~6r%>_FfVl3e8AzIivibq<@< z&doa{BEF!g<%rqNA*!Gr3}B41aOQoSQ9ErQ(VSu$bv>q&?!aKz%%_wX6KsF|3EZ`F zeK~|jETn6e6x*;ut`VG?UAJ~ft)yf3F1vpO_IO`bRJv*1)_KCr!T&TChFMR{?J=K{ zhF7*utv||YpJf6f*YpD0&=_S`STMtw<%h6#5H~S!-6!7_6gH2U8`!)C^M;RSEMdRYxnxiC!S#qUs$hb>jCSh!Eehx&HljEk7_+tH+mVLSoVeB0zKai zt+>Lb^*z}2Epp$??ZvyS{PH<`3!CQ!>@EADp$8Hm(j0>#r?7v{SK5u8fE=v3=cl75J<=>Y2S0Ke>!qVc>SJ9Rre~R zW=t`t9h>=nYH1f0Up1(5*V@NFu5NO9eG^qA^mSh2%+{eSc;5;{<#Hw$F3>Sr#H8op zktyEyP3}ZpZ$5tA zLJrPcQFxNwFuHbN>gfBnYHSyuS?Er1{?E%-<#i2GJ`bG+=Fh4KXv8GVM<^Nc?DxqG zTTLIjB+qT(@`taD(?0u}1GHb1&QQcH>P?*HZJ%O~O`B6kz3!3aWmUCz#Z zRQRf-#fz}okt+)Bv9)d8vrFsS)R%^c~B9NB&i2taBfa-+-_&RSF&26aKOH; zDLJ{ulYx6$>HhIT$o|o? zB_ySOM5UE2Ev-ff!yoQ=()ZKV)xQ9%FyVj>ZxlOvp?Q-C)KsFRX&0`#hqATb=(G>H z&>wR=O|s1VTE{*PVnQdgxmE@PpfMJxr*e zG(xZq;kavr08~P1`p_43b_WFhR{=Tf|3n!h1gv&Z~NYP+T)WZG==1ih3-17^t+y~mcQ2{0F`&mWr?8ep}0W~!g zPhz3G5w6Bik06Xj2yzBp^=v(Yg^DNP=jY(p&O=5BMG7}=Cb-ewLWPnjU4%m$*7`h# z9XKbH5q_`R1OoMv=;V=vAf84D;)Sl}4S#OX)qWzKJP}X&`uh35gc1IbI)3=$@6M;l zM`e=*h0x>;b2W=gYN2ZKI|ONeB$K26fsW|f6J7}N15#8pRr2Q~TzhKj*Ua299-1fl zM=tp%Q4&T<{&9B`Q8S(qgRt?0HeQuTg6C672o97V;sWrNa;2K_M!|iJu*% zt2z1k9e;|X`XI4Hz1;)ofVxmr5((+z_c5r_Mc|11j9_{p2v!n;V52`V9C!qOq>xYy zS&snJ(vDXDoM=J`VJiVQ3iM9|%KZHN0s;(wu>J|x7eyPhB&Cc{q>qmKaA6bptTTnf zYyv?L^Lhk%fc`5FlMuwh3!#JYnJ2PP8=H{VVPR-)>l+xAfXbA8?vkKZ_VPc0tx%KP z+%nOKm|lXJnMm8jF$IFOPs}4o$HXvP_kW!lpPU$@(*Y74a@BQ1O z=V?urv6Zk!YVI}v5KQ+uCRugzTbe+4v-ezaMR@D6ml=hdY>AswoHbdHcf^Hb{b#i^ zyu;8JLKb5Fvq10#hJV=lP5mMAOtL)ePRypALFnKqSh2zY0mywniw}mk1q+@S%w2HY zvta8!HKxVbE>1{i@=`kn1F$uaHOzcx?s*<^8hhq78HbdQidChrbk&FSwaKFE-gJP} zvU7#h1Q za+y8`TV_17BerabK-a(hnX;8X)!A!TIoF)K>dxxeUt^iQnLxj%XG(g3T^THT0WQ7L zQ$CVfG|odVk5#h{2R^)tCT+fGSoJxZHMa@yM$D(}^SI#dO(;nO-!!34Afz)NC=|KZ z-@;$DSEv6K+?VZC=<}WTr{4~^_ty4;*GR4($KKED*eilqM(02MjslyHDwKbI+1DVC zO^jMl&ABDh$x8Jta#%UPaUKT?p5cPhvDQ~Iv{**pW{<8X4qSLizo#!WP@~9O>gK5I@S=&^o=>@+_2VyU z5Y$U!qeK4V!7cep-3o#^9)E%w8g*sicasilyO&6DtH7H!Z6XQPoF_6>1!L}81)6i~ zwRw)0B^UjUDmTKDc8vkU_T%z{8EsLQUH#^zsvnz`azsOmR8RNt8l}SJWNr9?T%dPj zhsmbwOIOUYjt!Hg8`haV<0PvLF`Hpi*>BgBKxTPO=r>=ZW+m3$#a^!hA)3LwF!)SJ zc5yethQ{6ZbetBv3Z1eJa4){h=0TKsKn=`oN(n>H2zx z=Qe-6f%H>F!Qb@bvAT~`V-=s(FB$KGRo6N1EpdwT7v5?W)>HFxTi-tvqIzlI$Z&nV z>TbN%A-L}Isw}j>ihQgrLzI;W=OUKu$IA-_U&QUN=`CTXDmMX>K9Szz+AfEQM}-Q% z1J}w8r@DqR`<>31)YK{um@uCQ0gux{R9h3{x7Z%{@~e7ZxU@R?vVtB*&L_P^;A!>t z2Ov02+FvABth-B9e{t1`>3rqBO@&kMjh{O2YiaiKcsGD6l}hbb692-9m-O#)=Q8Xe5go7+>ntt@sI((gyS#7dpCs}l8*1vVVm+^h8Ad_@AHOL}- z!#^vxj8AK+9_v748&jT)y=t+Q^lQDCCj7ja@&yp27)i!*yY`D`=(A!fdrE~(?E_n- zO}wLH8_b(3wIVbCbHvScTl;s=-McHF`QA^7ec_&5TFXpxdl=JWV2m?+00bfomdog$ z-=-wY``|o>r}uf@F8@WKJxk=b3M}&$?CSn`=xmu~DAGcjfhGCX}Wpp%X&ALl?&gp>e&F-O_{Z?fJlk*X&B#z;Hj`k zm-_~tSNbx1iD$6(N=&uSve<}b`!f1ukae3ohX?dGHGa0r=Hhy9b76LR>eTctEJ@yi ze|b$jdHu~l#+j)9x7WmOiGN-%|7}mK~p~c$KgOB0d3j*a%5>921%o=68!Y%PUxa(fEVW5Ui@ucE= z8s=7dk96J8*d6b6*@2)OeheqKVEc;uFsjDV-5=jkrvH9Luxy$PjYl^X{C!8cnRNL z&e5*4Hsk3!TdNkQ2Ev)0_`>QvHK`}v1BqVv_1i2me+&CDJ;C!;c0BG|_bkAo-op|z zi59aq9dtCYvU*(~->u#?d6tKv{Gwmacj6%MIJCQOMtOv|9_L3{-^Y}53Z*`^dy=eU zIs|hBp9?DOfD&>$@X_+=+l%UNqlw+VOq?}{%mOYe-kcF-IJym?ieQe{WSHLW`9%+t4ump&8XI@N4Q;HH2UQKye2{``F02;K0vsidf6ruQPYDqDP(8!11+ z!i$B#$CSiq&ZALRh6qjfUjdK9W-Khhd`_L$62VMG4^|33I9h*K)W?XA8Tas+^AWQd z4sEP$p)`q;*F**Dlg)6G`+(wASw*5{oyCzjgPoTkxo`YJo22x?h8T2eeN&5Z83Jcy zo1<(}hG^_x)%)iYJ}fbMcP0Yct;%v-><7-Nx9Z8@H|eyjxxE(IYpKJbYv)_7*|J3| z>U=CQZ_e(yB{lMBfLO^EoOqi;-6g{>ZD!2{qV@0VZPs7a%x0gBjQ>&dycQT@)7PXo zOXj=KTURBG_Afk&7ksCiqB%92`{ntmF*|c0`{-r3!f56t-G1$S>h?8>u@BQF${~nc zoW}0CJ>fKSeO+(!JomVH`zXdE$FoSK$;KdAXc05sNKCDv#*IHzZN z6kHLs_p98?eED|W`s+mVs{93QyWC84b;#7r{qX%F+1J|$AjC;xF=0GqX~U$U9IJH& zFU!c#d~ppKeBwM<%~gEH95imsMdj&yAeZg9*ixEVZ+Jd{q*<-12@6NPsrubGjs!4S znUN$YdMXn!Mps%FdW$jYnaPJYqvvVDz1ujg!*hy2N8jdoqrlM4-f!oXT-~#4JA1Z& zlhLr4g%wr*nrC|w1VZ0*A>y}mx(7!Htiq21Hx$c1j)*;_HL`AM7m#`_Z*>W%Ciaf(9$Gu*24+aB=oy$=M-*4pO>CW_ zWs|>cWMS(8{1Y>diMhpe44ndsn&>2S%shh&TE31QV&R>8p1X)o1U#FqpQL8~=IooC zU%%MYzGc9)p=ffj4IKy~udjyP3lHUOR9!Y2X-wfe9d}zYRSfv-2e^UJwKc%7>(f8}}*e+)V z^Fr?r8F^iE8asa8x2;>*CcYq}7hBfRJHG=ib6dVh1NqIpBTHoGc(iRo##Xnmh_qsp z$`Fq0&SB+e3_=r|zJf#3FpGuP?ivp9b29|gPe`u_uQ7?}-W}7*Dww{+FC75#4~UH^ zKXQo}f8-jYS2B%EOwIhbqVDnRMQ}($LB+@nSlYo5x^80TT+ly0i-AYa%yJbr064^d zC};7HYMNMF1zU7{dSR(LQ9}%u#SH?2(gzl}MWoVl%i1Wl-8ya_LtJ7yg!$0TnE~uKhmh}!| ziAdi9lXvYMifUAQ+K*g+Uj~EjA;kVVqIX@nvQWkBslO)!QZ};akZ+F{!~d z9aGz=#NnSSTq;`ktim#LKMl?;UVHdBC^@(G`!qHa;5YHlXzJ-_%qebYWqL++2F55* zDQ8P5%-;JdBuXA253*#ebEbqP&g;K8TIY^tx*|QHVD{A0Cn0AT>$1J)s~)g>aLk}{ z&sARA$jK)Ok3if$u(WmTeppr0D9Gsg!Ndz<;@gHqB_4-lE=mThV-bodC5{Sa!|cN- zf3N%2*Imni^UGIl3oI>M%vc`sE3$YB<*7>f2kg z7R+8Crk{j{PG0w}Z+b30zo}QkBzZKW^WKPv!@g78rlEu%Fn$omEtpbR`gKi3$0y+uI- z(4#CO%ohkLaNtw@p3--2VsLC+Y9#{L2>8}466$5KiQnBUn8#-Kd!3k8*SoxT zc!5*PH{}?MURXlQz{WYVxx0U2k_4n><&cXxkYLBl7Z6!L$GAyJR_!NCz6xJSnrxQr0ZK^%5s@4kZKKo-;v%?ej! zLn#lt5kkuW2Ta*K$=T%M;uA!{9n725zwOpc@<#+}Y<&N@uPafcS=Z1w2*c$e zhV(*k(9WCrg$V?TAkhO`g8$O85fnkf+bRTPs0i|y5*0tf?s3L5aG?F;Fm&<+M4mo( z{_+1zpUYf&}eGu=;*mTbwj&tQ1v4! ze}L|7A7cf)fWUW95qM(UMsky&@Dbkfk`R1Y#{Cb6gd2+B18~A3(NN9OQdqFwF!23^ zM51|<(D?-iC2X}p12&-mavE0qEaIFHNO%Aw@cyEnZBjDyu9Bk<3kBRv31J>u@Bf*<){C5^@?wP5vir z60Y(!3923^pobpH9#Jw0(c}o)Ko0NW4pcv)u?g7q2#O`i{}{AU7D-Aom(zzabH;X;4168@+lQJ?xh_*Gi^hPsgvnwek%nLa&A^-*;(EF`zEu(E=bH7GbF zH0%%3$9e-XWDVH7OE!pQ6`1S zv^~Ac%kXyw!hATkPog$=jZlyepD4efvUML0$nkyNOsHZYofF6B(R!^-B!hV37!L#K zo;ardA8UY+|M<)Q4|UJ~PAC71Xa2vZ#XNq0?DEHYj`iP9yelw({eB)%8r`NXA1WFzE(|FfuOD9 z2hd_`y!DjZp_ZQDGI&51ed*Fm5rv62UM1Bx43w!pOf`Y<^V|3jioW*!UU$A>T-q}I zjo_Kuhnx9~HrZvHN6+=Q!94GGmL`YMm-XVK((ekRI8UEXvsVhae*HLH#PNDz%0sYw zu`$27FIwiICy`eC8MY$rtD<)^MGZ$w%a1b&)wMGL-W|aw&*jbALytcBap~l8I)rPV z#(p+*<*}jtw=y6+2Z)-A*@KDuepmQaS{{^KO^=_St}*{rT9HKg)t$YSxft-2^RX5G zif3bF32NqG6S-ie<-T<~-Pv%Miro*IwHASHm5TI-4t{A-yPX8J{Z(RjuRG;IeBtgl zLVEYk2_5VKCf{>6K3rB{`XnNl%eA-1Sh1NW8N%{ec=puxXECej9^khx9MCLaqQ;pz z+bnSOj{dHhYiSi*(_v6s;S~W=7A-LRG~9V)j(Nh^u+!&FnyImtZfkdYl`$jhy#9E$ z(Z@LOTeZfHJA?HXyHN%6CSDl+zO13N4%Xvuhj(;1pEpq51Gf2TUiZ}RkmH#N{jPfJ zzUHX@@|Z9~2{9huPl)-UrUY&d%LO!FO5kCT)iX1?EU)I+P8~#$Zg#JyO9*e4^Rf>J zIAeEzdaj0X;mY9q5ned~VB%CF_~x8@^rHQn@Ffmhz$xX-PtjMZn8s%ZY$FO})0D2! zk!?l%!iamECw4jJC%6{8D9Wcg&TZq>qGzaMHZhJErmOeAY` zFo~bE*#B^5hjEVdrM5p#<%NWg5?EKe56^c4oloq<#PNh|nuOLP@!Cf1@m6n+8>ch( zQ`Bo52QIGj0E9BcY<1{ar+azSqsG|V)09lL64uwFyY9AlIf+GoWCSr3&Ku1mrsDlG zM>x!8UG>Z3I#?e9EU$>l5**PB8D|27y6$sCw8J?TQ-g<&>w)-<^z0u`UwQx8;(Ga9 z7R&i#5X6`uOrpL;C4`*KO%V*X?qX`eldQ4p?byPfH51U30sQHWzZ)bPi9;0Rru*Me zKhzPNX^~Zux%RehjC2X7Z34Ky@Mw%a_o-)x-E7v2Js$0AZd7Ut0Y%SK76OOQ_|) z(Mb5h%X^H&2QNb&yCMH_c?W&X=G~Y$cE|Xay-&){(NxX;oJO(WNlES|@B*t;y#Gd_1qU+@z7-U`&CfP4O(dJqMLOYf>Ld~nSeV++(k#rX+ zOpYA<{#chu9kFybPxh>n5P12b-v<|I_!Pk0q#D`ZS@S7!Yn#>X$h)1eHBBMC)eGe8 ziG~dJS zJsy!B$K(K(trkn*tWPDSDnCmNf6n(Nj)mYs-THg$<%PleqJd-JY3!X>_xi+M*XfPE z38<%bcv$Bt>+$?5Z;bye`{mEQgy49C*z3J|mTm@NuvhAXG)BB0^T&<>t2U%_2ICY4 z(mOx^o0X3}YHY~5@ZCLaqp#mx=04E>?Ch?xw9oS1;7$$!x@lj>t`y#$UC<-aoUKXCj|{=M?jb4+ITxY32=#~?2QYx|nd`uCCBOL9y<-zFy;J{T~y34L_~nL5;0b65nD z!vq+!pX`r5+Yy8XitrFV7&BhKov5;x8o1TIA4i%4CXRo0z7HhpKf)Z}#r@Qfr%S8G z#;r%(emd1v0Dpg0446ksz1SrxGQN5AKAbc0>b^E7_kGb}Q3g`;LGdpl8+kxw5|=ch zJv7m>QbVn^U2I1PVdZNtZn~Rc>>2#?-B%YNG@T~KGE`-wY;o&1KHs9+h*fHbCI(G8 z2eXQj?W@Z@fPXg>ze8ls^yvZ3BfU@GRmiOtVsuqKzYf>*(L1T0mI0o&*4nRlDywPOTHt=$fX#nP;m}+uks+9H@OWDO8>yi$Aa-L^NO$jle zY79$#N?cm+nsH`JglP1glBM;^(aVOa8|$=rJTGRhfya8h{#yNwr|f!saq6t6!peQ_ z+~VjrTh5_YnPJ7N^97z{$)xc{{=Xjw@0>i)QPWQ8?mh)$N7&AR z=kw;Qa8-44giHf>$3FUsGq0b<{v;N&u26FebYkM^@d5czf|0u>j~@%Qb$fns%gUYd zXlmDTN<$7>LCKyDd#vz7v)c2^PGy0DvzN6IF@5UWESHj($sgWM#)nlSLK}oJ+)+Qf zN#$#w5n2@c4JOCReJzyyrkGB9#hV4BO5{J6Pta|k60o=SaXb6V_QiV4DYFKHp$xYz zZN~v#@L}n;-|;|&_p$TP{BuvHC`X$Wf%CB{y)KLC(lnVOAHdTIC#T_ge&ULPlsx&* zvsA^`dx+YGeGZ#*btMN)b&#&m3-q_D-4Z@Rh!JU}0DGDZ)jE-?2r>dcol-r0Y zpf~w?8rR6I9OR1HXID6e$B|Ldozb7TAg^k@Kz`E?lg=$;9_RaBK0P4E68RBbAZxP0 zF5#}z^6N+q-%cZfR#`5nz0!u?NG4I@9a9u?-*I#KEK0o@ru;z53^_ZUSuB7 zgns1u0Ol=Ajjz4z7x5Z!uI|ElUA}S2^O%s$nv&Xi+KO*w^9YE5G3_>s#UWa$2m02| zPdaawum1q! z*zB&}EA(2gkMx{lM{!6Kt8C+!^xb>Et8Jcnx+I@%0-C_HUM`^x5lMQEn-N`1%)(Ej zD(l-mQ(iTHXyqP~-v#<+5png9in^};AML1dMz`-m;3`_VIfwURWg| zYuQXEpSG{p^0q+8;<40C^MzF`Gz3&d0sz{0`V_sbYPlcIJ-$145LO*-Br zY-Ltuzu{8BavD#M&j6n^S>$Et=N%VKm&wx_zM--3f!@Y0|GgcR@L=o|+iCFhi^((9 zt;DKx9D-tZ%70!7&Hvaz#4hijSNvs8Qzx?yG&Z*{1eC#!czOYG)rZbcQpJzbVdygNai*Hs21!VoQgzd*|EVS@I7{Rkw?XkDcO{VpsMIt_FNH4SiJ@sjlUC z=6Xar4_?uVD>!*Zj^RkEYTt2b!65)_d{^bvEFHXZevm2XI<~Aca`B7GYTk~@`m!#o zZsYR?^!)s_jZeg{psIb>C1~e2r?8DZ6}Oc`#o+R$kbf&7BM_1roFJ2E_PH(5YCv6h zv_i@xbIPRoBj)Yi7e*%H($a)011F@6=I#7KiDv(5s zk`QtzV}todrm$|@9(87;A(KW3Kn>Yw&Lq@IhJ-*HdSrB59&DsOF=L|)5))ELbln=r zjcUKZUvQ%Bd>_30lJ z31K8$!4t)TUXV!PKvTB2_cOTg^CX1O2M#n=6N1* zs0b~qtm#bv*e6cxdIS^V$O!~NekLKL{}4&khW_h>N_rv0kiX6^Kqz*K7-|Pe$&3&h zaFh`S4LP8Q9Qr95ky^fBPf&&>Oq>xF-Hv@Q500|s&v78ghdMrW*(JQL{ z%*!kKr#|XL7>Vj4>k$gHQXH-K86Df+flnxuKVref(3D7QoFoJ}1s_zH5(%Y|@SyPF zhSNp}(SN+>em(-i6=z0Xi!2DK-BTrala#|V`eb&~Y zBodAmbRexTR}^L(pwF>(i1i_8L?CBOLICuk!=y}978-!_ z=}|n1{!T%aF}(9Qr_Z6et!S6j6&Cba!+RcVw}?>zj1f!-GckSO>F?h?aSB0tCYBK7^TaU$Y#y3`2h}%md=0wbCrtjo zPCcP`^XMNj(tkTihtPAmxz=|2K<$v`YS|B!f?SDD9TpCvS!KNZ0ogWpf) zg`0-#JY$-Qo-(}(Ztd-Qa^`lC6-Be`o$gQ6R^W&+Qg|yz;pKDMSGBc?@(fbirWbK5 zMWuQ4Q&UsRV8Q!igbLoz&!=91qbMnpA2&jDTu;3- zl1WKlp~(z3@yeAey8xQnLs(ut1~!7pVeCK7Cs|Y^8Zz5)ZQhZ_b9<5X+4Y9`JKbxQ z=iLE&3FCvzbgeT6IrmoV)VPIp9?9G1jdzd|c2oG0Q^CA3{>$cpG?Z*@P0J5lmOuM* z?U$E7N#wSn!Ri__$p5;c3aYQBj@}}~7%kO%G%hovs?}9ilXQRfOG4)<%bY6@c(Q<_ zA-S-5MN*H;xi^&lpMp8Q?8VYCT@4qNUBt%W{JCBX#%{bz7{!wt=3ab!>&Jk3PlMFE zHa?0V7JLqMSwB9^Xi%2P`Sz4KkTVzX2yF)r*bCu5eC!gBUU&5Bdk`LRD}cOJ`HkaG_|R>h9@+vB_iB>4l(0 zO4)PHv*PWNAUEx6{5MM>oTYGW@qm19_SA%5(u@eX+~<;9W%X5w3J@b%*`u_)RV#Tx zWWQ$b%E#Z{JxZ-Y= zBvzIIl>v-p;@QI|ataM3%UbfU2{mMPIx_PTR-Gy7ee0RkL3yR?ONHxc>OanHK3{8# z+iv7=?m2x!>iI(4XG%He;WMDZHR;DArB`R<-qmnUAra#(dvA>*tgA|{S@z+zIEc3a zzt}~imW!f+qbhBkt{g|b)@6imyN+6vymlZ`qOiC8tB15vQN`DUz zUj~0D<|>v;>ORgz)^PAkBGL65d&V%?{lS}*eweHS8+Me*{`r=O?tj57Q-DuovNdCDY!1k)vHZ)lKBV95-?vkHrLbrB zOmWP-6g=K;(x?7%7!g9`?_ER{J<*cTbDhzj7dPQBiue@g{5l{Nc+abY`>ByAY`Qx3 zyatV7VFd<7LLmKnJQ=@^_W3o)G<&aqjP3+49${MPo5nwFNI1LuDvtKyAm%^_vS$VD8R90oozo;EKg-GBtX3I+H zT~o3sspB=p5?)wY{?e<^B}AFsR-%AwQT}h(62eY6{smiBV4z<(&OfI8QvYwj6UGVGtO8yO;f{o3szk*W$ z-`xBaoB}?6n1%Ega0=iXx4(kZf0$?X#B&76K_TaRbjn4i59pLPap~WfC+>rlu^7n* zW{h)$_;eb*4;QzyGq`#mwM%@_n1LP9CV)tH;=z>A@Uh)D>b!)W%V$<;6R!@2Wm5%P zvE#g56;%egi}#bCaMQAUQ2gwbUasuzcYWr8BWD+ydve3+&Oegly9U@@ zRD+~FdOeu#O#pTA{2N;O7?%@Ni3pijC5JdAV4U0f6?yteWg2mvgQOF_ zZnal^J1g=txZQqy;&>o<3zWSv5$O3@D4H)hOVGmqp{GYG_@2&&%1X;oW^z+Ck0E$; zC2_Iku=(_vYSYkzC+#bYS7cu@ay!2p40Zc{)skZcT#>waQyJXur}Owl-qALg97r(z zI$@6@l61K1tY^kh7AQWwRoJv+Z{lyGXI=dRh`p~6cDUufxYePqycCP-pvdVvo7%5+s?-Pl^J z$1w$EA9{4l__Lak64H(74(0$=5f8lw9?yNQ@>+k6)rq%==x8QAi=%nwRKN33 zHZdtBFvMU`woIUS?=4`<9o=Q2ePy@#G@q{BxcBnphuZG@hhWJl?zJMJ`+{!Y*Q=fz zi3Qh7cC{jQuxrX_#?N4c7VwwEK* zcg^`orz-@DcjiCh-ZTX=hqv{w>3(wcl1ZkiCBq+@`8{4b-}PY0?sBA6lqmNi;91Sf zh-$m`j7RKF)nt(9Ou((nH+}~(MUE_mH89$}s|J;qe_!o6uXjn|+^eB6)f?LBUazbJ zO$K|f^m>gpXSBWsjwM6S*tPXTyZITNNwGq3cA~Lg63g1E>1b0D?oQDI+jqL2KS9{r6m@*I+1|5+_6OW}W3Lbo35n=2L;Hx_ z9~Qqd3#&my%%O?PZ%!UreHf70by`uoj)D1#M?`8y*OzayH#E#Z%lOQWw47G*`^niI ziDwZ_hw~|B@1IQ5s&(^@%d3T^fy`QJ&E;K5pI_@j;__V8Zr(vfxcWTbjyNKBv1ueM zrva1j1Ftu!8BHU<7I1`aXg_c)sTsy0QepDN|v)ik+ z-F|;YMXhmZNecI7DpVC zIbnH@RkwP2`I1sc_2V4;Wd?B{z@H>@zj50!KDJw4Hzl*0p6LRYfT*TzN&hI;^Mhk7 zTkxu;kCcH!LfP2bBj{sGJD!;Qqv)(TAfb3m|E`O7R01d(wQZi933!v3(!qE^LB*x* zh+51w{r#$lp&6xskQ6WQOAh+6CQ|WZVVnHy1s<)?U$QYH%+5!*3|Dj{9<+Ar17l|Ww>hUtacWh?iqJWs^ivxgv<}#b0q*YKf6eMml0&(k{-T_R< zxWtmODzbA|EgZm^OJc2a2Ue+^smW%~X@#-w#FcGOF+27%-FHi^UsHJrNcg1UjAncprL=yORQ1u{ z(b4AQz@woh-}1}X4J$_{7FDyVzu8vYR;xcUaPY3veMy#t#Zi=N{+XEba|gkHyT2%jG2OeA|>1X{Z<^6ELqr@jAr-n;1- z8<&)uUs~HQIql=liD^3k3)M#^A=r>a1_eWuf`Mj3BCjEZJJCkMx4}{Hs(EE4%!V{V z&Yp0{|M;|F8E13n%!xf4_S?Ymf7e99MNdE^Ty7m=OSJLlA3Yn4#oRwUhUO@!k3_YR z=U`qEGbz-7LPo?TEq_B14TMxuHu{e;5`J(C-qav8gD?`}NGP?0L6|3XKib-Qx1l@| zY9paK@}%F!`x!Jm|Eak72SfV%zYKYul|7&tp@-z74*P-$1VIYXsR&Ld5=k@)^F$^2 zCl3>*MV`n(Pa-k#VN&FusK`kpgdWvOqDsj>&h*m+M36|Hl-ryLCGpAOK*1yhB?{%J zyby#Met-|#$p6tx!Y%X=LozU&0V0x;Ff|fwxIu@k7eWGclV}_HO$9}j6VEmjOFF=T zMnyV0)g#DbBLs1V%oTBg%RGTd5jC#6K85SK!DK9`m`p)Dg9pcni~FCP zOnBF+Y1p8P8|9SPkW>l?!qtS}p$Quyh{yzjAfo8Oioq@7aLZNrJdl8(7Rp2+pOuwE z{oMc5PFbR9nNTh2ga?CJp@sWIIf+Ci!Nh;Ka}4}Db^?KU|Mf8LAFlHD?Vo0!#Kc6% zX|vE&1Q-_pqgXpmG7&!E!z|W6kg^BD%#aDvec))12iB=A=(Jp4^GR2@UjG35P82u4kf zk7YduWSI#t(i2KLVW#KtWh8BV;+Peqg?Zxb|F1JP8wZ=?4jP8S^fokc7kLgbG?SQX zT9@cBQ*X;Pvg*#O0;k@eT^c3FH9sf=a#Hh)tlPepS>!NZd|;`q=<;xo*a7$$T%%@- z3e2>z?s&;?$V8!}wR>^*Ah;Lf^x#8;eEkdPPqrB_dB#r>tR2=g27H;x^%BzQMt z$*}emsKn!ep__5Bwa@Kj^?fAVTj6{h9D z_}gu!?FtR8!kuv93L285;H(YCAYHKjD14=7*&{(H>5l(Ik*DWi{qdTizi*XwsA5y) zz0CkXLy5R-v(D6O27Wqh#CsJ?QpThb$KqAvDYuBn^p1ZKs1N3-2)~<6pC%Z}rqXZk zdxF2w5Y}vak9(}FH|UkOFkl)g#OJboyodMA>y?B?7^PY3GOm#GCzt$@m}3>bNm(HA zN>$NHz)8?WM5QLMG{pWL1~#u*?y+G*g6$VfKS6zPO>C@67!PTj5fJ8n>xaD@RIkm` z)8J=Qhsn3L9G<-d)DQWz3(w(mozg63s~OX!o4zpJ{GC0nSxG2YU1lQnA)pQF`>r|s z+L#}km#2n%>>>#TYh1WO+MUy0U8nVkkF#Oxp0(Ii*KtNFY#Gk|#sst}Bio2qu~y4q zQcl8!FjvjyE`2^BZT-q2#p#Z8jugNaB4mb3^pG+ zo7AVcn>f`f3~I15Hiy$>6?_Pve5UxQLVf4*v+iKqJMKZeEt6+Ld(A)~#uW#?NU3`Z zPg`cbSdc|_bUq;v`qiI_n=Tj|7%2M;Sk7>cN~&00X(vvw%$F@#XZGxOy-|3_xv(Jn zg}#sO6|ff&n<#r~qv@iHQ@M@(qT6cxjv;Sg{`ael8}Z?0v2Q^2onq|5e)}bgzEz6I zCo>mV!&IkVXzgmRi*1Fj6!?As_sYg2k#faUhMv%vLM#8zce}Z7GQ~N3;<|N@60;8T z8MyOElyGHKi{Q&`tmcqq>~B?6jS-}7gHQV3$KC!&IHLe|hU-c*J6Gt|cumFSR4z8) zPBslv-|c#>!@pTS*T3K4=vKDzL2C5nrc6V!{dv&-{I<_ z(A|_N)|nYH-FTXj((MfSl1v*CrM+M}G5p&9OKL#+Ok%*!9jmP~_Ww`#X4x_AcO?Da z_I&q0k@6buf8yYmTrvgtuz-`jL6hH9`}p%h~5^NXqjdC~idORa`?Qnk;8 zy?8jLlW3P>AtTOzYeDHdzCwg^ED$ejHjO2iQ;slmd(HBGVl&|AlES=-C~hugrK+L1 zzC6g!dl4FY%jY?e9#AwBTkVvL?+P6uxXLg*+GYLLOLqh4$FtZsC_5Ot(uuOaX?r(* zK8x{6r{wl*G;|uwrGI^yx-mniUQZwTJmsBybKJ$3+DZqY!avcbc&+D)Moz9&QAyI>H(DvC zTPnO$9|KvEFq3_LfhDc-Q_4!nb2B_!t?DQO{;P@_#IL@~_+D#yDR;5bKo+n^CMul6 zv$?#p`9e-Ve00uq|C>p*v|#l_em0kSFNqWAIm^@VsN!6jj{N8)4!`2*>t^D6AMaRD zm2m#3_c#9U1%em^8?&jsoXPDCMC0J*9eSm;-(7s<9+>JCX9}&`SA&Af8d0ebu zYSKCh!oP=IeXvPEbF-V3_?sm8{(|Y3Y{|4hxgI5a!Jay73*c`Z5;-uYg||b*y{y3V zK7|~Q=w1p&wmW&15)ustaaq<6gjUOv}-$^#a}uM zuZkwn`;q9DV>MwH^@F6xTfJk71Y8y$1hO&a@1D6gaEpbzum9d_iz>O|`WzS&rwf@7fht}CKD@zI3nda?^tEyigbx9`0O)y-Q=PN!%KDDjg0tJtWjr{?$)!O zgLb>ExjcOwq#y`66P(AyiEm!;V5FHfRITkiuaD8Qu4k=NW2C#pGV|b-{QGdfum&6= zbs2uQ{onh(OhsnXl*|g;d{S9bD?^n9aP3 zeq@Qnf)9~VI7{9=^&gE^_eB%B&v=s#3}_IlC})x9%zm%Z_< z7m&o?i696iUf(zU%*XaH2xAfNwnn5!Uxja{2cYc|t(s57mc`xwznFXPc&z@%|Nq!Cd#|UR zy;s>~WtW*1k!(V;HzhMd$qX4~W$#U9kt8H5B4k7;{GOM3e_o&O`~Cj)`~Cj=J#V*j za$T46bk0TBb)EZp49}&o-3`5npXU=NI*t`icy6%7z^-AyCTH#DaX)8nX*0WkN=hp{ z*!h};k^`+IQ|pJY8iUf_e^Ym$B>Mf-NR6D2@bD? ziHB^mmX1DtFB{o_nufN%dF$XI6|26H2NtimLXwXJlX18a&HE`FHsk&oaLlcB$gCQ2 z(a>!E7ZK5=fSTnd!+J9jS1S704|@tKR^U!Mxc)h;dlBahznEM=Nyj{kytZ9ceb3A? z4(A0s$2gFjn%m7}bw8^d#`ax`t9!fr^#ms{ad7lIX2O%IcLzs6Q`bJLWJuxidAq3v zY$dHaeV2O0^n)XTiEXRUoj6Qs5L&$;zt3OuYDYrNn@{cayP$9;vFmXu5^6WzEv(bX z)Br+Od6Ss5r`;Q*Y>IluN!1M_8@sv|PpfA)k5o)=dgXxH0c`4XwH;H-7*}nIyYSR* zcm%%L6EgA1+!b;t9u;N;1zjVdRn2VY?!lPD&F?4V+`_;lrQuWG8|_eHNe8Y0Q2c7} zoW4mi{*C*U!+VT;`o=EaVN1u@ghUduddBxQ1c9iG>w_;?3Jog)hOwzxxn;v!d-$wp z6$~9bf>-yjY0rTm{d<{2ObMA?^+TlR8u#CwXbr{ns;H0OdPXD5VOP=&JbU%-Rx#K2 zh&(FT{&tMbVCZ~%XiC#{No*&gPCInu( z-%rUUBvb#=+*|g@7fqbPGLA!^ z7ry`O6xhF)lywFOh&{?#M`z@fRoA)xkz8HR$vYypU~%Vw);K;T`zwM6sCk79-Lks+ z=XQSj3F>=#2gD@hSI_c_xkXLNTyJ9v2k}V_wokTyoxc-Am$u=g?-Qi}FMdzUk#Xw8 z8$N0sTL&ZpE_c?qFv++C4mA_& z`TofzGOFCVsad)otgwiC(En=JZ#Q{jvlS92blBVjC4#?IlCX^& zrD^N!g(^0Ph33AlLk0trBw>~$tO!C$B_W!GK3nKeI<;*>n!}V0u^=Qm%x&|{B7w!=%Of%{}#Idn)@u#}UirYtYrxm??P* zC@J88+R5MQNow*_JV}dEiZaMSCV2|z;65qAS(V|U0!5T4Q@7eIf*_aR3jC=cM}b;Y z^SGWKY~przhm;c4XLIL{H|aluN%E{ zR8|k8oZS#mj-v*YRHDKrCm^4M6HLJYWtFH-QYfW_zepG|2@TyS%7}{su24*gfwFeD z!GIUS-%3g-7$u{iqCqJsp;!Wz+MK$%Wn}13Qc5VML=E{1rq@n0TL1XDvk@$N)Np`5 z0VSh!bb=E?9p#@!ALwgGb&sP?le~O=p|K2(v@|BDraVn+MOBZZ+}&_wXXoVQqryy~ zjM5UGpJk(Dl<>DS2yYC*y+#{;4W9*oB9wnB$6@I>98fwk`QyhS6jH*Bv6B;gl!Kk~ zR6)rDx8#M>@WFri;Udrm)st`$f_sP(PoDN<{Ylo8kd&4-g%we7oXU~`sxS+MC82>G zj+=H4ei1OZ^`GQcBo@vT7mOhBmhdV73S6It)WVd6#H3(^425M=V6tZF<0nsY;5C7! zmKK}KNJqF9(rKfG-_zUIKQOcarO0bGnpmfG_nUC4tyu(K0?0;?9eCq=8$yCIwZm>K zco_h;V;%hZ^?p_sGHBQ+gdiW_iCK8x>vqL1xAtCf5tE5^y}IaVk_kT zODg9-GyI=lg`B|PyZ`ep)U6}u|Hm@fMLF0?c7nek8%VL75DoLDm1AJOKL#pYw-(r+f&U&pKXxld`oP6 z@rmrrbyM@#Jf;^;aBX|ff@>hyAD@3MME{<8|K_DJvy-%kWYn?v%`W;h-8uCP?O>@o z8k=rVQ?~lNgG#2t8?J6+S9EP5SH4vzKYRDtkJ5lrV}Fx8k{_G;3#GaD=)-nKG_y(G z!pv6-*BMw@tO%$8PQ@WH_0%Xv=VKg!Sg-n@cbI)U{hbR%ONxc5{mSTb4WGyG`G%g?bwlc(l!_WR1y#*TK z-Wb!Ng%b#Mc#{xfu%w(nT<>94EvV_HBA1nS?s6nXnnI!@o__$ip7MfvYd)c;+dMvr zhC5{4L!41VS1C>F{RBq`{b8vSXncf8Nd4`^vbxPD#o^`U9O8Qx2UwTr?|8)Hz%os~ zD!`&mz7ns&-?6WJ{jGEgbs`VJV~S3SbKLG~#9bx~8J*XECf5~Ol7of7lIYOfh{39e#SGkU`hdJ2Ex7xs zZ`kG-3!`H56=^_mW5>{`bzsPV=uW%Or}8?%{O|(nfRrOh?`%1cR8AxX(v(=%xXE&b)MA`R{6x79V^kIrYec`p7If1VQv41 zi67+`$#-Spo3wX7 z0MmCa!A;Xi8_)OW)o;^aV$X9@s1LGxaFl92n4XL_paw~e8p2H!lo8ISQw78osnsatHy5kSMk5dVK?sgvQ3pF@4E*qkgkJLvuoChm|7aK z;S$3*w|)4(`S{CQ49b5^*sgW6De&gMUQdp6 zer)>UoU_1)n^%o)T6DptrY0@e3H#BZas4Qa(^QOkB1Yz*%7_Lbu;;F2P^cAqcSq$K zz)frA&N);Nd4%uGTUXRXKKa5th~BwsHC^jYQ~2dRW5E843Ntw-ym%=hHjwP(ph-AqC6$-|2+T2$)cs@V1|NT{28kL-l zdXcO}{_W*ZdK>xIt2K&p{@bH6JJ40}U$;BI1K%Xk(MTm`;3YZKGWLgXHlYaT`o_1v z;z4i*zUluB4+4GxRHNkoJthV4L)~97DS($N|B6Wg{P^})ObXzKyT4*mFf{!7ub33| z)WPh{%2_-FsX}qhYSgL*wW>v}>Sp1)?!WS0{=AjeA|N)5<#BMfW#6|boLXJgydaF?QL>CvZRZgesZ4f-(JzIloMI)tQIg$Iui!U)2azN424V4jeA<=*_U)YL)Ca}6V zpQ&y!#EG|inOnn;2fk-tL^B6DYl5*?39JZc?ZC=1~Q~C%wzpB0O!cAFk?T z5pmx<03!u@Ta%wsAN#0Jmryb5JleEUfT4_Bt3NLFm+X;5p9Atl{iQPx$jY2=m>+O# zz0#GQcxcQx^ptn5QZTn|7{eXtnj&ZiIm|2$A{qPJwrMJh->Ox(v5ueekq(~`zdG>< z)UOs@7|HQq>~$omN;vu<>!>yDIKq|feM`oC!GMiP!xH_+$!3Btl99NmOZ;xY$;PQq*ISQ^0Nh8W!r zY+Avq5}|XWQKMzssC>`Zd%i#a#x!N$SrRAEy=~q{HRNoRS9~Utot9prB+TZ;gG^85 z!nNn&Kcg)cz7VRc3k;ulFK9^zHhrOjaAz8X~d z{u<-MN=h999tEwhDH|Z|$_vV*tfrf*AI}ETW*(N_X7mtAh5qcPhi)4JqVr@RE%L15 zD;tl2Zvy3q{&oSZ`mq=V3soASY2$vbq+@gIqT>e>mC&Gl&P>|)JCUGBONWfz5y2Dg# z1+6U(=(?MIw1c%$~m96%MfwX7>LU9*&|RI#v3(=NUU z*dDaScyv;e+Ayc9KMm&_{w6wOr%IvFSRT}|HTFzM7%Yt+^Y9PH__+6<)0ZvR^d{1B zqAVk)<)^tVyC!JlPzCH_Sl4xeYLy4Dg>|UR52$~tllzd=+o)@2^j!`MT5SXwM*H@O zjhaMhqAVp6?BMtz|K`pu6il`J5}IO*oXm z(H2*)Mm^t;66pHv76)y#Fo?gFd|gc3AxWn&i4mgX73W6nDn*VP2rsgmdmJJL4qwd_ z-&^Jk{5rwDR*7f+M)#ORH*$ldcl#cADPj;egDK}*{%&#sn@}z-r>vp#$1h@GdA;t5 zpE$%az&k$aQF`z64}o*8o_;Z@FNY?-tWgMwO31l&^vf#i>H*u*&WVJ_%jg0!+NSo! z)t$_76G3SwR26t>_`!x@)2u)-S=*}Q-O{nR^`~%FxuoftMQUc99^Sa< z?jL*?#7yI)quKZbJuDf1D}LV0b>idf?o~(K&&me-${AIwb^smMv1Uo6St>e{z`dZJ z)?tQE(4JamBBCm@YP+FrM-5~>?G%@>x!*qUkxS}=s!>8=L;uwL2_~bImfO(##T633 z!ZX98q98Ydhc^~X*Kbwq(C!w0rh=#$&E!gpPkxN3>z#jPS90rbl zT>UO}^J(MQ_8zXJ$D=R%IJAoQ@;0Tf+CFRp3+J_S-$knj#FTu0==J1XjS|{9s{e|z7+&h zP5ZK;hgV2Ub?=s#s=-yO`vW+HybkxlgOr-##pQJ>`g2B6d2Op0;8iFUUXZmOf9ftrAiAZZd&ac^K<&f6!%slN;E_cuDneeMo8MTe0JHX&U3MaoXo9{cM@-hvVHjf>r z^V((Xyq}ojGFPqcWVVB$jRQe>!=MMrnXle1${3h9`p;AF$fXsQ_8nrAv+0|Im>2z{ z2PAYXQYM}W6}9cJ5j-j-->|7UDfz3nL&LK%jEBglg|%|H2`QOo%eTcCDFX zscjdI%KWI70O%NFyA~QaB@|VB`%ai*a(YAV(z%wfB@kaQ&MVo&Apz+h$*%`SKQ^&1 zY5($#n3hHag%w>rb88~NmrNz87L!@aW$U=`ZDRWL5WTO!)P1MN=xS~c z6!*d+)FB4l~n@Q9DT?Pxxr9_Np+g@x#4ftC5T#s(UtB@KCp{D?)6Yf_14zpZVV!sz zLI&-&SFgfEO;b~I3!mSh5;8xOu{+EXK`}}ysHQxP*Sve$_7fXlRB~$RhSR*XME22K zk*Z)M)p^_le)dF8+kQYjO4$Y(QEOXgSKsVu7$a0qLjB~at$S&S7^+9VLRmO!oMOpf zsA7N%C7b{kraXXxaLvU9`D zZ^PxcP!fSc$+lCWB;p&4phN$9Hmvu7a1S0J%+jPun;Zd4HRtFV? zr!kW#8VScKjy&a&ziA|-uea}bCnhETF1~sE7)gUOJwcJtbU1YeYX38{Vb>_+gxPRF z-6U%KHn`^(6u~&ra+HE{01n*KGJBNC8}eurn0z<6hjMqH+Pe>b!g+t8{*I1jkyGU) za$<>WA*rM&%a%uzE~ zSR%-^Yy`1H-LOL4eI4Gi4u-8i@OKYIqA+FC79P5j+bI->+DTM=YXXW)LKzBxVVg-0 zA(=$6$ww$DB@Awb1ImT5Jr)K}9<@|fWzip!Y+YEVH z*8A_xLdw7X`Q}^y554IB?7*!PG}hDY+W%=rKUE>MhyL&BK%mO%;qd&(dJkq(@1US; z==~iFvzT0bp=zZwd+n;~9*vmX!8zdTfVH7M zpB%{PdT&SzO-(eE^Fwq7_ghkpX3@B}eYE$%`C{{twKVoJUCIxei?0vQxA7fy_}oY! zrr@ZoPC~C=2VWbwz7iI;{oFrm=@~Wka&#GEnZRUdp0*2})jxJw1sk*$u*c*a;5WJ3 zh41*_OuklH(3$siW0L(MRix0LBtZ+_OMTwiU7h>IeAaK0AeJFdv+!;%mUk3~#X*K+ z*iqkmfPH3%2EmXFtrlCfc@wvg60 ztGih@T;6N*8uCjQTm*F_wXK>>1(&>Yua_xq4t6R;eNSUq9$Hkm!SrpVjA%efXL3ijRl5$?_eJu03Q4YA1Q%^-`x$W#;@PZcDs;4f z;9mK+Ikj{0JrCyyu8!K#8bt`bkk#R%5gJU@k1fP@15&ichc-JCu{D96_Z)d07hhp! zHp*dn$`VX{)Wjn6djyKlenx-D6c+bO&35XkDFa{KGR+~D*7qlZWm@sfS`qp{H{-P@ zscBO1fI7s%S%=eeEbOD_okTlO-?uTrH8G zxj>wbs|5Ja`>c7DUt;Qh)?z$kd@Zq4FnzIJZBcBr3gBoy8r}pCQd3zSGH+lrux?wq z4`}vfxvB*e3#-(ii-Zuf0kvvG zt(s7)=2`Rq#x%bal8W6;)8DwAsu831b3suS{Z8L=mj}W63PvVQyAl^bpqd*w$em=E z`Skex>%GgVoDnAT^!)dO>W+>ZC?2|e0GSIVZ#?}hPUbIjDF?ZHzuFE@S<6@7 zYo%2E6nX%zuS=%ba$fbGfVZL5{G(&7IcH+Fw_Hueb5HkrnC1h)Ge%iDDRvlDn0z%{Jsj5(4s#}Wpv|f5~!3J;BCAE@~IY7YMp1CmxV>IixwB_ zDp0Azz_O=T4Sk)Sfptrd1GV@JG;E zt6wlnyI`sY1ZpJEG-$-Mf-1C;@d99QS<3uHbF(Bw!{ir<)5 znl`84j%~OdGkSldhh%e?>TW^r87>gD^Rl%&`b?9^T{%V&?eb;VFQp6A2EO4C5`d!N z_afjPA9S5@^Hyn(`G~&tye;S08Y^I@eP?Ahj5B2OZRjo-PKod@{ z`S<3C@NC{^GmWAvRUN|A{@h(Qtev&pFw7p&#m^bIx@J^n&`*^nyfnD_DMSH;N39k{Zrw@06H5S-CQYXskB3uE@| z%}kn-J^(oa(cHkifG_Wk$Yvj@Acm!eLU!Oo}d? zd5~+f>BY~!$vvgi4UxPeXr`OK+<5>>s@*Qv@ zzWa0tjAuvET&8(V^_^gA&%5LD6YXhE^8LOe)o>+>Umn?W_d(PRR_F0}_L9{YrrVDi zy}5Qo>;nyAyn{fvU^?!vE8IXuIr@{aXU5A;?$C{GbFF@7+0CE@43Y{=WZ5Y&*nJG7 z4|Y|k52Ro9-Bny#tuz@PtSr1wM^3s}J^rRLSn*3gI0+?>J}8&>RUw=DxETIf_;4p( zBZ>I-hb)@*{jx4AOpx|b)9>Mq1e-n+n;q;$rM-FiK4UG8+&LBE+yQb@RR-W!x-&#o zx}lBU_gdpB3;X_>Yl$=S`3kGZxD;=qJVHyqBX(IHa`Ijl@^3 zyZMF0x6ZH7o>#dPT2$ZI4|XXTP0FV+AsK94!1 z-FQSQVc^Bf?vWJ{x1M*$X!ty$I*$H#>)I#T^9EldB)$RnVj94^>5XH~xJP{-Vz&r| z#AMCAOIr>pTvKujD0JO|-DINoOHsEIXlbTT`C~3U*xOxL!$~&QQ znV$Jh*xlIVYMjhB7G5CXNly7&mr7zf_K78%UQTt&4|hswK2{4&(|DDAs;0LA;?G`o zjr`JbNUUu5Bzo~q>C1tMWm;h=oy)Pgg&&pm1HiMI(Q#s0W4p{xg0f1gwzuaAt?%Kh z+rNO#96Vw9t>a*PTu3pYh@D5%=b7k5y~Lb~)l@#oyS|++eclc)aEYzK&38Dr3cko0 zd-}vBKc3&ES9EUa93(cifjO)B1Y|6NKPAyqwtqf;{+ zvR55ld_i1l!SkLeDr^77)&Uj;yM!WrW4ih_3gUA6rBT^A>pS%`Z@ypg zS*KO=Oh_fuPpYTUO?f33`WYbwdNxU&eS7Hqo)L*11Omp60ihAxLdvcl`Lzp**Bi!! zLBd@!%8KMEYB~8l7YvyUB;Q8sS{qMHhfflI2~pn zG`w-=(TnLlB3daG&&Mx8>!!~f7AKd6ZAf@(X8q7A4nC!b?8Q5cZQZX1X0YfLK=QXk zLo@q3v5zRMQ*#dofAOf9+LLPe_UN>~qV;udQ3WlpB~^;vVd!tMwy}EfXWaF^xL0L$ z(>pTxWo@^rrnx2X2^${!x^>7aqGu5ro>E@<8j2+M5eytM4soj+rd}x^XZ+g{2~A*X z#}K;%m->yc!luc^kh0n#zn`3UneM3e0N$%>dcW9gIBq{(-M%;{94DuuS1hisA=#0> zbwM(^RSuM`;#-Gp9Fx;HdPKkf`h%E4(I~B~X>{uZi%vLtZBr2VR5#83;?lSAim&RO zUOQlu)M^^yQE_~TBYUrXlv+>)q|WlIoZnt#-g=n#{6f#3=AG!Sn97=42ayAxE){V& z(gK^OIFkgN!!zG_M6?`Vqmz3Eyq@D%Gz@;)y8Z))*gXs+);1sDNGm)@tEgw=HZ^w% zyT>Pg$-v@HTYY2KD>2E-;JQOZ^eXMZrv(nNb2gOpdKNQn2jm|4#hAg$eUs;P$LYR0 zgvV2jZXQb)4Mcw8HX?f-0o~GKQrgcC&bA@gsEj}uy!qsaSWpN?P{Nm*-)-F}*Ej8{ z=NknqQ3F)~Waf|ZeM3kIb$Vf_5stz#5pact%Abt9YYDr6pbr|Qs6>78jEzl5Km{P? z78e)8Fv`k5vdV0D)!Y(6tF86ELh`2tsJW%%6j;tLpuibQS&0w9-XCzeY>D8VN-J03 zSPe#yufYg_vbVLh&7JQklnL$Mzmq9X2_`KqM72yXmhxXt?=}Pr!b^xR;k200@U3SzNa(a3Or5%L_xbhX1M2QQ(6%Q`> za5E^KL&^rX9|2 zNNMi?=WvAEJHdIJXR#1W7dTwuF(PiL-MnRq08}5)pZHB5zko--m6QLnbwfHyhT@ZX zf5IpK*t)Z!W)jXi3}=0v{m0e~%YfiO2}Ugu0w@`sn3(!F2W5qaS@bl&?c6YX69Yvh zabcM2pEOs9>;wb^QM)39dbWh&q#|&iL{S4WN;o8>q-5pgP0Y=qUefYZF$wjOZl%|s9+-8y(GA64keLKq1_Br3KQrnYvT z_WeNo*hk*~`pxLV;@987t*5!In_F;}?O6n>B`u*^5-z*&27ojYC6_#H(1Hi|8^!+m zb&SsNoBfkR^v}wMBLDwNt`$+$wBgO;!$9egyM)p7^s^!E4@M9}xER5|3ds9W26i&o zp@pKcry5bS>%pOL*j&gvk9O}~mpEp04E#R=H=IQ|(dTC0!+h4+6DDLC9dGu86zvNd z%WN<_`hRHQ|G>rnze_Yf&HprPro0j5U3~uXq^SIH1Y0ATOxn@1*-R|< z`^SEr-lcM>;Uut(mmY81a_RP?CxcO6aNp*-Z-&&#O3mtxoKQW8iYB=Svfb|B&sq4Hw=@|XbWS27gp5t+ewZ88jkjI~Kia`VvhC^652?p^vn0iiT0nX|$z}|oV`;Re&?r~q#_Ix1R=~gh8UANaS_H<`ih1DOVr8}6@RK|_upMCd; z>Z67C6_=jzipQKdAV) zVE%OdI<20-enqaZsB(dh*SLcp;oGHz;ew3B*R!B!U7w2wV2YjTaFwSrZ)*DbR`qf+ zV{LKyNZ!yQ2{TMETW+nsN>YYxr|qR!@Bc+4S7x*0d4RCz;=cdWD>TwiLEes>L`?)# zD;cuBt6b8fPNExdw42A}FK%2SecZJ_@D@-h62;q7TpM@1 zm||Z>7Xb=J9}gc77v`ApoaDV?|0eXzAXWbTm)n&$b*$BR`nH(C4+oCmXC3Bt^ybN~ z%Zf{H#91)%y-oX`_gw8YnN?%i02vWHFJ?{LSY`LAV_8C6=_0&_DpZ9B4@_URSwDQw za1-d?)LLOYuZBk9xs%S!Lz=29G{ZtDkD(R(U`}al=K}+1B^FV@nk}PArcw0K*9rW= zCT^fA*XVrQ^5Nh^yB?+{APYCUXv+Oq>`ILAT*}*@D=%@V!rLpOR+FmFDM+onumJMW zvYrV^_o4w>8`lpk^H=&W0|F<`e8ETy;>{FP2cDpuJ2p~v;&QSRKHobs(-Wht+}q!N zq|TGo?eSZN&tv=m&t*7!{Hj(vD{C})-)m1q%pZ=s1>W?z@pS0mXY|kLhYVa`=H$3+ z+WlEX@xchjm*!R36L}$7+eo3{BumTxR9gOvX#U3||BGk-$7BDm^_d-iSY|PbWx}_} zzk)z;_g>UrK_Dn7gcl_L0z?6P`}`{q1@PMPUx6sV!NvP45Cvzsxc>@7fhz2B{2LJc z!!ny^;}N6<1ut7st2WfC9kuG1rTcFz(^zVnkT+U!@JHK$W=Q9n8AX7h>GDJy)@Y$> zaO6F+EKoFH9hn@#bYChsL+cxzU&n>Sl~*pLRu5x?@C7-SXC(nezV?mxGy%AudQNor zC`fc7GuMqM$k{_(bU#;McmW8!*h*W^|HY*-@b$!|-w#)*q;Wl~FAj4ouC7$%CaV@$q>73-f8$Gg zdymAVnZwPfFXN8lUFDAWI;>ZWtr-Z*fi2eOi*+iPcvp0YmQ&KbnG8Pm~s0-*JW1wtnY$6u!2}kLrfyM3>b| z+n|}w*Q9mX5AjT+!OA0l{{rpD$IT}-3*B7L!_J-P4yOT3ftiY3qD2u!4jk{Z?z)vM z+OauT%Kh(#xMyKDuRqBGqual#W=5}uv4pm!d;&Id^QvFNDi=#+&h!xt%TfH$0~J%f zrko#|3^CNsF;_9A6)<16_o&}*h|GFR7y0ZOb38bqNJJMecb~7`Jj9D$edE5IA%mYr z%zEj|ghTy33r2J>-K#7Af2#B+KdqFfoqg2Vk!ew@)T^c{AdQwYeahb} zhjQ+OH|=#iBjxOVxzg^Vj0LG8oJVxK8$bj9L`?m>?9(SWX1QTcYedUR3^lm71^0Td zZ1?r|AAJW``^!ds2b^zfmXDvyYj?_HDGsJ$=D+j(+PZ1QWordau>6_dCxL_8_tRyu z3CX~*ibT9R-GGIquF?xK^OsCKuY!d0ByZ{R5v$SB7lcN|q+I6lgqSeOHJZi3PKaRJ zq7c;5iRZA8XItvNW;>D6Q5>S7=Z!da)uy|b^ky79h@Au-vLD30%`ldYu(x=OV_qEM zER22<$`&>D_{?D09fhCoKv8s_HC1EZFM|^_mQZgRH_R`zKk8JM%0wN1)Gik}se%{N z3q7Qo4l)O67sI-Vw+MX0J#EJHd2p6qy}O?-#DIWen|?vZk7bfPwQ4lasDnCKv?XR4 z^&|~FuqPj}GchLvea{}=h-z0s?y94{`ud-5@g%oGr7vy2m!)5ryUsSo3E2G4AQz5Z za|0r~Ok&29Np?okEyytsXc_n$4W9n<*hP_92_x9mcE9b{mV~eY_ z%mF=9M+8(NGMeEp8vC~B0Eh4eZSSJi+3%R#GM8#z4Sl>>@CDbQiB#X%IxBw^>d>;@ zW45?ez4B-oWb~{;qgOZa?Mc1K7BQ!kp>~*+Z1x#k3|LrU;PB4tn#3Y^3M;Md-@Ksi z6q8=n(Eo*j@7fI);QfGsNmoC-?8DD9>PFtFU1Q|e?L7T+M&5m4RQ91%xC9T50X%1airC~PC(|)F+WqK3qqFy=rO`9R$5J{+_@0r;>wY)80d1t}MDkbL4&J?=h zt&p7jBWf89U}jnSQQOct_ti8uHJ4IA=)IKkpJ!CvIuDP?Ik?Z+1%rf~n!e%2t&{5x z&VDiVt%nQ`nr2r%&2!1uw#3wV?Xdz)y*e_59+6HW*?`=Zg_T`nDAug+(A>B7bD}F$LJU0lV{8v9_Dl&Uv#Xs1yj1@BvJw053v)) zY*o{l&JPKrD>+mp*HW5&WpI4_^gSRFFLwLh^rW-{OW~UT!-D5;#y{=i60mY98hS=1 zWIrncFFRpF5})uDldR{pqo2-7U4Gs>_hk(m*YHMscFp!KAs~^v{WzzzvhxEAhoViu z0}?Jl33=4;7u=GL1GiAm^@gcK^~L77#*xHT$!`UK?bT9*{AC6!_d1wHJY0%*jt5H1*4RJZlgv4*8Jx0Bw> z%AF-*(7VySynW365$HKCkZc(>FrQa8*tvQmcxat8K5GDniBrPRD)IVui4iEP?3gb; zyF5l|U!-d77Z`IA8JAT_c24@zouHSictpZLO!k83%2`nrGuvr2;`q|4&Iw)>qojt8 z;kR2fJkqv7AokTF7QOH}lYp=(BI)2b1s>y_>ro6WZYwSxkr_n;1c2h4l4v@GfO9JL zO)<^16ABd_B8@<{zGZ6W6@IHPyDlKOXMlk#=}AM|kDP*Ec&zTxdE*}r&MUfv<}PCh z%jj7H`^@KK?`J=+i>kXnc~RfCfX^XsXzG#ueq&F});WEC8LW|tUGm}-btIyCj)~JQ zPK%*fWE&V3lOUn@(c0;LYy&u8l#0y8AWm3dk<_}87T-+WTsM9r^#0T59~PmqJEsjy znc4V6KS<4bGfg6TK_ekgT>0{iJ9pvBgZ7P=O#~_?z}0VpS=BM8((BDC;dx;6xZ9?BstPfLAhV3H;)I|nD!MJmH_3KwCNButWorfJ9&Q9f-g zE!`^yo?dXgiH|~ zY9lQXB1jZrolou9_^CO2A{YuI;W7#5nS#r7HiCspkNh|Tks8!Ro+=}uFmi6;%gXN% zNjy9}RM`yybZDcpGg0bK6fl*Nk~$ZRV4R2kY&eY!oD%9Gv3~or;SB|Nry}&@wkA{w=g9Fq)~7Z_hx?cxp_aIzaVr!)=k{ zS#0QVn}vNeO9)yU0*j0g1i`RG5X@`@!Gd$5{OQ>6T!WB^7iud`GbEuJ5>;^n-Dgk^ ziE~c+w>cXU&1(#LFs`cwz%0dbQmosFs- zPkI=P5JK_@nPXaJU43&)>qG=vd;1<*JkkkwrVGx}9gHA7Z3xnvjUcb!4?L~*85n;3 z_P72K?kbjOT+sUi2$|6dA{h)&5N^!Fau%sgaNs+ zIeP-okmcDEb_Dq{`wr=O^U$X(#{t~Rt!Czsj&JbJ1UY705Nb$TN1{*M~-zibKSrZg_|NaI}T89p$_ z5x8k){_*;*zFi%DdBO96 zm*BeHle-O5ejmzmi$~K928+`2y^5_b7_%g{DyrOi%y}Cmwo`WtUB0{Qrgr~}k#js( zN0Zr)g=~X`WkZ+Q{ZOq$@Y)i`W8UdGhtFoTK_R+Ut{PeyrgyumffAdar1A^FAW(KQ zJ9>J)?V(>iG3S~47r(yaTFY-5N;EgUpz@Q%vk4z`cU7F5z8Lh0nZ3^=rKus=K9_KQ zXT(xPd--kR;91iJKvZtBsg!1qMeaVc(0wc!$)=o>A`P`$dZ2Hc z_3l1J2kjkjIcmd^Drn)?T7KpkGu9HEnRE$9gE<8jXcnB|vQQra`5sPUTl7^@gftAF zj;W2iCOEw?${z-`I4ystOn6|a113GtaT$h*&Z*_Ik=nCvx~8>^9VdLo$E7a5=5j~x zNEK`YnG`D1+`;=tYwWr@8TBELgR81J zx|@OH%Cndl>lQ)qU8v(i%rDJ*0@IsQHt9^=^DA2-8v|7N%@SuG$F;w$0X{&jGZGLW5W|6EkGYUxoUMXG(Y>lcvL8kK1>y z${-ylQqbMEm1fR+HUF0t8+}Y`Ee;&D9b#Z%7$r`$_x#>BAApS_MF+^JZq^{NQ^V- z*J`O>(R)pL^?v{7bBxi;pqw^v<-6F}o){ptlfF6^&jb)t`*PF|UI z`t8es!?4#AZMQ~CP0E$a-*^)Wlat1<=XS_dx_@S*V0xz|1}x$+U*5LRczW%lN{-#l zI{V88FY;Nx;$ZM{rpo)7ig_?>bWHOIoiBF3;JWWOwil=QqSk+Rd7oZUv&5_t{lC2; z{)=7ymsiC9MKAx`mj6;?{>PRr|E|Vd`$I5MPIGzqQR=Vw4=6!f#^3NCuz}guf5V_4 zJR5ek0O7=pr8u|%lr+40+>|(R}2a;u}~jP{_im;>TLiK>Xn^tsFpFcirZPtlC=~@s&BQV9+Dux?U;>zOB$r#T1*ON(Q;Y0EyQqs z$^N5QGS9uYg9a^pmtuAdJ9!&Ce9d{HoNr?3ZS%f9)Kl^NrJv+`S9&ZrD()LFUGSvj z1c6H#NI$8qu$T1G_uei1;L5dz$0t5Z3DWGzoXz2|658asGD~~gH>wMUF@}t@m_;RG zn^qk!$ms8d#^W0n)cpc0-=2TEzjHMwbN0^!Z|2X(Ugr`uaTmrU)>gVDM${X*03oF+aCJ`p~akHt4PD$c13JzX;^ z;x|xoFa}g3=V@G&eqK-t%}D>hIC~4QsJe#lcW4BpOKQ;q64FSAGzbU?2q>vYC?!aD zODmu>m^31Sgwow1NJxluiIn778}H}&-uHR#@4n7C>$+wm!|Xk?_k??X>mL;{N*P?! z3<_kP-*g;jTNf1^sZKzc?cL{cS#?g7ZJKs|jgRy%=sjC+`Jy=bBM0_ONes~n1Ss7dWoKe)4Y>2scs{)zkmA2jC^6R` z#Sa$pddSh^DVm+^*soAsbD>%C9-Hx<-(ZclRbZ_v>Qvg!?C#Vr6Fpw?&eF>im+ZXa zl?^%E=NN#HU&{mAuNn&p6Mdm~KbbwEdF!8hl+dcUyLQDSAZU*g%r+N4rAO-pr5A>p zGrc0!*=84-B8zWe)7IJNYSoW%0o$TFz4_5jtm|Uq;$j?@m#R_fy~k=3k#kKN4Ho_U z4}cb<%8R&A>|)~GN%&RR@H65{59UOVK0BTQk}Y{M%fPXrZ<)rAg^Eoa#Yn|eWG|f%ag68EABFBd1Cm_I zd^$0e*5d;C^4B_&2;MciaBK6S1%@5hI_tOxzXvDRyI0cpyVOvz_gm*G6Hi$EpRl|i zg>N5fZ}sl9*M5M0Qt+_97^{rgZgG3f>GbkJ`U9GFTK8g|l~@ z?W)}G{lOj$zP`r%hP%oQi_x;=H{bE*!$WCT9`9DMezmq62?_`OhMh+t9g;jOmsM(I z`!+5-WTd8-+bP89VH9V~Bw(Zl>>Rr_rORO}r)e|S4RqdkO2%)EJ4_sE3cR^ZzPqymeUQEp3~r5SJQl*I%C!JTMp3rk~*fQ3-f%h-N8K2vG_4a z`HXEf!S?%|Qbn>E*|1#THoQx1#bl%VDkc6c{+lW8Im7i4$^78}*RM~sU0uA{!64aB z3_i)|f*KsU=(>p`V)A%8+#6LX@GyQ80Da z#eI|paEs`~CcMT{jZVqxLD3uK6jXGAOUha|>^(DIzO9^H$Dp`)Nx>xeh(_a@uHmh; zoTAT{MS!uHZAcR)%p6QAS@(M)lVeuMtDWA(x@uEzk8KzPef9KI_h)gYIbRy|*)30v6rMWONzT46Ck zaZNL+3|Cs2TixO+y%)fB6mB+3TPk7}Z=XWtLqMx(W9Jjr{garQ%f>hQRS9Szq>D-{ z8u}%ys;h7P>`i{t<{_s?7RwDgf9M9r!KVT$<8de05_Z0kG4Bqz?p2aVe`mO?cPli$ zVx8whd+>)-5SDx*=<~6PcVoVzx~+%&s$Ef6?>T<|S7j>~M680t%fX7Ia?Z!j!P!$n z_pqlK9TS@mDkZe_VkT8IOxioCvfcx&yD=RLblb2faggrU^`*s&niS_v&1@sydq1xq zM;Gu13qv38zc!qczNxg1+gjk(y|}X{a4+$wXqrb^Lrc%l1~^3~HcVLiWxXCI7FNCM z9=Io~Yi#=NlZ5=Ux~0u+et8(ftrV7vrnPoC)dqoyVN*-LrH1c=&DfaqC8}F4KBy6}3~D&S z;!@2j1>C|w%(K34@Vu~`T13J+hoY`qb=|i)IPIhBvO&kAkyk$pXgM7cI~Li+^~~#! zNrYrHECS<;uSNR?&L6SJDsuMB0CINiTLaTO7$lrFar>z18PCFs!$2Im~10C)}glGjiXj6jMed1CARq zTLtH?`vvw(+%yZTZd*kcNlv5W_kTCPdXAPgw-a=KTLXNOI)UlmSK0Z#%^q?o`mShr zJ~b_&*NObhbAt&Sy*0gHeA_PS$*^M#Zg7T$TUlsKWA{AjTske;Q&NRQ&^l-+na{*( z73tX7yS;}gn)rHwUV0v%L|jGlo0bWtx!dX7J$H?-P8(th}}v6eg$Ybt9kG zCABOXK0g2&7KM!QiRR1F&uy3_EQ-_ES66yNbf1mlH*D=tFeuyyGlWX2njV2XDV2D! z3li!E7#ihkX1>w+9g9DSi<^I<^GG~|?%9Uf zS!`IujkvWTf|-X!Gz)MbqQ}LhX%q^z46j`=L(w6k$2C|zz5x@Ne(fHTlfy3VKW1$@ zI(irx$N&cb0NUpzkd7OKO=h7`%5ZHJeKs29o^9#%HwD_#-<{Tc}5 z-;X~E2dW~^bdgyo0OhOH7ho~>UwM+SgdCAX!VJx;N-C=aknrLFw{kxV zg?a#2JEN2cr#urv{?+mG(A^!%Az@VL^HlWIv<&#@|9G{b8uF~$=M^-k7q&n!3CEv; z8`y@8j>7sAAPKiV0PkfGGT$LMpnv_Z3{AM5(Xok%85k!COS$1mJqn(?Bbky=8VQp$ zA)v&;!X@HCM3J!2{ZA1$0`&xtV<-qWD+D(y3@;*ZOMjZkuRsYXVq2G1F#I3L63LYW zNaGFs`UAiJK+C$Fvuj9rRLq%c8{$d?SYjZ??X17ll65F7#Jl}832r?ZUQ*!Y8GN}t zf1Z||3uTk_4F@QyXY_Qil>Cor8|fqO?C$GZ-hkr|xBTUQmMn?df$Q$V%bpnu9m*u( zV}${lkkmq@B-~#N3`k<>pic6yd<3MFoC@hBhk|2H$Sb+Ic`ggWY6N8H`J*r)tweAo z${-7cGR#6 zY6e$&X>eknz7`F(26%JJrh-rvCUDszy64bW<#s-7>F(^emTNQ^`;n5y3kbIT(?5B* zy?CQr(8WW1+>)cbr+@mGX8qece7VVsD^frM(@gN|Nl>Csa<{BAxLp9=8!}X}PaPZk z>Ms~ZhY$m!+T5}S0VGpjZdV>KsG`%OiSWkLycmAHPWs>_)%6D{ATu^>fc5CrK@?}C zcFi{K0H4YE?YCGFeH^NxvB7r^Md5^Z*z)3NNh*DoW{g9-`l0=;i4z(tO7T(L3ij-e zqZ(kPMW~)$0_!+&ei(g1lM%gML~gMA-f4BhiEk7~3RM-rnJDE{o(rfUIe(=}nSPIBO;kj3yw6zh z`GGeMk8i2KFz~tx=bI|9=#)1#YYJnCQYd!jTC}+&`_@=jTIBM3F!Cf;fcKl;c69le zJUt&iPXl|FXyixHTM0kDsgR91Uk8K6)^lq=ld{Ii(n4#6&94yc$5eGa+sCRt%s>-; z?tKbyg$<~NkDU8u4J^2AyI+D&JLPSs+_E>(e9ck5N>SLrL#&R%)sQ3qnc`e+Ex*;R zq+2rBeYO&u%1ce(I|}cl0NwY|wd^SUVuEYq$;Ge7JBjw&6f{{sx<&>bnQn6DKL)fu z1rPmJJ~S_4n%WmAFv^GGHtAgW6qzJGZKVAEfSC~xzV6dZ{if)})^(M*ZA@80d`jw# zE7{$PR$A9c@X7rI;HWl1uy0 z%`fSzmJ^E!w3#a_(UQB|1!;sXM4eAFA?6mnIb6F=R_+#d0i#047=)j*leKNvVd-hg z&es{^OE>B=5!Y>tAL2L_5K_2gQ9b5(w}|}h27%2 zP?XCC=nQwf_T6g@Qs@?4MMWC-KV=*D8Vl%NsoLUgr}`@E0i=>_+tg}BuW<~#D-$nu zDUN%yDiC2f8_HR;d3dCzwg8$)g}iR26x9r-QjJPAwp}HOntLuQWgSgoz3(7YEZq(c zzlv*mF=#&&`TosycB~A?qrLMUn*Y6mgm&=dv+<8H5WDb1|A?wauGJU^_sz}}>1}Lk zQQO-R5AeDRZa*%pH38nY?6_s(p3)H z(!(|!HvNweU6_mcFXH)Me>wZC{o_9WufP4jR%`w*);R;`pZ^II0witwpFkmiR@#3A zrT`|{{39?0<`$O!2uuOYA^JyP3Xl_|e*&hUz5zB?{sS=mi*>fZ|0Yqb2;po)7VXHQ z16g!VKlwZ0dFdHHZM=|LYSVt4qp`67mHWILF3?SBiBOAfLOobYs@AZ z`%?!jH{ZU`s3e|}AudXw;Rj`db8S!D^e)sVe8yw+k{D5~>T4q|-LmqG|d;7(DY+etw!7Xk0&Q(bhNnTb-d&7UDh zY~dF7Y_~i#?P`2)HH0v)Vz_{}gmTW*(H8w>?mGR(Bx+Xf(^P%@Igb=>31%oMN5}Yr zwu{(4*i7Y74zDKIo*A@;Tl1fKG%{3*hEV!ojjP`@0)F`+l|95gk0_!EvzcdgRLZ{S zh&1Y6^0YD@iFn!FHw>(uRy6#NrUml_^jEar2k01 z);RIG$m{b){os0I`{&-mf&8}&{0wo=W>&t`HjvSlIH2u6@_?SsF~0^MeZGnbp! z#K)B1Q|if#JE;nhLNhXos9svY)x8>mp}!Zc=KLKqO^bDZ_|C^%6#Yoonta?kbCb^# z;59?ro6OVwh*L^t*;P9HUUP7(adG_NqEF{a-atT^AUGmNAH)`reNt*%itX6vCG0-8 zr7V$Y{M``}RX!^W8XAsPEr{!v^Bi1bQh%oHO*Kiqlv8t?IpaxY8u+SdJb zGoL`M=%60zC7?MazL6I3gO8{^Uij67^{f>L`Sr9iew|+CE9KZv6F+b@?R{vax#Eu> zIZi+ChSZ`(vE*coO}OdjU-VCyth$Z{rcDS>hYXBWa141rKOOtHbaUgbM^p8KwRN;y zW4fI!0Wi#ew^LlmECcJ&ML{v)CpmGAzC@yj8JdUcI_4-ZT(39gJ5rMo) z`w7+!=c`S2T>QC3yFap7y(6l@?8*@)5KuG=t83?T3CYg;Ma}f4qN=@natb}Md6roh z_}49ERu7j4gqh>`jsI9@V!uD~ZB9^Y*T-K$$t(=R9>iqo$3LTI9ru3y+40raW&K+o zez^w(B&^aVAG^2kSdD>ISivC%38Sc$Pe>dC>qQ+$XLsNDqW7JR zZ+pJVqionBWCVPN8~X4VZYCDjwM-qH64HwJ2Pb4VOmWy}=6|B(mDC1Cx2ytQ4R7$b z_6$v9Fv@52;&Kb6<#zSrTz^s;X3(MlJ}NcxxAEpOHeq(4jgr=~CuCel)zxnus!z%PD?fa8?PDj=!AbOYO zW?{o&s?`WJpOABCxrjD3A3_G+wBn4W*d3Z|d`gdP+tZRC_s~p+Dz#_J= z`8<6wCZT>{=!gI?NXWVR1&eCfR|W>h-E}zS!6nsmvdcUCxFzPjch8X6ES^*&HPDFHm-#|G19b@*L&QaSDk_4XQuAFi;?I+t3S=(uVlPIrWt7|fSAHA|| zLd(p(w(IEBGbwkreB#rn%$%S1Ful{Ho(m(?619iV{op_6q^WPIejZ1vgp-!ULVyA!!Zw z@RmLfb)CGNU_$1);wJq+zy zj9f_Z=h3AdY#MMWJhSoZuAHvT`<_1NIVYxIAn8#RSFW<+MI&Gv9T6 z>!!G&XRtQ@k%U2L>?FO*$J${AwxFjuZyGw|J7yO#aId;V7l1`N4g(vX#QdLxBwTh6 zL&Gy)%^!;ynLX~BW6<^p+_(TFt=xmkdl=YdQ=BwnLXafE<`dHFkXF}q-&lH zidZzQiYB)yUSq!>XOVF_@b~pSSc!}D42+maaC_g}1HLV-$(r2u3`u{Z`8fVb_IEM{ zW}z$cH%!w?r(x7;~nktV4mns3qip6!;gVRZ>z@gC;I}Sj-Iv;@?K{?wy_SVabmx?D%o>d-_LG3D?bl zBhw!$Dv=#VB$cR~Iut6m4uuO9m58SD`)!MXb%CxWMQr8;Km;b zm)I6!^m-5#i*eGov~W4eKxdd~hb7M6z1XdVOx)y6qDb930{gE2Q8i!|Gt<0rEUV)S=K}=z$pu z3(-tIjy6M`M<^^J7%e-4knkyi3=&dEM39WoM;O(LkjK`^=@}&I0M^`N%+Jp+WT7z6 zus^(mWq1cG$n(1jHw&>p1pn~b4VWK$mY|75XYQZC;8qwwLVdvuWu-_=2L}>T%gD^e z&H)n-&Zs|JN6id@{^$_;BMVLV+ckKtRviihNqq1};X=vijhn`gJUp!QF}>hen?6DX zWKRD?Ye<>iLPJ4u=Ov_D$PLx%@;8KX2hH==+0|wLUu2zSr&!<3{*!?IZ&{~%`Cps; zFF{cq+Q0jP{!WPW@@%~d)ZeTJu54k*qG^CD^-IHk*LEn+rM;yuCgsD31F;S1VWy1F zfnLsL@aEe~2~=r6q}<9kbkd^_m@+6+BYr<)@?`x)BL}Xcy~i5l{uowZ|43Im_(NCU z1Y5i8dO)zZxQ$cn`>-eA_RE0Ww_!F0*u`^7`=ga7$Pg+e$T{B=&ZteO-!O z$i35)UeB!5i5VBj@(vBDGxqj{*3jP3YN^#K#>jY(_+#KAUY*9Qcc%_D3~e^2q@~JO z8mhnkt`IYxw~2CSrnrOjM{wfpW}}tNJD>sk+`ugjU3L>n>{7a!5`pcl#GSB$b|nf^ zQ?#xd_n3fnieLG5F=x}w*%Ii$DtzpwCWWbEf)~L1ChhIY?1ClWRBPD1R(bb_doOBe zj1!L^SJCd~Tk)wqMJ#r!2jvx{AbISgCGJGX)Hz_7{6TtA;w0+SrCxLY8aA2wQQEJD zHP91OBBv}z_CVDIn=kq+-4BZ2>XKLu(b}7LqvDP``oDl5b+-E_1)*aNPM??yzrB-L z5#W+ruR2oLsEf(FW#(TABBD7oPc8;W+E*-}=UTDrlSN(YFxOhu6MJ)`AxVEWi{AXxXhrV{ z{jh90&$HO3z=Sj~Qkn87XE#X9C)wC(>rHc;!%4*&U&3I$O|(~_JSPo0cqbAxlQx~) zW`FPLFGBp&S&5kAblFQHRNAr)KYc>G9f8|A$Ms3AE@5G2AKA(~i`7nS9U%!Zb%qAC zlx7c~_iq4nLmOU^Vd2v2KQCd9OLzZJYkf?ab9$G}Sn3;*(uAT7sN%6%V|O!LLyx@K z8E2!CRnw`M(CN`RBr}gq#gws(3Glda%F5q~zv#BQxj!ej;mrOdIh(*qc3OMg*k5Gl znh#j#OtiFc=pA=14c+!()eEux(tr6E9lDPgp`3y5w-+LynofL>QB<(@T};Q?a&9TSY+C#0$ZPQ!PFfP&^xFEr#{kWf zw3+cz!x=z~`%Kbgxo;&;sH7uA;mtLcmHJ2tAHB|l?cZMRXDW@X+L;{zr)$T)K^ zPMBjWou&^C%lS2$U91#%zj#%piKxF`^W`HDCe!@#(!J*n_n94^omV9bGd?D7dStyl zdF6w5>Ophh1CX^aN?`t}ODc?mCjj%_wc^cKC-r+Hiir+NsUPHTPfmgJg67{oe8D^~ zdH-S4dE=w15&=Q?3o)@Hp=^16+)rl~fmgw~&lZdBpR4vU?4q>1=x^nD2s?CaKlLcy zk7U}=tN{9^#*s7F{`Wm8jyDSYybn0U@M73CBRvE^%~3j>XFmXQ>Msf{%S1+N$KqN% zh!4?OJ{2shNR@g$!{QRq^Q8hH;8%qdh1K_G0h1qizCFA_*v%7J_etrwr}z}@{e#b0 zaK{2!e2 zZ&v;{>HM!X|4Y|NNBO549TWbP$;iz5M^Ff0SouGKLhudd=KK>d1t+Jde*~t00l@Qs ze*mUHT1NICfhnxQ{=EiU1#UCA*>=q;AS_n=s^~}$f9pr=kHkO zfPikV&t(r44v{I4ugqh2f4^r^Sh-O^$0DEtOPE#$T)f&mK%I${SxX0T~h^YjGhdeCrD%RhJ7N>#^#OdmI8eht-~yIbT6XQIPS_{@iF< z1W#1WoJXIh;ozDLZ6}tnzo7H&U%wqzRID^qWZSc@Z?0$`y0_pX$(ZreC#w-rWB^JFv;Dusr|ad?n+e zwN5dxWv0B7W-G%%w?v)RePB7+hPOy^_#aA=|wv7k}o787wU-kDT1k#P9 zi|%YWP%J%MTknY$>i8m-0XpsVQ_jitf4?KqHjyz+`8fkkY@q*k(S+{Ll|Y?QsrSI_ zRCV`pp`sbt1^rAd#U9mN>QYT3?{`XWe5NYH{_!iIUFZjXVTSSBACY!N7*q8vd$Ho0 zA&iGsro`?q{KTwwfFQ}&yeYv{p_$RTKo6QaN`tMZ0>9r3Tl{+A`$5&%!Vs7Pb|2iR zOV8i^a=%$6(KH`k>~G-inYM;mW3KFMrep*3v#1TQnr-zCqdZ>hY@NTtj1#ul*61u1 zWMs{x&f@9|iZNX@UQ)NU#io+RXB7=tzubR_QX&ndN%ce9zceA`08&`)1E~+c%4btd z2`LWQ5}yRDhlQ>;xTy|bzVgCJ&I^$4bxk;u2b8AaV6)XOGu}JZvY3c`tyJ^6-&lp! zz48+a+HI{2%6b>}=WennvU z`L}91zd%vBWe=_rZM|y5s2)=t0m%sc*FYr((-9v~yQ=F}7D2P&av|LKa^Mx4e)aWB zfw#7k$j9g|58`GGgOwN7A`0>)MR)7uUeU?r(hGg?pd9~z+ppmyrxem6Vh$c(ul~9I zfz8x5Sc17qT|-MOwl6N(j$m`?k-v6N0~HIXA55I&c)%x*i#}NSV~Tb5+vVrCaIF-c zQMFCI)QPu!1#&BRPeZADHcOWZy$hN9*Kg2Er-7p1WPXOuZ$|G-(gTlh&BNSXS=`Rw zS+8~-XIMD2xcCE6(M8gj8R54>$aBDaB&(QOnan^0HNR(a{-H3329<(~Pto&_=AWu& zQ{IY!fHs%W16FU>+&lXw2bjI`QZe{$nM70=!^<26;YuoPpzWH|ND|-I?pVn;4I(;m z_n+yq&3X#0osYnMJC&DWBnOCM&OehaltO=K_wxEL@`Yq~YHAOOlR*x9FJ*~I$7_rr z+E|pET&bAEC}+I2+=O0yB?pK&T!tqjh=p2U#M+4vP)? zcH}tv!->hV$8ihogP<83@1ywIs>Yr3xgYmbY@VCW;IO?wxw1=5{R(?qV5b3Y`LU&7 z-!&8~8oPh_yNzi4DL%ukA_s{jY0a<1@|!9hK=*ei*(KUCIoY3F8?qg0#Ho#XzkcL~ zlW#|bJq@kiTn_T3GS<1^(j4R#0(QHOnHaTN1_3Vq9d}s`=6V(8&p*7;>4ZBPQ8_&Nf zhZ@9iH$+mHS7=BZ)k4Yc z4cH#M;tJb&elUJuydgzeV8F(T*)u6Y=T4Yvo0n9Atq87f1}9Xo3ur|bRKyfkl!Qc? z+^8qGKORrHiJjMD-qxVZh$swntZFYMRaLB30 zEohW48tW>LZqMEzXH&AewnitsqSY9kN6dLc5tKaUWvOd)r{K^Et|J=Qx6?wY-7QZa zX8QG2oK2LyxeYuM%4FwG%w5m54Nwj(_jzNSjdrifx%4-u!b@#O_s?^HUk=MDnS^Rp z+o_7YdGKbns_N5W)W$`*;b&0^*^d+FfjcWqDoTlx10h0pMa=b_1CQ#f)CUgUpCZ*T&r%E=m+FN;oNx?hnT_q{YjV#A z$jqxZcwyV^t2*!`u9r#QY~%8mwht5nHS14#m>!StC_aqf42_Yn-MCD9f#W-SKDT{J zJ^t)5oLxJ_c(6h)s~nhDrEFI$W?X}LNzkuaXvEsa{SyJ!t|(AG#WwW#&!;Paf z@KUbpI@g!;EEOAjV>1hNXcwgJdD64Wo}9?tn#NGms{uF%`ekNG4p$yhpf&RrR|$|( zT`zBQYZ)l~OiH?BI>rnPLS48_hlV_^PdP6J1Zee`XS1i5|sjgP_Ni5n7JIYSuUebGWm%;d6HwaJme%p-W`eAs2Syu0%H*JZl7hi$4 z^QG~Oc{+R|mt>fq^-(8kNx_E5$z%;hbw#LZaIfi<@V?6e_t&{iZH*C7Y<@Ry%>*h) zuYM6K?bXe!41D;~w(P-iKp4)aDsdM_u)g$g*IQ7{Ch73minN0T&yrJN$3XOxlDR_m z!5y}%x**!Mu$4&hgh?@|O}ctmh^I4-P(xqkaYL0}DeW`yMiZbRb1>YlV6*=2j_@9# zRDf}ayMA9Uu0b=r=Ma=B;i_3U;_>e(Dtt1?06q20! z0+3g~XNSNd>TUtYRm7SeE(y;l*`h14%o<0SL`x$m|r&hwK#ir)gAz1GI3u&81LK7i{ zI|9eYXy`2LoLt=e2vURW7MhU_jf@cw_U+(^$XHaO*%#Pc^syR2V$h%ufmq!FjFS3! zfd-~{!hr}{i5Xc~xOh}mH8c&*VmZCM&5cM9RVpG=$jFBfw*=9an$rNp2M>=9!fI#! z$Z3%Xe&Vy@KwQVY3uA9 z@CBHMj4&e7XCH7wu*k0m<5SHL3mTLwLOuxHeA`eTEeI%P=jJxfpJj%Ul95whkh`j= za{qx7R5qRoU12}Ghi7nR&Wl(1@5;;%(1wNr_QA-=R}7@eofLMmBI%o=2(6Zs)Pp+& zRit)L0DOASipLReJ5sX_E7qGkyL)?)uAbff{e7ylZX!hLd3*x15!l(;xp*#%>*yN< ztfOxFHWA;tZ4m_r#GsYXDGqt38OnTz99rZ*L$bTNxwW;udq_%2gY-+ypiXEI$uh$J zA+1Gx?yP)j>X1t6>ghl7u(U(-@wGIkM4GV>>>3^oYg|j-l?{y}bbiXO8ui@*mkiQ) zNKO}4*Ee8vDmgg?6@#>l1qpy{PG{i^XR`@vx=t<+GqeAa_P&A@Oa%iIlNeVmwP0h^!YGNUN?mz}?ZR+YC`MR_B`}hYT!Ep52x`ZtVj!M%MAU)->#0+CZ&@d4!XpzQsJif_nk`^WM@pJkC5_Nh7Mx9QpLjCSEFA{bt2Nk^27omiA zS{{YkpN5S0_w;2H>R_4=g*t@lR@gixhBQwZA<+w5Nc4gzYEKFuIuSYGQ~}b2i!qIZ zLSbg1rloSv=)xID`1hg$?H7kOa{VmY-Z|0fh`+T4M?^_8$R1L?;f8gPh&;N71f2&{rW<5Yj#aU=OwKd%l*Ze`e zEI|D6msne`4}xT?3|Mdd9?8^$cPXEB`Lzvc@;z)Pgl|95Ca3aGtGvu%x83w%l7S1$ z9Hc5Hxef<))hD->lK$|yZeem?5?!Jg=aG4a?2YG_$4fwrkJVPU8MdpUk=gneydk;Z zE@>GrzX@T`DvIf?)VB-<=-cz!esSh*GCV_z@GGg{P5*7e0Pb zKOQ!5rBv|jq9(eR8NXBc_EOuX3_d>0JW96dL>@7^VQ;MCY^ z6SMhZek*Xz;#}100=GWj&Yyx{OWRv2_?7sYJ-y;nv6}tF@_JTx&#&St-m@hJRRae1 zfh^i8TRyL_;}Ey=|zmf5pq z9rcxj7WNjVSntpEXLJJFp~+7nc7!_e;#fCb$vl43elD3AzYP4vk30@|ub9SwdQ(oz zkg49udSRyZ(P$F;d%fPnapzu9aW!I0@ZWLw0=)t+%O1Yuu&12#j|r6X;xhl4^C|Z= zam0@8*s|I#+9hx?PusFh|MZvk=hUD_L_?cpr35;ZRnJV`FDie%{;@j;@b0JZNr^5m z>BZbN72X-;v^}wsnUi$BTSxNbxJk5lA29J7w;0?|@O~Na>ND%wJHN0@DdET8wQc1u z?a0bG6~+P8@pCwI;e7hEe2n-$G{TiVe*7fLH?no_j8qXw+vREk!8&X#j}^98wmexw zkzz|Lzq+qs{4l|K>vY2OI?=Ao130U4#b4bgEmT6a@ekpj455@FYqrGs?Su#%Otza1DHZY17Me6<90aAi-Day*>zuJbSvb80vA zH+FWv=QoF=0`1LXqXR8ls0ytIU5f$Gu^*QRT<;S(TyPFr!KVE%*K(h)^CP%M2` znb7`p`qxiC6<6}@Cy}0yt(tOnua>l(8yZRr3jk{)9&&scUpqLkhM$M`;Yjp;pju^` za(bP6H+ih`fgUr+WLKGB6)&Pj&Fs=O@`yYdzfmSG=aB@~PGay`zoXBCU+qd=7p(P7 z`4Sc^iOouhU9x2|J~rfWgyN2?FQj!%fze2`@|=Qgw>s?(>KB{s@mQR$#CZDmLUv}> zJ`hd+J_c{&T+w=bZP-G&{0W8iKEJCTnL6T5m;7dZwSgo_!vJ+a3=krl`s()XGeWrXK45K+zXI;TuZS2=}7vV^Ay3)?1PQyiUU(K6Wd#(wvg^kc4nbNm5VpzSOkU9o`D>j5EUfAD@W- zQo#PrC*s*>>mLp5->vyC&;Ng|d4vY1F8^n%ycOjiHmm`E%D{8Le*~+*3!bk2ImP>X z>pp;|CI1MRL3IuMkM$k{71kH4&e#TKLKVy3#VKE2`~c*NvVGX%*cOBkPY`u zr=n2(2n-%T7K6xQ2w4nI6aF0=Hj6(!CfFt<%toigDNay95zD!tlU$zpL3R8qWhQXm z8t|g=Te=k&)hhbo@%;F$5N#8u&_s^88Z1^(Cq>Hn=r#CwK=*#=Yjs_r-J2RSEo@$E zy%tjZ4||s>dcO0Mh1&aB(=pk0(47qlDe1w?Vt z=wdQa%1IL@*KKl>Rra13T|dVeA71+H9ZL@58+}mrzU}6RcO6zJ-{_{AQx5~u>=aPH zft`lC#pPHztwQ^>P7CF@h{a2H~|u6g^*`k}EJi?*xy0%K)(i*9!lXq0w)G}Y)T zO&uT5;MLe)@~G%>u5W){aq{Nnr(RQ%Y2dMr{mI+*0}b zs>i-cIZdw@^XRVj4EWYXFtl_sM*tT63-axsh$cVYFwW(Zr#+YHo+_G?ptK+qZ7@2u zTLuHMOQJS!J{kJ9CM|Pc*tVE(-h4)QywASUZK>erN&CbJ@EX`ET08aMs%=YXCaWEk z54IcM+;SydtGF(fg7U)~LueMV>Po3p$$g zNNP9kqAHrU8w1e*&y6RdW^M9eOI8c~gLu`HnWHsLluo{-na%lYu41!XfM9j=d(W)_ z>3g5!wPZ5xvZ_1w&}jJ>eT=1D*}RkDTOoKV?& zm5sKX&i3+A(sNZ_s!T~m_b#%qx`WB7jX9R56aMULESJ?nc49jjd#Uic;=YfAgez+ACLyR@8-DX&$uR*io;&%*c7N^Zt3F1nX_lcQ2K18QP(|pO6y(wisl|V>naqDsJ9seW%j3 zc)KRSS$=0Xf91|?JiV3WA`q<_Q0+n@LQK^WhUqIAEB}T}gYa}r#$AS0_0<|dt0Z90 zj>75v{&daIw=cTuFsaE|ZpnhMUFTWSbqn__NwsmXadYXpi^J>T4Po`B$uY*n`NLDS zbep({kAxJ?0pA2oLA%*TYiPXBMb5{@UoU5k&g9FhMaOAGyr*H~i7F%zGY99l6nijJ zs~<`v{k#~$kT>o+wYuo;IEfx|cZJ`J+%y_=98n_}l)1v8WfS*Ixp}r- zbAHC_TGQ_=fRR%EH&ky7U&2RnHU##y88^WjVLr zCPPDD8+_aJm0&^bG`jNjc?FAf424Y9iN#ZvOM%L!v%j#-LE!4X-{Yy`6`dU;pf-om zF?yceFmcwjeBYOVEV&_~6j0xguBgT*BYgI%Y0_c9_J(8nidIvv?3%o(hy7_0aWSA! zeVsZ|F?VF1geCctIB(c4udGz?S9q3yY7t8H?u#K{s|kH_*p_V;&j-i!@{UPJ2Is8e zf4pk5u2Z zdqmfsGj;T;JV>Zw$jmuxVW$~qE&^?{YE!(Kg4VS!wH2GrE4E%N5|VtfaL+z_pCck` zh(;OEaUX#CB+6IASZ?{t3O z;Kh`9n}fw%#`JOWqp;*1Y#?KQ_<)$sajEZ^Db zXxHOuOz_6dfqe{aZy^4mLDYcDx#vsiIzG59!~F_%+peAS(MC1yDv?vHZ7UFY%jf7F z_{yk4Z-}*vTaRhs9D&G#*9{K!q0y(-ZTDxvP1B|ALnejKzoUD}sDp+{h0R@_5Rz98 zZ(XV&RMq&B1PYE7nq2OMOiO*Y3%b`%8Jf{Qq4tn3wc`XldL!t;VbfSL`#fshjC?1x{?52s?m6tTN?F#9E#x@2Ab3vq8QHn>wa-83^lQ`2Mi#K9 z1T_2Y0^VlBx2!24aRVGl?K~1jF)rm}d*r*)s$qOYpOpqrbV0w_!*Sv1THD0lO~M04 zKI7C&_uqBmyo%%=NvLW2fGGrY>}c2zu?Tr@xyBqP`rTd0_J2<6f>v}CcR!)kG-)>l zoDlIV(#aU%xLwZY+UCyxK`I>7sqj_5LdyO@(D*xNXW)F-x18d6;|smcWJ4#yWKmV$ zMoKy@vk`P5jjIzTTW$kyb9u+M|}4wZs_oVm%TP zPs$%3Kug3*$*<_VBBhwSCgK*PplB6Y)iKHo#B{741#F_iS2yr}IR<(&>* zL$^x#sZ7mL2xw-uHHG@KxAD~*rmsz^ea=X#8zS}yJGenx4M$B4KxhyoVUYVV`9Rew z#KSNQbmB~J3hC|-8R+MN(DEuQ4?mN4BB&CII}vf_8Jaw24bCX!y%y-zK4VizlKv%b zUZjI5CI$&O1xQut#)ir@*l(nB=F5j52__jqVn|L!1$Cyt+4bSWM~|Q~6o!m`9pgJI z9fDLa>Dlwt^z{9M0|066nVtRghKZROe86X3arlrSn;37MXrg+v;%sf7hu z*rcumyO!W%eg$=?huDy>!6edikW}Lnzca3K%^Gzl`|E z0AZMGDk=F_(@|O)@>K!qLJ_g+2@36&nK>d<{q)JlA5bEGIu__sXGes>!66~=+eTQ} z96ay~OGvUS!C9_r&Py12kXL}4)ku66qzX|xqV#vl{m!VArAe&GDRWuN4P5l$IUZ=v+VZ$-`DIL&KY6(tL@h+hFzLb53&4;EU%!BWkjF^+Sw5tV zrSGeu6nboI>}0LPXM$!0LInj_Lwn!F#oaR=9_UOz zG#IA#w(l!M!w{sy)C0KhScsz$CZ8dFw}N6~c;ZO%s<}B5UEt>cY!i^0u;i3y|7vY& zg1oh*rKN9cdwXy1gbMuO!mN;|BLhhhfY6nTiDgwC?p9WF5ziX z9-KCT<73UIxrL=Au(Z64yc5=b9#YcMGAKc5In3$RJgfbJwP!ll0epcydgSSiyqKYI zx&XnhP#0b{K0Yx%aE9eDu@LZh9-rb8AkRLGqCHbjU%7HcLgu!Gm6Z*!iT)#mMwmOCM1T`2 zkhy1M!6}ut&aSPkUl(9T?4OiaIJE)?Oo~O|E;7fGg~Eg1QIAnHEUfJ87tI+-ktA3s zW9H=*`F9Fzpg7V&g))Q}BYzYHR5ByO1kS-AU>Ff@TiV!|8<4_0g|q1icmUzMFE;jl zIp#D9g~FO9L7}jx2~a4UX<`%#cltc6FN2D96dv*`KJr%rL{)qq`IT@Q8-*g8zJNjz zBVSxe5IRgcO^rg4A-5w(Cb%f3NnyS+ayzPNahR|?Ede8z5uQvltpt4m)9la~Ff9Xf zmJvG5fcybWE22=0)4C`W)3oXTHB|xfXoOT$p#HH)H;rRlyWii|OV`}O^oOHi$`F?}9MA!PclmHNcvB{HN{36Vyfy(JVwy@pAyu7*jH4F2;)-gNw zpF^eKCzZ9C#-_xA8}Gv1xepo7@0o<-U&$z)^Gmq0%=yJ~4NzRX`Lb1#tbu*g$hPfD zsou~zT#lRC^8%P3Rb>UFF1CWI@1Dfd&9ZNjEmeqymtJfxbAq^q4`Bx?|cC zNT_s>#Z%JW=m`(CHY(h#y@=M{vJ#|UQ1RSF{K}0RAApn}^>d?2PoFToKa?w6V_&1WoGielsJQle4%O6&ts`78btJxcJ*!ZULRs_S4p5i8;G%YC*!uf&os`}LX+zcY14EWC zEVn1O9>pyRek}*SaRCmj$v&EC8hrJ4B3@9#FA8j$*OFNx299&Wl?YaVtn&&H_LHhW zvENqk&nd2yDMx!(J$JQNY7fj0MALIkLv&9!vlv{@^$nXfdD zGfJI2nxr_Ox`A<&kbl@HVO|Pcn_Kk6?QI5%>;-2DY(o>`8dOkev+{W-Gu`D4AAc4A z0?CglE{#Xh)n*A>^!Qcc7d?xV`2mt56V~F79kp*T2{qAjIDaP@khwq|ui_kJJvU1C?}I>L!?f4|ybK~bq>f7xF~Oy8f!o_@MOTkHx0 zXxe*)H&#aN&wgQk$)csITmFwJ{GT)8zZmmBoDnb1sedu%f7XprEAsBcKH$@ICII04>lrfPFuI0M!5v3jHIf2JqMUA3-&M9YKEt)d0R1 z`y;3ZaQ@~WK{fI>Qu8@P$%_cY6cQ>=BUdxX)$G#HKjCF-{*1E|-v(uzx&Yo_gFNX@ ztcpj>VK^`2(V|L|5C^+pEQaZrN5U@RMPRPbis$TeyneTMv+(-1dB*J8m&cLbpdo9e zZ@_Pl@mSPPHRwj_-HzAAH-tYgHmSZi&T??_F9bYqm$~jNA_iIEB}^wy?>9%a$yexb z%7Z#bZzvDdK0^g2XynfuR#`~DnUpiw37S~(l zGrwbrMs+~naOi935nGc|AaMQybplO|7>AG5JSdon{*~kR9lU*j+u%{PvbaRMoAc!o z{|;%aSj*9#_n{K@!Gm2~dBq7d@R`S%Y|Tcb?qQ|6?a&k@Msnz}IJFa%RMhxSwm!*Y z1i)5x3SV@cq+3JlNeKC6R+18RV(lEW6kmEeeb}kTI1MgqwdXb6v1QL>>QqS15L%zw z81`T*^F)c>@6#vDseT0N1+{wHz6tMlu#%ADPh@M{vhUGmIlS_wr_gq9j=Mhsywkv& zC6R$qEW~0mW7klA*j+EYDfY1B!zDr^Lj|Ei2H?Et>ck=Iq37{lY?kuoPg^>{=Cq#T z7JkI-Bk5&U+qYmCFLO5At%xDOd}p$Z{)vaC^I=QH)Oc5uRJnNdm#7F(cCesK2RHSNuhQ>aqlB+kQ3){{8wE0s$sLed^@b$%c=qC7`+r@h%z5A&?!PkIZjK?*q z)|{$kav;f~df0@iyZ(*Wb*{Xnu!xo>SM`-e$HN-#el`Gy>f_=m$D*D6ZiVPc z%Cd=qFDiPi(I4{!_!ve}za+y3ggU!9BS~|(xrgfU*0>xG-1c5o)he_ES7Ya1-iymW z0XZAOwbM2j4B>6;Z9#SYIXw9!_n&{ox-ZLwg651ks|S5tkJ$D7LauJI5waX;>V8&! zl|z=RuCkYqJM?;9Ep{60Moo?^*RgL!HfO2gbe$4(FAhp^j`IYbsewcl_Dp-=6#nic zOXTvIs{Z8+vRcuKts)Iql!$}NTlb}-Azq9E51v?Y|Bl^_WGU#Is(#nujPdLH`kSP0 z0hA}?t~Kt@>VTiA)>zP|rYVywhGd;H+(Hy7g-Ugi6CSGlR-3+kbwl8=Ekjc~-A%y6 zff2H6i!$TPbh*~#<;N{~jV9vi@uE6F#A~ji{^Jfkdo`CTo1<7cPxNaA{s=s@70WZJ zMzvf+KycdSg_Cv12<31n+2RDd&U%wy@s>rp->ScWI}ejsBQVsF$B-0Um{jQwc_+N) zNm4swxxj=rP07vRO%<2Pz7M#~GdzD8#Io$RJ4`xcM8=<~(Z)16sLkU__+lByPvL{N zQg39x|9)cXBWrE)LqS~PZSw&7``~5GVtU;$9IVg=weUndube1r zA3DM!rJ|EmGIf2FIdo0iz&X9P=_TkHU8NQfky5p6!8LvRe)bScRL{m^AD7sqSf^x4 zJy`%KzQ1B%>YF+=!sEy#=%||V4UMDs!S>0G+wSp?7^vP2f$gu$pM%;uzmoA;)%J`n ze>)>poBe!dn=`$-fk4N?X9qm|Qc0PGwbOe%LJC^O7TzhCr?L2KyhA`! z@6w+7z%;7c4F-Y4Y~a`b=JbCs#Si$lQJ1>{c*k=iA` zJPkhPaIJ~%s8g{YrqoWUZMdwNWPjV9Ll@MBvaP2f+)TWNKK;O8i`&M)lkv}d*4qAY zmyF8L)GHS}uV|S%#{?Xv(Y4LPUr-HPtJ^hAnpc03xxMTdoxjWngrjm_4$mIYu-cu` z=wqjm)cmHFP;f|}^a(2Rux9qq1lkGDaoBwsdphvT#Yd&ii=4XY! z=vv!#%&zVe0Covo+lbPtE6n^NiYl%kqoi(i|B#SQM8(^$XK>jvVeXjVrb^PxJh@PI zTTsX>*c7^XM5*@XL65(%#fj)QbXx6%xr6hoYG#)95o7ZQ)*$TEYJyY-OXMBRquMd$ zo>3}cCHtW2cld;23QEA%wYd3&;D&5@T4|Nyfw^@M zS~q*{UHkP+lMGK!)aOlboRG2azM!bt<9D;mXilXhK-)f_Nw<-a+tv4Y{|m}^roFh6XzrODsF{zjl&!7;s^eo*~B|mZ?VV)k5Oww_Q)i!a&^)aDWo)OD!v8b`n z`&2s49ueg`fXLjZj#c=^o$%)~3mD{#vdZP}m))jU&J04cipp!xF9R7JOK$#0;h8^< zNR>nDKW$;MEMYY-Qj5Fq47|pB7sLgQr9$R!e%jb0Q@rKi}0G5^rP(j_D_d6mwo%^G4XXD!Z_tUR1#`lAz24s|JwB*s5Gu= z1-i~&jdNH@UssB%>%iu!YwLIS7o8lK&kFGPpY)e`U>ng=Xqc$h|ILE0QL5 zB5;sAk&+5o)JPIUgXBN7aDQ~22n^_QLdY11xD4Cxkwem1S>b3bb3eH4Be?j(B>=YmU&PJALxIvKtcb@kxlomcVXP3#A%)1Q3pNJ@ zX@Z8v7h_2G7nv*z?dT;00`UR)4Ss|NiOg$2l1s=g;cizt5x9`*eqMzmzjk)e@S!1# z443`OoJG$5LIP#@bdW)$Oin2b2`4gQHV}c3fE!EZArMl52n?tYOH0e-A)s0ci9Af$ z{cH4>g0iwIgxP<^HeA3!x(hE8NdsZTtPiB3u#mA0ur4BIk;hm7a|196kyW&WKp=_( z5ok!X55MgasD{JfhMMlai_C`6v9YmnSQAjwo|>6mIzu@-cTUEDR2lMBJV+z~W`TQU%|k%-7II+t*u?}a_*J;zllOOy0~Fc$1%p`4v4H1L`W zGCdX+yoiBx;~~pGCWka0X+B$$_L8H3tH=pj3`^&@2n6HOG9tu(>6{e>!Mt>igD8Hr zgo$E9^&jSV@gW(xIz%~!;w|!C^*V> z2bV9;6a$}xwo;o~wiZi)W}P$BE|;;ZP138;y*yJ>N4vV>8N|0v*WPT&Ui+C(3<9_t z>kR##1h#s!Gvj8;1ZOd#yyyyy_@PBfrc>`!{tieiLh)up(>Q0p3S9)Zoul6N^6!9b zj*m71g?b+IjD%oIw|rJ2Z0MnT1s1Wy^^MnPl_aYD>5RWSD-)Ej#627bLz;`cxIVUT zY_E!zwc&^fCIGU{M!Fxgmc#4;qYtd^0YM$FBzB)AkFz|MPpl4p!Ln3XQtNMjVx=Z; zibhTI-URBM>rWD@K2!V*9GtpUd>EjtO&lWKMDm7(+Yg9>roZ`QN$W#BicZzXwJOnC@QFQnE~;;M>zdw3w-Ua6%ahgEfcGr1=L#ALYrV-O zp5VHL+`Zu5r<&aj<_+#V!G~d-*Y4)5i@cW*TadbxR@M&!e;FN{(K+&tT;`!Wx&MQb zv|iebAAL4=x`~&zE0CQYd~(9?CX}_SeBjG-f8uy1t>J( z*m`iy3cr)&z6!}2Ybed)JpJ7`+%L(qBEhfmm^%So>~k0GNy%H1f4e zU=>G1pMw_n@2SmFIGj}zGrj3I2;#W~IO2BuuF|#cG)~Lppvi*l4>_nk-M-XW0Y@%J z#JvSwM>=~ADV2wUN+-Ny?{Y*zq~3#{L#ATrXDj7783cK^ImHvA8RVTvY6k{ zI$-@)Tl9wG7+uKuDGmT>a4M&R>vyywx{T<)h!;a4C6je)La#fwL@F+=7{*`&oBA7A zM=U9ke&*Q9p(zrwn{#bWOUq&vq&A9;DH?Nlz(9pe8TA$MGiy9QUW_%gsVViTbHA-- zq3FE?ihcqsQtz!GzljF#1RN_3P*=YEfKwi_a+3-kMRpZN%5I5y`Yd zmLiF%2x5t;i(Y*9Nj;CVhI2*_8VYkgjHAqouFJ&8_L`NZ+XMX%Q{r!&30qi%Q*5tx zJb0}4;Y88b%gGNlyX^$+SSkTLpAbFhXne={eZNTIV~@CFvvLHFQ>i}Fqny2d*4m5& z5HVpD*xNoJ=Grri#Y?m3XqYh0=@v~vBiiVEziq6X0!SPbPx7sn23`4)a4ns(hn$7& zjV8tAxY-w`=(yKg6T*Qx{q6F{^!Vm)u^NtXvzXrpsDEF|smfleLBo@gEI(7G>G16B z;JlQC2)KoXr5qS#7kb%h`c){2|B-EoP{jq6{I4_OzgXu#oJlXvn}4y+f7yMxikiu)}e*&feb_)Fwm;yLJ;E%u*z_&wx z1f~EvPxDW}6u>Lpe*~t;UrW%Zon5*LXQUzt=R9(?fLtviS09!h{}b>Wq*!`Ltj%YR zNf#nf947Dr-M-FyKfg-g*#Cp<&Cak~;J_HkU1MA%f^bQqVqt3=S3jdMcdasNviY`FuO!Z%BYOE%l9QeJ{bUvlN=bbZR4PI@i6 zlx~Xp(KpaYJkE`lHP_O&&C+`hN}^A%4D?`=bnoCyBwU@kTJzcLK_bpx&wX~cKE|H7 zGoZ%t@zknBODz8igGmduE1uOEe^uc+2WU;7OL&w>R}G5$wuFB48QC~j7FRsTKm4gO zZb3XMbI3mYWMF3Rso+cSa0P3e(k5v*4O^Ib^uP!uJ zJs2^GCO5V&%R2z2ABT7PisGxA-PQU^QB;Ogt`*J*s0U^na#alHl>D*);|=FU8lsVT zYnSg^zwwr@bNJIlAhX zgi^R3g#xDJm)%sKhu?3)zuC4}C0r9 zAb1Pbmrndjq+lPY2K%nqH0u5HyYuE<#FgT`QNNGkfVil{u}%&CJ8``jfo7or?5x=V zKe4Pgz7!es+?<5*te{FEV+*?_<&iS+%~CwQFqSlbU`Sqm<5S7;lTr4!S4aW*quR&X zgC2p!;rvPdvUAoV>~F=$EJ445ze}PtuA@6JclffEu-SjY=k}90V}iJ$g3z?aI9{0a z#YeG&4Ov$~5CO218$&fK>KxCP-xqZqR)mVL3o=@WbK+IKM&L`L0~W_?L`Nf&gcP5> zn-PP-au2Q?tX9N$2nrPB^?F!%=786Y$Ez$SM_!e!BHk+K`M4#Ktyu5hoWxLq%K6&% z>=JPFt##!U&~mNAGQBf^`yqv~mkCXf;iovhe`tis-P@4>pVf8d)WY!^Lsq#*wg>vJ z4oU_sl+~ZgpKo2BX#6%350Y@i1&;czaObB_*?n#V4Kl@eMKh-M#qUTt_f31;@cH?Ie z$??n?RwXO2&S7xm(kjuIly=;ymqfYsAc-#0D?Wsd9>d%F*VvdB=)BZ;>l;}M>re7j z=_M={o%^`M-yH4I(`*(cO4qcQ(?GAnksRG_L12q)oK^cqqk6(MAE!k|1I;@jUNw0o z^|YY9O`+N^W_dteBzZvF(E~4}*HbwrJm!h>+f$Sg;W|FBn8$qLFC)4`thH*gJ4WED za_~$s`LFBRssSl z`2vh_VlN?Gam5*CNp9!J+J+-q4nc7((|*P9*c>ppC!&{7_~6mx$1mS+soO@!Kgp{e z8vAmHW|usIC#~!PBH)n0kq=i`V=D&2GP{fBmJfNH5n-N&(S@z`OX7vUDJoUd7-QF`xZK z=3Hj5@QzoHRD?e7+;!o4Q8%WDss?DBqYEr1+1@|AHnJ=mb!et$ct4`(Ij5AYu6f?* z&J{**U0zYkDCJfEA}T3^aA^m#>J-s+sayBFzn>!RmCh|;0}=+;o{1H9NhLSm;OM6x zexm4RJQJ<=SP<@5LSZyY%>e}}MlCx(5H{1eNr?kSlKCRd|O1>+@5rT1GKvV^8%%d%8$`-UM$)ezWe#T_+8e=Z@2DwJZpF}@e3H#&C6?y{NVbE zr?be);UAxV$QPU1$fIkXb!p(oDYqpEDC@(biL4s%%AUUEHaI14$J#FJanYMyHYo#} z;FihXfGG0_lUCH&H7vfMYw#ry@$Xpe`J%_nk-X#b>7=OC4BYP>e|de3tNyKF)Wjj* zuUXBsH6}qh)xi2wgrap;ZaFx?;S@Erb4p10PQE`Ud`4gwTp#Y**$uXm`xDm zHFPkEh}&j0O|6yof7+l{%3ejQF&O-+=`^Sxu}UKj6fy?QANKziI`n(T7)s~e z$KO$@Ev~NBJhF!?1yYtTUy*MdS^P@P>ExN%J}|qE$6;gd_$VC(hs4CrIUl^DmXuRZ z`*KdnkljViC?-`{_2m*LA)~|kcC9Loi7OpQs#-xI*UPM<8jRJ0lq|ZT>b&Rwq@kHekh3g5!yC2Mtu)8PSf_5q=qHBS%YKjz~w8{g);}) z6^mQo2vb1={d$mE<_k}{&t|qwnXg%S*0=ct@01VkGhWj(0f7k>%SWgzyc#iOZFg+y zx&|@TEON^pv6~i5FMNLZ&=v#|IOdX)7d=$E2+D0^0YkSGDXT#3bCyyL;a2&NW7lSh)FwM~f@xRBfB$6oIN&PAu9x zd$^%he7YaWsjgoYFYY#Q^bD%_fDQweYeoR8fvr!~%eS-3G#a+{P942dWYw#F0b?lI z*$_Kky?Pbzf;+NtAVXvol^~kA9SFlO%@F7?OIAe{{#^~yN_05!>W=yn0s){037hL- zX~8)}$b!Asy6|1Bp`o#{r?=lDV&r@%WZykxl0nEYSqLQk#4s3zZ�BNH!@WCIb16u6|q&C|@_WGi_8DZI^q zOC~%F=m7ssVe<-$ySt%aiZo37kYlbcm?Sw8NxpkGGCDT7jqJxq)&-4(`}x%gyW`>Q zQ6B7#hqw{xLZ3pId7%?Sp%@hdgOM4gAh5CpBB+tmt&sjT7Z!WVTD^bcm4XrE>}t1Uqu1F~?HM|0#5~Xk0MH z+W(G-{Xc}xzdu$BDIxxeiT;0;&VQlHzixj!{yR(dLil|7;6HHl;XlF6e=ALZcx4rp zSqY0ND^0lgp`%QT5j*pk&PkytWlRdU;o$vpU?KG*aYWIWQ18R@N=c`1>*Y(eXjpq$ z8^#_P`ys@u{D4hF!AE)F($lZx1NF^{R}*G8R!b3V1s2B{?~Y=M?65!pQDp&sDk)V7 z=RH2O?>0ntm((<}J~RpN;tNhKE0#Kgbv|Rc?>rdRR8CYvqr3S>gJw%{2ZR#R-*~AwY1qeGH@7+P-3ZypG`y29r}9=Ylxxr6t~s72)B~qn0Wa!E>F%*m2eX`= z311Cjsmn98?|vl^?~SoxnH_`8R9a1LbUyu=l*0fK-z@z1Zj(YZ@#X%UQ!N&(Y2Q%JKdd}9Ig`D9h-L}sJJDqT)v#t}yyFa5`2AYmT;^b9zWK)Xb^YH^#BJOQ6l~V@Q z2mAMg_5^w^?SbMLRG~+uMOaUKEgyLncoUPi=T~S0d~%bj47ocJm`}j~OEwYV=5V4Y z-+i+gvquphSw1zDs*x19A5yA6k`H+Zbjn0BsL9_p1iajqk&oefNl2p`RqfU*)N{@r zbj{2n6yzFI_Rn#uj}DQCn{IwX2v&o=rgRC`eMY-LZBnS9g7qunXkVzi_xBLn`c6GN;6}-xiiEN}N! z&g;B>>e=>Eq;TdIfhuV4sgU-*OQ=TZ*n#0t_P9vz}=E%ePbAeY_LJw^SzodaZ?IZquEW72$AFAPF z{Eu=8&xrpEH~)P`{QE3SF^?$zr!D^%ZvMUHi~ok3YY=L}KZs$tl0^20{D};Ly84Dc zl0jr6&L0UWKt}NViI4)=EcHi13SeW$9|3Dy+Z_ts0!*`{(EO*AM zLry1OANEZrLkelTQWa z@n1H~DI6x_Bf~pZY1QXIOrKnYN+T zs9&Y7f)5*tx-zEO^S$hSCXPt@_jm;#v(YRUfyK8sNtT?rq7P}*8une|xo7jea)=$r zQ5%+)%jpn-%Ro{4_xbc43m?a{Zy`2_4=>rC4pje0($2Iy_HFetpj8L#h6D^#JV~@4 zzQ)I9Bt1DN3R=e+ERAo8zLgP3iLx081{w{y12JOkfG(d{#>iN9ISG!*P~XRgbo$Sf zUa;x>0?a?{8sazOR$!UZAbkl;3eGnuls6Xd5f&ql;ax(5-zVFhCR{U*u>A+q(paOd+N7kN}NgP*|vPI)|wpDo%gfi zdg}YO24K8i&xzp^bBOvxTAD_4JB_yXo1fYb;98ohkVMTL>$mB_ze^Xi=dt`AZI(OkhYT0VBL|j0*ieLF>^PbsDFL{XxpMiUW+yt?7%H%|c#1=oD zUAVNF&=&ejLz>PXk}ZxUFP8(7$~zt+*@Im28WrCs5(-0P=5t37bo)ysHrVSo$E~-3 zSd#&2ZHu_g36!+zv?3VJ2h7nwDf@EXlAE#|7GeAjUht4ov1prrF1Gw&)~q?-6Qdz( zB_EC%cP@|m&O?CfGuY3&?L~3>1<``>w{NH{k|88f=Q%5SqL*%Kv8UwD(bt29#yP!% z1SegUw{2GC<8Obw^`(4-+ADlh2U$E6YtsL;z8(VOR zj!8069>jm}5%8OYzX)}?|MsMA{eb74d63DIaP1C`4(l{w4u>x)z3)J##nrfhq_gbr zttZm->JAN8^y@iV4`a}_R&3OzOr8XTI!EKI?Le-p->zgnisn83F@II_@K!i)+1!v) zfE51EJ}_}7?%W&bOZ41dE52N$qvd$#{ZZ9Pj9I+=xmB4%VU8 z;C4y~hGcTU+waW+xtYd0x2UgeV2nG2a8<6C+j>1yc>!7`cxnbvnZsf>B-e5S-9n0w z&zV>WC5?t%+Q+Y3eck|wB9}81X*N601)0&=19WPB1*3bP4}Ozzz=+T7Q`WoSfva~) z>zj9S?Uu9P{iHs|%{>(BTls^^Yx}5Xt&X zqF$o0@p=^TbOno@)8$b{S<~_!=54(JAZPe|W$y@|MoMer3=>y#1A_`EV)F_+XEF;J zN7R(20MgWa9|Fu%igAXwlZUBgHT$@aLQ~|`@@uCSNYV?mS%ELQ9z}9`<*WB}Oo)H7}--Qu;s=+;Ts8~3Z>c;&R{U2Aq$f+eOjHZBT z^5u__Y9ntgiQdQ#G2Avv-_Z;$shIx0cR*73!>NQFcnxl5AEo0eR|#}33mo1lBHZ7z zkF4nU$}J`_{)raODRq7PWtT|Ws(kbl@g2L6#71E3k&yc8?KloGGq;h~#0*$Grn8Eu z9{s#`z$2j?q*_oraeOA}K`Y|L)3qQIOvM4J#>E^m)^VtTkcwSY zDOjj1b~*Zhk*msxyzj#&R5GOy9_d?w*uM1K}bw{_wXt<3B9j|`{fp=pr{;S#hiTY^kpukTS3ce@#oAg z($*ktae4v$6E=Q##I#ImruY3v%|I%f ztI`XO-uG+g&h=~Q2Un$SU0?Mc3)n8B;CPP)Pb~qXnxo%0s_;}g29$IQUTopAsN26; z|1OfTLCJVc=!OEM;hm!sr{s)$(z^FOg0dzwco2^ekO|*0y8Dz~^;x;x zJm3|LDL++J$S!O~MOABOSD#ZskoK&*ZwsHK=;N0?96^1fjv6M77fkmGgI^GFJGs{p-Ua4G zS3a=ManYTUfbb)ApTef!l&og%Q^aAhRW059Z;t_OX!G#eerQ@&ZgG3}(&`?Hnu~u} z&ENqpJ&UNLbJTZmReqK@VRA*#r1`TQxoPY^zz2E4}Uqt;w8$=%FfudfA;&c0u zvdUvzEtPZjTUQgfPovYDm;xhW5(;O(`~noL{Gz%qdcK`v0x<*E;DXZ1mcc3BJNM$V z%UY(^cF6!0Qy0CsVsX>-r(c+)^c>n*WgY!PL?zec9$%=Cp&%65p$^S8#Djh5v{gH3YHH`uh6D=GOMt zUF^S-W1$Fnkp}=<>Ms;XG73s+dVT?s8^~5~*y|06BeJ9*(UD$4{5`?S41th?`+_l> z|1u$w&=skB!t_!Y;b{TGXCZzhM^3SVGUSC0iDZrsVb>HCA@d2HL)Ee7m6hn?qv@IcKF2n@Jj<{=PRO9^)&jGIAu1 z6zoLM2thOQ!i|Ko11vFd30Vl_kl@k6!ZHtzwStTF5(0s+fkzAF$O}CZVHb!1P>-}n zW*S@|SQx9|mW1qUzv$^lHg=~|Jw>_={|1r8#m`#0dSM}1q!|JOqDTlM1K}9lPB;Y@ z9wv-N7`@oL^5tPR;+5qWEB6;LF6eJ;vWMM@X z^c6Grc?^)SE z3-_Wy93D;-5(y&nUE%#$xWwflFrgUXf)IXIOHO@yG3W})lCL0<1pjX$+1Uxz zP&gbIS^aO{eMDkOR7fV_fo+>15Iacb__Y&`xq=87DNe$>Kj7ng@MZQFlRZ4YNHRbs z1JnJT9DDUD0}~SqJ08T5$U=gC6;-&Q8r<;C5(0rx&qE+I@({4eABp)dI6q{v1_oxZ zckhDl!`KZZ;K#gR{2?KhHkZPqik>6?AFH&y7l`r~*GE2!waDGoSq8($8sJ^@M&xj> zWz>&L_9&mwG?$i9Ld2HNWl=(5Few8Hf_tg$|HPaBfA_2Zn{oa}Ec*AS_K)Wlk1swg z*8EeaJD>+qLQ1Y%eV^EWHG7QE|K5F_Pw-X9kg<89xzW<~>oTy7Y~g%oOP+iEbVcn{ zKW;VPqwjPsO^LPTU5{^KmJ?3^e?FGsY&4yuLeY%T!>RkrvAIGu4LV3))YuYgDQOWxDH(&EJC>*GC zi7%P2E^BPU_%Tx(we?;-!C|WyeLcF8)Llee3g~`%a{`m2^4KS`9{Ho$L7nNUU zC0p54KHB2eek-Z`ZE^go9CteqVG{t8ET1x?M<6gOw>Oaa8dcZkaYZf3RyR3kApQC_ z*4_+2@g|QN^)RDsc(TRDT!pwVaR)bqKc*Dp@krjUni1~~z>ZpqbW2R?CBVEsbu?GM+Y?v@N zV;U755^_HLswtG?T~MGV-Hpod>*Oi+ZGN#rO>GIP?7-m#8W|z(w!{|lgPS15FX8yn zo(ogX2#Ou{mmj7u>)1x9o!~}Ey5F}S=dn$Is=us6pkVEWSxdm9SH&7=9Ojf1_fe`$ z8QQ~8y6z{FM9V*`h)l;Qf z4lbvHEGv2@G<;{~pdVwH?p9t@US+rL1qcv5 zAN{OR#KcfQm6mh!^XVc{=TmSwH1wpI~kSf~3J-ZV8@+RJsJH+BmS1VEJ zZHK+l_?Gi|c1Nb}wU&uc8!RC*kknJ;a+ zW9PAS89kDH=0I1#J@rZBFGE+3p75BAQJdJJr*&YdAlNj718-vc$5sO3@aSrT^Pfi7 zNqe65IkJ8Yz)Q7mfy#DI;w5ELVDFp#lADz z4+TA&LbuZu)CMabw**ou1`~suX1R2}&QVNO_7C#z5>f9bPdGD2T7)=KQ;c5uN^W|_3P52ReP zE;M&J@4CJgnRxvV=frzDjFM8ffUMhO+jl@0u2b4l z&3s6Ipquf%xdx_>&L#mlL8@DmceF#>tkpumhN9}g@x)l8ytw95ZkFT?t2U`e9k3xOTvWKT-dRkcXjaL}UGWu7OokbVRI8)gX3PmAaK%9&|C5l(x=v>)Q;^OD^ zgaI{kpj^(bR!@!47>z)P4^U3{Hq(-nC{)-s_Xsu#OZ7S~uGC~biSBu$NO{adqzyX5 z%f5Um=QmeL4R!V+r=0E^$~PJd^CXn;8v7X8^O_q}M`(T!74)~KZd4MAEa1lu|F%@k zV4QgKCEhd_-%DtTUalE^Z{SXTb}hZW|1Emyt}UU(sMk8qu8Ml5F*OxV1?(W~v%GD` zqq(OTF3~!@tcj8#Rd#7a&hpVAUMila^AJ$;Pk$uh^jz~=cX3bSTi0hab&J;t-;*A4 zKbDa={h?nD1f_b$Sfbre2ibiy_f z{3B)H_RQ9D%FT&UL0mPkJf@r|7 zKF!Y(qf?qfsRcE9=OhoY$+zOIk17|h=WW^erXMf^_L$XYt&7Rm-`@)JFAXT9pY?z9 zIxcy*Hf?>oCFDbrJh)a!E-Q-9dt^9G{(K?b^|n~;n?tVqHS_2egVdDPyT?G3-a{!N z(r?xyDlwWXxf?&34oN%{^&d8@cl7Qv>dzn(`nM3#8 z>om}HWqfmgf^s&>sfnJ&u!rG}GQx61x)c-4PuVl1upLs3$i&yP*Z{t9n}~n?%3!h= zVfu;R#MkH))JgJ5*ZCcxB z+oTT*+^if)t(@Ek_i+;x>}i$astK>lDA-nvZC|=>ZW~wqaxNjcq^7Zb0r1>#d0JTc zaeaFqhvY^;VdK#LtDZ9)0og}QJEqn?S!Li@R5EdsH;bQlfUrz4`nL!O2!s3qo{;zi7D?FaQSVn13mvVHc9R0?X>|0q^LCSYbI&<5LcbOLQV;@ZDMoB zM!*bDmFk1fhGFK>I@Y`v1?_m3RUZcD)vgoE$k$ju*yjMUie8%tNwcs_BtoI#;J#tu zT86jUNf2(MHMxDvb@8dZ)TA;`4tn?O)p@7 zv-8Rsni{oKQWA%sQ3ckNT#B$nLX!r6Yuyb2;We9IPq~F2W$fVGG`;5)mzw!v?Q%uk z!6kMA4QZyUUF*<0o3&um!lYjMEydZEdc2( zT%*@Byz;hN_+iUb%pJE}ivh1gehcH_)2Zf;^=&pWt@W+S6DA&m@J^0v&OwPKuU3Gv zn$ZJiuf(Tyiy|K0Si}lpMZe?>ABE=kjecZe9g@AMc|XV&9A8C z);so-TT35>#I){J4;GQIj-ic1OnyI`h%`uAMyFTF8U5}2DB@*jOwy!Ik8)VoPWkGS z#Yx=LYAqK~pm*;HTh(Oq`y*oe(ecEFB2`*P@tnaL$z{$aRtN8FP}+7ZBIZ-mxkJXL zawl)42#N%_DhA%Fb$gWw8$V!tVrfUvK1!z2;2T&UvUV`uf1?X!Ybzq~mTYyZ&j z#5XfrfI-l%q^5otGc~sj^sb^~l3kUx85+hV5%md)Zfe>1$slCxnOXgoo>?is1$_F3 z$#lcUO2@s+h08v2H2E~&M>-wsc16~2A{5q$Udt$y_u zn_une=2xYM5h?klFWzI`P%<*x#bOswx3C8;-o7*<{uyQ1O$XjTuh);=Zel2#HnDV? zIJP|{xfGNLzr>P!V2O7*t4+y^~#gUABQCTw>6#e>)VG$#{~nX$kL0| z9SYll779oD?WbMuIQ?EmP3&<>%6dNv&6;Nwc!LjN3e0T;7hc<|*7-%GEs4yYgsGxX zmi+t~_S~*Pl@0UT9;BA|7s4b&J4AuVltBbc8HCX2uRd-B83abKTOApGi5xTp=L=oE z@fXAV%bG+saFZZgxS=?S1eUjz)zqBeL>*@rNa9?PI{X$_KEt3)n3D;UGXI)5b-^g{h>_nXJ`pi9E9ZqViIgSH5D1|>1O}2) z3SWo2Ll=Q{1Bv%U;opE5vi=I_l#o_{+!BUt!ftLj+6hXNu&&r48Ksl6TV(vd@MP-$ zL)}|IMfElOzXKAI(jc`ZMN+z@JERdo0Z9qz6c7OsDQW3aP(ZqoZlpm$QbbBhN*cL) z@b^B?`+vi`)?Ih4yY61@Gcz)0GcycvKIa>+kk~B|E}R5cKqSLkv?<7egq8n?c^y9E z*SQc^cEOkTKRLOPpc00-_V*7Az-qDKv2mny689fUi43beywFa<#V;YXlkgLrWx`G& zIM4kN009RSi=tk_!1zNhVWScfTOwPPV5brX3J0eNg6INPLcyqrvbydCsWi9?@g_3L z(gaE<=MV_=KN?E-$V`yK)D)?sgyW%&-9_=%MI{x|*&Ru8kuHmDGmCqboKjK&HI#LA zAN>CbMSz!$a5gm~5Y6zF04;DpX89kEc8F`cxQc#Hu*hPvew|8J@ z>E5qH1UwERzWU${g^C)kLz4;RlqT?t123=5Az+fFKeTf|7_L~4@#p3ET-2!G_^&{O!P4M2`S69ghC z6M=XJFN2#A07^)aBGRyk$f&5CKhX)WO$r%pdU3v>9KE8V0_H@RD`M9`g>pkfGqOO< zAA$ZSJfRs1OZ*Y1mzWU?CJ0n`=HLh|0>^**Hf)KtTA$9s{XUh8YnL zIOZr(5L|QT@KN2IJLg6qxaZao2%fofF$97a86)~fmvV6k{jbd_Yzp~DuJXUyM@V|GdM0l6(I9177DStQQZU_TPff|91H9g#vCS zyIRdGrjphU#5*qSE%a+VD=_1#KE`_Dtk0brPtOMS^H&w3=JqY#$bR6W+(i6v&tf^G zC-x(zK@+e!@yz!GvZkptA4`nzE9^>qZ~L!xHge64^?3(4wHwA9>2e7Nf#MFwX5+I* z_jICmD_?JKWv~lJ>}{!_VRqJk6rCI|#s}jk(?eWjKjlaK*J-TMUgKSzsyNsf4jp?* zb6t(#HRnBmc>mNz$Y6=Z%a_TrTAR*Zz2NDw`PNIlD_zw4(%u;s~*rSgDwe(~Y|u8+HqFxOQ(~_(qq9 zHKTRzN?C`4k^=k)0;33d3Fn{B)~=8r#1#xRUA5f0_e;6Z4DowA9t?f|=)R%;E+?>8 zv|3mH(rS0bt(gxESZhj?(kAj)1a|?cPq-QpUMV);r;t>Gf&dK z_#sIUm9rf5xuy==_o^MAmpRod0}Ai@Vke)mtTDQTdt}qMfXX+?OX#jFu$usa*-LyuY$qBKQ2fAeHv zd+T)5JMqY6Pt;Gb5XkpM4B`$=9)+Aapa6G;JJSs<#~T3s73(UC>$iZ|GH`hMrNuSW zY4gr1@%1sQ=$1HjIFv%&llBqUL{-)_xyZRe8t`lB~&0leg_a`(u?mX679UFt{%j03-y|4SwS3~ zJmhP~S5KpPcu5i@Uv~Q>`sG$}d(=z%1tWHgSZ{&x&O)9u1NmlZch258ApHKW=gQKv z3cW+wUk9B5mg5nRbya1)8fW%=9>s`%w?Q4P*}AJPXDC`gGR@;2oQVA<2FEC~vrSWM z=2i#!{}UAY|3-4>AVi?>AEFwGLXjtB|HctvsU|W?{D0?&KvfNycK^TgQ~)!}|H@NA zI1Hix8&3tulhS|VsQ{+h|COg+OUxSWo{LL_<>}ftH{k7aFWqGy~Oz-u&|7+p`hk)six0=K#%l0^N_`D z1@DQx#w{Ei-d}H)Tfj$jf&8ch>KKh0)ASgu@JnHd;-R=D+!O#1<0Teq)D8{baQ5d!D?ltwOMGx1pPPy;&Dho4OVkUZBY$qXo(z64E zByyK-$j*iraG^aj^gAWw!~3D$@IZk4NPwI%?`04*5KXhNr8RALA1|Li`^p;Y+G#$4s#gXYkfgGd5FmKc@1u+y)i4J;!1bUrqHo z>;n1X8I}jJ%Ets3y!AKY((uV_tAoK>R*YoDOqhn2{x#diif}T#u>R1pkiY<5<<=7U z0km#y+Gmv=nTX}PG+ic4vRIIhyQMq?PM0MGPu$*S8!)uzqOR1H{hm3K zkwm2b@eJlZ4SmTu@An~-|h_IPAZ#!9-%T0``EdGq} zH(3f+g!LrY5gPnR%er-VFtGQCsXQ{;R&y9z`$w03Aux2$ zTcmem$H;(_O_=g`W&2rUa_I(1EGTNhrj6&t-nmk-oSfCVh8Igl-b&!@=lH01&%cz& zT^ih3bDrR`j|w%71@-!-Er-(FD;S zVxlwDaZhfgH0$^^=d~w|;IV<%Mw~@>{><}*%^%-teFKQw)@Anf$;WR<&n=pjQv(IM z4&#SZ4;SuKaBF2r*K1A4bkMSoU`~J5q1<3Kb)x~>*@g0B_<-ZMDed>MvwfNTY=rDw zf2YrR`|-;hryN(XIbi2vQ^g=+BROU>{m@2VLZ5+~81qMuP7A_<7QHzc*whM=qBD`! z?RuVQ;otnhz;1SHzS_G|HJ8Q8^uxF41W?Jyh%=KNEK6mSe&vdCFrMP{;jm7?i-26! z(3uY_*wvtF#QwRS?&-4*9IwK8+-p`8?6=e{>{Cl>P%y0=yy9Mgl#JYWsMJDU8Rb>& zGjp4y^wKY5d6hN&U*y*HjEwIc0YHC4^KRbBIR~#igpQegjqRsY?60hvMI5^|?j&Sj zgKG(|zf-x;8dSxMPz7j4J#}hY{HbIYllh@z@I)7yqX8Ng1p_CKv|n82@HwVTbi$i; zHNBS^?`r!#pX0IdOX~s~?`2YY4taam#A7u1)Nkh)Sa>deIbVp3%smUjqFV641FPPm zy)@M4YiS5-QQIe>Ec$7?#2Wo5@dd3WR`xZkKri!cb?X=qH?{N&hgylQf_tqFEMGY$LW1b>zI0lO_OJ8dePl$HwgfeUAcuY#pE#wyzWG7d;t9Y~=?WyfQDiqwZRjT;bk}KYol;mvPR-1tZheo6l}i@* zrWbFrifAXj@A`R0cAZUJO7~%EM&IVv87dVMC%>>IuuUtjXuW(>({?nz{9 z_SE*idcp8#QnjSCCNR0ojzckZ&|Z0cj-FRQRbTDh3~5p}szpDSn@W7{s5}UGLCP1j zmLV$BIJ{0}Y?DJTaPM^&F4Z*#*NCC*Bj#}Ms-lzmrb_?p4y#3p@&G%0PJn9?x>U<%j=y`E6Kt@FIt!R7fY zRLq2IEVDI<58{-)gA{uAnnBObiGX%SUFXQYgx=lU%CSlBkd%_<{-Kd$Ofpe50}ps4 zup=8#+A=tCgdLdHIz0d51e04-OkFZhN^43-3sBau@BlH@{DhWSmbPc}Dg9V_ZYGfUh zQN45h#!X2TpQ;t?YuCl(OO8#Ry{~H?-33?Z_!Pt5*r-H?OVB;M7RnPV{y}-0u zJSw-Au3KvEVWZeoJlaO?xzz(`gn(0CS=+U(3za~~HY8>flX474SnWyZEDAB@H6G!6 z@zp>hsJyI|=Ey00JCHB)ymtO}$%1@p*)mV+F$HeGS)Ds5CaPRq*~cQFRI#c=kE}Y< z&2Jb*Tq0vLwu-Hv!Pc?>e(&m+4;k+HJS}S<+xbl)o0I?byQY76$Jo!4bI#{c383v* zK*9O3Y|ikD;(g=Vhv!LZt~h);e#Cc9ABDwqa7TjXlbFFn+*LV+-sZ@S){bByx%(bL z8@nNu^)P`FVoN+qDqenA9tsE2<_%el43g5x!VNl7aVaN{BtHre9$hFdm5>peNC6zw zzCm!L1zq3Bfz(~%x+6{A(33oq1+NOOn(G1 zQd z69frVS0eS5aKK`AWb+Xp)EW9CP=tg{5Y!?f7a5ySSt?~@4hbb3mdJ6DISFazCCDmm znh{w4s45||^hc0DXFG(nPEKy22?>cwa3ITaARPQdDWRq``|Z0wwy9xexGjjX~qlA&09X*4q2Z#@db-3#WoSV&1O$p}~yuO`zA*Vz`W>M~$ zzzTSO1QDdPhiAXZX^@Ia05cRIDfdTU(8IyN0RM&{zCe@A%r{t(wNZb-@?GTl022hFrWpZ{KKHt&rsk=cKW7Bs`2dI{VS>UEYP5$ftrzrnYv;6Pu^Y2|mf75pUwTS2n44y`_y<&GRJvr)oto%do z>3QAl@2objECI(bgN*`Oy?w@82fkv;ehJ#twoumz_u}?5Uo33u?*X2P9q^ zru1lZ9Z_1J_h|wWV^a@koms3T;|zF&o_?_@_>O1G7mdY{u>cch?zMHo)r1SrGN+WLEzFuiz`wo_wgZ~|NhX(~KlKVZ6Q zRkD^|y~?M({hMpVVcbJ^5}j9;N8Bb=-XA zLwo;JvCmO^3z0nqYhG#mWouJDu;^L!i&V*pt$OhO4T|w{sv6GMpG}$O$#g`%)=X9_ z1%Zz~o4g&tjlZcAUdeccp=LCG*epE|5ToZ9vNU`0;TIw3>r6=9v3UJJq+H2XJk9D< z-{Bz95ihRh@I|5NdX1?S;P8ATl5pvEPN{08 zD9uzhZyI$k$oSQR_-hNmqVRJqS3o=Ak%;A6rfE8gXh%PxiK(Qg<8-P`JmFbtpzkP; z&)nou5uHKLdO~R`Mz_m6Ut1w}IP7)h? zawd$d+~#kQltTdRtTVW~YUB<(#@>?5E*kMhm!Gi^zF+W3N(jrk`}Ac%I9o!MLESf0 z^7dZTL!pe{;ydUJmz{Kd?~i)j{Ehjl8EpA5-k`h{I@dA!jEMP0Fq83as^l-+7dD!n zugJ~<^(8^<*_8wr0c`R--B#*f3{rt?sqcse=wcQUWemR|2wpORc|IQRDJ-jFGN&ZD zLtY%o-Tf#RLq9qy1Nr^~;2v)be%<30NsAC*UvGS?_n>#!Z*ody$<@YYX8W9uC9}8l z6}b6(*IL`!g4nN2>E*AZbq9O}0Trni75VQrNGCkyWZ(hk;p3)l@h!dYI_uz!*_;xC z*CqBYL5=!jeI^H*-#)%zpYnNjc6gUFXbX(KO5unb8~WU6{$a9Jr2&1B@0sTVkdSs? zh%S|b1bgreqy7q0TYinuzDVuao*v3jaH8)#HlRG|^mKB4N-I7u2jY8w^6Sax(l=Au z-piW%a3HpQoI(9e*ObrgTKEGY^Mryb+8Bp9t?q53t9zHTl^MN-{=dSRA4&e;%rbZ> z(ettQuPhMO6T(;)WGKu3VnYWoHRrEP6u{2UzcNt(f35wMi2~Tj`Bx?iUcf@pzcEpO zG$s9wiT;B#*XKBpMh^%vH<6p4$jugVvpwhYKQT=n!#2BZ#o`;R?_BM|KQV_Tm$zCy z;6^^q zwyi)+!nCh`li=95=m=_+fVgIBRB#>fkG^o`f?7(h&@FsesibV*FXQN zq=>^bo@_+~tim(#xSr2Dr&EXpJ!uIzMlT}Gy!!aP$D8K(Ocqi079jYDs@W%j zAe=g%IBJ2c9~QxCBh!1G1(raCG}tASDTk-&vc?9IE>9Xc(|Pa5%ldP+;YhCBlU}m|hAyQ2m$Iqm^=5s~S6UZ)DKsd( zb{`ckzg_YW4bZLa1M=$|_1$YGSX{%ZM_aZV{Wi>b=86U%x$V+5K1mVq;sTshYnfwv z&YbRg!b|+=odywCeiX2*3F9eVJz7rBYh(nEAI+R$I7==j@I|j~F9v;kuSCgcpTR0# zozM6Un5SC;)}8j>i=*Y_r1fV@ExiY>!`mV)VYK~AkIDJ_eT64#K$*6JsKPUmS+DF8 zvlGgMd`Ckj?Eq$kO-ygodn!Q#CP2#Vktjbtc8EhbjO%||`2GZo_166Ske|5f`BG8p zoCIiJ>2{#N@1UDH_0$86|IEh(%0uTABnknuurg~r<0-l&kQcKm3!{!2Nt zK}i4ls4g||W16kG@mWe8IIc>){_2YeZv6+Y8lW|E2;aC16y%=0lqu3CMz}_IBCA;$4d-5hz=wk2Ra3JD#VoLfsl-J(-fl6z7hSTC()9=>sO9mr`);0Gq@?P z9#L5^=LLR*k>G`3i{BHIPOb|j5MJjK@rvJDvmtnT<*Az7pnw<%9~#?IGcKvB+s74= z*7pt$pQPhdw{=b4+NWl?@i?>))VCjjM~@Rya%mVX?Z2K-S*QFU40@iUVtyd;WMDABy*wt80H7K>Ip zsTxD0ZK}$i*5Vzb#Lu$bOxhn;3BzSA0V7}k^_pNE%t=Xt^=0;$%ul$SUQZ+27dCFb zZSI(+;01!(M#jEL=(sAT!3oLdXr$EUK2IalPp}Apx~W5OdIM;iSR!YX)6@xgHOehz z^uVUz!{RkDW%tbNy3XZuD#mbNZBux=BW%3RWfjk7kT>{N!^*mV*Pa_L-J*cKXXDMU zV8bnJ1ly}`?Ut--(DT?2ZCKY$T{FtQ{n+Dh$okMcv;eS4xdb(|hYxfhYg^qS6;rw69hnb!p9a4WN$CIL9Nmdk-2b%qx&yy6!KkIw^zx0DsZVxLK+5HZ zog(3-=ATg&*1|tDPzVJ4AbC>MevnxG)1kZ%feXm&{hnr5BCxMLi-?XNAgA(4%gQNR zL&t`Wdi!_pKcfLLbzSeg5==tH;$GZU31XYP;WqB!5*<&C^H+@tOfh9(be+(YnB62O zc9lMN{99hzz%i8xl=Y1YxPL=o*R^~Co`ob5L9m(MeR@^FFCa9(rEg@*Aohl#gI8Js zp_o=UC?Dk(jPKQNMJS&5u$qwabuSE4t5pvSUrSxvyeu$+1t>H~WeB;Xg9>|j?uJhw z2!$jxOkMqx^DA1$zuuD9xd;5xGrM|M4zaGY@e24A)zi=mn>_IC{)(=oZfV`{-8~yr z)O{h=(KB*SDiP`WLG+X6Vf?Kv4}7T^RH56+H~CF-f#5RX8%E`OiJuR~=)&&sNT|KF z<|};=SwDi!ckAOZ3O;NH^o&j}>L54I$uFJxaV~fJWnKI7FDEyT(x&xODW%)szF+Xr z4go!JjpxZ>)stC8O`~6Nn0W-!@(NKT`X`sS4^eP$7>0wW*p#YHwsREn z*B|=lQ8|1|=0&H@Y0L{Iap}(0Q!%Q567zcU@G%uv13F+4lQVee5!ZQLokCG>nfNO8 zA-3{WVBE5uC??A&EcwVkFeEIywT~+zIyEN`{ic{lO6%xnTEHT&=IEPSR@JvpOwJ{v zZfxP;@+2_0wCUsO6`K0b48q`!U&gJ_xYE%r3hb*&FG}~YsOZHt^^J4s0(z|8amJ*9 zIiP9flvx>2%XrT*y#m+N!udr6 znVgb#-VZuqSC5R6b}EF#!{Uw;oU6it)pvFq!~r%ZvI$!&MpwYxWefM5sW*W z9?b}ZC%o-tf&ef!@J|m=KtRwxJ@?4?NoWNB6F_<8-MfEW;1z>N2lyv{7(6)#ksIWa zkW9i=zBI!!%S@R0Zi2W3yMSgO5=5p@Lg6UvXhE_{bl5GPEc`DZlgKXH>6MsFyg zgxFFDu7(gs!aH z>L_8%*@bg#fDoFlQ54vyOza=$P1e zcvmijlSthpba_J{N&8RMCO^N1=D!TyP&WA=R5BBRFox3vPE)w38H9hxUUqX!D^pQm zYabE{o!;RvcM^#sqhexUUPD|$!iBZFzP=t=A$18EH3|1__D3LE=Ae=fDkWi)(uKtv zhHQ3q_4W@9r5}-8=p%Pvp*a#hBGWaYk(6%>T%+z{ez+J*Y@Ur_Sm%KpDW=Knw@_w)YCE;9cHng4}*${hdu zt}g#m&5#QejKb0MUuuS${QvWQ|00?HKBoWIet?uiPJeGQe}j3*OwT~$wJ41=&IjVP zYYSuC-WK~BoK1l1q3wynS===T?u2Jlrv+8dr)fE=x`|%-OMl4_WvWUwifkxZ?X4rJ+KtGD^DbH*Oz>z*z7!b2OSHSYgEk6L{P1t zbt)3YH~=iUB%d$8+enqpa^$^JFwQtNW*3g)SB+(A^Xl$4Ek`ZzX|?9>j2|NR4$7wF zNv00hcB}o+8G&-?p|JwF_WVa^09YzOC%a$zQT=;sSIU4UKgo5B)kNZ3?z`$wNYyX@ zx()>UowM*3FxJDd_&?Hfja&Hz1XVk}#knHAd!&V3PEif`8BfJo(MH4L({N7=#*ES4 z)!Qv4RA?5=7+p^#?D@e6@U0$%Yy7#l&*V_F+~H1g^J5(;w)Y;2Duf^ggc>T}Uz_{h%g;M?Jb`PGxmenx z%X-;X*F9M-=hsufQcwQ_Z|#)W^^`vUR)(#K5XruJ03sv9PDx6dv89d=X7jAG3$=D^ zsP@hHZGGehwb*!_z z=b{MiHh#>V!=dM{C{MV`#WDPq(*Ic7Mmf`O&#+{^%_4IExIT+B{!R6%;nhnc9<@X> zS?b$&m0zBr1iW&kHq^=z3jq?=t4u?o4Pv$us41SZ=AL!3Ozm{VWm8Pk@d;p!Py~z` z_bj%NDhE4W77_3+BGhI6$q?ma`6XRmynEV)RJt8-h&$Tx;K^K#PP2PIK=(*)c?o|@ zIK!^yLvD)#gFT88h%@qjFnwzjwHC$l=K{OWQ}Q^3@eG1?%lLJ_1?CW|BCMSnWxE{o zGGJC?lh-vVb;GuKh2<;%;gjKm-SRX@bHLd7MyHkS#>3U>dEYe)g{9DP2NU;!y&+?)Ew(3>!&=Fgq%%;cgeHp0L5uRx@ z)B?=Lgp95Sr#<*2jK2Eq8KRxZSZ==Hw;iRYTL{sQ`Brbx?$gs?tLK)xk&h{+Hp3UW zvEXMe8m!YE;*-^LY>gQSdPz<>ivuS#`?rG0zA`fg_?M`~VVwxHg#GxL(JA<_2lSlz z(Vrbz6IaUghCVy99oelf3OS42&bzfg9Lc|$Tnog#`k!6(r}g_79a?{by6)7ebkZ)x zMY!N7$bO@RCG;A2jj;b^tA!gc$Q*8JoZHv`aJ=fXHJ&uZP4T^!*YAYt0d4mby29_O zN@eMvzlN@{=e@X17YKH#Hp8w%d|8GA_8~$q&=jVS&W&@IB216&s-!LG^ef#dO7!Y)Jb^jHI0@$ke zR~!m3FfacVhXNSH{Z||cpylVUI21s$%wKUR^4Aiq8QPw6gy&O{=yDgi*+XuAAvgPT z!v7P=L~BuI9bDAC1uSBQt{v_=waU4-IZg4L;C{>u54<022abpCBwg}uofB&xOB@}t zP5^elt**%2EI53Trgha~w+RIKN>6)`-MeqC_=>Hc%Tm{IcExLm%J~wHCxx^TNp(J; zh`V#I2=FkW+bduUH1{9zQhiz*_Fw9)F0Of&_vnxVxFs^!)1X!CbobR%#n`NgkKW3O z&mh^^q|B{zsXm*^1;p4ll4wy#Mz@7`Fg0;kAC@nCppX6Lus;5NpB7att`Q{FYyNOm zn6tnA%vXFxMS+~>h|kFis;Yt7O7hA)jXz4lIP z>?qo;hFoF@bZgFg+#j`?@5V^Gs}S<#-46S5G-9Wzldo{X;n3|9F?gHM=CjUL?DNy6 z2Uqb+tf!=2Rze5AwSI0x9jp*8kg5<(<3a!`rJj^)$Od zarf@IhF}L7Lo7xPK|_w6LTpb)GV^6^1yaBKtOs;!6qQgMy^KY zd^HjHRCpObj=86Q|HYN}J!G zzSP;|8u=);E48yL|J-Xa7yX$r$d09x47>tR`;D3f#OaRhD7pKV=U#Nh>&**KAB6Es zD`_^qEjjlE3%)ZZ8P*>qTv2kPoWcx$5(l;WA7s7iV!Sc#ReptF2c%`CAR1_oYE?v0 z0gIfxbA0T*>ylCJ@>Zz?&SpY2GT_Ry(_q1(ilFSwtivxEDsDP^z|o8znW*|D1JnMuEfm573L+b*9}g)G}jg5 zEF=oDroTFCeCd09W9km6Gcb*@mQNO5w0l77afyA>?sKpoQ_Yre-*7RDw&g0H8lV4Kl3B50s z#D7z=xMJiblSt@31Q^`HGpt#DR-SX%x-&yFYk~>K`E~PHB-b^~?Ba`WjRC!@nrlB5 z4K2La*q0Mj9C3y3-peq^X`K9}6nJu7MBy%Y6h6MNaU!FtY3df6l2ugCs%sja({&6? z!ncJbT*B+Y4qjZkddG@e|LrXG^N-c;4WjbcAzPF^9BbO?1zYy1Krya!;;OR8(_K5f zQr6o?b1DWeURH1J6Wz_qc|Ujq8tFf+9>Qkl*X`fFuWX*3<7v3MZ<7jIB_@CTw#TA+ z|79BJ*dwOZGjw$Ieg1~VEIGeq7UQPXlj1Ti*>RSb*W0Riu)5v6imS@=ZuaMc7r8}E zcCT{@uFqa&R0|#6LKUPjaR9y{jjzuOAL43e?_u2Jk$3cstExvM5)jwPXq`Jb$0P?g zZ`(Y1);)nB;1`uNv5v^fX=z&`VRGHm4}8{wfyZ|j_zTD7vFSlYYspx24jBu-m~X=J zIbA<0m1IJ0$+y*YaEUK{aSP$wwZ|DGY1=VB%r@~26G5HM9q+^u zEb^OAQrf2#j4SIuj~{ZG#%1TeFRAJ1pLYaqT@yc0F=(Xh0$)_D9Kzn4u!80@2T${UZ=A8)Jg*y%%v(_M zAEDP%G~g+pq_G#f_P|mA2c`vkw|t})7-chZdYo9_+P`?2@VZp!`aZk5!}Fxntbz_O z{OK!`PIBJ*5rfXt*jKfm(G~TBQ;OSG7-AZ~%*>Je0u074+F8`FA4}5N#izIAN8m-_ zlE^vzI1TR`gjD$w8Mrz{WHz3>!N_0ZQE*Ml;R{~J3^Drzy@q~K-SQgY4JDA>JTSLH z_&n_84k`xqE!&cY<{<*XTWXpHjiV0cvS(Ji2G z&nY1CZOshh4Q1`SMvnL_(mK9lzYdeDx<<_Ho4O|fLiPR*aWjwgwlIFctpf^1i_o-V zSr5-?G)#xFj_=Wmz|dCkgp5O0C9QLIVPD8KC`s4Q$}RCtSML&=;9Ya4Sn%r0w{=pU z`;;`+?%}^saoBaOzg@znVGy*IG|Q`O=p6$*)lij%su9awjJj zS6KEFi%ih}qnJ$oW9?3Ziq3yZ$+L5EU?^h;q>ym$k8=npmxNdPpem8zPdhjCbzi6@ zFDiggA%lf@ZtTmKiHSq_1dfmalu$8=%%DUbcRpurDFcED`Xc`#lSsuRQYrZ$N5Y@JfVLkf?P>XO?!4_#bbn-OR*>DA30uHZ3; zKwLsLOqn1s{vduI69mH79|11x>raE9r@TgHC%}Wof1+Ohft5vZ7CISscCrnH>!(LbTq9DT)rbXwN5eTulb9lfdK6lQKK-`);hcA)D+|mCpqBs8q zHUB5Kx%nwBcA>Z1>q;lGi`=>hDIbK9c0c;A%ozU^Zj z`5e<|mRVRTHh5zYC72TZkzg&j??9&YKGEsrr|B9&|NJPwp|HM7Q~U&Sv`cgN>PqFz zU`VIiBf1&eIl#UwE^^lYK|tMwTxZFE3;LUxVDNlE#P+#?`t^R}-UMc91qZQ6 zUz=i+YcD8cC?sm#c@h98;g8dh6)nDv4f_05WvqSPI|7U{znV;I?xlrcX{%&|WApRv zI~~h*h3+;v?6&)XiE(IZ`-iD`^?2?cL7cxtKnxSzr-oP>+^p-Cc3M|FLr&9yggQqL zVJO;x&BD93Uho}-tn`Ic2ku->0A1Ucsc9;!8jLx{>Qp_qgW|24 z3Egn+tJv6l2x6kX@$v88;>`BcdJ1arR73g;+C~uy#ZC=De>1D=FHSj)^5rCyQ|Bvv zsRdW604y`Ob1Zt_wk&3>rS#;TIrZkiYK_^;_f6M?04nhlBXE;RLXe_`NxG-)K6Tsc zTdOmw`ghbLiuc!Us9p9iWO4(~lt^+9dE^=O#G^mfZuh#MExWvV$ugR{@VkqCI&*an zR8J`6FMV)N;buvHJmltTQp|QR(PeBJd3W1M7@l69xiPftw@87NiT=;4S=qpZS6j3`OTqVv%NcZ!lzOtcmDLcCcw4%qD_dt6_%-S-Z{u(?O4cEqn$I);gBeS9-7mJ7LzMTs3X{gj#DK!?dg0 z#2QHT11-L7w$&OK`UecuE)cZouP1(5VUuYVxvM=|fl<<-zFBr8S=vhke9lA%?NWC3 z*#$`7kNPFi`tkU+4-Tok>eL;lVfi|s04ja-vl>#Yl!)fmzJ4zi^g%28Os$~8YdAS9 z*&XA6GX^H#^WnC_gb$w8P;$Nd6~$&O#Y}pdrInf1A9Gv=&I3T{+?Sz=h=>*;{}mD6 z=22DeDwcDl8l0X9HSu%l*H$q*jZM=|WKx$JY=~PVI5PNXX%- zS@BH9ol{IY1$X|9AO96b{C^v~`TvI7eB&ReiG-UH7ww6EA%ein+~Ti95ClR)!{5j# zc>M1u*r$%Umdu8M_5=Y`viskb@FfuGj8@@2eHhS!C z^u6cztuhnM+l)NlPwf|Vg0YiG^MPKO(j3*;a?Et)bgUj9Y9EFp8P_kztA%9Ezs+`d zbY23!v~1dZg@Nlr!#R|~6>ZXQu2*Xp9Nz3iKco+iZ?J?z} zkJ@kR zz9JNjEp*<+d0+ezK_C`;kG$t14kJ6hYQU@XDM_!GRWdK;8YWM>xvPX^Dq!rUWN@)- z%ATlI=zMF0>rI)>uQX~0*S8k#^1k29A$bqbwHV!2mZo?Or?r-pccBa9`Pp%(qR>`vpFHnvtwTlr{q$32ZiD_e`f!8SP zgb!5!Cl)5^q$2fs)$8u)sy9iz@-jtze77rFviQ}8(sI<}zzb)kwT!w30eSQfFQ#f} zGDeG+J05h|2dh-%FYs8fcY_}@%lh`I1YR5qvId9CpU#aU#lm9CJ1}Vsl|%g>N6LdE zntsL0sZs)X8h1iMcJiC5quR-hW|>YEBQVgHt8b+O=MPoCtZ)$Ow1m4wAsf#1%~v9ok?4TPWA-oJb|LDx?o{6)WLW^pWZK8IppQwY2+ z4TWc9DdnxDd8j^0OvOzp$dJpPKc1T;d}3F7OaBqj^Uy>KA`ji|TH20iGq%K}N0&|2 z-pceQ9q5YN>pMLM`d+4ChJn9EO6z`Zgg#Hd*Lh&~v$y_H@9k)>RvTwQY=B`=i@tOP zllSTbzqL>xPQc(BlnCcp`PcE3vmo!?t_$G1yUwQMgjE0bNM{nm@ZK|p=wP@l`XUpuf!c3ImTETNwu#i&LKQTGm#hnZf z7E-0%9tGh(Y49eO`Ix=7z^1l31HO8#Y7QHc_LaD*ku2Ht+dUB|M$ONpUaMjY8KDv5 zTm@Hj{ceR}lD;hCO4E4!h%$5JSLdVmJF}lgX&06b0r@q<##xW34?P@RQ+}MMuin19#7Y}pgGoqibbjroPb%m^ zCwg^sHLZG%K-gAza#5QSWxHA4{U^UnNI}QQHiI*)+zG>!k#l{Wf50K93quEo)=m*< zIQT&S%dS*-P2(E48=Z1YWNg#2;^@D+Wg3^P?qB%az0vSlc%lP>=BMZmBGl-fqL_0WCxA?keZ=;QAzdc z#wj7Ya22RuJUBynnwCZUB&qMq(bMo(?`!+q{bLCjScIZxHnblAix(_>&&j1{UhO(o z&#uY8SI|!z{X(xzA|G4XF6*0`4#tkI(5ZPO(X&2^eLwtt15;MT@nwmzrCm&0*TCfE zYYIT+o@2)}0r}PI4l(T`i`ybH9^U@X>pOp4W#N)nGD_@7h8y}xDP z7u8^BGvph%xf=0dZ}HJ(QxF!_AZtX&m(=$HO1^Kb5UipohA<0V!+tAgpEOWODFMuV zezD!Pa`6vCAqUslB>kVom9(y(<5SYmi{5vBoYe*v)(DI}3d;uSflvst%$cFh}=nK(S5f zOSDyml63cX=)7hV(dp>@zW+F-dFd4ADiD@3d-}Y4VB+^9_ZNk2lZ!GI&OU)*u_=}4 zjDiZcLvukP2V0@5k}HF%%ce|cbO#J(~4IKSUA*m4c+=k)XKiB?_9q9#BBoL=Jd_d1aDz%5SUqWNIkHr zRo8CGY{8S6c+o|9LJm)vB>g|zb@vQ>>nrDC!oZ`}ePDG!bYAprLt8(CTtr-aCg}Wr zjC0$<=1Exm$MLE8Oc@d3^m;rr;NN zUZ=MQhP2xg)4pxUT6)BmYCNzCw~NT##4{*qX&YY*wp~sw1<-}|y!4AfZQD&5gDB{; zZ`{Qsb`LbN^$sm>Sf_yp5I4V+33RpKjB3pDH|3O!eM9T;ukgm?#MgI0h5~^l_{}V# z+-+`g=>QQ+;^5#Q8I-sPrG)MyXy%5H5;1c_k1Z(~%~cK_K0bbT6efZy1%=#Cm6IqD z$cs*G-KV(nFX<%WVHcN_mX*`L2i@If*s!|W0uD=e6uA`~NL~4@Cde>#M^XMZv_E|G$kNgi z5l})2CG>Pdxng+uY4RjAb0;Ldd;b@ogfAo{l#o#(e6PVBg=(xvp_-s768pD~vZb{i z1=`xXdiqv=AW4%jY7$B*|D~lw)Rb^woR$JPX_6d~)6ktZREf!i3}&b_ zL|sflp;+8eXMVQ?kt2DV5My$2$v|}_k~yiSrVcYtVO7qlp<5eqbHklCHgSTnlkOhI ze+et=QD?lpy?x+ZFX7@ljY0vatMo?(l#Rj>6a;rV98ydqak3ODEGx)iYdL}|A*+PD zUJH+uU%$@4*9{?+vvY6;Ovoyss~gU@XbjW-;n#1*D1Zno5rriZxw*Rfb882omZvI9 zq_K*MijHG#l7WFq9Ex?_p|TP#GW9Se0r@$}!D(Ph!f#p$J>H6^Ih%h8O5q-B z!EOZr!6giOg&kE0wuA!_CPRtkb3eZnh$_=F&>^jalV-ssdm4p8_0eEr1)ZQHm zG@a4mpPM@hjesEC%NPxfh{!tt(o9?w>gMzbK-#xXE}&2nr)x=QME41t=0saUgVgiC z#^xXOo14ESK>yxK25su(0_o{IMgL6IlsW$Q{5HQ8oJIHlv;D&6%IO0d|DoV)^!m^3 z{)V0ZKJEW|J0N57S&nsDr;5K?igeq$%Zz$*fF5&_R?APiP*5nWRULMQ&PD2~=U(Bx zkgUtM<{yYNwO6M=!lConz2=+;_(7b?+N7 z7BbZqVC)L7nir+F^lW(39~(;&X_e;7vVEROwVGkdvKiyK4{mL|XR;I^%s091nfkGr`y4Ki)6 z(@@g*efZ4R4mQ)o+tVfk9916#NJ?GT0 z$<}8Hkbx>n*JD#oBUOK*zhyslPms|UZZLTIxMaf&icb}TT>@{QVf zG0^JJ+ZZ&8GwjBWSx8KDHld^WTrk$dthrPm>hPgCl&#}V$y>_%*o`IoF*bhn@`Jb+ zvEQ&6doa_^1JcWGUuz;+&*H{i{z^IbMk<8tEJ-y+!l1wJ&Zl`iy#R2O_nqtfn>SBq zy>Hy4*Q-sJSCuduFZ9SIvLVnPeC#3u65h|5ty(?XLtl8$^qA+X^N%DsM!iU(uC%p} ztpdYU;-Cxt^SYUmxvlS)wa)~ctJOyqJLtXzP34-3LfrkAL)5|a%_oKx1tB*tznA!m z0bYDVmlMZ3XNG?M2m0%El$ftL2w@@-3p{Xe*j8hcub#nLwmX|OT@-EW985}P-k$C^ z46f{7oaKf04lpraVki?=kth+XGO52Y#(dDA_&udO6T}xBs@-p5z22IPdMT6_Qbqh& zUW0q8o7FwKO~=GU_XEhrSQft|;d$;5 zd#(DXa)2oYC3xF(yOr4yBN{)2%3?=L0au@Qp_Vj-#lvxN@9?;^ULM?%y5O`)oz=QZ zgBIGVMC~1YU!lm2sN^cic`kz+Pe>d=-F**GfVl~(Ak+6;wu z_=ccSK<>}7h-k%gS(*~br_4W){jFqhIetRAfbdy zw(Y|cNuK%;j!shBxkoZMm?zc17fmY@J=x)=GG@Lvhl)G~*^A6yrC7c9a7qjcE(VlB zf7O|3A)4TiYn?4!j^lMpy{>wXQo1kNRDK`lS4Jz@Ne6G7ul4*CEuM;J@gsU-dE3_M zLxF&rkPn|gabiIzpCKkllk%FS=bz{_iVi%#{_|YW!_J9!M*bfnn_UitpMU7F2NCoP z{3Ne%r%MX(SNG?pm0kenkFjW-6Yl3W!{_Sp58!gEy<4>LEps-r#uvr+lFs>Q)PB(X^3Px(*Aa_xZ`q2N znD9z2x}QdGR$WLI*4Htz`)94kNtqq20P*M>IqY_W_m9$wE1xy2mGuNme4 ztXu!F;D<)Xgt=%}*7gBO=K|Vppcvj#`+kBm(XO~M zCkxtD$o$4s!eYomcu3x>8O;Z(>8(9@yC`PkTL{HK9X-JN3%N>!v4kKM%dNXR&#Mmm zWrOg!9XD)jRbI&49quRw)mV&g;--Ym!t_y&c#jQ9J|Dzy#*r1&Z~9k_Uw@Yz0P1ur zzk3PD2c<{Z^)iLt8xsjxbmDoh%TsYFW`qhygA=q$&f*oc^{nkYp1bd3Dv(kawB2r% zUv}&H#@ZKFU*=43O^JBNqBq&Sot}Zcw?$d-$g9XmUE+Sgh#Ecm)wElS;Fm<^xUKJl zq~x%cXsd22mB#`{Tf%WHcwP%%C8o%+1wi#m+1aJLJRjW`rzcSvXe>LGZnFVyk~E3C zF*MzxvOmFfiSrH6eG_;nxV9fg$VVLRw6XHgaic5MaFo?HSV;r0A78n_Pm!1-mWd9p zImWsBS@L#2xuk#{*`CH(Xiq5wT>Ob5qa1k(Z63=_e3^T`es9;HPExB{~8fk@BL;!+Q}y-tMy$LSJOfFJcw69B#E8Z1sZJw?2k33L;e%neMqZ5Q9>o8^{wr0ulk${xP>)<%E%7+^!iqT#s4TJ7lM{1_clWbLfoAe#(c-a&sEBvrF5o>P+>M2OUC%+GK{W!mU-5E-Y2%m*b3Iwx-!3o2S|*< zFZmQ`Iu|^4oH;0BHW`Q_;+41{(IluMW+QVx$DfI+%J=NKNl1`jPzaDbVRZ(6nqqGePhUEE@Y2NF}EuW2;ye$Z|I zbZ~+7X<{Z=L!-Fiwk)oEJM}FWzsRF^^Ek3P=D8opy^Fhg*Y_FN0l!6JX`4#@RNcVX z8lm4M9pAc4OkoqJ9EF!5(IoFa{RGL`Qwtgg0veWf2{`bM=hY3vhrg+5nntCz^q=5T z3J#BM5>noj(~U@;_(|^(3-U^u`%h9#CA^fvI#sF;>w2l^B#gB~j);NBt~jo$5eRMl zmZuUrvM+FmE_uiK0}Z>{!{D&Y{IBH7`fp2@Rwx1EMZU++qmwcVir)P^gM}*+n3y%P zuu6PW-5_XR`hLs@utGxHz+zp1dsRqp8rvfD)A8#~(YOBbXJ(CFBBjDp@bjc-tK=->03yef4| zLHmK7t6yL>%!}S9AX7IncMJQpgLCo9Bj*=>uk(v)_UOb48Yhlm5$EnVimQ?a!*f;4 zlT7%gPn>JTDo=R$1Gj#WGbkNU34R8lbwUle&&%jso97J7AAJA1a^W(&oPm{Zzyu}T zRneE9!P+sq$i4Wo%IeV>d~SYOJ;QtM>D5yhOaeE}ZPJTsTfyfwNjHy#x-Mc)-iO}l zRSlG$@#%%tcv^m|>D#Q83hF?-ew*c<2aiz3cOn@Tb)(`PY@kw@K+g?YgjZ1Srv^}fr6%<&AaZe%P5K_WKhG0TSJW&# z4T#LEXlUs?0vI?ne0ujRUw9G9!_&%S;a6h|*@b(DTuk3}RqWc>RKbZ}TAd`8e3(vY zmwQI`{2_X9PDSS)w(OmC@$esUmskK}3Dwh=%~u6Vvpa~n&4aT029<-mH^{h!tQ``V z*u>>P|LVatMU~7CGwX-w66W;--*LtB_Q|dYn15PcMe)aug7q!HFR1O8Jy^=ZS5@>N zRjPVmewwyV*SVQCxhR=KRc$^btFT6L|*#0e(-d2MjV zzO3gwj~WL5hu+;2s^s(!gVXDKOn2{l`H!686ubQlcx7DBvVYM)a*^LY=AyWkQ_ZjN zPFqGHPKMht6DZ2_tboJ%WtqNjYTqO*HfrtLi*FsqRVRnKMVfr4)SMN6}tn zz2=yl-LbikC3{Qfwrz9*xu%g%RQn({AzcEA)z{r2c$rpx%5zL36++J)KUbod{c=+ktGb=g!WtsX<6gDr+Jh}$2UY&zh#yX zC1F}3<5Xzrek!!YghI>H>L6fe=Mo1aDH9Tq+8hWilVK+1smVJnEi0#`qZ7NcvlF)E zeC#qt;UUUOq%j8)OGu3R;L;DL8*oQaz>f1%@!K#2MU%UTxDu)>V?r^1!Eth8eU9wk zrf)=CiiL+q%nmodl7hlSxaCz2I1eXWuBDu+D^c9WC|n+7oI2lAP}H#Q8`Q!99$1}PzJI4t)SCq&!t!UP9ERY#cndO6r$OC;LW(H(_xiYtv_l7c%5^(+O2a)A$Zh2uH={sp|>%^d~LxI8?( zyrI+*5nKLNTS8+v;tYq(5>iX@{CsGGKgG1g6}>}4h@5#e1$72dTf!;6z-h=UGeW@OlBfR$z?6#771Jd=Btx0Wq!sYKjS zrtaua)QsFAI*mf1i-}21PeERLs^gTIK0zVO_T(Idw$r*OGw50Wud(+>xViWCADf8( zpXPM&y?;N{;eVOa9k@;(@Zle5^TUh(-0pv%&A+z;MtHN4y>Z5+%Ki$3n@!D+udwk> zroJLwIo3YRnsuz;1~r>cQ;8a!rcx|CG&3ui?=r-MCJV*o6U~xq1w5kWw*{X?IybhR zIdbVA-Ho_cKi#Bqv@&38_N78xIXG^eE=(1WpXp234_~ij&Kip6P!wGmag8lJvu|VF zbnF&hX6Nw?Tvr#BR8H|=iFA`5Z{LqruRrTE7qoB9tHUG83jOFRfKb!MZ*uwY3riw< z;GxO9S5WqvZ2mI2OMlp9G~JK*2EeK8?Khuao1&;&m-Wy*SFTi>ebIGoeB?fvF7N1e zaOD_8s@i*c8PYddy0=YIA4ds>+^X(#i5B}_tF@|VNpWHg7FXZjrNpzZd2eOHMAUn& ztf)1)HRE`f%r&i1ZU3IN4R|++GtkzdO>iXnJ)db$RdZ|8Q#t5ti(~EJ91i{~s_(#G za!D}I=xi0f%D#|Agu|8q!5MMwv#e3$b7w+*3P@Rr)|rJTo4KBib}}tkgNbfY~suTb*~D8Lgg)874v2*OkGB8o*FGX(6#Xv z*Qv&V4%^BosqD7SFY+&>%k9EO9`f{Mu~67DC1A#K}U zNq7C&_LB`cBESXb-3yP%1}c2}CsP}~dZ$NV8{L*~BR4Kfs<`p=Fhvkp(BjvYy)oN) zFZpbO)@)bgjURC#Z}L)(`Y|8(6|T>QU`EJxEHS-7)3x*LjOR0x=XgeKTN}O8-mlps;|*~{H1;p;x9@RRRANn)`oSHs0jb1oT| zxWRiQXpqR{ZjMV9+GQDkiKH6B~!;2caFshf>i=EF|b-w0YtPSyw8odRU8f?zZH+AVwDvyNRG0YqE zxGOIw)a0$9uuz|-{b8LFjI6cjtLJ)rpZbzq-?jR!Wpk0x@uSSa`h}DVwQ?S8G7xM! zAGop^9WCjv_le`>EyW6Eq6K~Hr$v2otsxAm=?7qjOw}O8mGqpatK>a*c14C7$LLSQ zInldpxfEQDBN3PAw~i`E-vx092q$)s+YU?+snI_qUCHJ1GI=@X^57J0{^N-F-)Qsi zBjP{N=0CRlZ?yT>mev16n?L_Tn_~#tgr7kE$OXZ~?Q=+kvsxCnxXFK#_dOP%z zlj_Y~)(}O3WMy1)f+P=v&`7>{mq(bjQ{WlbtJvC$53Z7rmQCV4_(a3st0(*%mxZW< zs4|dmOBxNB>#`X81X%7X-B;CY6&~#K$+35vTco{O%##|gurkOAPt!=_V<9TZ*L7(g>PEhw0cF9kBG%iIVe!h^6;c zyY+-3S(|jfv-OVHFX*{~RpR1>{3#tfYaMlQ^BtWz z3-7J5Wl?e}EM7Tl9Hj%FdPgr(@9DMkQp%@)5d`5_isD6XIq@iv_PWl2u~8SF}>eBQ8pka{*kT*%3`O;iXpQ{A1%9C?44 zX@~8LwCNz9z>uAGnS6(WmMFjH`rB*jAib}DTc`POr1FxWNSaZNawN&*2lSJrD7ZSjDfrH_?YyM+^^ZDNwQ%F&!mGKr>SfvtuF|9K}t=W{2PVf90XIQ#F;2HSA@VL5RVJ*|3w zUJ}T<+fkE!=lVQ-FcC?q#}|I--6cIA&N-5}qFY2bfzK3yz>01BZddmuFDfJFd*Yr~ zgl;ERx8r~JY`TZfw(t`*0c;BvJ%jI}rpYk;Us&nAq_Kl<~Qu3n-p!KR!pA zT5I%(LEEvnmR{Y#SCaN}NR(pb+B?;sTHzq+QpBaRhDxJpGWRe@0w=52gC$;GH%;#e zC}GGAe!4deX1-noU#`aObU16h`8?Zh)Aa-HS>kSh# zeKTga|AhAPp|qvU)4Ys0$LGwqj4{BgnVTj%3-WU&O{URzs(Z9GMsm(q&TY8RpfZEY z2N@^8B~snDEz(I06=q;g_Te|L8u}MfY#ljeZJ2U0c62j8fqyE4+9It4RTqg(|N30r zS^Oc#2%G1EFZ3G@Jnvz;4}w^e%HU|4>d%}$=akn=hcv@4_Tko(9T#x+Ncg4%$Z0uOB14XG-+?a;pG_r; zP(We+OH}mJy`+tJ9^o+bmp5*chbqA- z%4Qlj$V761`H1$@4OaJ6I?HEY?a$jcESLA8(Z?j_l=FznzDO;92YP;Pp)Oq$k~F?& z7ZCQkWMuKOx>07uyWy{wuW{edvCae43!7K?bxZIs`HoI}CFXtb%q#MOOwdPK#r`Xzf;;@YohTwWg{3ake&BlR)31JkYZR=A-w&VXbqM=RN+GRi zWgWfn3mcd`^bf)%6xBC-9QhWM4~$_@vOKV9>e*)D(kh2gi|o40ZJ$Mtq>SQnY-KMH z*n%1LJ(aa7N+PCq``AKG-No&s?}l#tv23UFvgGSYKoZV@`z&U7l2=#N#Oz7t&r(9s z(uV$-ZAKM!gOL2%uV9~=QAXV%AhhtigobTs5(Ddli1^M8yi1zJ<)gFn%TmBEATn)6 z=$3BeUSabvntBmyLZ3nzhHF-hex$nQoebDe zb*o1~DR-77Q=*yeGahz2hJ6tlHGc;DTNDe`^%~FD_*6B^%2W;2TUf8**uLd?g`s8U z8yfoxWYnx;(sQfkv`o&hifG??RBf> zc~(?D&n;y8!aFdwZ!v}QPB<93j%$rAf^j9d*kFA#Y3j$0?ilJ+%%k1LJwm>d6(Q0F@am3iPZC&OV0kGr8RBKo5GI= zE{VygS=2QSfms}OjljsoZ>uD{y0#$^$={fy)wC^N?_-`7TzXi#&japwWwo9;s}z4o zpzj}&DTp1y;NqVa+t4N@W%>LSvydft60=VHiGcoMS=YXSURRh$u!T+PR}N#%;ca5p zXW@lk!EI{?m&mhM#gg7Oc1}CH_(W#aY@Q+a$0Q_?u?&xT-vOpE#nlhb3Cij^`GuC& zt&y{Hi)h*8S7=1`?Y>^zcLO_(=aefjR$lh@#AdaSI^(;p7Ima0yH zNepKwK0-V2|q3-A~-H7<#$9S28^goNi{|>yn!PPk-s4T zDJ!evlwtnv`#vquq3-WLEj%9@8XnvG4J#2V`0??tdU!eA81(~v1BI4?Dse-df#3}K z;s18T;}8-t!rE&DS^oBe!{$FxS zc!vqR142uHs4bEHAlM#+&{~*e|JWa<)t{;^!=U1l9+rJWe>lP`V`E>vs`%{)KP8sX z6%Hq9gp)P7qs~CNWea@$Ahc|S(Uond;?mBK-94-8e`zi^k?_hbs_ku9oU^-&Sl^G2 z(a8Q)o^xRu^;dpn3JS$sk2-S^;!1dv)fk1k1eeS8D5_J5=@qCe<>p0nmN3K;af)kd z8KWq*;eb(=Iy#6;9P&zIq&deEZs%qETWX1L*q0ESVL+3(uOGaDeJZvL_}wA|>1{|v z1Z>fPQcIX-Sq>>2#FxJtbYPVa6kS5qB^)0rLM@~~$t7Ht z;O;|*IARe;1ed2J=PS@7{{v|#*xK6Jfx1h~-?5g)D0CQWX^bL9qCC%?hr7xOwINbc z(vSnnAVU_;CkJ0uM0Pn1PdyaiP=q&7N+~D+qdbu;PgN~_M01I#Euq{J5nP@sE}uUq zdg1Ap0DtjLnI)u_#!znQ4%L?RDAZecI}h$XfN2Z}x6C%_siU<1E(NGH0v#5EL?sO*F5_;{w(IPxE`#m<$s*_Le zF8`qzwqY$E%A0#Dgr|9+_T{{o)Y?57WC`UmiA^8U~5{s-{S&UaoA~@?k@+Ek z4>S`fyvYdU4BZ;#@t=;3bhK5a8&nj9tX?ol$IvX5vT(lf z%QvhX;9%_K1US6%;h0d3xftDWn3emJ!uvQN?!doJ;>km|V?aHYKKPPFf5panR40a2 zsQ<;TLA6reIg4$0+=THxnVGtbp6vcUGIGlbVykIPRRQ-8h8_8NL}yz*le3 z-N09Pg|sln!E55o%x(&eFu1HmD$Gtzl-^LqzO@w!_Ro}5cJ3`u#u}$ze?=sc*%+SZ zF;`f{oxm>F!JrXq0ooin8JM4jpEroeeXdpQwcX?L{nzzY;g6TjLE~@6zBY&`If?Nq zFN3+7co;+(m8CSzM0W$aF>;{%c`71nie&+v3G zDm+XL)Y|tQY7N9Pa~3~d6Yx__xi*$+!&)-=e*VzcltLbD3vA-uaK5|rvExuimZip* zc#GB>HM!2MbJGF~Tf(4+mk5~P;5&f_GNUyUF6QRnU+9lCe`i}4VGcEQx)J|6EV}{h z2w#jH9PQdnsnqNpH*%d{8;N%tZ|aEdKNpd=jz1;@@;)4ZwI<>W@?=~us%t@@%cetz*wBe@Xj3Qxn3+ePgwe^eVCit!o2offXUB&azfEZ z>w**q7h4EEf$r&7p z9`wf>AYq<sHME@=iI9^e$St7}!vG(Vs}I={lhfWc#{6p*L=vMb9={#UOG zIKZ0}c@@k0fLrReTQ+|}f_UP3Ppc9!+t2<$3p;iKOE9t1&u{%S(=K4X#-QolcgfrO zSxQ9f2L?^{6UhWOiGF||dmc*-q*RT@Q`39na_=()?ne-JJzac5y>^b4(z}ZjR7mGq zn{A4c6+gqJIMJWz=qe&^$*wL?hznx!s>IHG3Es-@TfD#79X!vREw5+$(0`P2oHDqI zQU1uueeE2-dKS1)|02d`anP+(jHaka)Oym`-Nws3|06Tm&F2r4?e41q5rJ|0umVO` zUT-OrjUxY_M?GWh&PA2%7qX3IV=OT~(zCDQsId=KumcVWZ<8X(50YqW?$> z0kog|k(z?fU&jAPO~Jt-EK2+XH3hVENNCLeO-%uOEBzxi1<-i%M{0_^EkWRkfz$_K zB7_qQSz#k99At%y6b_-EzIj!mAQDA>7?mh6(!X72bCI+_IA=$qSb1x{`-C_g&;N?t zM~XzWUyd5~fI5%xfW3)sXX;~YoUeq5scCO$_DhLoBb>yKUCer%s-Wqa{kJx$7vrBh z&8nno@g~)3!#FmM{R_>D)1QW6vKRwN6SHkgl#$i4I4k9>afcFbcuEK}dK}&gqgRg6? z-&OOr!Wr24=>rPKj_WU*9|8FT_DYQ#r5e-C0ZNBqGuaE>k)*(WZxjco;SRQm*+Y<; zx%+8*|4k0rHC9()J}Nsq@NFY?<1QtKj*$QSoi%rm7c|sGb#=p@F2}d!Vll(IxMRMc z<1q_Az1H(QdiwYbU@!JXzO|RKq+j|wTf-UunCSO2pB3h>dEX}NM-z%!l?C7L1*OuM zD>#VYzG3Qn9`F7jOKG&Mru){TOVn?bv0d^2YvD>7Q+%%aNMgXf>$xIDPp!Jq;+g!= z^`eM`)uXVwfl9#T{kZG2!TlD2m`>V5eN9U&bj4l2a9qYNFDNEr$$`ElV@UyFP12Uf zlR+#4n3`!XuK9XMe-a@jQU1w^z25;StJEqanz=U|!)}|IzZt2KCp3!l7^gIrm|=+I zCaf_9+vj8PokC)#&V0+T7N7mG;+%MOzJygPduU30Ej-ddng&r_U2$fJ7* z-2Jp}-zG!YQM_Fh<@1!tDVm8Xy3Jd1C-;sF*75ytML=Q6_kMWYyJ%CSbi$#7l_z6y z!PIc`-P~#u4}J{UlsQPhgXfapCW|K8A>u;NYSGL#E=aQ?NM*76aW-B~R)re)bBts< zC=0VcX7^@`f&?qG>``nfD}2A8m;f9NA`p4O`c#uZ>n0Wc_h^YJwEz#?MDcr%~S?+_`85 zhF+Uag94I|q9v^h_k1`K^|Lc9=R-ffLc_{-o+z2_zmIBb2?d7}OXvKT=q|q=K1=mO zKg8mM7n4coW}viSRB~`nk=Hwr`M&hWcPfI1T|X-lxh&4~>4;e$cE_BPUlNIUpV8UN z3o>4?#Etb{Q`zIaZqM0A?eC`5be`c`@8yV!vn^O2eN5oaAXT>MZnI3HoY*hU_fJHg zSn91ah^utJW){vRCYh-Mfn3hk+N8Iu4;){e;kz57l&v>~uce6JyMm5$!`LBb7Eru- z9wl$I#l;1jR$$@zHJwif!?s>*=Hfi zOAhDcjrF#eCeUN#_w?)4jYn*~^?=?jmru8BPqe5upHr07f-`B-k z7py_^$JM>FZ065HzC~ka@R?m-;}pUmfVJi7EYiAOJq_1}iWb(UB z0y6P}hRBvK%^H&+FeI;NM7PN1JaNWmev zXkrzx-m3X3q*G2Nq4g$Xc#>1a;aPaeFdGopzhfF1JC`uQ&aG$Q9Fv@u`Mz~TuBmH4 z*~HerpX@47e;hu;CWGTeOe~<1<#{G%8T0MiEp5H9_XqT4je{a{;QJZMx+{j7KDjtj zn$ME!JGV|GhOO%)8?^vcy%zdM^ENtkbq~jLXYR5Z&*EJ~O zCq65vS~Utt{W{N6)$@=*O0oJza?M^8@zLXLt{`5{(Iq^yXME=jxoX114JnPv?*8w**Cl^)nmvteImQH4 zhCw-1xT)%^JC244_&T?IGgbRAo+>;eiJ zkL^mw4~aQ!0}?XY!SMKZ5(aL0P3yvejcaNVuQSVrjxlA-6S8-i*{=!SPzIK%S$ShW zanuu%3tQ&*Pg1&Ol*(&5FW8WZ>4_$c2XFxG#&*8vZ#m*he#RsiGCE}YC{cX5@apr{ z5T@Fj+`O-QfKk%UH3skEHP^}yos(Dj{YnQf@r%ls*zIBwF$!DSyMwrtyv}vzD`HZf ze)(Tl2&v3V8n%vvAA0)^?wtj)I3BQ<_T%El)#NF9X$LRTjHpTmR;$qDsPbO(JIO7I z#-Ch3BhJ7zQz6Zu9GFQ;p?0^8P{J}GxO`@rL+!3#*QbRoK%?&(k>1%ib#RuJ;nEdp zy?alyD*DGSMzqiHi|Qm{f&-#fPKh0Zqp6#HSmc88gsdJt2}JfzY41T! zgJtO|&@KBwpP~QOkM&{YJa*0Ghk7hE9fQ=tJz-U#|I{rc{MGo{Axh}FcwBx-Qy(^+ zoPH%Kt$c7)Vsdsp_}KsLn3YYnje&8Wgp9}PS=j5=wWzeAsddR?Q>GoE5wObm%)gZ9 z*6R8%kN91sLhup&URR$#dhoTI%sr&6SNp)y)+ILgHC$t7-w*XWK-$tip>=JKMo#y( zVR2b)H&hPXf0SL^4AJuiRxVS=teSajJZ9$?9%D0yw4k7P_RM1tkyJa5&u(pBhna52 z;vf5s`|9_Y5tsA7a4xi|7FopRAQ*S`FR1!_%_| z?4}GZfr6rRFGH%jhrV3^=Jrk0>c*DE?aTXDE|c*NCu9X}un!-gz~xgJoFjn?QUv~6 zbeRb8B{5W8LUaj*mk=Kz5reLln5Vi+D7!>;yTkf#__Y_(8BAETa~f%hJAe$RyBr*v zMxnr|VfPc{M%%lH^b(RxsJleLOX+_JFENn19gL@qgosuAudW@~wgYFqhM*oUc;nxi zOFjrPA?|`K^OPOkys3v|Kf(diEs=c7dnRz0niAc=e+n-xth@qX4|pgPC7yQfAbxRo zSOE@~s1y`B)Lg><0>r|Blng<&Db$<3hEmgPB;&HK;Z%Cr+)UAejK3%*%=PRXSc3Sj z9!f9abK5|`)F$Mp2+G{rhWG41=m(XTyGYv*tQ*>gn$x3W6xtd1xgGX^|5jd-Abbzz zT_VCuPFWR*F5!k6aM5&!ipvxfCPFWf@^6gOluKh2jlMq8d~RfP2VzVw#PWrREn&E& zpT9AR6f#T1ARZhHg{1kX=J070l4}W974;}oWeTkQHbz93@CAKej{=Ze!p0$(<_RM% zVUB0ZsZIR1<`R)ye#iU%V|9Id4+r8&O33D*E&S|RD)_9B@*Qd#cNA>NhBMK@sp;W2 z48|yM3T@9LgOOEC`m}rJw>=zIfWtgXB|O9&uBxh|jspa$Me^(D7#1=AJAHTpLL}-}{pvV&TqW>Cv9Z2^ZtyZE=&-tsKoj&sPgx(nqgq%3ljz8KCqTTdoIgY+>=U9=wL$ZInQ%L^# zaca^HQ!uRMOD}LmGNqa`r>_W4LftgGWiEwid&WhdEd2+7B>!ip`;%rK-}E~5>Ex<0n8R4H{dH6s6b){JodVK3 zAfGw?&Ea!lTMN$0nu$TJj~at!M_TuDpNeu&$-0S+4g+mrY=WD1Il@W=`n0R{VUnNk z>!KXkgS3hAQBV7@NQ?m)qf|AgIlJ_iI~VM4j+6I?J`vogGU)Fbo7pO_;2L@d4l-(6 ztWe}XC`MZ!5IVJxF%+Vm-J`@ZkIKPZf7aA60B+sUQ!Y0nRN+N0@^IfZ>&6UvvKVe3 zoOIvU=fNEXDkosvAayRO&6DDX125?=$B$Q57XXcb!-$Tg`Nr<%>&!NAomzEclR@gy z zk<)2J+JY9+A81o1gjR<_oSxkQx>Gzu=>oe-q5Yie`>`62Ghln|(7^R7Lgi)4c{w5}^8vV>!^CaZ(z+75F2$`Ce$$Xir4z$+zC@~yUFhu8huV)|IU^+8Ih}x4 z^3IrI3tH}-yvLuF&a~zwS{e4d#;xrZg)N=)GzC!{ew^q;9Rs7!dAnbop=!3LHGViI zQIw~0U<0^0c9WEoup|X=OK*zOGe?)Zk8usK4AtP4)%(&#jEfdJLuFT_TqsO*^xx3VITEjXGI9*v2^7Gi*OM-4<8u z1)06vA^2&jq)%QulTW+odgKWoe~;@qd30g$&}q8TD+fqBjizo*a-d(eO02df&txw$ zvcIx2Cu}jNUTu-KC@~J=iN)j^4b)5R)3t%`Osu5}ZIX#6?GEOcUg!Qx zd8=$f;F}p7GBU zcwz`f)7@tSx9hd@Tb_v8KIHQgc&Lr`LJZ^-c-`i+{obVzAL7<*yOK=}rgAc5rQ9cS z^-0fYj6Vhe4^Xe%in-9HW9q7dQTJzCPA+MU#_fy<5@lOTERk4&H!P7K_Er+^Va<;+ ztB*bZB~?*gI?OL$crC}zf_;C)0-(O(XHaZVIf${Y?nSJv9$w;m6Giww=*7Fdy{lNa zmM6jSD>l!1HI;b>z4~u=`|NfLh^27i4ay!3R{1@U*DWjpX7^vG_zBM>=?NK%+d38C z{^FbooSihl3K%nUE$h;5gJ;$h=X`gka&jy)c~L39Zeb|ayrIbsxh$Y%3bmKAJRrRM zSCBUTJ(1~Fx|P?Yv$C&KT_{5a@>CZ&)-4NcSz5uf+e#tqk>^Ctyv0}=AcCEtAj8D zXYnJ`NA<~z79~q7lGfe78p%uql^)o-cpm`LYFVMni|4EKZ@U}eqy=>^1bh0>&+6Iw5>a{YnySl zLlHmCkmXoPn`dV05!gLX{}4!4v@En=bLs7}tiUYb;!}Cs@czViUlR*oYXzVtFEMya z9eWYCK8~p<7xh-{_gYOqmg8yAnNJ^}^{WM@*&pxt4ctz=Y|zq8+u;}*AdSV=%9p2u zcdsc#)P1EJJX>SqC)dDxTp7cejMX+7r<cT1bf_ci9!2SB*q!*KX&N{ohy z;<>_-2};E6S=Cbifm52b?h%dE(^l{WKXNW{Wq*~r`F@oTuuO05f% z41QcM>BQ~@o-xE{yemx@84kz|ryIrAYzOCQ7e-`9KiV+{9@JOF4d10@_nX;Q73u|) z(y|=On8BnjLpvT$>RaVf5Q-s$y4mO*w zw3GPoSWQV$N4)0v1~%){nd;>*d@m+9u2(p6JzR=x7d{tA&x4(qI?3r1eG7Easv4)< z)K_!4)XPyxc9>tWh&qv75E6A)k%)drXb=wSVn|S(RCT^@88zH?eLty>cbyeMc`7Zc z72J2pj-vVnNOx_?{vUJi9gpSv@c&}kQK6Jr0kWIm5`lHwxqI2l58pw zGPAd=vXzm&=l3|(=X2lp=YD^C{CF=%enl+vKWe1Sl&7A^Xf5)PB17~()p?M87(JY+37>`0-nH2 zw)^pA^+3-s!n1ye;*tu;?AhLR8hOI{>#p#^#F@ zUXE;iRZoXWW2p}m@gxH>^t(3DY~50tu(eIKJu<#=iKGZfe;Pm+60_|F-{-ca`-Hp| zJ4VOvKVuAtmbv5qu4kS^FZxx<`?+my7mzV4>K9cvO3uM^!w8dxEd*P}G>U?qKP0PF z#K^?A1p^p-o_G{@;ljsnMcli3Qz}VrMPuWB5yoEEw8h@H25W8sVm+6%&C43L?Oz3z zoQi6933(Ln^sS?qh3w+rk2)p89MPtE`KYR~BRulJw{;VkeCm2HtLx`~ZHept#1u@; zeG7=Z$B44j2HdQo+6n;3H7BL=AL0uiCw)j;0)0fBb$sj)tkF?eD~|Y%8 z6OcI^a%(u(k9!Yo-u>2aT=Yx+EspRtDdy&h8)wde2fj|%Q)pWIS!J*ZfP0ZSP1ESsq2>MG7rBJh-F*|^@+j!phd)g%-n%3WYsY;`2Y;>7GrOiu zvGU|kvL9Ov-9z2>dAuccyWZFtVs<={$&gmIO)%ap)B^blr^lNkYt>!x-NfmtEni7D)U0H?szpS6+NsT;Bb22}@c<&7^mo zkzY>Vd}4EV{|N9X-JL@xu(0ubiF5XXs6$XjUGvs4rNqtqo<)pCN$L8)Dk)Dy)qrJn zZxrvmv4D3Nn{wGaECagyOWl0xm@K0f>@|I9JU^CcN65H(w*rrnNn@Sl?z7DN8bUHj z6N~%)UqB~ELe{H_l{Gx(Ta(*YHML`M@+#LAjRGF$>|Ry99sU%Qef7;_%UTN%EHhEo z>W{$keTr>X(>X_?;22JsGsnse9KIcNFmNc0r*>h9tI7lfw~S$_zV9BJUOYG}r5qgk z4&Z4yclIA+aQSqOu*oWZS=+_EbUlzmZyW;`v3(z(KtN{r~`GBr%K9mzpKurb#5bW?^E>X2kX8kP$-lsTro?B zBFb76$^zaj;n#QJlu$(p1#QssZfoxdwW7cKzY!FP3@D|cw|8~-^{*gNmcLUh5&QSS0WmBS zqGf>a5e_7@l935cc?sT_;r>A}CDvtRu);goCZMhQG_vy#iRI$q;S*Fwy1}*5Q9v7x zQ#~b8ymM**H!^bbhW@I+AY>p~N>m7(0uxfn&@kkyaJYLAOd|gG-}2Fzm{|CXVU}Y# zqNO|yucSbfl&8%?FomjR40h}wH1c<9Wjd5l!U>VsO4LFv3bmMyLRMetS( zU#AjeAfnMS_!R#rCJ_*c45S4843vw`qoLv8jBq~`*u@mx!H`Ft^*dEdqKF|^{4JI= zhLz{=99j zZw?di8}cVo0p*PB>V0Dr&Z*%Ywx7G1r~qh^gYgPTz5;^!VO$tO`5}kQ$%9hR#-?^$ zM4k+j75-!^)ShN5VEu;t=_pjcF$y){ha&t5x|dnX@rK3Zmx$1^U<)e5ztI89GW@AhpTI_;G$u}1U;x3y2`S{^6W>s;?oFJ~ zqrngYDU`MKf8oN@Q)6WD|Ju6_-Fz2e{3jfR|C!AB5BBv!^9j^{1DXGJT|xkkOz{U~ z{)gxCUy$>^PWS)49l#{r0sd*B-rwWy8;uD2#E=Q*xgN+$&>7mdA38}eC4qo>&f+IH zVNWD^=Mr9|W<8y)3cCLF6Sjl*GkmF?<~|M3{^^qf{lYqXoKef|K}kOAj!eHm$+-0@ zo0h!tSge>5u%5v#@e$&sq%*r#_p_ZqdBd)$Rc$T*f7S( zh?r*Z=2+vR9VHt-+R4n~nxX3_!~Pr-Ich}D0O*RaeHlF}F|ag$%~a&`u?%YSG` z5VOw&#H{e^T>!hRbr_quEemv4dsDmRZ?G5)lbB~XDP3!RU3N*qtKJO!Y978F`JR?X zJjZ!Tx=Ao(6dP3s3(~P6z=jdd=PS$j_ z*o#p+5U{?CpY3lxrxCVPmV=AuU{`Z9Zr}CEz))kroGXR)4jPDv)?NBWk#dPM(`F`v z%9E6F`FZ@kuCFB*@7xJgNY7;kVnwsud>rUv0s*v^Y5Aeqw1jb_UJlxpN3tVhO@)W* zAjdP$^W&s#qO;A}z?D0;h74^T!xzG7?mS}WFsQ{{j{%4D2L7*0LUy-b_c?!cN*cmg zyQN1kBWY^oi~U-RZ9@z!I;3LO_^jpJh$skmnWp=+;gWWE_X@jPijQtMAFVkSDF0^i zsgdt#a8mmKPpN@f=KWXe{%@o)DC&w2?#A%DD1z?j9v{nF&ca3E(Mhp>&$VWkWRlDt z4sq_6I^QD4AAbRqW`wFc@Fm?AZuq(}Z)bmEc8;fuw^lAc&%~7y|K+YP0Hw4qWcUdq z>LUv(BlDhhj9WAA*9pg{Z)G%;U3JQH03lzWxC-u;HZ61MyNLG4&hgjLVUMp;^m2Zj zG{CZY9S*qoFPr*XEQWq}{t~32;i#bSWD%PJhh}DLDKTN!U6%r|wAjt4?7e-|@>$y= z@glWFIiGpUgrDJCE1WCVG;$W{;2UFwbpng`&;COV>(tnY`z;4AWUFaKc%1|oHywQ? zSAkSeFGFtWnyCNTLPv_(48@gwmEC2jZFgZpKffb4R+3RiBOH`a+F$b_ zW+P%cm*`RVy*p<>S#108h2rYG%M5}as_RNA6mKUfr{hfxUPs76uQ|Fq@*mUI5! z{Od=5ASVJlB{#RW|4Ik}8T_64H$n(tf8t+BDbUi^`70>}E-?T4Z=@7J3(a3iDJUz4 zA0YlhN&#%k{3|K_133witF>nlv`K_4h>^uPWI-~K^G~GnDz)9o9IK&Hw>WiMBNts| zX0AMo@|!-_ohH8+<1=SWz%dW7R(+s+;f27gkPthjjm}N}8o8}VE-C-f&w^*OWx$2I zHw@nHFHUBy^ZX#f&yYAX%%N66T)DQMeVNW&4ZRb%!C${#(`)O5lJl1h*kmj%jF;wd z3hBdzb_9u+f3!UW-*Xff`e!xDr!JB8+b?gso$Hg z;iJ=b6J0hg=lwbX=PARyXDbp-iHu_p?Z^^JZn`n};^0FV(jE>K90sK-**Fv-Qy)p&& zxXtbxn`st1La|96zItNbIM>q@D?w@}g^10|OB#*TXRn;ssxVN%D_ND-F7)dARW4SJ z8H5EUsA=Ah;WL=K`?r{A7m`LOex|B2C6dlxPAC6xNz7>(y!1JvpZ*ICV}*92Fsq2g z(m*Jk_foT6{_ST^4P+M-tAWkb*&Jc+h4?})Da)~h^4!|uGbWwSZ9G;cb~D5nbQ%GE zk?qxQgL_tAT{R3n-Iep!<#I)T8U~YgWHTE~`Cl0WOv-VV2|eywr7A5_7E6?(7Y1l3 z)zgQt>f{V9oyUg9fsrN@nqDDayUV@*enW-NScos+ryogxz|vGG{)7A@dO#fiUXVTq z3%h>eE=q@m#BF*HNICGmfRU8(RnB6mM@&-Vqc0{?*H&oRec8Zi@=YbO6_)EM+~?C))7tw zs~s+Xs5Rx}6p7oTlm zu@IQeTRB{pOt>y+cyRYIMzWQWO+XWs;)CJ#oNx^71pUVy5cAep!>D z2<_QDYL44xBVls`mz-zBEKU8vtYC4k8=ma=Pw2(=bi|eMl?>rLhtg=tvyMpx3V19lmCBz;+%U^&zrd#5{B@liXqjwM(aYb8 zO9!vto}A?pR8lhxih7>%s%*z27!@WE1sm>HwWb@Wl#BTuS@4kt@h4|1wWu>Q!9*Wps(?ypXQZ<0b;d z7fp;xInZA%`T7oc)bL~FIF-fB@&U1A+|Y*HUDpOue%(>@v_75K(g}$>z@>6%%$e}c zxON534Rfx$$>oyQo!y(hp0j9bJG^+;KO7`v=c03n-3&-fujm>eU{0-Wn_VXsR5!86 z?H$N$AbHN2~17g^m(BIgp4H2(91QhxUc^Kx*0X_Iax4tY9IUWiq=B-X&)wM-J5_@C*!!Q_#=M@S6`5EWLs+CN3F62d2~dMhGkb&E+;*UHwRqGyy-+~U4_5J-PB zHMh^EVC_*bG(volHGYjs#>D3S5-Y!ugR^JWyMC~1(9}&y;~xB^>ZHSK;N3tmmTqZl zNR*s;3%T4e?L}MQ8OQ1wyK#&!8Tlb?dRpE2`~}yD8tSyniuUfsl^t9Lz^G+Zpz9Ex zm_NfOscYAUDD)S-c(-I?t*xcO_+I>~QGHD^_*b`Ob4y1BH<4i5r9b}*QA z^i8cYs~cPQj9kM(Vn%LJ)!+#3MHX|rkQdqg^R%1-*CS(6ODelX-!`;Nf_*dzjg~&5 z3o{E`XpZf3ICtuN?Rj-7nT4P3S$}+7xdp=Oe4e$dzNmA2U#n^|msjUK*meI=^yelq z{rg`Jh#3VyeEtU*|3G$GzIB^c!OS}AHQi-#h1(`+8NFCI#FsAH0hjKP?;M5!anHVP z$puIDjO&HRu9AfwYnIvUZcKKQuTqreDkV>Kk`(3^a6qd!!Y88>?&@xK(2wNMd>SmAdd_t}Lj07@dE zDDpIy^56hEzM&!%ib2saG5_>{gFl&+|EfG^Lv*3?h_zb_8QR+D+S)LW5)biqLvuF* zBN4218_s{n4@Ce~k_efFF`R*cL2#du4sb*#36UfmunPRQRx;w0N=C-T6BHt-uKbip zBKB@9NF`yZjvrJ?PM}bzO1M&mtb8&?p{n70h%yubv$aSrXJhlkkAL-m|FLfLaze4Fo0qSD?7u@`k?JZeNGTzw zgcD{OqflAtC=4V`0lsAs*(kHrhH0V*&*+DYC$VFB(LautNyB5CFj?Z0&-TT}Z~j>6HNFD!`fFz*11i zTn1Z2)W86WkIh9;rsh}u(9q1yU&^AxpQ&2N{wGiH6)|HIS>(jPxPV8BPN#6P`VQ*R4{0D;uq=%TGT`(T2S~uV9y=2T{K8W z;VAeg3;WKS|JX(v?F8+F&iKz3>&S-xHKh0c>(MU1UF-#B6KMa9d%AF+KB5}!1oK3# z>2y60?euiL+V{V2`wvd`e{TvDFWxSQTS(y=@*(H-dL7S0c~Jeq0Eng5g--nF_*jMk zLXY2~r`qUw;Cb8D6iaC`#fll#c?bFB{k+JIN>2^q1^04UoHdw5&^ZWqi(X6brRVRP zeA?&`M_urkjxx(9iGe4~H7DkLhg5dWTirHHS5mwO)iB%YzT&)jpFJL5dIcRkt;Z%- z2;O#c)G#pakx9U&@XomH=<4!?o$galpx{V}W58jmN^Z9~bH=0ZHmfj)o{cN6#g7af19 z-0MGs!}&+srOD5s&YS#X6n-Ve){Ooc$Otq=S8?kc-0W_>U^YajQsJ3jhQ`WNzs&!r zKkMDXb@2FU=o+P2;J)95XEA$5h|%Z)DEyNY<<@v{cs8AXb9I3W~2yS5Wj zBxraBtyP`;Ep^C~KI^ZK#5SUUeq5d5HwSd-GSlHo+3uw-SqfC42y>bWR4T^!70 zKu-F!yywfs>lIbIFQ8vIg#f^bF!yMc&Cr)xc_^!u$Z(-w}!iRJB0wv#ewSRelACR3*tGZPJs%Sik zd$Jy`R!$H#u-o(Zm0uNa@5g67=k zai~m~lUj!LO4r4Fn9Q(S9TeL1zpO8;1PYdCbaPzlr3d=c$SNnV>b6Z?Ne`$P*y{KB z_05KU_9BRUf9|eydC3s|z?l0P`PJvBh!dyA4w5JMv7StpkI4O?Ql7QYf}gbsDu;)x!q)*QyULEf&u$evAUsg4$Ka#$5sRj&<9m^I&N< zxar!HDm#At-HOQgHnIZ{vpq#$`@Mb3B!rhq@Q{9Bj0Gk6EM?+R-u;zOyswFPz}rmZ z^)0VRp^ja-l*Bi*0txx`7bmL=DK<8^DzOCDxBko3l!vC`F6*39IMtIMY}eFsOaud6gTq@qK*GT;V$yxs-j_n`CxH zP$Qg&eIHvzaw>+JcI7Z4G4XC8%P2MukjMVsr?RIWrJ<_6H>qIj`jc4oJO{n+7$$V=3@ zH-9xug7fS4Y~Ob;qr10s%8oAqFMefdw6~PsGB|Q$43-ps1Qc;eJ={B-Yy5f-^I+!f zBCu{o4Suc?=HKJS73jbgZTzH&Sx8y^v+<%|6O7tR2n0bzrdWZ}lIrMGnIM4SxQ|(e!`A z&p$`gDm3^j6&>xI;P(?03c39cFFULU{3|&GJ-vN@C5K>bedDhL6`Ui1A8r0ZPyr7w z-(LwTfS+XkN>G6lOjY_DK?U$i@?Qxm^0GsPC&`2yypoF0PBLUcjw~pU1?7bKKfzB^ z3n%rYhhgXXCOF8k@GPD$o%g)gXL3p7#}Gk-n@H6bs8MU_sCwO&OYD}fyLtQ_&5(q! zZ{aY{5ZhUoAQyeH6x4RDP)qn5U5&a^l7eTw8Aa&&2EV>Beq4i{Pwa-@Sr332sj8J= z`j$?oEfTA%I_10-ccj}ZOpR<@$p^}^7|x4;=+GpgjIHNu*ZPC>*lW+X#FEv9Y(xv1 zI4=rhnX607fm;04jOWBtE_{`7L+Y%2@fp@_ z;1&HEWif*<**ERwn$4AatHbue+D#z<1({1!Z{Jvpy$My@7VEeu@KMqFczNT9uenQ~ zCA*LUjAQwoY;H3+<$T6x&(2=7XBWux9prfsw2W3O+TB*A333@MWeR?})7`8u;Gh}) z6`MGgJ_D_UO6Uqf#`U-9}}8!!#4HftLw{+_B2pdBHgOlY%j8CwEE&;B~9vai9B!h6`KOm zUWbBDKklDhY!6)=H0#H8JV6_A8rhf89O%(}W?uOn9B0XF28erG5?C2xUp0tNd%7vv zdvSiO!T8E#kJjXY2)Gx+d(eM{D~0#<#kesJr(wZnp}Kc0BUu6+JFiOiJ?+7Fky|HB zl7ZoAs)Y*K!s#3{gGqE~N|*s|R9^k|;j2|3QsU@Kgq~gsyWb%v7wsUs-?5AR&JuSC z8fTb%Mc_AYaFZ@b*<;i6Rzmw2%SPvDWxj9)xy)CdpPd!@4qmJ7{-8bA{GGox9;e+f zT9~yN3B!$&o1N2wHtkrw;pf%smjnTyc`;Fi+*`{}T0M~h&AL|ztO}A}|1`cRZB-lA z)(68*8s*QS@JhJhXxuUCkkmd7@y4x4R@cbLxbL;IGI`d_6&A)C6+S*adozeYq zrLXvS$1_1hOB)OlQ}8Q%{M1Xqaz*!c{x4h(ag+De-43Xb?t(tnT2C*gB{y4`b$!#VCFF1 z19QuA^SU?v9M?K26RU#RS3{rNZ$A%+Qh<5V2hjEu&%!lphKsC7*m%tEq)Nl8_`74# zF(tcl%BQ~Bb7Vl}?$kCO6Yn)8)mL~lH*UHIN4%ZdB^3}+yy+K}klru_=FtTd?s$|< zt#4tO_$LnR3Fz6mc!g)Zs_I=pDNXO;0*~z1tvKo-v1nI6KPc2`0IyZeVnOG(i#8!0 zm-VU?766NSNb?dVMxFO&4;oCN~1y7wMO zr(}LYmov6;`2OPvpOEI7%=OGxFfujEz-{RZIMf0gDATIzn_9`99aQ)}d;NWKTnC%} z7AUJ%lQtPvsTw}788JAwc+)S8WHjh1*VlZ(v5B8X4-&!Lf_!Wze7-DMA3F|(v zE5|m@TKk8Vbo5TGo#6$7MQsNJD)%2JzdOX@k#KIK{W#9zY&FxvLhsfT5_Mz`o_6t` z=e2oKBjt`x$?ITYY;No0%IQwYAT-guv?Hyc0kjNb(z8mdW{=4MUv$-1OkkT_QZqWY zd5png?(F@p0}M_5B4$$07}&?8pt|(rMgAyTP+Vm*I-7^jn?h{TCvkwFilFpnjkGCe z+6+7`7^O&ft)5B1=iy(`^p$tk?nyQn<<=lf)xn+5K#|&#ph+4<{#@L^yrBB5mrBSd zFe@hyR2-Q+PO7EXyZ5?p8>U;_e^oZi8B%qg{*uh2ABo_;2S~};$<^;)!Xg`{sWo(C z)@l8=C2mR0aP!T~*NZF-FUkN8gLiQ+iq4cl+0euzrJY31(DV!;F*U!$)6(YluLmf= z#CFX*;?_hae&E$i zs%5)ou(BhddoOxx4-Hi8UqqL%(DREYd3zUKt_&Sd{87k@l105YADY|HnWbz%NZOY% zOuX>oZ89hKd|X00Mv;d}KhR0p`LynP*XLENgCI=r@c5+ScU28{d|u`j3)&_suIMre z(sBeP6LBi)r-GuI%f>S+P3_S{ZXZU8TiVyza>xaSw|Nxk^8C7b=1u^+h^~Qe?6Xf; zQrdS5SGFCWmUXVgzPl(Q{q$}7;@TlKxcBJs%fTP``YsvSD@R-!X7!U8g!eNmhW6M* zH6I;Z;0Dq0Z}REocLpuQ=Ll~<97E#~yWg{bubkPFS~C2zHDnN=sgI31KWG}fv^BrH ze=7x_)yB~$plA>mD5a%kKt5@FnnQ_dNJpU>{ZOc;bQBhnL)rWVQXhhU%O;Tup>!1J z=ox??dVfbzBIfNskP=$fe|y$(PfoC~sbH%NBNLqKk}=dzrbGQCyj`w^VU&JQJ_-H1 zP(68SV>d>ju0WE+#iN7-Qfi;Nxgn@Lt&fsjh1_`3o=`Xh`K{q5z3;Zw+fWD?reTfa~L%hK%! zC6w@i^YHJ52^5r2B6BX)qEJvqiCTs)1lbB4Fp={#lM|6m9Kb+ID(?zPNGIVyQH3i2hEO7<=cl#j2(5%qUPliBmN1ACc7OX| z|B+Ne*R+2?Kv3A@$d|89m6eFB5-HKigStvoKAf(g_O$vNRpf^P#mM+0sx1FdRr@D} z@{go)e1Zh3Dkov~=FHp~1WY29?%$dRV?^?RLL?7xan2Yj9w2aq8I+`?&qb)n5n(0l zI=?`N7~;es9RIDVl=efBoQf*p^M#aB!PFX(yL(Vfi3Q_cA*8HD;r%yC33u<5Q=U57 zAA0!s_=G%1Y6XzU1Vl;+2l;PTch2klA}FNnLdNf?1WZIl*@t{b`jH)kGD_4Sd@DjX z`>C4pwBj5w!c9!Wb39n500#_9z(B*mge(>*J4YBL4s@}zu|u^oe4`+Yl7~0_e^ykX z;H3ae7?>a|Qj0qGJ8@G?>{Ld2?HWu>@I%p=!vUEkOngNmU;l`e@7;5F{QHVP`e|gs z8APTGb;?g`QK&??r_bOEf@I!4e*srcP3_50S&DRZrDfH?>{mCmrlvq=5_n+%{($|2 z*9G8(fsIpXGDNm8@l|ia0#f^l6Cud$Vdo}Ff8vA)g)*2p!TSG=o&Dc3&;JrG{Xg@v zliD8jORjFB3r`u{^PqIYR@|2I7sRq!sq3&XZN$>>1&u|h`&vVSTXRM3Z!BM=9EH*b zUOsOslbS}>thh+REd-`(i}0f9-kT?L)A)l8)wrO?WE_{Rxbu$%u1Mhaq+J544ufOK9Q}xJHfs0NS)R^t~8xU=lHZwcTt@dvD30v0oZA02U`}cj{a}n(g;$1rd<2U#|6KG9r=np}Jj<&?NntFF3gFzD`Ldqc}PA)3FiZ>-d9R3$~c$;#GP-SN(EfBqe4Tay_B;Sgf$dz zJ>G0SY5hBx3B_6Hd-#rE8ep zE1xyp{W?CLVN6}|CVQr;_zY~^5DCWBu+G;Hyf4<%%Rp!P-i)1x!@fd%!#QyKT=X|k z4SpEBx|N+X!97KiUiJBxS6cbg9|#ooFFFjH<2U&HhwjrHurIC}Pi@QO|@frB_F zbz*-^~T%%5R)`*q&OpFJ6E6zKpzwm75xLT>&Q)w$1K?nzR<{z+RnZF~9XpkxCbuS$*r zFzA~^r_(S;T{Y}s{%a)?iPzVPy@WUi<+pIIuQ2#k|*rgE%#9RJ+mF{pJcAJgba#((Jewz=r5 zSf37epAzpv#x#Uy|YEuj19ss3me(Ep9Ed%_;@~q)|R;|f?P=;5@qa>=O`P-qtM_{-uUUM z%tlTwpW8nj5&tFgJmsMOGu`9#YSaH0UiLXC?}UF)op2#(@8I}XObDRY=C7C#6ciTy z6_)~d4e_tI6u>B;zv5DGmWcSTxD;IBg8Ait!KEe?{^bhHzoCrmss1USy9$8Q$ z3mRlWJHhZzq|-rVqvKQk^VAE)t>?0^*IdYDYg_xIrSqN%?;XqlOR|yQqWt+dGRMCCR$iSPaB}GTx%4E+nTEgK5D%h z;m1WQ_i@B^(7GDa#!hni(x3w%kn;UD__C&dy-~WEBEfOgtj2KrYGhlwQ?Za|8|PvI zfGGAs@UR}P)L3wLt;j9X&HR)a6S>EJcW(Z$a%3n*2bRl}sk1xr5yY<-)I2z@XHgWc zx;!%C&f;SJjBU`DPaF$AG>({n_5Do8V@K!U0)dqa4z~(Kf3^?$75!kB2Z$Dgq zV>;J->Py;53y^1a(%|@f(z(-sOZj4X%Hy_PiE?s{pwVoPg-_2C+Y^w&UvgdGDr429 zp1Rlg?i4q-nilg0Y0a;{mzsZfGF-+2B1~tmd)wrM_q&2j z`?bshZXi8rlVkJlLTT`2HmpUgY;R^?l;;& zU%&bFLllcGHI2U|ZASNPw^6Vl;YZwc;mddS)`RKZ+^_yycc+Sbn*0{!}52f*BxUamV2`iQ;11ML0*pN0jVP_|2dT96AsX0iHVJ-M2zpMu*U795K?-EYZLdScs`ptnR z`M!dtFLtcGgVr2M)~_F?CVi^sjq|7*EIDZf2Q@dfEJG=B^;8p%;z|7Qb;W%IxpIrR~Ec0Dgr@bzr7lEx(E=|2*|!s2PJ}mF(G0#gaFM~E#M)`?D>~pwH-8~ zLTooYDWc~?;-4Je#mG5Ccjq!+uB-$&#?Pdbqx-vReBE`^e&L){^JN?FDBtvK@?q?| zqebryehF#RcX1C5I={_4Up=?OxZL&2kzAEUJ#yMwk;Ij^2Go8IR?N~`m^qiluPwwr zec|)tHB;*g%2S#zzTc#izP15&&(zR96k=O9s$8QzZsl@F8ryb0?=MsA_QJhf*j3p9 zcqV*cE#o}KZ9DSA5O$4fH^$c(pfTXK&L{ra`SY8ZF{r2b(c4B%+hkvKtAc?q-$p-` zUl`qb&D1FN!{ze!95!Gh5WIBxWPl@xuq!|!KRb!<*2ipycb&blpAF9>TwO5(>^hCa zIIljQnkk%e`S5TNcEI6UWpv1JXs^mONO^bg=#n1^PM@><$AK9JI(tMdzrwvUSxF` z2ZLWf96YKGV0(KgCa)_+8T8>Nb~o)IzKA!gZDZp5&DiPesqdg52weq+j=j~nyvAhn z#>x1WrgK2Zh%FhbvuP*W#$CXy=u8qlC1ISsG@b5cV{+rswO}_~HSYp64?@`@-S{EJneY(vP*ff@0?7 z;A7qB4=PnVZ$I^br;=*^Y0UXVSo0>e{q+_lZAnRoIIscM_Q z^QL77Mrxke&nz7$7og;*Y_7NReBbF`J7nTl>c(eFde?WNXJ!SQJYQ6gACfW1hChE* zSWiL)t}4GR=onnaWKcD5&wt+qNSW1ba+@t4rGIQW8M%N{zJ)%eFgZsF^Y(+GkyZ{Dn! z(LH(jQAX3mjzlvqwP5#JP<8`8Z(wvUmqo@nU@X2>drpk8pv_g!KNzsO_M z86wgfhQWos{opGWpP)-@ad|~!$B*43JW9sPLMpnc4HMJYDh~?V`UXKb)0Jy7<~ENT z)(%wb=azVN9Dg$0(EdyziBT0Q`Hiau;GR(o2x~$q8^*nU+i^n5HOO|nXVG8uS%z9DIH(N&;@*b{i@J~DRl%sNLQDB|iB7?ts^ zbqkAuJvhI6

    +Nf5!qB=y_%9zMhd%wST^VK_znC$}cUuar7qzz3ls0iiDv9YHZr{ z5)Pvz6oXclR-y@JJ#m~&bmkcri;DJbV<@dOMq!?YO+v7O)O|xYE~J*fYlBXeZuS<$ zh{6&NdcR@tB=c$XBqVTbY*1MV!4Z$B3Zkt<{N1OZ5>9z*0)EE+t*i7y5#NDB9~r08 zN<$bzi2_hy33YFlR=?$yr_#zlA(WA)WkKZ8NaSX0d;%;9N+~(*&Up{9C7ingCNd&1 zl&DHbtdJbas!#CV>U0#UrWOSdX=UwaIAHqbDXeY#hDa=7iw@ESzPL!dh}7u70v!Ze zu5Ij{r5rMOZ#j4mMN^B~#Pq@a11%x8gcH)IqiCS2k^ynQpIYB9vvG1O{7$A+R#sLq zMp6B?fkS8saV5el@BHq~@$~Y8+Df>C5a>ZCcsdFl8o?pqq=3RoD6I^H12Me^Ma4sE z38j_r85F`wZ$w-9n^i&)#;MJ{vTyKrWzN{QS}4|lvyM-kfx1dK7slk|GbjQY3@Z-QCmEFY&kk8B;Q*3NI6B}!?D1V)z5OHbB7%7V7V_h`YcRo#LK#k+V55R8Pi^eRFsc(} zGI4SP1>XRtr^NqbafovNEyXPTCsF5N;eTu*{(tQy65srwN!=Cj#N)nu4jJO z55WBq&P8;K_Jzt-CXivU5u3A{ofj9D!Okj1;nMG?meR0DMYdm*7uwM#!vIV)zcex* zf`hGQ2K@wLmF11Vv?)~8Ez2K#vgaq=GRuL{Qgu+g!ABEPE3!9nUY%lcAD$=Pc9m^C z+^mq5u@-j%>O&$A(thnNoKeV}7~UM>*K>ZDdl1C`U6?(;vu$$;2P8+oNq*~oge7L}AkU}F!$@^i$sd4NG>NI}3I(S8r1UdmAVQyEw3_Ag=%>-`i!`lO zjozdnY+-XBm*^YO?mlmtEi=CJlQ2B>dBIZGoU_d5zQRyT~fIs+S~KV#6*|&m^Ae(LhEf;Y~prR@Rh;+ zyCm^GwzIn-XO4+-tFu3qJQ!Y_H(+ zK<#szxRSV= zD&?A0MX;tT&_3GCAnTJI=w4W)TIIW^!OZBBfFh)l`;lfYeRvq93EEy3elHy2B2f{7`9j>P8W?BY;BCmOs z_e|hrr?`7kHD7qzpvf^s#O58YjlP9U(Ew>0ava(u!rV)MwS-^w`Ip4`gIAumoRnSi zpY2k8hKT%wxX)JJIR6+G12{02G8G85CiHF3>93Mn{*c<4F;mYD#US7wKEer=KnEu! z57yM0?w4a^G+pK5PHe{hAgOY-zg-%os6$M^DM;GlD4^A9&}Y!qH9bpaUM$=T#n zBG+5Lrf*v=FJZsQw!rc;K!ptF{4?%Us$p(0p8w8ZwTOzUP;Oa2nz5*gOEZBA{XGAA z9$t%QXQWX9kauWb)C$RfRTV>QeW5W%Q&+Gb@&@*z`TZD3Z}F4<0k{(K&dRVDC%ot! z+&GZ2XD~}M>8C|Uqj){vI^?VTffkTIdZyh|=yco3zMM1J$%;pAlquvOA)4W6_r*td z_g!>M&$HBZ? z>xZq|*E^io8v-OB86Ez7MG8y}Gi>$01qy`FwLEcTm2{bNHQYU^{8A;3%I6H7#R>&) zC8=7|-SH;9f&;$d8BI&AGW4+*-$gsIRU4M2#Z9LMX-~gAkM~%m4P58Z8rZsC!9hTm z_}p}cd%Y8Dibv}v5y0wTB{DPQZhDKV_xU~;(XVQH8S}xhpnKy-0}Kflid5PZKbnUv zy#y+eZL4Y&I7hQBbQd_^lUjQ@EvPy_`UjGU`WNN=ha=+Y(Y)w{s=xN1j;5&pt>^r6 z9De_wdd{DJC}%f9IoUa2rQ=@^A%Fqfe?^1F(^KH zufs1bT!oJ5z<0*+)+=yQ|EfD!{`_mU2$gAJhYg9lIy3GgZhcwvI<)0>Zz$z~HV5v# za8|VG_H-9YvrXUO)z3-GyNgKADywt2pYR)9z@m zvgjJAagOwvhk)l=97>ekfLJYssPBiIX@Kqh$En-+^s98e=J;9iEb!pACz+a4ug-P!aDBiwONq%@Xz;8yr83O` z=V}e*i8E&%k275_!}N<}A<~zC&E<&wE2h*1Vcf+m>I-k$vJ=a0GP&rd%5rvxM(W%E zptT}6u_W{VFn8YZSpARRKeou0&0B;>c1C1m&+NTZ*?Xkyy^~Eulw?JUj7mr%du3ln zG9$9r{l4`1{C@Z2_xRrZbw3{W{eE+T5VLL#vgl=TbTyNs`p>%Iac1eLxpY*h1*W0@wWWB;3K)*=J))fH3oXS4Nd7Djw4-x!<~( z6715KBJy^h8CSa9^waG)(qIe&mGwCv9G7NBoo|PBDe2d1Yhu6@Om|<+&fSC(TI0{$`ME#0*hU0BzifPj$LiUa> zXzQxeR&MO-SrbbdNvd-2^STOwU}r_KZa`d6lOv;64)bxDENb9kinr;0!Obgppy#t) z{RvC4e_e(TmCA~8rlCN1WPzt+y+$>=kaF6xH;5|T8}3jRl~>_d`{7)QkxBn%R<9l? z4%I9bynP#DbqVadA1$4E6c?vvj<;SotRa#}Oh2{~J{Eow%W2~hC{_UQsz%c%vRNNK zT2I1kX2zskxm#~BsQ86fW$0-?S8kpJc)L(06&;d~pP_AEAk4(qlkx+z$zay}^?QqQ zN*kMt`QV^4xqnF6>X)<@?cfHmH0@UA$_rK?X;1Vih{3s0+6X8Cj(>m-d$5I^iDA*{D)yN@Urtpk~7 zM4`RGp;D5sZ?-U(6#%ub3+I1-n9kkP<9Tg#H+{CKhuTun4wZ8Q*M24;Sjzwyp1=6o zrD;8YzuNJ9 z9S;0|xYyKv!Xc?^^keV*!-gYlV%qb*Nnd(LXE``|)Z<i#DANX!|{wE2uq*)WdWY8nw7EUW!5!7FK?-rM+pZweE*$G)>&D;0=2De)J z0K?-~vYYPaepLF!{!w3gm(~c+oxgrVcUjfsrmd52d?l#uWe~e&@~U^4=bFN8XZMV< zQ4As$8TngNt2?B$8ZJp-WdoN{)uV-yK|LZn|6Tw7(N+K9nI%3}8ol^J&g+MvK)jEf zv$}f=<6Tc%@(T*d{Hg|9&%pTpyh(2h+lLTI=q%;@oV%{M>CEtExT)J}PACbW< za82LB*5htY?^QM63{`Uk)Ylaa%_E)^tz!`h$E5Tea7o|szQ-%1boayweA#F4d#yag zN+{OaJtiOJgR53SEN?ZqaN&xq-#K5t9PoBeNoW0`j03Kkb?nLp$%PxH9=Y$kM^12Q zgtaWA7Bqo;((`_i$&Y%#4)@J~ePnaj7<(28 zTY5f=A(Qihir=T_kURfF>sh5Yfam+d#)*WqS$Lj(t1e-?eQqm_%+0e@hHZ;y zn1F6jQmM3acxEf7fVj0!)WeToFNi3p82C;RsOsn$dDVkYzfNe_gmprm?z0+LmcDJp zJj>24B_nT;Ke5XwBqFH;QZ_K|H8fAZ%yAo~_jN6?3U7Gdf@SJgU>U{n1fG7sq?j;Fb>RlcS%&>sxCAWh3VvNP)cPjY_Zk-r zLyCqbq?`)NYWn1WtzY`P;a_C3W`RYoYNvj%7(FU?^ZS+W7N7o**6k79Jjg9WrO@Sm z?sQ76b)2HF{XYDYPsk}cp|x|u(95T>mj&=h>pmPBy--rO#^UT29{u18YH^o@i&sD{ zrJIoEqP8)J?CK>5z`&;w5^;J#O2P2Fmc9H{{rnk2o0kW&t35L>0F7=C7Wc#)er7x3 z^4nuBxS`XhS{~-N^l{WXR0Lk*^iP=?322R)Dej_KZ^t_J2bF|!|QmUrr9uesixZph3 z6;%yAFaL*mwM~N~s2{)iNOR#ms#^Fsv8!ifR#sl#^~@J)Iy#K3v@_MRhS3{4gm|=AN{8Y zJP&FwQ4pnYHvY-3L@uE};iar7iHc?c2<>0car#9JarLLP$u06ox9(-HwNxid8_qq?T{pC)iL#f0Nu1!gmT z^W^-GQ*asduksRZoC+@+q3|*Y!9nXT*Va++Zv8LxfR?M@=+LSI8d_R9x<7fAjNX^X z|Fwm)vaxY+T#`nc!l4iprai*EM>N7zSJ!}ZAU7-#L=(NC6@&n7^nfh$)G`m5E;^_R zZ5qE1J5JG&mK5kzOE_SW5Tuw95z)oa9u6lcM^6ATCJq{7R#d`AUIigY6{i zVIUPuq^d>db;2^!$~&+P3BLa_gBuliS#i3#EkFv3I)U8t7V1PEf>^lE4*G3z z&3~Ym|Gs1UAAa=zonE4MJspSt4LeWg`}gsGzWQhO+W%&q|LL9kzoDJ~;hp<`IoJQg ze!%67bnWAXUVak0g=$9EcTb=DmA$;`G3=)^BULm!y6y#3o)M`bq=(gq*}lOP4?}wH zuz*7o$+jBx_bz-7vNBz6nG+^Bx~35vS*dCWhxKYt6Wghi8wbxf}wBmkS5;Te{uI!!++DoGvy_W_AK1#0y{w^1MS4i}f zFz;38P{&uv@0ib}68zZOY;WgJ1CecpE*4?mv0A4R#frQ1S1!wlJ;>te{{Ea)pG&0J zyb}yz-*AZT&rvyep*d=m{8IF$YDO~cI6svGb0cEtBTx<40yaARA2{aqd{3s@u;BK} zV7mOGlF@g6xqL}tGSX8KObWg`?+~mP`ZcHo@EqwIp(G!kyTI|q zbdXxW%&H1l!Pn)CB$#fnxP0`ErJ<9HfKxrXra;L0BY#YO*Ue@dKy1KR|BY~ivpX&( z`*HK{wu-!ZeuY9>hYbFMwW%Q!9iY-%BJ3oPWp4Yr|3l7WjQ1o@zxMiYU}Iml(6}1@ z)W-mv$ojleqab8{oX#@pn}h$W|6-f5Ph*G2D4?VJdXyD(_u0NBnMMD{b#J117k0ad8b0qE5|iJaXFLWe zl(fvE-rrdaGgT*9%zg0!dJ^3(_E;Qfr40)D(zq;LPeT(zKU$r^YP7N3OL*^% zl(C+`(+9~0i*Z6xU|4^lMgC;Sjo@=pOTN+iuS-5x!$n`b&U;78Jw8jk%mb*%?Fey1 zP1H4F8b%W;9v64#D2kc+i`h0@`E6QXvds-%UM2Vxpd!C5JjaGFK0>5<2gUo!w|cjX zA;naXzOGvz3=R3c*kq?`>ms3%kBj;-U{XZG@cMG0YIa$?wz+N>IS{eGB>nK$-uCeC z6Mx#bT{^FJNO~~hKd`oy@KfHcvFHTqb*_%F(g~Q2dR$`^>-jw|BEMpgt~V4dT4^*U(Z@mxm#o4R-~uM;+DkQcui@wVaF@r zj&>)aRdG;*=^w4VG*c@yH`_Q;gfSgi^?;2f5o6&q!}M~OX&FUd)&{@dwVyJvvUO5- zJo)XkUyPQed?^1ZE^@-K zpufOU052W>3QK{lo&8^7DTs=W`7101=(L@`!BPMVB>xIa|KXg>C}IRTkEWX}=oKq^ zbpgF%Lp?(N1MFM)H(=I0`qk`Z7k%DWfE zCNe_vQ~2Hf0&NuM#%LMoW1?=2v2TshVC3F#=2Pzj`=VJ!-)pz8;;p$?y^9yLY34TS zSeiG-WCKq1!Gq(e-|X4Uzv%dqwr}iLvJI+yl{!Z<*JAU)DY6$>jFA)+Mg(%24nJgk z#_Jk6!Oxlb&AQ}G({N= zjt$%t!*TfqzbU#!ULSd2;U*UUrp?FvGv6-7XwF9e(m4Zw3>}nL7STD(xz!b?TA9~5 zcl+8=K0Q}Cdvt{5Q=&8qG&yCDe@*&rEPjYv911I7@37vG6z_u)%H+NQcPif_Ap2z! zZzW1iksOMMn~!YI?ZkKwI&%;rM* z!#l0fEPWNk4Oj8K(uy?_iCM2W7aQV2uBP|1jnM*^YSKurg4mZ2Joz0t_Fjfa=Y5&( z!J`cp`Pl4v-MUbXOcwINiN%hGd!XLkn9OF*aHHTHr}Ev70C`L@x$Tr}SLok#E^e)vn-B4%K=(Z3UAl~HMFvyyeKiBn1{#FRK6rub15x{!q zVOi}o)2}lvZYhelV(ouS7RAwrT`4EL*L;j41yaEjk=;!t+`Oav$yM4MnbO#y^>0^c z8)%4BKB|2@!J>E%#_`px zj|~CmovE6|d}7?HXWN_i87Y`098!nEl7WV*TWZg(-xtDfA9Mg`hJ~`nUx_u6#fpfn zl<+?oDC~h_OU(*tH*bKWn>1IE?j+~?W%2U&P8r%4wQ=PMpRKNez$79T(Heq&<{e)D z67ewYUn#W8DIU1=g3FwdsQINH;L4BqHx|ak^zv>Q8uWWgA1lpL7EN8eqjbQnIL|$0 z0tna_yh{2l_V{TSGv={2l1hdnfNiZs&h6=)N0*Lf^MOCBe;9qA0AAADM#h&h-iP_j z#>bN48lID{PFR|v2?9YAiTHkTcl*e#s3Ffr!dBZ@Hbei44>crBO5ck+bHmoaQj|Vn zyh+g$$3`l#SmC`=W$DHX&YW^McMCFjvmJlNfiko1)y$U%KYJb0F=a2jikFd=T;Xa{ z(<(h?={90-VFCBq}{G8}4CG{kx9ez*?%a(gb+aNYrVEjGhc}W-L zD@^C+`3gILxUu_*MbBP<#skxOS#6I_* zznS{Esk~1hr+JB4mPt^i8mtKAlUxjmd)mHE%Kv~w;%&!1>mBzRDils+!on>gV+T-u zvxJD{y0T~AcZ1NB@2mStMuCq@UsmtYNSnnLyd2vCxC9go?1p#jd+AthLMq$wd1DfI z6b%BSA2hQCWOt7)1A$rPFHM&3)@|$#KjaQhwwK#@bRSxsvDiGvery!l&;w!zbuHPn zKL*Unx`ZU;71#X4CLo&lz0b_Ap;gg_!EqTFn7PK)f2Y#5^ed};-#kllS=7L_vUQz+ zfmhonG-{F)NJzd}{z<^RV;^3mQ}S;4mu=d!-_zC`*i6Lv-SmD?4&Gd}Yvkea@?G1a z3jgEZJ%SHQ*{hpIxvj%uHT1pWi~7OHFZRoF%FgaS+0Tl_uPf{5HGW;gWV~kfq;6*I zh)doFWY$gWuydxDQ^wX0p>Pf3mXELq`E9aue<;nAeF+KN0cF^wD;K$=$&ZVx>v@De zE=sx;9$w&dV!EX8>}}Nra~u%Xzs+=+i1OQ<+r3Bg2my)m^N-ua+D2AMsU=_g2gfVH z+t&V(iLE26yE*wq#pQ#;lx)IAwTDE4#qHmgf00mK0)`3M&A8g;Zjs+`h#wx23s`tY zFriXN3hovh(O-gTjo`_L)`3wv%e&DnOTR*K&xlL@dWb{kp{O&20>FAS`~vEAqKOz&KTM_Y^g|_ApfJYRw-RNSR_wyEDlRDOlJh7FUAHrTRR4KAO!S4 znucB1rqZj-Us;ouAmr+J-EX7fEfV&sUgEY3`P+mzfT^yYkGIiy{=u8OgMLq+xAYIw z+nZq#(lLv>_&j_zivV&C3tPXZ6*Zq%NvtDBP;~6_mM%U~?^_qMUgGgx_n`+uq0uP~ zOQcpC1Z-7dNp2(O+xnTrpI>mzY<0P6>5}FIT;jTA4L>+!^*07`-z9vyS;?FEwQm-a zkm-i0Urxg@72p?=wR`sSSX0|O?$z}2Ig{YfsCO9W(hFPLyBIB=zHJ7dCkQ=XOl<6> zHC?aV5Y$}o=3l|AB0#CN+1-ul7VvKYW0S&qmiO})*vh_a3K{#QOX>WQh}aY@Il3yZ zbdPeU8R#d8`9|04J7wH-5#rkV}X# z3I7;-f5T>QS~^Q8>4OUcTo@bSEqb^>9p<+$8MO$DceB?gfM&NG+?Ubt5?u+7c8mY< zi9?sXo{8Cgg8OKvIJAmGvv?kY1fmcG34$BJjR+C~joG1agrUc&`Z5ANtV963CXY)@ zg646^G0Xm|860}X(b=AGkU`J<+q$|2NbKN1hg(hs{n20|({S<`IQgt4g3JXW$alER zTOwqTU;dGuE-kP9=?6z4&`2JHP@@Hz==>{alZQp&Vq&LOYUmw@U&n)uJZNeui~e4@ zJcQsBS}MS(OLP+v$*J&ERSllDma8kww}KC$Nu{?pn$6x14NJ{^67)~3B?=n~FwwI- zgO3!#U-onKD1sajB1=o;$u&^<>h&>@^0pN4o2!Ge2Yqvyea8@O=6 zgVW%n$C)66kN^&}=n{tal3CHxLVXDuSN=)1JT;4piJiLQEpAfa-$V}^NG4GTI@S^c z!9n9mTRZqE?jK>LBSf1{PG~5L)|tZmPAINC6;?uB<-fv8QZ$&%$$bXB;h$R3<8vc| zwBBW8rfW-V#6uGr$5^ zbdV)HV*z-I{FJx-^{^*!ErGU-WPbP6{d>`Uq^8JGMtwu2qA;DUZ=%gu-Hlu zrpFo?8;2R&=-S*MK&Rr`+`8lF8x|QRgT$Z^cmu!^nMPz$-!Nt{SWzd87>F(EJ2Hpx zpK3YnQTP6jWb>c*$0Sw==Kt?(lS(?x(25|9t9H^)p+}*&#fYY+UC3We35(q#2OK6G zffp;RaWnJGUmWX^-hxMV3}aZsn>5tnJ=q?W8P__-mH{SJ^O5y~i-dE$jvKyd%=LAI zJZ|1HDiW#-SD3T&pwHY<;Ka?yah%v8^QRyViiD7E;jROzR<&EXg_g$qz{UmeL8P89 zF|`QCrtH`wxTL!-eBtQuD=nwpIbLF>8`7|plKS4$h43O!fIRSYoGkcZxyyb2LgJ=C zdP?K%N0sl+s)C)OyYbk3ZH-%v%$wCOw|DI9zf~qQ_IHUhM^bQ62fqRX8GK2b{p6e` zhxlh**D_cimF(|Ynfd>^9CBCSg~%`|7+;Wnh{WG!#CT!(GeM6#PmvKnPmFj)t@sLy z8Dk!3J@Z&*>QUm#MeY&?Z+upX6rPmlS+4Ii*7eksmNmHUHN_I{;Q z>772@VI}r}WPHxRZpow_0tz{B_qI%uu}v23Y?MX5{Ky=m(wWNg@gs{wteiuo`68J1 zET*eqE~l=4=`6yb%4*zSedgMFB*mfI=IwSbKhvtiBhb`roFn?g|50*I@%s+1U-vKa z|4MoLB&bZIG%x9kW34yPtXKR_xh=c(mT_h_Se~SjP1F17H{ZJaw7ezPsp!2vuxt5| zD|R{ z+n2s9#}m-vS!N@7ahy1<^oQwuB$CuV;^SKKp2a&8wMJ>*<;!325>d&2<;;Ec`;@b_KQOtc{;`oQ{Y$6-oUa3pZM=|q`$5Y}KQ(bIn+KFUuP!O$}T)-8J~gF1#R^ zobh^bK1GLk;zDvd&tsh=+ByPO-1D`7@B=p~W6PP1z`g?AUb*-_E|(F9>89qFtX-ZI zft8vKfMBfPN|?Y8T%)N1OtI$}O1}qx+<36A8RB zb0pXG+l3}iD9Ok?aa(yzj&+q}aF*9it-t@jVw+?Cu+3&P+k|y`e+7f!Q!Bi}`U@BY z@Q&(V0V#lKFnyZ`{W8FIN|53!E=rjh{%j2bl!i-gSTM2H84N+0A zn1y%-oi}mT+t?mi78l+FR(^wpEPQW%R;B35Tky_e0qvjkU59wiX-mpB9d7;NfcSo< z64R%xcg1()f16TIZs~mL3%}K{pBLZDX?>u(Itq-uvp=mK5Ns+@aZ&dj>3B#8C8p@! zWU`$?S@V48X^jTtn8<*V`hd)V7XO#U9pVB@8q~#+z~^$pBw2Z1?Cf%YYurvXgR{yo zB_2+9jrE*XyHHM!F8TL>y%Og1=T(&b!03o_qaDvQLLn}l?#TF@2QScjaX$fZf0RvZ z{gky-5pWygFPF&>Nto;kIB+=SJ=C>wjj!wdp6b~-*P$fr$PQKa z$(giBJv(;KY@c;Cqg_~GkcQzU>J@GMFr8U`m2Xo)FMVf_ z075w7HqfZki@C&Rif-|wc7UK@t`6$QGbKGE>i#g9eDwjSY3j8VAolJfM~d6SlrGiBX(2&vb(;n*SE=X#q;?65Nzo-KT{7gcOPt=cSI@s!11gtEqy#mm+fL+_YIuAEaz9sN zUXeTD4sO21t!dW&Gz6G76iIOe5$|d;;EOBO6QiaqhQ1GW?p!YU^qo_Y_F)9bWx<&m z$WVSpUdm~ReXjN9ka^TtlJkcbjaPnz+;I9n0UoG7@$t|5$Vi+i_>KBzDE;QM;j+#> z%;49hmY&xdzRH6I@<_p-T}LZl*ti4uV%=n^SWm_kbYFGyK6|`agHaj+IQu9F?Jo(X z6@)ghNARUusE7&rDxUjsfkq+v+bzKtV!$XO$&q5(MW5^1V#jWtr38x3a4%`-^RIiw z2ASGjgf75wc_#v&?h!xX^@JQ}OE=#V3h8S&c^O}2ldq89b8#^QBQ@dj$;R_ZH9YK# z3~E*G3_n+NhXQu{&U9>tZH}yNfrE2`I>tpGTZX28NhH?{%pS1v`DTCW8o=QaDs5PG ztO5;~H*8%)9?ujOxAc}?t^Rf+jBrnFLTCGsN?6}Gtf(HePe(DAoTXzk?4)4h4Va)m zuatMTQpS!>w3SXV>xq;+NS{9w96m~-W?&wi`{V;QJ^>T6{r&RF>bVm<5_lDg%vvy<4kdK&ghsv| zo>S3y3Avut zT=TAM=o+5g+%t0}7q|5TNfl$$oWfEny4G=}t*h%ejF%;ibIO*^U)J=R;_IHY1>lDo zDt_atkoHW>b4=6h&xW2M!?cFCd)vrOQ#)QbCV}ZbbKk_Rl+66rHoDZTS3|2L)B6k&ouJK|vpl*s z_lpj0=mdf<)bxg?Z)#?D_Rg?s*!abC_3xciz7>1$ax|DqTTv%i9Od^Yg<*YO+`aF&Nh*&!3 zmxDL;jcq^oF!fCxf|?BDkCq z=gta;RLfC&LJ=LifJi_g@Q?U(*qD~R@bbmEvad_Tg~UU}9>4ZLcKN$+i+HYg<3NeD zTU2cN)5d)Yje?>Ugich=!6iATthJ+O0-sLEJsiZ%t{|%f?AMof9~OVwz_LeN`8cn2SW#E+z%;_C<{DJrgToS(mQhjF*1t@7 z2Y4nuFJ)x5u=5R#eDI>GzISjHhfG?*qHvIk$E@i%`USXEP&Y_g)jA__a-t;8BJ7l3 z-g?bYUS*pl^HnS{t-cpf(+pC6%;Mtu`t2D$Z9CVX%G#k(EGmB6)E{iR?$L=yOlL$x zQ;Ods*n;;0GqYd3`J$@f;vJY;Pkh4}y2E4R&{!qj63Quq5afX+f`wL7LMj7`(4n3( z_0%h#2L*<8^^FLCg33Rcmj7GhPUk$l2!;dAAhDqjd}TEc9b*YaaBDD@@ifE|t)<-9 zgg@iAB|^G|PP9Bari1O^jHg+aK?pAMsig9JLkW)b>E$k*K^=a(Mk7>F!bKB)t_45W zZbYz8C6sVm4>Bi6VGRvUOd+U4vq^M2I9f#+f+mrXQAMStSY^-#4)=Z82&=$@POHF? zS8(4dI1idZzJZAB9~(TnV5qjXp>^U^KzS;j{G*&?`ltMS{vYk=;tBy;K8ZGiqX$_K zf_(}fQSd66lJZ>MzrxA9Kf*~!U};a!l>H*Q9sK$~+R0P(BsILchXYm$!BQbdShe%7 za1u`9(Flc;@S~R{f_TFP>L$UzmFQ492~YX{eY9{CiYE(TrsXrVb`tlLN1|CI0pzZ) zs@qO`cHqtf@E}ip;Ef0}43}^4u@Sh8HX`7UY7z}$PsO9N3nwtr5*r&PS;7IsED^lN z!)MP53ZVzIeqXsFjMf&4z$Xg2#wrLwu392zplTAzCgHedi2$?{9tL+xNz2Gu-GmvI z|1F+GD<>VD2v4b`dvq*1yAtwGG?YwB&qHv~U@{{U?MTmp2l)tvAV{_)Li?|165WLk z?eI`J`K-AWDkj^$K(^iv-=KZ{+SSw3H}DHxkN|(Pr&tosf_++Og?=I)T0*I05OM}8 zB~R(($%#87Hsq5SnDB71;As+~--p3*3i)9I12+^apEjfO^7CT}z~0&1Q9N|36XQk+Xn`RIop_$pnn>)#5w1^T4L~*&Z-#q5Y0{9;ULlSjY8a=Xa{O=09)VK zn5>S;*hpz2$76Vv)R2qyOaw&(LqqYkULlsiYfk!R1#STQ=LXjq!f^(g+*sR=Gfy~O zxcn=7=^EWHfoJKS4Jt;2g5%H6iLWK*6kECw==USSQBEXz>*5M|3t-bAB7=I;HdRDj zGpx8=L9!k^lZ!Ghdl1X8XC%MJEe#$B@1l}J+(W5~B8_jBXSxfyQM*Q1kd6m!?!x=6 z0jyv-|CZl983KiYT>R3r!Qz7YYW=DjLQf_d=83W@yK@jgg5PP=?r4T1zICvSSyXVn z>)Y(uNokQ`)NA^VLtIQB&}Gn+aXXjjvwEXT1C`Pc8(gzY ziGuV8F?8(t-WU_gn$4q58*WTNAU1?C&^kTt=}KQhh$7pJRg&eMh1wI903U^jFuL&= zHqd@VAev_?<))AE>Hf#+`n7NG&!;@LayD~*WYH0k=*t7XA|#^CQTSqg0h0=PAwA{- z7wJ{k@5&rjI*X1)Xo*$9Kb8Dwba~US&_u3UxVT-K2ji@&7ioyHlCG`sz z;3bn;ieSGkpb6gKot^oi-A2~GOPkcVOHA3aNRxBr6;PF`NSS5i#=b}&>zmNOzQCn0 z=XkfjOPN#pJ{wc*=UIlOVpn(hbI-_1(h@NBOt{F+9;&E3%KgE*bp5&V)l+Ha|AVge zb%-?KKja%+NulpH|BVX*n3nTbE(r4BCB@(PD7^0h?->4tkAj)mxxeyJfQg0uS3U~R zy^DY2qu@GhF8muG{ReGwp;8d&LxL=m8@;-WUh$w;yr^^k32iP_Gpl3wJLL(GdEn1h z_+UG=K1;UHZ=qO`##xH}?Jf+gH_1Ya9Aj2$&W)TIHES|-Q_;LDbRk@xYP(L|dzg&~ zl<8KeDroaf5l-E)#eB!F538)3&PrB}PI0=}q;+B`gUL6JVzrN!=ptVP>5UF&Tsz|C zv(KALem=@?b9D8ch zaMU3E>|>pfqX1;QzI&8swg|!v+3h|B9t}i;OMLh1CXbtns(bc&bQ2d=CrJ1955mT5 z4la#xaqPOZ0h!JS=k80eHRLg~URQlcq_M0wL$IV@Y-@SFwEg0fDlq0?RQ#iz>DJDA ze7yVnhr@RH&z_yj;HlwtCAhn!LgxuY-MpVfFaoGC zE|hBp`l?iJx4JH+T&NJ*eT&5{GEXxpwviS6(c7p_Kj;bGEHF=UQin3O;uc^xK&s1>haA& zn_~^)>=S!ViO;p-!10?~?FqkTtkv)(^3e=-+MLQ2OaZ~iJJj8d1pSrM9YB5|tFW-L zr{P8>3iJN$prbc(nbzi8z|$l|6Deq-5(44Ut`gsF25C&wVmPe?l#A%3RXSHGDsTqB zTM!MU;;#U;ICe}ap-sDycWlq#O3-Fc{2;K;`LVZ+N__X+3Tcfz5=_~x^V(!DhG!ej|({+UY1%Nlno!yYgrKd?DL|+ zGT8=QqW6YM!!&Q0<2<_=+^jDXXgnj@P}i!eb;YcQtSd|j6zMAFu~U5iT;ixLmTLx- z=8F@vnn-CwDom~IXOgdH09IWhT#lT`0p$y0Ez5K-@EvRBBb%h$@?f!cjO5WEvffiHB9-cJEzU0SEzI+&S(mLheEj_S$q0r-8@82{*= zO%+}sS?XEr9M#9v5!a~CMyQINqYd!SX4e8N@#fLo@xB_%A>=t)IGy3cXI^p?gxd0x zDh86WCe@FD`u-`(=0jub%xRljNjm;FxfE|6?_6H+7~{a1trFrH0mwW@0VUVj9^cS_ z?IGS(Wfu1;0n@?tAMZ95FQR(q9DrWR4GGipLsKh+L)QYfte@q|eSaf=pY(H|jq6u| z0(ufqC1IdZ<>1e)HXkZ&T54w|Q?=NAzwGnc`Tby`MC#&$KE}=V0PO;=!u^3 z4=x11+mCKis! z7+HC=0wy-ZJRVGn8JXDJ86x4;xC8D^z+1f*7|{<4eTgh4?w9>Ob3P!wjmSJCE?vql zW*#5BvHtSata*%jO}+&H;5H#v_;QdkDjgfo@YR--rolsyUsl)hdGCabP2BR9W8Wr> zC6ls`EG+%-WdOBFz$+36nmb3=NCadRReTnRu9k1OKI2y^`-Pq3%a^A%CArUWAGkCl zA|7>Y#U~f7E6xm_Jqd{w{aC$wbjLHQ;x(sH^an7@bY9)k;r`3+(bZ#cLCD5GA+6!p z@%`xL8A|T1o(&mb6rI+*eT1Xpdq!N{=Brp}*{8F1iTJW%_*&=U3(p#Pg5(e1L?#cU z=w%!}F>nPmo$qeXchXH=CgA?{Fhzgkyc39So@16%z8P3l+C8-_qY(39oJ&U2#?2?_ z@$;rpvzv~f;7!B8^qPjDbL@_+hHp<$$~EJ=G0`d12b!(DlslZspi}jxU!923eR@?F zt8tGvjL!}l`=>6d-?q!|p_SFT9|>}L7FO`zwGPiN5%6f;4oThI57Gov2Dk?to}IeIpr^|7eP^UVh`n=mv7JAFo}wNnAWyScW(F>2vdR(}9Oe*tn1!OPm!OLPWNuh1pITYA<`^eCDq?1P3CRP98 zh8dfLUzl&e(~rE+Qp1*a-YG;*qn3n8TBTy)yt+}x?eNq$@B6mTC1m8keGdkI-?}$I z$If}>hD%k~@H7R@c`d)#M|JHhdReuD-zXJ8K+lAbl&o!3bqh{GS5juVD{r@1lXV6S zqcpbB3ZHHQD0tiUi!)}Hu^=F6T08UilMgP%m|9uSzE0qsCFS7NfFb`Z8iwYN882{M z(bO@vbj+_JV7Y8%?~+k5u<`pC&(H(hPtSW^@pFrs@2YNKL}uR{6`gQ=##s^(-4Y&I z&)WCQV2~y;i}yATlab?HJkgZe?xAaHzHyaY8fVxApY2}yybnqmx@}taeWV(Be`~(s zxe=QCwpSq{?e*C5G3EV-#qh7(f_G3_!PFKep^W~$f;QCdxuAwMxwO&gA7lbw@yuY^ z;IpGk`r*;<2uFGgXxTzi=C_)Eq(2%UVOBls8~1KbCj#tV>-a8pT`{Ao_J;0F-+?h> zEAOzFCv~IqyZiF0-Z6B zRD#D>9(>#`^$BzcE}mg>ngepBx1!58_6*n4Qo!L2Hxy;vUYtBxdxnJZ7zM4M@Q2jQ z{0@=}zR#h`_5l$#snNUqJ}v26{lV_ZDQ6(B@4KfgJ;5 zBRb9qUQt7_Buq1c6zO~(g3SU4t0lDkKyUwnH98DC%e+io5UODSHdQAc%m?>F+ab+5~?K|p;!`rEX;#(l_&&3 ziYyW2MIHiRVkO$-{YM;%PWwlff&WoV!b0$G-WZQW=idqF|rNBC5;gaS&)GohUu;z{%&enmfjI?>~9TJUrE3uwbeJ zKm4T%pogHK$WVCf>cqK&VHS#E^EAIX=fr=MSl>ypf* zn=R(7e1SUShMVJkw}aLCDNMCJsItfp=U)aX?wUsn;tWJ(2R)&r&29JY>CBN1-Vmr} z#=B#G-lf9|Oulm4>-1B6t<#9<@f!<^T|Qep zSTh2)9Uf+vh%(lEOqh0lD#u*KbTQX-J6TscCQWXNVsD8LDDWN7movt?zM6D&I3I^y zhsoyZ68!bS$KqkKc2)vl3i?*F)@SF*u3lbflG4n!JMi8qE;R^COn2j_(hAlqh7Q1s z_?a0~jHY^BcgE)hZd5)RDa2wXKRA4}N}boY@>vih250|#mIrS)Zr;{U3m`juL7wud zf=SYjmCwBU*qP1_e67XAjHXJI?a>;hRqoKB%y}omk61w@7ii1f!Zj8J9%qnOUU(s< zQJx#*Ze1WVcKbGQWSmvjH^;99Hrd%%O@YjLTHz}gIY<5)esl#0sx)o!9Eo=!KrnZF01RPOLw+xg8`O5BILCb1}T zM+{@;iEDak5wBko-Nc9n*fKTMAq`>YSC3Ck#}aKa`l%T4fm7&b8D$2IeX=-b9*7$w zk2kcLdiNyEM{GeXZu{dIe3aJtsLLziku%GA8vS5ar)55cFQ8FDg>3s%K-p|G=?{Xl zBWoAhv`Efu)i0C+T?5sJb0fdMehQmDoH=`~Y{@}KNGtHSe2TK$VE)mE4lr_KXjs8K z=R9_UzjWxnQOLQow-yFvtX{U=ud$82t6L8CR!m%FRo2VyGKW!kN-t7kJQrpA_H>$@ z&x1I?(J77^Xk2Zp#cXX9?$dWx(0{x(J|b|A?OukQ+W4r z+fh|N;Cfy|s!Ov@6yM6dU+MOiach9cE&)T;cjbvF9jpDSZ=gpJUSosQ)h?XbmgaE< zdLelr&0nm`XHH;vyloXs%oBEvzEt#Kv)8m3UyYZLgh|Ra=+1g4V@j{tvBMpm9^2}% zL3@si+{(Z0gD3Y()c0jQQQ&U$R^|3)%nNPq9Q!AcYb%I45ewA6Q=m9uZoFlSDZlhq+PO(C51~|fR)%>AT`6a$e*qr(w8Ps_ou$MW+_~Gw#8t@a+$r*D3%p7PnGJ zxJ8n5qs?lFpSksQTx}|G51T~+*XOQ690LOXi@LWCi|Xs&{fCs2?pkzrNH@}*3eu$r zh?D`+Atj=8qjZOe(xsq?2uKPNBGMr#`CEfNpXYm?-*f!$T<1FLa&HHiy=JY=Ftg{i z?)QCzVS*}~J3Wjjr^asGc$nI@^*yL&W}E~{3(p&W?TiJI(;9{NIchB=Z+Lny%(wFD zRZ2u_wU*b@xi$x(vikhrl5)=c;hjB5-U%2O{|XBMT#NiGECg28Hh+btAONl_{|%Y~ z`0oE#XbR5VtN#K`!Su}RU!f^DgC!(?gQkCYC;!YS0wI6|oPx-m5OOCxGx*<7r$KA+ zhXajoqApN6S)E6-^tn#D;Pv~)7!J-z>p3=EJ7pd?Dcm4ED9oe9N-wQ&BpNS_Bc zeyFKqOWQ@HvVpf=hUhwLozD$Et2Ovg5d9!2wo~{tbkn)son6xO>fI8M%x}9TjiOLc z&?Fc_(3;6l@*te~En4rgOMbN5{PQ+uaEA))TX5g3kd(ZGbLnm$#&ZwFn)qj`=;dXt zWNB9!=7AX>acL=0V#dOteY9}~-q(-M%mjH^)f3vT>3kt@4$=n1AsYr2?O7$(jeaNd2uV<>MA59=~BcNhz(&Lq?(Tdrlq4S&2%c&3Zgdb(vho<)_>BV^#xH+ zdD+mASG&=elzCslcwuE9IY+xHuZI@l&~RbWQo<-nm72c!W_-t+l&o1O^VFWC=CP%W zX$>0C%tO3Sc%g^5>0B5db3zi?XKXF<6*O18t+_ERmcRD7=Do7akh;`I_o>I1cqeqP z1vwSn$z1~aN^__T%!w+i%|so`B(+N@JRe^5GGB3UUkwlfSGK#bpL@!7W9ciN zJT;yR{c<^PjPi0rn^vjiY&;XjD!_QhC;24T;f=iGvoR7f^0^Ax6}nf?{ky;BC)*v$ zvbq2>2hp8DJMM9_tqt8(Z)4ATuSimP`~)#^+%OB7VNDJ&eNQZWEmTMsA5+1~cIe@E zgmH{?cq5}8U%7NwKfl2xutuDs$iX@_))DmPr|gv~c@s0RxST;z^kKm6)ik|30T3Ou z8N}2`%G|osz*M4L^%P~$Ua%tARFBjc=hY-88>CS6PojWJXHPgIF5Z77LlsvQ5h$!4nNmZRb?A@J{WVIg{4{F8MDej!+2oVtXd$ z(1B<~ZpHAI)$Kzgo4dZL^-bfjd^{ob0A1tG1ankEjez$Z+z$h3T6MNL#kLi_^?gAJ zULdYOP!(1E<{kggsD^{U4Jlsx{8pm5C5@oe_tbjU{$b!@^-Gbf<~PD}J`z(3UA+_b zG-qQ6TT)IjKCiiJa$Y0|gy!To41dL9<#vpCjzU+_=$KU4x6i;XGr5b^>?kDh3tX66 zOrNwb!V^`})VpdGTu3MzNNM86k(N%4&gO7Wm=VNYRQ4|GnWnvQx3seQ!#2ico4Y~T zg{5ut8}xkYHa-!c@(Y%ecXi9xZ-Nri>BlraS+8ckNh!Mzq7!jR7+!NL1|9OQiD>VR zN;q$o(O}N8+zKjuSTiW@{-C_o{h$w2rSE!6YJV}y1twfA zDDy34Wxu2bnwGZ=lFOQwHgNfr?83XLpEb1(Z#c9QP^oK;taB;d1<=%SV4pNFxTMC+ zuj}lW=}^{i-vM5$e^yujnS94K8t@NP9@02N{UxkGWqCXMSDi}BoHdU2EuX;L+WL>n zie#XvN5IfL>MOpG^MlwA-;NLh>UZ;t%h5zra^K54y81T*vAYqU@EN=ZnFC6h+=HK^ zmbI*S$KaUE6Bd`T@jt?40@Whv=xivG3Io(8J~J{7-cLHaQG_JoYC5|&4-6fz!;I9~ z#Vt}%XP1EBw2Z>47dVYAgS&*{7EUgS6>Sg$?}3}OO&#y(Wt8l1hx$ycyEKl98HCg6 zda6Edp%F-~y8wWwcIQ`g9FI9%7U7nG_|G$uwalD}O4M{W@qXyMI3x)HWgL1otzG?x zYNq!emf#SHn3`L8VG#HP#AfGJe%R;W0|uT6)2HZU(sCx2&QUMk3dlQ$r&ctz?qTD} zsvD-f`~mQleII7!l(b&hpp$!2{DEMux$(k-+>YZrVf}(4wLt@5=!3G1zj%B8Sl(NU z+n@7$W-}0^l=kj-nC4Gy)D6h4TghN!%*!FSH$iyiToqos(4k0ZQms4Qr1cHtc_{P1S z+<-wx53^TK@UQpn>=WzXiAW_=*0X<5-88fG6DX*dCv@rgT&{$uM1_6KsQv2`RK1rb z_wu_IeujJ`l?C#yW&9GAT&j1_GZMf5%6!t^Q#HE9DW~F6iYxU^*3b`Xiq?M-k*b?}d7z|7)`}lBVo%mGN?sf&Vj|eC{jbr{{zmrQP^>k)M7C0bLA`Ma8)TSR1hbI%H;h$7In4Q_ag!^AHlqu{%^jX}+(tsjt3{T+Yu2kzT}>6Lwe zb2%eNR==)~?YqXTPm$2g;*_gPhJQr~R?!+2_0b%4R(r0o&7lD$4 z@S&waL&LOsaxUIe0iD?1l+$%sCMG!~a>^5NOD-E*JyF0UH+=uZAZ4Q?tL0Fw8Tw z*CU4dPzxK|b0sp8@*~yAfe191PUVUG{N;s=QxDUFcoW4DQcqG8r>Ge(l($y@q0mGs zJ9km;DV=}&zlu6{i~i#f<^QdyQ~BuMclG$U7w5k}wtMiM-=Pf!rqd!HxgK#YVQLHd zpU3^<#ra>317r=`4s9|e_^D5EuIs))Yp)Ht(}l(1xt{0{HmE|j`yPlg*f@zt4J{9? zAxiy5w3Ylh6EDkHRJnXUdvS{+vF9JzN;O1)v9(@x6SFA`EVIXLrx}y21T=BZuhpUtmte5*jM?f;+6Vx>7YWKdVpJ9Dp zoZ~kWh3`85Y?--Ua!H~y73~qQGRd@y=O!N1ai#ad-L~*C^ib=bCk%D*1-~B0RF@|K z&Kaf~)-AmxL?4VklAbjx{1hM6>6*0g3ZedR=}}8g6p-fHnY6Pgb>e&vi(sUmE-8#p zR#$FZyx94wK{NJ2O)R+YJ5}fUFu16+V>+Wz_mZVT?1^)E^PIXS>rp~@!-yBSErKPV z$np4k_7~3tI*<6_eL+;jY6n_d>jiX#8UIBwut$w$F+KmXOJm%U2>nw!O4{o(qo*&XqBi+}iawqUb8fi4r8AA# zFW25-gUSkq1O1)DxNNNxu~#MGmAwABmr^Aq2<=>zvm7L+R6y}TQ&+GM=R;bmw=8+@ zLcE0OnV5F4+D-3VEeWMO8nXm+&*@b!Z(O&_qc!95vogwzBE~)n^FwHTGD_udBwzFd zl+q;xQF#Sr-ohgL)6Oxh8H|qK-k7&ja+k-sA1>eg?c&xH9fkF_RH1=;O7Q*Q8!w!>rC6a-i+4GLxlzR#*kLmr-{4 zP{YG?`aC?|<{0D{_|hmz>3KvB*Hn*&m#T?@Av0`$jr2k;Lfu|UGd1Ezt8tjRhD5`* zj(m2wJ_M13AbNq+<#d7*JSb;aN1wqldl-qAABYYiLa7{wF zRz%}JyT6OM&C;xg_Ud!n(H2+|!lfPJIcN#?sdaN;bdFJ{2*i0M(1$RR5Pk4LrwI!T z3u+w8C_JnEzRMIK7D{HJc*l6*qaR7XgW|*8MfzKM;2ugM9oBka$WT7rtfF%?WoDe{ z?Owj@mglb3f;j2-t^!;iUpoRZUKFs?UGL-z%o z0N3%|ODB@JMK8Qk?0L4P&&a40^Y5zz-TLFvyQ6mtHFC^yNS{2tx}kP)D}@#FeVPw} zc{E*Q08nv!X#VYLR&IJH3i&Pk`ecH*nE>vXn8YtX4C(F*3cJxwdzf@RX|WK_zfTXzcx0I0&HY%3t9iprxb#D-$6kLO= ziGPEnzz<$Q{tc3XjLfXRLQ+^=)bdwIihM_caYMp0)ChzKl4^<~cbAYmG2~8sChosM z&g+xz&%&bS)ELUU)by=AR!8%B=~$*@bX_CM@@T%PR)DRt;UM-OIHXEaxj_`3E+Pr( zhm+rEsXCZ;Smj2!z3IUu-oD3Ja@RHL&<85~;zn_6VI5^>RbMJ1>n^>z7!+#=PD!4j z9@XE#I3nv}UnyP8pSr-e)JtkcJvvh4$YOoj57>;$vLuS-UENFn?lPDx;ablg38OX z@OPIB3Vz1PF@9IU-=~jbxOuaZveewiEwaEn>e^$p{;tfQO1zl)oUSJ1Q39Z zxGmAzXUVA!z5S@X-!b~1)DZXl`NV5ZA-1uu)& zmVo~wDgU>d7hY!hS;%?0Ze6(1?)bR5X9L8(6NtHBUD|DRZ&#yW8rve)B!6~I1^@kL zo<$SEq0k!O#k}`Utq8YL&zQ@%gRJGaok@{c$^`s8e$YQW(ZVwiX#9?eNNCHr7Y(v* zlYh`ZJ&H{s3aVsUAg~-HHH(eZ20dBZ`N!{g3spLXDV8q}8AZGd6|ee5y*=z)*13o7 zbOSiQ^NfGru3f81eTQwa>fPx?#sTN;`3Ln$`qs~ms4ww=_vOv{Pp;Q0Z+p-2xfTcw zY9CZvNlygjP*ZVeXFSj}1ZoiB3Z=0l?QLaL3qiC!~-!<&)GUCvG~$)X~Bl$~Cdo8(0< z8I+_te}J|3)7+8lU^;eIML#WWNHN4Aucn~QD=L4kmhplg9yh+Ai0j4rJP=!h**Z3) zZjC-xzvTsjA1CLxP3@nNQ5##$u5gNY#rBTO2*_!8y=?v_s;q7f`oGSsGAOFV6}2)1 zM>U}8jxpT9C&QZ9ztwL(TZhIk4w&!=0Mqr#IVSlHUUec##kE1!!n|AgZ^9e*U&V&^eZ91*45x=YtohdRNTPG#4^6FWqFm<<{xkrb+cYh%3dgmqZox21`l4?W@Gz^LlvcyydNzA)?;a@FHM4E*=jajCNVK{dl2(-{0l(CB@vQ~d;((! zCWyI3C7wL5JLZagJo|%9(DZR)b~_GGRPEb9A@VOOf=1Xd4Rl~ovCD@icfK1uq+pda zc-GFyEvI7Q&Mho`Ehw|Bw;xQeZqtj1TLwQV8X6}Kk0RvJjuFync^yr%_YEcDVAh4dt!V9HZo3D;kps{UWVh`}m z&~jP1j4dBhNa)z!0ZF~XN2HntAz274K?yBu*W}_?ZC_V~!<#z4Z9!d@JXk(vk4`Hj zoAlXdQtKKq>G&afaFLu-$p72T87yM`;5_h)MOf&%Z$jY9&xStDLLq5Z#8#T0Q$Br2vBTp-%k<(OXGA~-D7qEZcJ?9AsklUB zY&;@z23W3n9i!_-GxL}xT1B!5fDSyHY_2ayoz_n`55=TClncY3j&3S?1&Lirsj@LQJEyP zLhEO+nSzllD#ZF+D2WIQL?FU*5QqqC1jTti5G0gQG4c83P%8l&%^(}!)*cL8Kh8h27y2kgE4V05+aQy zpJflw}qEM7jZ<%Xrj=*6&=v>_-;f2$?S zE08F*{&ip9&}Sr&9D}1Chv(rpjf7Ike@eN(BWt)XKy>%pU=ofBrwxI?g&Q97WL|^^ z;s+v7&r{7WAVbaJG{x#}ga4_JM3!{_Hq(SGQpM61sguNkSvW`_iL{%9y(6Kd^UXk5 ztqeC;*r{6^0s{&qp;Qu{Ok@xcl2SrUdB-my8Cl?+mX-kplbOh3?wnkbTnHqe=T-Fd z{EJ3H$z&T+F$ss*mxI87Dc;a{G7tfv^W;B*NhFmW8Xlkib%>0j`fWT(3iXBOj1mP3 zM4<|l^PEb;@$lpzi@p%B=nHtiMAryqN$8*8t{bzVk8Y(6(B_$(^)J?*N`i&@& z>PagrWJxz{c6QKh6Nx3Aon4|)qGRCm21z9Yp=Sb|F0Al|ToS3}eFFP`YKL{al#bhCpn=sUua% zKeyo*JMd%xC{Er*$|zw&ibYRO5eRA;I(npa0#cOB3|L@;UanA=SvJ@+_1^C)VKL?BMm?;T(KWpy zPin5JhfczNHKeGE|K1Z|s`H9@q`|@h+sP%XZQ|OSKu;#8#&MPSpP>bV{!0OJAVZ#l zU8WiBzM`*Fodm~(mrrx-x~wiWPDkSV4AVX@U9fhLZyr=?gj%Q^uEEm1e!WD_g@K6q z^-cu_CBI`Jvl@|_9j0S#bx~5g# zjPZun+Ms~GyX!1Cxv)E->LZWBiw`oA=`klUVI=yK!)-G2`zGLQ!^UA7qu}%t(=Uli z135V|J(4BaS6B*uM=`)9F_-*XZSZD~&(yj8s}#qFz+|JJO! z1rWbIxh+WDee5Fjn%E=6tqKdjjlZA0KXKF)r_{B=xe(a$E5seE;_XLV`cjfA|oLZ8`3>cUA&WgO=!E62ZV(JIDSjKgC$-a_!6z!|tIiT54 zwpwRLJhHs|bw?V|9>tXv4Ky?bm#|}4x86d`2TeDH@Vx$r?eO4B0gtycAeoU^{iWR#N`*6 z>^EDM9|MyE?o7H|3@3>moaZ>-gmy%UJa?i~>9r}{mWn4UBTc~FNqVP*&?`~#)r8ku zJ|7cX(rb>FtG>5?nf07-Veh9X2u@uSnK|6#Jp%04Fi}M2R=&86b@b?L&h^z1UM73F z1B!ibNVADQpY&ZBfes1++p(6JO|_E>;labZ_S`>dwZYU=q13ujLq6-sm6Xq=!&G9f zHXZ>_aNf>7y~~IK2x~5W z)=0ZSR9_M2_=;D$CMQZQxI`!9sQv8c^LO5(wIF!8*R14+$jf@nAhw)0sIK0*!HWUW zQDoPQ26BGM>$3wj>6pEblS;)?{w(q&_tSNLGEh^C6}|v=UQO4ro?Ds#2`BwiQ7ucA zUYcjeiH65HG9h2~4oh+3O5%uYSb`Qkz}!QX2d3z5Z4a?-zhJnpYW5=2;>W^1qjUiN zmCJb=9m@dkNOF<<#8*~~?A4=*&xbJ-S7(;r7PEnWR*jeBj zZ@u=5gmsTf$C1ggOYXu8P!YD9vcvL1fK)EzDsA?hCChDQv6HT=w&Kq(IC$J>R|9Sg z&(>Pr_}ml_jXUBGm|-k%ndz3l^2LW8``zs#=3lm0=R;xiV=#bL-aC6PNR zc_5o z$SUhs$xH?>$6Me0Hf0QXdT4aT&z$NHz!`dklDGtwuYcg>TN7Pr(l@>(`I1p^D5MCW z&}~}24+s3TN8AaqCd+CU8*N*kmAW|De@W)HZA2Mu%Q~ZUGqweLIU3&-{10{&dXx+* z+RHrYp57>&DPRnA(xy4C^@aHtOI`76PpyZqdw%bvdH+6t?-8iH{QPc(oQc@Co9k0o z4MBMRg|bJIOJTl;gGBraSqsGRarlfl7&=L=Z{jcf$Z`jz6M4>Glme(US!pQV*I(mJ z-{LM`H{s{YsurFI3vd+zEprmRuD!Smv~?l*?^xcN?O2HB$7hSqq5l|A)ikoW1v2#* z?b%4CGx;^|8jhBdCy`C$%F*cq~bf^2q)MaUtgB*Idb#q-61uet zcA_u3{xDj3yq})xfIU~+!Kt@&hs#Q(&gq+P9(vBwzFTIxs2^T?akZ19 zs1*1nUR2Je#ftTI(c2c3D z_r-CIFSPUlSS!`XU;X^jDYClTH~oCoQls>qIm`ivR2vpuQ8bZ&fDy};y7=@haitg? z@&r=sOJ#D`=hRSv_+a0si*57iugAvq{G^WbE_7hhZJLd5`rEg;=+YEc113zbIny;2 zyo7kxD4BdIO8J$dM@|z}>;-2<=tf!nZeUvU`Oo@QBgqA~_V4g{RXftb@ST~jGhdi5 zFJs>ln*(?sSd5LgQmL@K5V4w#=GAagycUx?CBLk#(-_5o`#=j|;dm)Gy_YeUsUCRh zk85SxQwd*;rY6-B4I6gDk}Vk%!3!1^H7I~eop=UURd&LDCGpfvaVPxEK-{xy ze6wkI1ZYKBTa{>FU)FdpeK=8+ZHnb}8cSO*Z3eF(yJ6&>xU+3Ks^k_6kbLk+4M%;e zGp0;&;66dxMf{F|SCR*-L#ZU=oON#&G=St`_Q^O!g4#vQ&y-rHXwzHGNJc1oDLB1F1D5O43k&}|fwA^Ot@SdklEs?!LWkhvo^ z^>gL!3w=GkRO^QeIoJIYo#JoV*O&kA|{PlD)ae3A9^X(5yAu5uaPAS6xOag-88iEhyTCs*?ODN_F$?dYxP;mLs^Zw)(z8z+>^@~RMW>bN`Lv2MnOg;7Hup4rYH45%x~c#Ambh$a>Msm> zHvPn$vWXoMVXn8Q;2r) z{?FszwlQKsM#G4JUP@Nu*oNBk@{eO1QnzLad8N#5NA`bSbqWr92wD*`=`ZJ{4HU(9 z9C9VEof*AL&8gb+4Oy4Ca>=Gj&KPL;%@5!UhE@?>O2VWPotjyNj)KzWj$?&Jjgr1}*KjetgK)whLR4k3+tXqSpDsb`WuM<$@EZ*>=BP9?ISad>uZlg{wYvw^h(v8MJ5@)2NoRp8pg)S`-NT*FY9XgAHXL#y?4 zr(Q$}H$|xQh}{Fcd@ZP4&!{-HXJI=rJ4$*l@=B?M^1$B0Dc;YFDD!@EAmv`&dnqoB zOhVW9QI(L+gW$x}?qv$m%QuV4t2<6{E&@qi8++F$NoDn092ySEt?%Y(IK&lA?*+yd z4x^D#aH#=p1K&j{ZELTQpFDLvbd0SVDCy0+G#r}O0%yV!xDBVlbVO8YK?8xcmv~{v zr=R>P39|$@`fl7S`W4rkxz{mL1YCXmBU=e*HEWkv*Rco`?b9*n4b1$5LZ4SpeP?2o zRtI5ay%T%bN@{u)6I=A!@kIm1DbHVa4USAGXz9D&N@oUDogW$R?VO41xv7f3NGaJs zk;tE25b%$fvBV@%Y417)HmMm-nR(+3JzKjXXPdYwnFET={VcqK>Niqp!gE4JYU3&fP#W#6}1xMf^|$|`4x zt~olBu$2HOaVad;G|cf$mMbzIqyD{R`z%)+STd$4t`i4F4W5XA>}^U#cgNX2ojXZc zcx*1qcb`xHKuDD~e8;@VE9(*X5X2>BmwsB_#Uz!`v##Y7ke2s}ZQGP=eY4Qg zw*!FPgUph~fkj+`lt9Y7!vL8%D~IIT#=wl{o8~fUSNK5gtv>n*T2tT@-uuzmBA;GJ zKQq5|bDO=olZ=%oti2n|FR+M9>gT-g+ay;Fn_Q+hc1gs}D?g=@GPViqoVY+h?@{og zrBgI>^t;t%E9v$t31SUP7p}Y@Qu`QuE}?{AAORO;4$Lu!1P)0nf0wi)4L9NS zEffMUb3kJ}C@j3JqN0kTss{1lpSo_yDv|0@SE!&wf=X{6*c*hEh`X?}dw~$_z}vo% z9sQP2!U0098!U^8f#4E~Cn2ar)^$VeBt(?3pc_giQAd6gN=UFUkce{P3+!dm8i6(i z4JX?W04bRKS1mgfh^`iliAzY&2BW2* z|vYi9UCs6D7yTBW=$wX-Fnv$BG4GDR33zSD9OT1g#kgXl| z)Dd_to<#etodj=@4e7aw#I*1G`=JZ&$o?3FU7Gg}r@i1U@8`rzAAL zg_Mv`!XBC6#*7>_OB)nYTEnz~90Xv84GAi_kl|Wz*21cqI>_`X18DP#q?6!x(iU{y zgbLDYzP}}vK~SX(TL`itLXnI-47PCCWke3*!oL;-VDHh<(J)-ApbEJvaPFXhTo`~6 zTX4$o&#JlYLfh=@xz#Hi+PuA{H40)O2Z00E1K{7+xpRWO1v>mITV8=45$A+;G z|J+c3?0hvKWOhdQ{KGOjBA*4SJ5dn+GiO}LjI1*(1R@ar@(b~hAL;(1?V*gXpMSdw z<-a7S?-l>YAxbD`h%+#I{@qHH|4yP96x-R4*YH)d;H-{ru=6;B(YBj!Cfl7C1g!6- z6Z-ZWq*QWSd=3;e8&rHd*j!XJ02)l1q^5S4_#Xdof6Hb|ZGEB=D;@w9{9{u)xB7BO z<3Wd+kc2_#Q^L{v(oE$9BE~Jo+--_%;u~e}^V)hIhU0ga6~Hh;{l74ClhuqBkprs=3f(A2LRT%SBNh!Je@ zeuZUMo`f2orGC+cUuj>8kVvJgXkU716x8e}r=AWjGc%%pL5QAUr#M}{zwSV|ddXM> zt)NwF`w<|0W#`#qbMz?C^z((YBy{aTq1zpn-SIEJr|~Hck+P5hn=e2xNtQ>r>98TUeo-YHF5aJ&I6j(PXBiC=s_G@2+l^%pZ< zxg!{&y7yHt{LsSv!AHesAn7^-L*V0^WNaLYY<$Ch!*WNq2ZZFVYw-%fmIA%~@<71* zflxjjnd`&=0xTpsI%H#XyAii;ej#A0wfvMshAzt65#bq|_rpbuS?^4nR2g>J~bo zg6Y$y4_r%z*>=}NJ9ArihYS?Q(Fv!(U2+hxfok6xDyZ4j8JQ**x-Wh-C25|Q>8O61 zV^BFnH^l;`$nW>~j_Hc8|JeIzsm#?|M4B7$o@VFWQ_FV-^}?lxfGWj^`bESOT`ROO zikqIiQ$32)$<3@^8uChJwAAuTqd|EDH_7WOTBL>PCpS?Z@bVV2jrmHXDF(}?S?d;M zFAai_ey@S!?vrRu1BVVYQD6ERcD<|UH$ISr6)57(O5eE(dKs=<)u#>RbVJz{$&_w+ zwUm8k)Z{Md=c;XSOCd}R-oA3I<& zqd3z*s<8LR>#7vocRpu#%ve@WgrYdiqx?uW{Q^{hHv?ntUH7CmZHwX_zDdcVmI`l~ z19tcBXSuW5NyWj!fKi@>!-@9cgUIXF6k~n*~jbQsY;~Rlnswb$FY3ux7bWzld+Fu zRwOx`htZ`3+ET8^E4upJPVzL}V%Pu1W$EaD+@ZW3^O2lZ1eX=v7EroJPhssI@)|fY zuCo-gg(TA+ekYhQC|!XagDv4h8vSLZDW*Nk|&-F^YyB_U?4hd zvD!bf@_is}Y&hHhEbW|g{;#iyXJ_bVVF}3B$WoMlBhr6f6#sd_{4a<8qw@U!9oLKk z-vIxo&T|36Pxue<5$@znOkw)nUkD=bfd)H&BZvTLOY=993SPpuzrT@G0I%2nN>TxI zZ~rSv1<+FHuOt<~#n!))ROC5_I!~Dy__i#Iq@Hrfojh`ROcdl`?`r6yf5T1J#9;plL%$9-IMsP=h!?>Os(fC_9G{|c^D7zj_6u(Bp` z9l2TVS1Uy}Wq!6D{o$9bo4Y4?Gt6^^%%*qyMIdkd5xO z$eSxO($~f>%cX#!t&Jn`xLC>ZtNJ*L$JK$$y5K$cn*`qV$2*qwBy&A?l-Aq4B8|r$ zBO;#=PnL_D45tDHc@N)5>Go06razxaEusEoYnp4z!ciH1J{^21WD)lrxRPY@D(m^! z#_ox#>E5!yMuYqv#(VdYy&E}xv{ZO9oB_p|UspGknJ0LRBZ_tIXRftssT93!c)#eu zu93A)UOfRCrf_F{)R;F$@F$9ymk*qE-fKvOo;uk-J?jFj;Lc5W?H0gjKxV!@6zsFv zUY9s#q9?H$pM0Dnp7TO@4yWIpl5JWsh|I9Uy8Ubx)Vp+m{c6go+_dMl{W- zPtt&>U{T0CNDN2L$3Ig2RBzIb#f)y0c~X-M+KMu`$p)q*f&0mi~!D-hMd{1iSBSeiq988jk`8ei0@S1`DUhV~ir0C~Kz^4L;sm+?Cr z0rUEsF8CJ4zW{|(mg-;t|#kr zGX|{90D^>Xmybq)eUNlBr%?&F8_zAin9S~&)+%Nr!~ z#;4*{SS?qYzge_{edYx2aBn@g%XERcgxE>fS6&Y)cBH?-J%VqKCmebn1OFF0uQQOpIr)&WJn{55hT-%Jw@i#Y@B7JtGT<4mu-qo`-; zjUxk#PZR*rwcJuV$GgimtO~YFu?D65KB_@#6TDnG*6pva1Rkh>D{Gz6cqKFve7UL9 zf>?WO!u3JXBVl1=o<4fLv(eFnfP~cFCQj8Yq>xm7BOwWk_em{J%RG0A8u7r`5le2P z3~)}Y@7oDVN9pgNXHRwnSOU>kc{^=hbpD8QB~T*tvjdbxsdT|>UlNr)pQayrPsc`$ zE^f#ZRXJ!%N*q1l7*PS{S5?rx(TPYW?)d0qslOU>@VHE5Cp6g1IQyww6G+Sgu9d^v zA}y1oUd;23@vkd_!rE5P z%bO1fiCGkMOo5qq&@uv(h&d!Cp{sX`IJCZ#Pbh8|SHsQfY2V;J+Z_GZVz>xLAa zmWyv>T-8Uu#`N11bVHi>q)$vfn!EM^Q`Of!15p(Vds3!$H$p~Eskof)6;+H1iE5e! zl)l&!1Je4Q3Du3CmN6-Ae40Noz83r>q4WI=pOAvKLuxC!yb>^qYiwJr)4W!>QnJWN zuxNy@u2<92`GLVczvvy4^^HV&L(bGNv%{s)_!OUH9gcl+29=l9P1 zKq{4-yTsKGk6*NHTwFb5a_IeP;C#OZIt7FzQnCJDGLBxhEd{Jm2^ev!K52Qw+_Kv88UuDP2>a7sa4$KpP~BDZtuo>}qs z3!FD_b`Kx=@{5p?qj?RTUFHeBgrgv(^{6dxa- zJL|>rhGO>k7B;~p1)yT!e9I^6`{D)~zHQCdUnCTIFbm(E7S%(Uj*UZG0|a>j$Zz>Klcv|G;N!ySO=AxhIKTF0u9_4zU&M z6iH4MOy&`(;L|!Ar$^w#F<=u=adi)i$Zs2)-4nW&^z!u&bV9+)`ryj5GE?8j=8*|S z4R5FRPdVf*oaz`ZnN;+1yKL;Lf0zXe2GA(A}oO0q_zH4karB8e!0#$N+QBe8Dy{CEL z9h&mBOms?~z1#-fjI7pAKb9j*;8;T&RyCZw8z)x50S+gRhJl@1cywz08v<25zt%48 z+cRru*rwOt51-+11BvGpss;|XA~K8HKd+$R)39pTI_H(Y?)*edBezV={t`%-rEk;m zbnWS)ND=KRh3$kdc)#b@UZvrly5tc0ag+?)jsL`|VGIq*va6PP?Rl&|`%%!0Z?o7r z+)c}QKf$X7jP57C8k+sF0%Wc3CS^aX9zQ^xV-qw8c=@`u^X>8`4xlLOT9UlnG`K^< zBjpm9xs1ob;}gHMh0h%t-%e=XCkwVN{3>XlB#YQ*(OdqNlqzf`63S!#I$?|K3)ELa zSb1KE3z&byyz^r3%PQ6g^ncWqNQ|TbuMRb5;H9$`+)#nvo^$fq}sx(OJ)ab3J6icOW#Sgj;bA0#VY2K$Kb|5M}Uoc_0E&k%Pd6 z2AoLI+lzV_cnv`tO!9^V6W#|BOe#n)f9IA%AI>fG-{2CN-`0|o{`rDYC+1gW$nCZV8$jz!|)=T4N;(sxaW0KOBNI~)U>^VDJ&AyRQ>f5#6AcL~S=qR-xX+VuAg6?2 z3%cjSu?ZkM7lfS%UoA)|zd zvVRqNyl%izZNhB}35S2e8{0Vuv>k{j+YkWiD1R#{|H&{vgwsC5g-<>Jpqdf`>M5C7 zSp4@d{$Z0)LaC>xZve-5oHrNbe0(}+WeRKGe?wblDP^SnYga6;x;hjv>%cO1J-t9=DiN#@sx5=6@^nJV&4PXa6q*uQL;^F?@P=iNm^J<{F2d2bWQ=KyaJIS;Le_lYYI2R;uiF?8z27JC z+4N%fT`NyFdE(r$1or$escI05DGjF`a~!VEWW3F#dEFieyym*Bc82@xn+osX~h_SmTW<$zxZK?)~T)L#1S}y7fKg!5a87%f$a!M3<<%l%7>; zgNP+Z9dACDAj?zgE%wt(^8!m?CNt|tj@+kmFSp{Z0-AwWv@@Lt>nkm{IOblbWvIMw z0~EanM^hG(lzOhEi%BF8{7Y>UCR51?$C!_&O_kDC|q(r*pK^mnI>5`HZX+c6lLK>t~K)O=|q@|Pwk&qBnx*J5gOAwGc zdw}=*`S4rs&$G^2=bUx^IQMctFmt&dW)9UA4fj8nMKlui2!HgF5>`Qj!mA=1BY5uC%LN$ zGq+IRi)1|`EmjB*6g2_BS426kAokKR{|yQE-0u8S!xqOur3SW9-n z`DCW;fMRJ@ZVkxh`$U^xcK+`5lM3F(RjQpP{;@vsP3*UbLE9KYzYq;zB4eOP?pYy= z7k%30s?kR4fmbS^;}t(MIhQix%4>}R^7*wmLtKZl9jzxfhEU>W`L^gNvBbSugoKYI z?3c~ULD6<#1H<77s$gGW%#aSs2+3SA8(*W=D$(QDpC1=Ma{M9T3YyALzET6cm5mYJ z1=<-5baPo{DLi6k;h42?hCcv7cZJzMx&vp`9)*C^4EJt*!q>e)Y#XBCi4}y-j`?gb z>Bh^hOe2IAd;BXG?OS-{-O^p*1UqX#>74GnN6frGftX$ztiCBtx>o;BwLxg74GxQJ zsGRgdCjn|Vhr)`m7ohR3@Kr%(Jl_7|IXZ)6;W*mkUmt=f(pW=-(Px9tuwcLw)UE_!-< zq|HGy(f{y~|Ie3tswzuLBEas!+^ry;02JpR1kkVqr#qpzO#yw{{Z|xvL7ys6OkCoN zR9ErX*b5xq#2p@4bXRekybB!O2?-ugZ(qgb>MwA(b0|D0*{G5d|mtkpSr|D z<*worniu$&OI$|jD*nmx0{?M|qpDrSJzrkn^Otz@4L7}5#|^8@FhMIb`@9l zy1*wd@wd@eai-V{eDD%~l5iDA&AY(=d4G^5U&Y7kF7SiP{fE-7;+1_D_>If;i^;x< ze^|W0|5+cU{HyrqQPM|L6S^@bM~cB5;B4UG6VY zcNJGqy1+XwafGI;_e;QyPSV{@hbih3;TTi z|9O4ntX;*qZe8Gum-`!TU&V3mUEu$mAE|y{#rI?{@PFPPlYg$_BYIcoPddAbr#W2U zJC}c70~xw*`>zNHy1@G`ae`}CahKE!yzvt6#lDKmm0jRHm(Nc)-c=my+XbF*v5b&) z`&E2m@&fiDmzRnR{$_}A1b_yuoY{Fl_~Wmfe5^ehY}4o`zh zzz0eAAT{0kZwb-SFJid%bJQ-^#^ z7@RQk*tc}pdZvzzmwRN#UzJK#)MTDj0k*2^(^KLME3=Qpcn-zK(yh);u5$)yQ01@@ zNty(+-vheF<9Ficy+@HCd$mDk9zC1__Z@9+GiNG>e*elwDFa|irXQ*CVF%}WRFLQ| z9q*6flX1>(3(4MMeB*mZ)bwNqnEiYjZh&H!e!A~pAUIJq4l{6>L{_dmBn0U_(U} zx2ElMU4C{|$Tr^AZlIX2H2!JzNHX#wMRik56Oc?Fd8|{V-m-!yhX=k zvrz-K)px2es74o$cz=D-by2Ma9!DvLcvKtDlJ!Dc@tA^qa7G3k=5+ z-ohRuzXR+{CuSr=bMJG?Dk(E;`6GuX`G!OPundrrsJcbg@q$GjE#5!ie0cG7zv$Nu{p(^}D4G!Khs`?rri zbZ)gJ4>M>s{ayv^u>GJ}dvfCveKYG{$im-E?sdqUF>$z9-TmO!D}1B~1O=0tYD3=% z3fy89!#kw-And3;sF&XBpBLc$^xm(0L@@W}C*tI*2GQ;uc_w;dJFo8B%s#7>dC6Mb zqMF$^`&|J=dDXql?{X^AqJe&7Ov1LfY)Q*^wsnZUI4yAC(m@>hS+7@M6#i#Vm1x|! zSEubOv#$k6&^yf(JaS`HN0cR2fLlX`)-~%pa_(;lO{SxX-qq*c=xVMT*S&4QOfq~8 z()^x=$oCiAQ|?|H`ATMF^>ewL@D18z9tq1gueJBFE;tIH?PlzE+bk{2Ep2d9NJ03y zU%K3pTe*!@ir$aLcl*JZAp7~ZA4tdcH$O$ID-N$jEnA)D5hoAC1mc2JEU* z3E1_#tG)AQ6#F|J7%x?mLf4s<@(XfXGdv*B|6Tgo`Jo?kFQvn7Ax?Uw_`E_k=nr-pyeq1)hLTiK z_B~$a=3UXEZfOAnF1tXDQ3--K;b1KCz47dx;=-R37CwDn|AMkl1L!y`e1e)5_8y6q z^&OxGgCnM8pI0ag8I?dG-uBqCd7y9uv^!7J}hp zga-kU)oZ_QM>H(%+%~i7*b8W%!{WYgkS+Yly0`~4eC(YYh}pARj{iEhO{`KgJU$%~ z-@ks_;?vC`NB^D$FiF?A;lh&LSxhFzqR)cPS36$AOdc!(gzB!Gm9!Zmhp+XdEX%NMZ8Y_K8TD-dQ;ch zefu{!Kth+6b$h+VQ0GT|TL#fG=@FMbnhsCWKE0?(($=98F#X_Nzm-;X%RjR5Vc(F^ z!)?{a=}Ry?P1o@3QYs-?TM#p}cW{k}RH(9jZ5J>L%J?LgGKxHX-*2*YCHQTRTw6zTpU#^cqGm4g(? zN?|tNUV*3fL0fwmfKm6wyTbN8V%FGh3SphJuRrG25jm928wat;X;|(;Bur@Z&=Ll@ zf|8|uQQy$$Zx|}^TR}Z@|G2Emy50did3WH~V#vvhm#Dr_{+wNbM#Fe!(?(d`^m)*C zCN?+k1w>Nt$j)<$PKcTLE3etNdEc;E9O}0{bCd#d&zxdUnI34G0P~>G#)BIS_k>g| zoPC05G;_;mw|0(rRGvBbzKUyD!2rziP^rU@l}%Q&sJ6a&=7g#4@ss<51%Z?+n+Egv zd>$ZTz@_rb&pAOUm!IJ|n4EVsJq4`!CDSL**s}_z=Wb8}ZYfzEi**d+=;q1k*|jZ1 zaxT@bfnC&_JgPR1W2+QAKuR_&s%+_0{CP-PEhdBa*PiL4o5pdSvzsF)*9kw$xPphM zF}E-xd$gzn3xCevI?yetrgB_;e*ZT{#t2hs#@!RJ@CTEW&CocG*gP_)V(-3;snZQY zQjk~pxp5SofQZooBsVR=sKpi3bo^u9eV0{pKSsr(u?mTfFQ}T{I8)Lz2itg@>h4=6 ztz$%l!;2(SH(oXX7Fmnn-?wN(D!W!s@d53axNcO^f}pigd+%*;R*}?^X_bV!0ista zxda>vDmuW(^UcR8M6Bzwde0oZ!oNeOYh@?jnCi~ad3;SntJn{q=G*We8gaQKk_U3S zFHkXXnki`$Dkx>~F=;~97I{wLYYsby&VY$fq&4|3vCr;ub z-Q1J=O4USkdA&1;B&;n=4__ujw&g639%*PfIG|moGo2gY78}SgbhvYjtDBpfJ9GmH zp4!xhfeXuFDC6Ys9}t+4ot+B>+&l)Z$bkD<;};c`l)x=xM*gS0-q<)aA(YI7O?txs zyvg3wKY|RXG9GG21J5okEw8MfYch}_GiwY?=nq}C*u%j&&uN|~H1Y5u=?O6j85g9{ z;JpS}$XvGBOM?rSp$k2sX&Ahi4;nyulun5KD$41*-Z(hG#h6romADBh!FSnduF?0i`^lbZ%~5!Iy7ski#?N z83TFjz#U`Y5{&^UhK5JSC!y>nl<4z@#2IN2Bbf#(Mus8iL*JdD4GG$iylZ|4PUm1{V@v98yp~ z&M=Vj0v_km3utFrZ%BEO1}QHZU?_0q1p^~=2NN{ox%`5enT3r@0WQ3NTf{@ci;Ki2 zq`Y`?4LVqTLnlaf;j0g&`=Dp!JfYceuDE~&PD3jPxx@zrL(eqfd0sOV3b;Q`WOQ@_ z)VZ>}0@|(88%6;oHqRZI;PQ*Q2DqRJp1wR!U@j~|)4-PC!!mpoSD@d{y_g_t9LO98 zigRzAo!#I27?^kjgm8;^Qo?_Gbhyq5p3_u)%86?K0{;GT_H#CRj_Bs@Zls3>e=qs?q-PkCBf*`RvUDIH zCP>@#RB8G(0?dE<3_uQ4(`PqfS)9{nqzLmc<>_#Qclq#ZwErc3Be+JM-~F#n-v6TY zfW$)ZDXL)q=R%Jvi~oCd9->>qfB2$s&NO6}_TPS%&Y&|Gr)x#mY4=yM$A5^svkLk$ z7l@OS*P2Q`3GFX*1r+qYk#R%rBw$X&&au41SWygGYjP(;Z|6}luCG-gNfRN(75SEt z{VJRdX@2{%8h0=TM4L&lrI?QF2 zlusvT6H*QnlTrQwZC~`MYO$xc3)8M^;)COrvup~Bo5zWHc0#-|jtb6VeC8sPFwsuj z`?!RU*qH%AVB*Ub$K1)<0s@j$u1{6ZmTJpP*alZVw(2YJb4i+mUTZ0)Tyq4wLzcu> zBW$C(zV^*MK5l#B-@d#2O*)h)$t`-MwvAKD~=2>83$Z^k7V& z=EXB3Q&#l__mHC*Z}k&^iEKVr_4@c{K;JLl=dV4BOP@$++f<{LCanhJJd(E`1fA$y zRz|E`TE5RzlgRS8gxl)2_}tw*NSLVy8kBbiQh@N+zVz#Cl^HUG&r^uUKPZ^TIOrKH z7Jg>KtuFe3tXcx5cHNd2(`4*|*>l24k1^-!yiluTZ+RO2>Q-lr6v+_-nt2DBl4H1Q z&KNy+xeOwQ?*$6hcC`Ek%(eF9S8IHl>MJZAUx;Ose?8QR3Ef#6Z=;mvHN(7PWjo|M=n z0AfRgiXn<91%a{;yQK3hfX#D;qhL^2#Vhajk=@%N0TAH9;kjk*^Ij5V&& z8YwsFa{WnP!#Y(BN=fB^QN4WZepEcT6eV$s5IkW*#x+k_?5leB=rp_-e9b3S-IB~) zdQ4>3J!ozvi32pARlgHm<6(b4{X>=tHDqrnA7@$Q)Fwu=HfFom?n4e}JZb9rBm6#$ z{raMJP^uu|y(7_y4)bka=O3aPBecepKxl#B`dK-{EArI-1_3AyMsbGCY3qc*oPbpzTyt>lFTh{iY6<@-a*z+ zDtf3feGh*;SA`9G&wJAk@Z$RA{B+>Fi>=49@uefJom5xR^rmFqJi@ivD55AiO8}de zmn8UfEFVx!g)sU$s8fC`byqTKj~69?Hk0l ztSU-t7R?#qNrVFhij6HTg^fEJJngdGIRrI*4LZiVDH^&OiD+?>X5PLOY@~(GGX1p% zjs(tkcC(hO^~XzEig_`Zh-M0ol>bGn0m(dqP0mlb|ElviKb>E=dH>7K{}+@V@Vp+J z(}d@LM4+!FeAY4}uAZf777I zRQ*Vdv6L&vuQ(XxR+Iu`NX`3*T@?FU1NlCtJSX2Z$~jwP^d>E>!h}DNJUPe$6$uQp zpkUnr*~)@@xE__dU_HY!x2H)xfU}P{pSwT}aHvMwctUausqu|a;MhG`&@w!WCC&b2 zS*XghS2#o(IDe`iyw;GOMW*W@^km|!0l~C`!c*=Ia^1JcD5Da*7r@nt2$XUL*+u81 zld2jz4N9j@BR;Z|k~W~~J<&KeAp&+p9}OPcxua9&{^laed1-XQS@U*N{syvY_eNz6 z{>vtCt6!BqsbW-z(BA{+E-21R{3w@mxBgQFLdIHV)~_dez_j=gOw(DxmF&;nN>YxZ zmMxWQ{s-(*+K5t8y7wEa+#pRe@+Mj-f>m3APp_61Q4&k4Dua0h(Lr^d9bxPT<0_Cj z{%}H=frQ9N$Lm1F-JX;zewCXpI#NdI^-@=G+R8Ox%kA~a#(DaY!uN+KW;w`od+)j( ztX~7c8R_hhXLWd$;1=tRtV;d651+abFxc`+7Vb%ui0Ra9CySKj-_yfoX9Rzmw_QWyGLvvU9bx$ATk z?t$|I@qzjoNG@PKQ?6kd30RvnZY36_Gy3q2*2%Hn*c8K{hxF(u8lXhwzW){>*kC)k zl(y(oOv($jiwr%c@8q~PmbbQlUmZl4s^H_}p@>s|J+r0}aWH#okljut;Y+H~Tg*~~ zSep)3NQx(WR_|xE&b!pBSk1}XF5jNprkl&VFE)#I%(KA`OvUn%91zjMFjFOY8+_f5 zpQ?7bcRbxeZB1Pwwssf~2U4_o+Mx>^#&l17*VI3s{GeBU=))t}gBGlrgFU9@y8uM5 z6|UjVP#rqK7W9<1-!m#2Xv039waB%U*62J^^x%M=EQ@`~&Nsi6l-ukdS>e+DS<-3~ z3X}OM10#`f^ma`F@d8-T%Uax1y6G_j4w~z5wER0Q1s_Ino@l*W_b4`OK*y^mRgVHN zL&~*nHdIyKc=|SlnBZ}fnx!-AX@!5(3IeJr-ZA~jzxk7<5TD(ou-f&Vfd$WA29e8R8}tCwPNTmn>L0Sz}7=$ycFCh;ERZt#gRWRz7q4=;`fv zegRO37V^z-F24EXu|m@;q*I@ z)$EzMXmm8{eExFfYp&O$fDs!l4t-(u@d~?4hTomQ172mU+_cH6ykIvwMN{lNXYh3w zv%xFsFpE5KvQlD2{55Esd+!;Qi^d58AIZE_+X8+Y^6VxC2wiWnZYUW9(Xn%qDUp(Ei~;ix2jN6{#=5hYQqD+7Wg-ka@yIjGZ=A!? zb36`L(=4q=} z>jI_;sa37rv+D0kAs?Py)YQCz#bXpI8IKt3+nn4WqY#shkV4P&-RDzf=eHR(-J>Q+ zMPsbOvi3Po^y&|T0Ismy_?8!BT)Sy{O38~M^0kYM{gxp89TWa)A!FAPV({(6(<}X; z`iwgb!zZf7$vrBoW63qIyN^_(d4!Bs))%}QM_}QWlx^Kaz@^Zt-9@6}mke*8nC)0t z-ZqIu&R_#g_3BafjV%m=*DRAau^74!kLeYkS_(;gs6D$YVE$PKL@Z$7{(k8HL;p3Q zH=eAc$A^UzET%h;RI>9w4NR_|-~nN$)QrIBnzv55wWUj^5=e{D488o8H<8kQ(#O67gGEa)CfSdOG$eA`Ldjdl zvgNGhf^ye(9-i6ox$PhAN_$&%&p~{*wnIh1U1_L z!Cz;#C1szuB<64=48sJ8r&}K=*(7d7v=Z|_t_8HoSzi{mSj}vmMH(%7Hxlrit+Z~j zH&Z#g#cbWMeA5D!7?@RFC4OiepPCJ+nmolI6Siu(9_M)&DFdq@ov1#WNgX%>Bew##+mbO`D+vc+ov7`2gd?SV)Y-u%&c2KvaodxdD9GL_YScLHD89uzOHLo z#$@4^?i}7l!X_YR<$m_ustkHx5V9#K>G=f}lH9~0yRQ>e4y#&km5yK;r$}KnNJXxf z16HkcPJRhXH{y!%wdfUtD&jLI)(C1xuI0}1gvAqf9y6vz=o$vb>Et=J;CL?tF})HY{14LmhQ39ZPsGalQ~$Di z4~$L2liuc)?34W=;1m?MN}Txf)TO@7?uDCA&JP;JtSMAnmVAhnDS)=Z21ss^2FWeF zVX&eG82+Wpa48g3ABWDl$}w*k`8X68=GNz;i>YZiIXSmKy@APyET zE`bCXPzVSLLWe3Ms-_EZco&WKC=4Mp>kADA+{D|=%)-*nFYMAPCKA$WoKuw1(QuX$ zuEBuCK|di82DlJmynYQyO)@JX5key*Lb$NAh7=f(27?gNU|fhWAeWf--hstUxJAqk zG{Y}A3A_tm(LHFMEAk6CTM2a=gvYs)_X#yMfaDjD{^H!r8t!Hd@3nX54(9_IWhmeZ z3{`q4MTyN??E;(aOzMSdbm&YVfYY9YXG%%_c?E6c`3Yr9CCu8 zfV3Cq<~Y$Gpx4=@I}DtsoQA=!{im1ZG%94p1b@(<^kGPFD<5UsuI|_nxJ0`eK3^BZ)CA^^})MF0@gOPi~U=;B6xS0k+ zgeXgBZiuL*_7Os1WR`^d=T14kf#I1s@Kiv)k+qRA?uQRWkff=!tnyPG zlnNLf9nDhmQ)obdO+qi#^9+DK3=MM2pN0|ufzxWRr~A`q_z1Az=`$7>EM$5a1`C}& z!}|Y_-UBknQP@7efG_$lqlW(!IA>q-sppsP)sQv$KjDG^@UsSe+j?BX2Gl3<19A!JX6khHqKPL2D5FKLe?ICk*lpH3y$E}eow2Aqpafpk zG>GLfdFmP^YnhcKCeU+RQk(UU)1+1sTq`B@j8~bqjBn=d>gLqIjto z9nb(68EX{c8@tj}UzPWW$=%<;4!iT*g`n-E?XW8xW1M~)9C$Wif16l9_C)^TvK;o* z%luP?LF;y|CiQ1;&KyhZ2ymRUq7u~kuzdHPPRr`FsfL~M$%dcgpbM0X3jSHH>CV2`Wsyxzr?Im+poNup0QF^Z&I%$~H0v61kK@1AhiBqY689qZ z_)#Hmwq1A~dT-PhV91wQPsr{t*Qn*<9#uK)WEixl+meysH%)VWL%ov&x7QeDM(^X_)$2W9P{T?4vp|H2A79@3o@m)e5P1-7tJIOD9 zPH{a|`lb9vPXUD{D=2ZOChytZNDySQDWw>`>gcY=Dw9-Z`Y9Y#ALg4ZmQxOgd*Kq@ zO$Jj-au0`zW?@zHZ$2%ccnrM56`km*HRboBmULgwGTQ(hi!#N|j$&`8h^g-ECJa8o z86&-EZ@IbsXJiH;W1bZ1{4A`_UWg+ZTuOJ05LU=gORHQ@=(Ib>Q-EH%8zY}G1r*Yh zo6quCn6w$kGSwORk|c2@8_7J8elIJPP-HBUodF}~ejZ`DU_!betV}PNxyB*U4M+k0^wYKL<0SSZInuQ+MbpfkCmCD$Ug&pByW=#s3$U&Nw!;GF2)bs& z5r${32pHPMjux14PV27*1|xBD@yo_(01J=*1O0eY?jQ8w+qN@q?O-UtefdYJ79AP; z8f^{`0GeMp7H=y?3j6O{P-Kd^|K5w~utZW*R~?BRyQ@un<_cu>zG2a8SsvGAKk{$= z+2bv2N3BCXT}(}as|60|3w{HYD*e=V5C6#HbnISN6>I;|bzSFs@5@}+HExEW?*XuW z(Da!7XCoTIqq{#`QA>d71CP*HHahk(XA#ladnq|98MvmW$Ytp3!t z!=Hj912u(S3uSyX+=v0$68qQohPew6^47l`v`DIZ_I=8sj=tVOD=3}(semF3C>PyR zau9oeGxK<^&Ur1g99J{Cm}iHx!!Jf5Uic}~E1>u3Y2vs>ms10NM7M+x+vhLW(zS>m zOHU-Vh4@IRWPPXjijWs8QA777GpD4dAg))pnv{E*iO}IpR)hZz#s8aD0c05XSFR91{fYm|nS%9=&A)P{02^vM{Ws1Ou&}cIl`{njiVy$F znF4rE=D%^KmvpDxv>9Br{2K;SfDelB;URobnil;xy0a5;eCKAL2RDDaUzgWmYIS5g zmyCT>*{FEK?eDh@&6NRKm@plq%N-og`Uv}Ft+#K&MOa>x3vvf;9Fbb;DN|zt>Ai8k zc)ki=m~0%sPJQP|DDQ6u7Ov~dRZ*jevZq)u;EZlNW?do}(ez?DU$QcKVXb@ok8=;{ zJ2{MRN1wCye1JqTbE+Si3(>SyjPE8m7V8lS!4{zAYne9C3kVrCKU{4z@|x07sBazG zbYO={J+=|{hnhzHUO27$32g`d9?&H?L>UoXug~G0rl#T824x8GXPBKRVUIc_tX`_) z4#(3+rs(mS?O}>8c~ZC~T?fj<=C`Q)%kWCncGtoy`%z1Yj_dxC7A(97 zzOu!T@Dz!#gJmmmApc#PpK6bisEi+DqiAoPe}rD?wF>uje+pKn=dk(^Gms$PpgJE^ z?{s}&N@v~)nE^d(HzD%1YjjB*2@C7qw{f6L)RFvTzVOFMR;Gbk>^GvztWb=OX>c&VK?)AzQjkyChxZC6(~Kh;EB zml5pv)_hh%_ZX64afq@Pku(i;*^+509AOe)1`yc8AjFi{f5v=L%nf34R1TIefjoVo}Nec zGdJK$Uk=W^|FzRvEUvN3f8K2Wc}He(oa^^c`^Q{QCA#E6_s{B2I*6ZB_X6ZH-cYiQ z)Oa%c;?0dZ+anpa-Ns#*<;Pkm5J{?0mlMPf5GqnR@=fGKJi*fRNKk9oUOH z>W<5nz1;xb+UdFTe;&=J@5$ZaU7MTt3GAt;jQJqaHo>O2#3mRCzOG%TV8)U)jQzBD z-^nQFXLK-YK`$E9{d@6g)tyo?jeuH6GoVJdc=nIx-D$d@+Ut4E@9I{NRXoFoC($Ux z_9dh$_wFW4 zibQ3fIEZewiG1PH2{jHM20Z$=2ax4!*}c^#akYI9QH(~HcMlM-$u-@>bLR;K6@f); zZt>a?@k4zJkC3>G>iTb8hpfCp5}EA_`+`rNhgAbsQw#sV*ZD&*0&$!8oI-M4>ntiZ z(fh%jX6Sgn)fy`llJ17nRNJ1A9bwg`P!aVB%2I$r#wZ zh~Ut*%IXCJu5X6UaPK-6HBa56ExmjS`%H7|o9A5vQhV3eWx`e8+bltOBlT6?AQ%e0!sE~uNfp;# zPM+!5uU#hQ-JwHHNEn=eGV#q4j8)pIf9Qx(M!5iqkj&8BereYrx^ZkDmw-V42-&*C zq@EHC3Gs;`Gm8ssv_#wny_oKx|2?55xy!m z5n0i@eTzp^C%Sy(56^?g<~C6&WnBmWTm5;#B%-3aTmHw%eWFLjTf2ru2QcK@EpO|w zEO{hR0L4AY&cWshY^lTrQaN$gei_%YIo~ftEAM@&fmL<8B2aVl$ttY-dU%S0M@22D z?UQsuARrn#i$UuhmGZvpx&&~^Evs7~V0_>muuY|F>yo}g9`|Mmi7=s*i%0Bfa<>G~ zwD*qh8sD=ceLpnEV)s4l%aKFb*Y+tgz`~chdw?Pd@=Nh)-ykbJX1F8Nc|1!g7nL1V zyQ;kmlg}vq1Y?#|0YRleM#s=GA-Q~Xr=W9q5$`6W!i&T=9h)sbQRqYsY(NA$IiF@J zil9jU9BT4iuMVPoG_6N2J?Py0PSK5P-vNlvF5k7a#!d_ks_)kiZ7=zKG}Z&X;&e^I zf77vvE5(77s{U;RUPZr<@VJ)oJqmh$q0qt#Od)IMs+N_lUngXMMo2uczF9vqE@Kdd zmCNwcyqNoR$ysW?S;T0Rse?d1c=z@9L+wlo`jF(QImBPrY_~Y~J`I4(1I)nOX$HB3 zWKh+AhWaXX5=X%+9{;I{Z&-TYrmCiIcx+<%0+xuBRV=93RWtORyG}!QhttG2pz&M# z4{D}+@)~yK?Y#`f=4I1BtNZ61nS6|8!~yc$q6(3*yGi-DPyhkXFiSCpM+s#k=n6@^ zj`ps61hoPQRZJqk2!^cWT(q>lbAU~y?iRbw3^*U^I==eY{D+WFL0L0pW{1zv-YukI za0QEoQ&7?bDgpGLv0_`+No%=LgwU#53i^EIfDqfc&gM zgzkk3D3PJ&gIU?$FaUSOf#SS&6e=72P|^;$UPI-S5Qo_d6;t-X`XQT;0m$7O3W&d) z+=dD&Pode*pbgdlgCTgsVsF8apx==7VHZx<&=H^8dc)muFre?@1dxRc8&p(z?s5$; ztK{M$x_e$(c@Iun@(T*nfp14ZPuXm54ADr~w~DTc*Ka&!ESCQC11{RfH-l zA*1gQ$U6q&E4^XF@XmXX%{OEg1Go8x%rK#31>7tKI>d9b651EeReouKDrZqHe61VK zYbyWKfe`MR)7y7ZRe9caZ-1Wv?i6$B@C_X=WTk%V7B$??8VTNY4`Ltb;lThsI7Vn= zYJl|Z`Y_m?G#DP_nFCc;LPyNX%69J|RC1xJrVk@KudakQ+=D1f$S?*vUIU|Nu8>!Z zuirEb2J>%#p}c}efHw>l2yH?7Fjz3e%>Gkd83x@Bhl*0+#@-bWVF`DPfk(ABj1u1R z5>8g0+r>a+B}CMIZfKo>xJq~|LVLoiERmO?SZRPELOwCj69g}^Jg>5Z$}G=~y`l1x zJ^XW*Ylyl$H;RF`M}qsu19)Aj$bBj42M->=%XXpK$|D4In7lXa5MlS@sWVCf27nWm z5K{}UBh}D4rz~9{=TfL%*&Q-sf;dW4FE2PZ>6b|c%Qd7#Juj}zFG%|z_a$Vg&ELuJ9ku@N5s^jqv&1rT^yLy_fsH>>`2izpLkzIQq|jwYxCxzFN;|$9n!BAZC{A z{Ff@&IfK~%`S1J_b_oAtL;IiW{QubxxG)HkSyEY=U+0jEYdp+A7~v57Be8lH^-en_ z!VNwG98hMS^J;8QBs?O$S@?{mULw56&gQZ5%c0CWza0xHX&FI@C%0zI;j6)E8qaI5 z65Ioxd{1rhW-nd&w1tcV5_Eb%*W3oir@hD3=w3^>?rGPPOd9Wi&Tj}!cdjjpm&^Js z0hw=JeL&t;St%QW`zZTY|8O{x)BA(1}$nKH$y}?mTJ{EdtNktPUc%^me&4YgKM3t&AhV(=7DYJwWrs#I$xn&`#XoDG zfq=Jr;3Gb z(|cWmVGp@zY5;B}3BrK?efr}Z1BTWMgWmAw&D6eqiGiti( z;}V7k#00y|e%^dvXLiu~oz&F@ez$J`R5P!~a~;^Q4@b96UHA3%Un$|#qH)ymMSDCn z8(9j!2Oh5qkhj|wue+sG|_dGIPjV>(F2zT`R zq);%e_M~_k1rVb8&N!voK|05n?1vg^??xUPJf`=}lu-!Y;o<*KTqB#iZqfaOw~VU~ zJnDTNx9oYsizjK+vdCFBBQoMvNs8A~a?S7k6BGS0s0`xn;%(JoHWq8XWN5316FWEl*<_#{aTClr`TRS!^)f_@S^l8 z!^jy!#wMCmodR+ub3_^0HN0Fk2$=~3T9WHibT=P4{n)1ZBxEOwRu=^LVRb)aMrrU5 zWH)3`U+*~trxz8&1aFzN3x9Oz4hdiehZB9p8F+s_)Ow!0CjR9R^YQ+{upF`tHU_;x zp^-Ar9!Ps{x;((^WlQ|6HqXpo0LKZd+bWbPg_9D|az=NGaUN{%S)e9Zy(~E?46V;l z*CJB?E-A#TbgwHEKdc*XjCTU`%$g_UJv+HhaX=)c2}9rOHCJw;GJCbdGXD6p=WHw` znA9IVqq&dS$c@fKB&zzkw+D_S`NKV-a6zKn zp(4R`6bwG*9rI4r$0-1!URSHJ5Wnnryf;cNy=Cxy!JG-ZO~!CAS}o!|?w40U=-}uU zGe(77-ht_A!iyJYCE_Yf{q6*NI_#*zD@t)sLGkH2rafhAgl8oyDQjr!0&CV|b~=NN zC%=w-NzmW1Y=JV0(bu-0!qkx&`BU|rY0!u7D{%yF75q9~eA@pm;nV~25@k2;VvB!w z4>nt9(0n_c#C`q^dU9{e=*@Xw??&+r(Aglhrt2|v^C!V#dy0?&O3X`Lrlzzf1$U66 zdosp#$3SG$w~a4#CY+2@c$*|B(*5Q`=!)U}1e((=jBZpfRr!DkyAi1A`a%3?0?!qD z=g|iq&-9$ZCqm7dNru&2X;{&qu;w|Tia>Oe&fPevVJlatC5b0VQ%XK(drUkBrcgl; z#Sh`*u>b;Ozh!q0o}b3DKC6p^0YTD1jo?XL?D)T(5#2A(mj7}_JU?&#S25@RaxTsJ z-|bnC0DXS^H@gWpS%I^gg>ZHgYV!P7Y7m$|xA-eH2!bIexW7@P0FvoP>+(oQKaz2gNiworVHVXh9Npr89u1M2UYl><_)dk zJNINNY~#~0$DdX0p7zG$woKNd;`raAAMUhI6hFAi_+ygOVmZ?QXW)zQ z@r55A-vY&Tt9@JwRn$M|Kuw&sDSN&+-+BD@`K&Qri0Qz$XQrw{Jcev~HkMK?na_Y} z=z78p?Nhyu6kRvDw=9C(NJD#=uYXjo*>EKmn+;Ech6k*F1RI$q8yLF2uDJJ!*r(nR zr7~Z@edj)CtFrkO4-nJyuY1#VJzOSoB8mLK^hoKsL%WVL1{zN>Vlzp?U?ZTA>kFjs{kFXsCl)#vsO}j}0=4s4 zq>DucKAH{#+=~OX{nVXt=zPj5IrWEkKGVX!^K`%QAeQuv0iJS|Bttf#hjY$;xO_*? zoslUhe@wDxts_?)*yYYA!~>XECPtoZHPQ{kMC`sX9LGfQ#QA9Vx`WtnS&0rW^T~kg z>-bvL7-I?9Jk*p47B93&;>pAahVVqPcaP>1>iEmRZF~9#e!_hmPHOe}S2>tjVk;#* zDoxX+IiX!7&u>4w4LGv>NQr-955Ea!ypQiqf?DXYYc0K@E&p(`$h8BNG8Y`Gdaf|0 z)l7R#CDtxAAf`M#W#ex?IwUG>!nhyWlRF38hNO3*$HXg6zRFEdHZ0HeiSov#J2kP1 z7e&@txosE$VKGacub(>^>1JB*Q^lc0O{V)1-XCy14Q$el_P%z|16Yc4iX-~UzjCDD zDE&lD$EC;elrTM@T{5g%{vhJBg$anCk_)|W^$U9|lM=Tuv!sKmZHCoc=(_WnIz%+~X2y&jPoo8)#T+pD zg^IKzDcuL@y`wP*N>`4 zi8yoaF9~iQkDJ}(jHkHaml;YF+#oL@420TZ+6Y+m#G;rycE(Px&q#l__m*+_Cag8$~w;vY}30E-f88&@#hq`AIHGdLh=&sN{v#v>hl*Vft zE)-BWHiS6WoSRL07V%)h2ww;RycJD!xRVo8F}g4ObG_p|daMGwn5r9dP9{O&HvSBO z01$6k-J37dewP}V8Xo!8{$~lxA5!I~H>yeU?4DQ`3oZb%*V5n4tiF1MysK7VotX^N z7{XvGk=<{M*_pp)FQ+*Qj>+P1{8x!3mCc>q^S7wD<%5%}nIFZj<_`ZEtj)K8# z>RQ)%jm|I;OGp=z-BvaJKGu!>a6%*3i1Z@sFy+{(3}ga|;M99M*0Zu8sDvo4=@X>` zGL7U~Q0)$@m|6gC((*R#2D2kNJ`t-F(C`m|icEJHOf9T~-j^(FVG@$i+-BE)S-ZNm zPst~t2(%52Uj&6k<$T>{kkhyHPkJ}Bwab%Ug)O0$GCH-7c^CNCjG_=tB4kysaJpy| zafq81qfrS~L+867u7PU7TUuZq4g1sh<~|0mibii||o^ z#UkqJ`L1Vm|B0SiPBG3Co8-#5+x!};dcNQJ>D7uWfP|_>K-1{~o@e@lduou`awI0X zN{)B@Y2U4tEkbI2XAt{s^5~ROG3a$Z5-L4J#EhK~FbF=XUl);A*6rIuxB+CslCz4J zb|`s-CACa~v&wL3=q*z-8@e~o*epMkXQ=`0z=frU(hkDLQaPO7MBHBvY$hWy0$Tf* z9|Y~*v>ZwUocHW>?ft?J=*_L8-(=Kw6OqwKD;k?r&mof2h{<_>1sF`i>RLwYT#|}O z&1-wy(!uWrZ;3jmjL&Y~q!(9tYym2JSrwF2a!S#^EZ`bcyEKrls?V$YX`~mopJ?aL zF7ANqc+7mMRmcxa9zD!}D)$6LKR0nS-+#$Rf!w=n>ZYa((!1~R+ZC2q)voMcgPtfM zG0EWYH|bgV@5>iBBJ;?#fT@36N@c^hz7fppc&vgl%8gUxO#Euj;loGQnI!dtKY;Eh z-W8jNPkqC`tZd^%Czth-io7g1w$`iQRA~{ZgUU*}j&C^Lu?bEaoL@szOXD)qB%=rIUUVk@6%PMTVTD*gT<=dOj$=^L- zO?vv+_0BLjWf8L}Uf%k1f`m&H8IzcjzXKz>DWs%n=@Xd!p}2Vyka9S^{`wQ0hD$0c zKDnWf1aLj^e%035H}MCFqJEA-2w2vwoaR?OdX`={)Fu9b1(|n!M@ZSD)g&}yXTNs9 ztsZR3J*jJ|?{xTF^dMBWSmu^H3MJG~)UKdg??uiwA|@B$k(GCO8Cf{Ay7`-c_P+e9 z=r53v{zY^~^~f$ZA%pmH5I<_}_bR)r3xiZIEVGoH+VOKz&W~E5hod3G{Exju*nxzu zd2#C=mr_naZD$$^lX=De!P{GhRk61H`-^Twk&YXr1rZ5p38h3pP`X1>kq$w+l#o(N zIz?$jK`H4H>5wi#S_Gtj_u$^|^S;lsACKQ(-}~4z3zmCs)+`s}%sJ=vxvKG9LsyN0 z*xt1sn1^{K0W{lppZBbyTOH;%;4jo|P5Rr0;#l8PH`)%*0_2bYOkQ)@5n*Mf`T!os2t@hHQd!9+jvO&;UzUl4D zsjohqp#xI3=r?4tZyG;J{KELiuU*ftQMr5jq+G--fc*oBXyqW7qv3m0O~ezmE96-} zq-5dzYUKPCRlD&uGQh%ZWM<_ALWk)EWbQe6;c&#i^Qt)*S|7YOwNJv$FX@HIloApc zl8{M4+H&0pg%6RWtdb=>@xA{5K4V)4O-lG^2N!$zwZjzZ)TG3O`PEL&5b*qMwjp>Z zEDi=)BaQe@=_DdmW@VF|LdjRJi~sJzhY-o`F9;v%f%EkuU-Utshw6tjV<2SG2L)iM z`_RzH$k_NXB2^+rCE`?`DwRk*J1YhoJe@Uy7A4#U#S2$h5JbWVYslUZ7%6ZS_C@^5 zq!bnr5s^gnNhna7nwpy3GeQv{3D(e_w04Fy-Eb4AOF{sd28BtZzl6y;6e4xHDT1FP4O(L1q5JEN~UG-pSH8G@*?d_ettACYqr$Jj1ZoBP+LhZn1*9V0K z0VG6_@SFWK6zaeTMTwNM9~~W^U}4cRFhX4tA(6;ng(=ifnS@RCPV2g1Z$Zc(xp}YN zP*Q~n>4Op&2$h3sBL-67jgT?zIuuF=PO1xk3e2w7)6<8u8$c#$3}d=2k@#waM4k$i z(46!^;rvzI{R}qxd;UD(Eo`gz8$lwe)lis(Q&z$0KEP=|`k*inVG{mzAP}~pp=oX& z8j~=p8tGpNjmZ@_;B^V~L~+hRKNpcD;ign@Q)=Yzlg0?TlJFZkI4LHq??!A%$RA-* zJHlU?n4u6Wi%`g$$T%(UhSns}X<;|qS4}NjC`zWGFi+DGkoi2PP$<-6IH{KpY|{rH zef|<9k%oc+0fA35a$%YGYxsw6;14Q5C}iR940uEnEibS5*awYCBnKYxk>U2^@I8YJ za$;h#4qnv2Wg7V`&cKalXOa5$-_-Tn_x0bXp9l`v9sx;C0Fd}2UNRh{a6nxY$%Kb? zGBkD}ofH=j@W+*qI1NgWl4=Y!3o$}N-GIMZFqRwkx0IDvxo?~GxIu4f3=I{Hl%i)F zPwk_bn@*i@pjpIB>HWr&?}|<@wEhN$Cnw@)e_aIsKTPC?C6>xCFC32lU1ItF&(Ji8 z>>1*nxteL`+>vP;-PEwr&t3SIotAv3B3{D0n+F%%kxY|#^h{ec9=C0;!?$+L%-egz zmhC-vXxNl-3+;t;U^lSlx=%fiKTMh*WLJ^%nQu1j4k2k-?$QJ65JI_THt?%bK|Ra$ z39A7*ovh^O4IJZhLJ<}1sZY^lWXNz+Jj6i1C|Q&B0{;7A?V~%?eSS~*L-HTaew9dF zIykw_75>s5)a4(=&uI_wuDz1E5@;jbYBv9LS|O>Fudbs1agN>Pr(jC=K@G-@Clwl& zAwoj0-Sizq!@MOo3ZC8?m6+;YEB^|H=F*67Z*N=n)Wuh`Sm5RHr1B1olgEFlHFG+= z@u`{~)P*v8eRj=i#(q-X)0KO0FwCtcW)CO|8^)>lhw2@cf%&zSUT>^I>Eclz_Z3 z!lDy##x?m&7Ydx=Ba{J)>`t%*x^1k+jo9YNZ(E?RF0F~;mf(?B>1JoUr44J-3hrA8 zMuC?Nj7u_7AFC6<4{}%&$NkyMErj<~YRa;=ob$!a4MlWE&s9$GZhX|Jlu$&~`x-Irlo@wi) zv%F8!>)yA{W0fC628j!{?HgTK`2mqk>Nf`G53#hku>nc3H{^c+)CfD#9NAO#kT&iM zFKEEFmD*bU_XowItlf;#Gv7k*_}^-L`%F%)z_poLEj_mftlZ2X?w*tFbx7)xA6cM% z^zm5}8+0*JF3?f1D1U9e39e=r5Hg(+b8@b^p`6}r3kTM4?^!Juqh)BXzqgRXyYO zRNL(#(Rx%y`PwKac)QH`*fm+z>Ell;`&iZq7e3jK-i%est|I0YrqXTX)qp3z__JA5 zN_=S-%{0}SnrnrtL(3r!R{n=v_6LqdMv0&X2UEp$Hu-&42<7|u_+6Viwc6{qqwvI( z$V6ySb>y_5f})XTgz!ip_~4%7-mXuEbRo&bVQqf`wz7f)3r9Uwpv#lVc|J}&3%~jm z!%oB=yX^!`(>qO0#@>{(mV)aWe&AVH&AMxX%&;Z*?s?gVc2C34%6{ChIjiAhZn#g> z{Yo5^OjwWff2l)n>Fi)C!_?rZdv(TY06fBdr#gTMBbq6^psvc&R}=}+LS)2 zUEK8|$x_@RWU)$yiJB#!69Sh? zeHG1lE-b|QoeNOmlDsHd6ye-HTRSMv&DxO{P3H|5Qz?wonnZWM4|ZYXde!Kkk0;dQ z8T8tElRvFtChLI#T6%{pcvA%e_Y2c8161rr)m*1GOl6IeI92p1`3Y~r(h26T(WcYeY>jf>#T4URXmyMNT1-p-9m~36&q+ZxNqAuK# z!hO6a{4Y-VuOs5$4D%nH^}i0^lwVQV|Fq@b4D&y>bp3aRi3C9-46_Acm^kpx?4JN2 zV1wrqe*%C&PF~@UAQV`@bEQ9lP!JsQ6)`Pon+=LILcX{YMar{38V! zrux)6?CpU-OHE{@g{-uvs{V;zzTt}Mm~j(S^}f0=`LXm%7FM8LioZ}LL;T$p8+j$3 zW;VG02;BaRa%e8xIECVCNZIHa36hgrf5}h zxI}mnm&?H-Aq%LkaV$EYd|Cdsrc$@N1A>-Ei{P1b*M<<6keHu^3id0@2kqh4UC^Z?1h79rq8HUuM3v$Qzpye*6ok zV>V{oUI_T9F2&Sq6SK=cuaRsxdyd7w?07r3F$(*&;k~8?-SH2g{d#Osz(j^gY;UNPXVMm#yI4 zlm~?ZwMq^8(T`NPHwcE*oTtuJ_Qpnw6AWh+x4)P43a0}cFBtiS6R5mjnAWPb8^+3C zv5=&!&0~3Raldwn`?a_j(BPqQeNFE(#-pRfFD$^YGP?)5-z&w8VH`|WslSq3XLLv|eP)e81LWstB5B(j z?jB$-QOPyz_^l`fse8pOq-=O)b`<$g9RhAvtkshkg0F@LoOpb)97Rtj5{t(*tRj7W zDfr!+V|N0wyou{r%8p&@EX3QUI+UVyp8`Ex$?LZ{=r>oe%{{Ze`Xf;(0Ij)@2N8}EDuMSE!BrB8W2$tUkpMhvel?z@L- z+rH~8;&W-{z)Mil1J5%R^RMZ)l<&vTIJ8^JVTNc1(>(XkPCCew+4}MHI1%&^@3)OJ z&2YR5I#j0>(KiU&YjekRMsbJaMkPy75MKc-f>IBnLMXRa%>*063Z>iQbpeN zn41HpvmYEIWW|!X#L13I>;}}$7(i+v!4rEPbn;bAn_C_^R@Z%JW{CEMVzpmh7!V9` zXetI&!pyd9kDk6;zNRu;;*j{_@=g3Ep=4X$`-)`Q1A2)*0OKs~=jmZJ%Jo5vmqb?& z_2}~V&gvx}o8YgXS(N-`eGM?!TV_eJyv1_8o(3vAxj#RD-S515Am~Z$DmOI_geBM40uyqvw3WlkzZCjMI(4Wr4m-PqBy0LO@XJ6UvOAb zZr=zVA^Yu;j%jw~nA$-!ee>`}w--MN&piUc;m?-K1v#IZkcFx~O?7|vGtoY`p366K z;Kbf#Qz)PTEa_l!@+qsMUOiyp?;e}x;NsTQH}fxAK;yi`Ba@L|0KSZ}s{~m_#$**$ zRBsc4D{;7_WYiZG)y%CwpXa>emkQExFI_RG(J_3S`g!3*LcsIIPV3!vuMJ`xa+}}6B;m#Mjh!?3y6!#A~!Lz5VUfX>+5s`WbtE+w0Q$W3(} z17jz@r=`1MG8&Fa-ApXvw!opPdU)cl{zFIaoQXwj0-Fzw1kcZsYlg?YE-ZQ9HHryl zA{cWY2$R}qTL}!<7vS6vaw_@BEzhK=;SmnYBeeLwv^Nqrl;(h;CcyTd_@D1GO{h>SvZ98Evy@`8cRfCK zj^a{^yJU~;lEUsts6NoHz4HcRS*Lq@@0kGHALcVv+-O;{yj!4StPxM@zS?Z|{WV($&zoq>OhfKQ&Ef zj)in{7RfctfzL4xo2*huL_VSS7rtb5ldYG%9DEMlmjs1h(wFuRvICxsS|)3olgw*! zZk-PmybA3~?&cYFplF12jM6I>mV`l2Rqx=^D!WN|`}iuRgi+P}%KFYBHj6>T>%xu$ z30qeXUtalvO<;V%Z*Ui#fSFA-_QylUPR2CJYB#Eu9&Eh?&^&s;Q}UMewpV7gSz|Xo zz2v)o^YGVS9)2ekzE!cz>j1V7j+oelt{Ir74U%(e_|K4Y$=?Z*y6OAz%f679TJixv z$2%)z9a~sc@dmyD`K`xjmo;=8f-CB~`mltQ)J^WY1Z^Ln2!zEHZe@eI_RoV$ zSZ6Qz1y&Ew{t}Y9V=&1mXBkPgsUD;D(=+WDFu88-rUbl5{YuCw7uL+EUBgNg;@Wlfg zoBObV;FG8*h$v%W=;VvPC;g7Fv;~I11w@IfGr|vq&vBqC>(il{B=_ zhddP`5hW7x$O~tf*w`hZ7Kz}<)3nJnq{a(6i7;ytGD#$E5-H|}Z=;$T>`9=btMB3l zy+|lVB3k5M)!VR1rLP~+Zyp&Ch?H&TzlJa54SXR5MldGjHI^OKJ8v{0}bU;9; zV;zbNf&5Otn{vY6E_V+PZy&$pWHU>2$oR92urlDt%rcY1&&`9sK!t@REgfBEV%ShP zMsgF3AWWYMfbj!UCt{GNPo2;rM12^AN|+i!{iTtdUK0P$>JZwfy{ELX@ShaT!~Z0r z|4q>p|9Lvi>CEo`q-g%@g8ly}n*X=R{2vs}|Je@Q*7_w&KU{=W|17@6{M?+1Wzc-i zxW{bkj6dt0qx2q~^RzFy{x!vJ(i*Tt&hA)+ z6%M2XI^@KH;InUq2E!7PfbLS(@~QX*=lZ0V-#riU zzV)o1yHwH(_I~oqHd+kcF`Zu*^wPKKc`b8pkox&Iv;mRGH*L7O6(IZWBvTi@udXy^ zl#Jl7xil-{fTrFi;BSQAW<*=?QxhD-9L(5Oe7^kgDeLMri!HZy&XE;V&5)gryk)za zDwPiKbgJ@8RAF8=x?Cvb+Z~q^SXDfl$$DkSZYZ|lL1n5hIBy*iV=1|EE;jsui`%S-#ZRIM5amw%OZv3#Dyu9i@xHa8~vDh1m9fas5Hw#O^< z#{o9dEyN&|Jz-w0&sbLmotjX#IJGM@)#ewT-nNF`PJHQ=m;008?e^j7lm~xvB$OjpZ)ABeuqAZCs>xBB>{2 zzYIRRUYNFEl9m^bSp8ni`;<<@I^8<^-IKJz40f63Z;NIiH$BL`MUMSqtq{+ObofR& zZ8FtYx0vJH{)<;XV{T}3;{)?w z4>TYCOleX`d;TM7a)h6+eShiG&>bg?$=3U+6PA|1&ZlH;k?*ow z(32HQIt4=qv;j_A1}#;g?gR7ni}3m*N#MFd%R^R zX`Zu!gJir_2d?utYhlW6ro_QH##_I(EnEh(=kveYm*+jl0{$f@w%Fb6PWSIUJnNX$ zx~0OJJ@jf+S5GF0{g=8AMmUfYwN<#$RK`e>7RahDS(`IF*)499H1}PjUG)NawN5yg z*lAwrxYo}g$JTh`jEjK470#F01`mz=9|nK#bn(}j00o(xyITWnahBCm8kf=+1%6VH zTGMpOZ>du}Dp@$=F$YjT6~lI!nciN9B}K;!ggGtLgr0*Bl9@CvJKDOL<97q;?_+~yQl)ggBW~&W(Hwujbw7*XOk;jW=KymQXv3N|?e_6(%cXXV$-V5(UAo}M(*7lY zJz-CY!A{yinYK(S*&kcoqY3N6l>U(azVM*U<*YIl^I@+!CVYzj0Fmx!@Gcy3Onry? z2Y`8cu>D_(=D!_F|HhmDvE{pe$D2qWJNO@}3$7#@;eFOWu|Y5ggIxZ^1_34(_8%E3 zU}jtLGgtA8}q4ZDO_%*bi46xE!b10L<* z^St@_oj6Rp@}B8l_02wooWl1Es=8%1mbH9#4h(>Dom?>6yP*HNuobEM)onKA>xDu1 znKvuBG;j~knJ2LUqk<=w3_d-yn`_OKtk7#E_Dn3B&z}?77+bhxn^|r;1<)xhq{Gds~LBG(6dQp339bLI@-t{0Q}!HTn< z|7LsT_3oVcLY(3UcNWiryu-7>l)?1(s+`nZvW8?UXi$cw8~(=`)6I${_Ii}=fS`x9 zt48=)tja?dQ@!Swbp;hi)%?#A7JjN0@fqE_xCpe>i;XDT<=J#dV>gOY)Q%V*Zw-y| z2VGXGNjOu=AuI{pNH8SqIUTA$7iw{5$WXVBq>w~U5VXh_zGVAB)YFv!j)&))l4N^h zuz%1RUy3}}OYq*VzkfG*trjci`OWjp6<{EqmA|v?t8adJCP}LS@8&Iu%=nA%aSmk? zcqF*O7qWr=WwkuXHw6neZzMKZZ`B!Yllpz(Zuj-6c)~q-!WlaO9&Vf+P`! zo|BE8Z>ZM?459we>Zh>@U@yahgs}J4!5G;>vOap~&+?f?iwDsPUs*@nJuVty3j#CV zRW6%ySsxPFzOFM^D3AihFT4-ysAzPCpO9_Zs8q5|8nfa$ z6~qPx{Gd}z9%FH%Ne1>*mU=`ecdZxc8Eb*+W&!sz6H~3w}y!&Tso;&#F zYQ!+Fb$VU^O&^9>LE}tZLSn7l*|7ZMq%hw7>8P6C$0jl<$|W=k;8E15T%>OqK6if6 z9j$QeCxNrt_BURsao*tb6WODXv;}E5zD$iVODD$+$Q=!99Z!=H-CE+0M4K_5w9dQU zO8O2w@g^DJu@@M@y?iB?_CA^|PS2ZK3#&f8yhJbnT! z;?w)(_bn-?7L8Lf*tvQ8CshgO~ zN$V$iKT?CZyyX--Or+rz3Q1>ge8Yl*(~DCcrN9p1t$HBBq*+?b0ffdGZ2J)OWXb&zlrHfy~$xwB}%+ z<+|oQwo-8u^MsM|b&1|$0!@Pu*7Yf2ajHS=wCYF5-@qt zfvx8mTJUc4uA!-mHz+_qTl)k3@^uZ*pZq@0k_RsqY1x-zGpV@>88>S_{&5zNUobQs z&96Y^TJ2k*MTxpHvS$X|6z?Iw$LbZDyP}f=ifRX#Yd-Ix2$&t8mQLfdUzUtY|BmS% zKa77}RrhgxDX7Dvj)F!aCI8Kk#S3ON{ag~#w_kpaz@ziyYpc(0I{;?8{sZ&Go-b2b z{hy~-5N}+?R7|1!)FHagy(Neq{KOSpc6y-^T*$(~@6)y~Ca-Hz+c&p)j!E7%;ngvo zfVivzh#H3h?sVrew`;9iRl%om|p# zI%cteSJ<-`wfi<+-!0uDQi0e@i&y^nUB5gE`!_Ka+7^lR@(8tFUNs4dX=myAjxPr^ z8+8fKph=iVysRJO64cOZ-#k{0nqg3MeN?lHbwSw@IQvG|_m3~LC%$RwK4jpO)iRGx z$!=X{zL!6>M9L5r1M)t6rl7y3tf~DXgTt?U4^T1qp_#7EqA;&Oi4vD)3!wEgJj^P( z7yTl!IaL$;s7H5*luFLPIx?gDY>|mWk}!jCcBAU!EFb(C#|E@SL<@DD==ItK~%X5;VswXob}!J zEFp32{oGn1RU9gMMFU7n@wi;CZM2rR)T zVZ02~EkE{di)ejjg3%6nuRm;VW8;%Es@rz#;47$_gd|OYAG&Xe-h4f3ReSAGvHJiExZYU}zp3tx-qbT^bt^6Y6>p;`$ z9cw#}*rb}K)}1{}Tes-ENdpVtqW3+0%vV*+i|W7xHmjU_-L^(vRqxbwImOVb)YlkX zb23gSkDF@rI_V|ADeZ?(M$@vIZix%`JOz)m2g6v(^mn~!+)!KJiY+c4AbKk(_v73T zQu4bNF>$ZnjM7}cXCK<|`u+F@QoH@TdK*fTkiHE-P=gwTyb-Y^htp7mqod>FlMvfM zK8c3rC_wqQD~Yg4Yu7f*YX^W7e)x@K|#y| zd$u6nBvQqV6tGjJq#!bAPVUE3dlI^nP@X*XCfgrB#rZt=y9Z7k3Io!}T?Eq?xi^4i>*z@NcG$#=<311{NoQVd`Ogn}8?M+S3}Fm3ARQ(H7I>h7@m++8bN&$ zd4Es+$te^H>vz(m59<7B0ee6IVvGidgd$C+VA+}xitIGh_3r}ia@Z+#c;xHXarjau zd{C%Ua}v%u4W~lQ)S*zbbtueJVrhiJK`PeQU`B#>3yK6rdj2n8^89(&aEg{r{2JUs zA`OL-go~6B3MCCc0SKFfPs_lkWZ}-jP)!9D6$?lxAHv2`2%B_pIOY8i_`A5cxe<9h z_JNrJh<6M}nh^>E2}VG6F%wy3!CA9?P^cWZE^A)u-d|Uv=lZe?RxH$G;e8<-eHbKV7B&%{2exTD$V^ zvU5h*0BS1icU}7by-){E32kyDsr2eFmYy&W3@(W!M zD?P9!k^gB7<28yk^UO&L$`HYFFm8Vs7|UAGtF*w?6Jy*Fs)8@%%ce{|s~8oSB%>?P z1xQQEmMo++w1Z7`_x);AEhE^u9vEs%ifnb82~H#*%L1dNpa&uVW*@RH>?UUhlmiIh@G)%4M*nl=q6F+_W+x5jWxzod*qWiU5`(rjs z3^xxq&FJoz-Ftd4sG)=v7nUnr;b zR2t=QzYsQbF%iY0*%Pmd3e0U7u;FS$1MMbT@4H`x2IJ~cC*G}%&AvFDPcSK-a(@4X z(C(tq^g571rQJ`%{$yNV8OdXot25>j6>OzYd7PsDt4V0!0bVCKw3`0X%GUdaO~mB$ zha5_4G#lP(Htm=1ZDY|JN%xkzz_$tZ793$Vmzd12q2~kG*j8fu=wI-^8+oc&bS`)~ z2n!^B@$wVO!IupsGTZd&pkor7o{%>#ydT@>lN&&7q8|fn+A=luQ6eiO8aF1|GKe;m zo4EVghTh8uE{#{*_DgyIY^&HlPs+PJl~R0vm|#AY|A32SAd7f+F!v;>szgR30@Tla zCwbmAs`xN?K793%HZ4Q`qZlE|N6tipOvIIVniVA0N{gao$9behFVXIwH{|^4X!o>? z@9V(C;^yQr?s7bsdqJH;Tt44`jpp`}<#}4EI^Gz*)u4wB#@EtG$7^m`VAtnJEUNKY zPwslkX4^V>7^b#h-wJoSq`-^@zbl#PPq58WGNVDGEU6wC5)gPnT1%6>uQr(egfE_! zRe<1gN-?-i-FJ(n(kp*Vgxi|stq+s)(W5(JLdueC?}Y0<7j4M_zOU!r3~%!jweQ(1 z^S|0Je1jGCS=UYZz6o{45JlZx2VfV}zT)LV-g<~nd3O)zQNl5I^0EKksu;cBC;cC< zy^?2i&Cc<7(vbUM9F`4Y%-!w!cMThp@RS6sCs%3W;{Xk&3`+N z_ip{wO$Z)UL*P;MUx#b=6SRxJiRm99C+z(AM?whF;px+#2qCEd)bK}A3SdjfKax^_ zhK}(^Qi|LX{v#;`r)lheAf*6S;QW!4{slP=rkr7aMuch_AuD5KWrD0sr}+N~a{kD2 z<>E5!Bp534fe<}519`aumV~N`{`YRTPNizfY4?dp^Jl*-qdr`# zX#heJ>qTGZDzRBFE3KCu|B#H#xGG6>uBv>Ka=u_#QlSjk7_MY)f!G~e{j6`%D<&^O z+zj<+N5pM}?PTBF*Dl_IzADdT!$f_EIM(*`C;+XD7IPjVf!VP_DxOw8E^u5P|AWHSQ3sb$ZL5Dqd5aA66**qbbqV>q3nYkY1(p`Y`p8 zQu>;qRGgb#%&h2|c)bR{WD;j5!Ff`iNig%M_*l-DX)lL3O{?0cNz9#OsqB^%T6p${ zev;yqv@4)i`==5^Fke4fwP0V@UVzgPJr2|D6G21jYrhgd<&E)y?AOs@D_%#~f!zEi zHB=Qj3ZWsWdmQOE(l>W*cKulP2Nt@@gwIHB^HQeYcoiV&&*rdpU9kBwLx95cWcAh& zRXcEZWsTOf*Szhi;=kmh+<~Rqvb1!&&w|9=@vK~PoxwLCoa=16gFAY1`+HUVE$0>e zZ4)$Zj{-mT&QAmVlqc!8flUbq)ASFe(K^E`^H~Q+7k@4Vgl;}A zc{UTI8?y`2H&nfgOEZj!^}T?ioo0Z`Ms?UHVvP&6eu;nUnI?vM z=NknfZ+YAnX+QR}Ke^BJ0FpJ=@u_}-J~|S^yHhw^_{kR6w%*i(uhBaCnfCgPWD9mTGP0ypIy7YtohQE7D}eU$ z%DbN*&Pm4KzV!_AVw+-a&AUU8#iFQvVeIY?N{>*G^i`)OPMe5*ki+4kiYSr8c6;?% ztHiW~X3ci5=$DH&VEVycxuBLfHwn*A>+PZAYC(ItfV;F2cCzJKES}RLo&YbFnfMn; zEX})JtcP2~YEE<)uiJk(R@-UgxUbW6_cJqi^psCfGv^X^!-}L<@H+cq=4)fzcHX?W zIAX_4IW#s|pcR%~P(h;`^5)CvIxd}%#{GE=G4gSjbs7$f)?nI2xgbPgBioubi4yXTD$3(t{U1nh3C($ZhUo$>ylD20Zsjq4@#=qd+*u3KOTF$ z`)I1y=8OuX_{{*FmmHp77JdQjy9TjDd`9`9KSgU>Fem^cPip_>v%1k0ozIUS#^sd) zjw?csvg*chi0Gu$EF9urwHyvch z7FNm8?W$W=lz$T*s-QZP31~ z=w;WCv!oUcUPj|tMZDTXqm#ApeKWnF<(U8WoUp*!QE0@0`g>V+4PeRL`<$qStO4Vy z;|NOSo?B^a|Iow_0}HR*foX9~$8TU&Qs;hj`B##2yaGa!H%%TUbV7y<{maaM{0Y^P;q}cS_g&jLAE3 znadaq!gtJO&Lk|`<*3}z+XA8~SYlN(%RE97L$h0A*A=Y2WAlrfQ0Le$>0vYTy5)k_ zPCRmIc135;h@!cjGZa??3VT=7^!knCYR69mjAM#Gc^!)0DC0Z5g6pfdnc~X$A2lAm z|6!Bb7@7EG{gQ1)F&Mm}!{}MsO@ozea`bk2lk_2eWX6w6;!@VW;ZX_i8*u@J=q;P~ z8)O<`Nw3>BFeT*F&8#x>+r~Dr&ao-v44w#sn=1KZ%$(Yfb8CC;!fNo1y`$>8$mp%y zl7BUIB`@1%-Osrlv^5EbScUS6v5D^gBvPq;&OKtnK;3K#fJ50sy71TfX zOT+~o>#CTN?%SrX%LivJiYrDWwfD_|rB!3!q_nXsqStL><5GursYS0V-!W)A$9U^* z{m$8Y^?*WLtMa>qiS1)CmT33p8x|pxYyMdeQYv~~ojkhM`ShuuQZsS;C!i!%d)$Wc z#LHb}Zufq@ETnj+UO zI&G69c1=PXcE~X{F7tybB|20o;Z){uDho(}@Q@}s*2u7d6W)gd3U5e*a%X24YabH} zl}ZF$!hv+i!HS2(GBGI)MSwI2N=?myxU!)UPSu3Kq-MCnf+gP&UBaKxmWIM;Z*T8_ zkP14L$bhZOKlcs{k1VhMfLi5g%{NpkVQ(Cg-_6U9jv=BwVSuIHtZ+)UG!)ivc8R>^ zi|`pv9~9PagbBalhV5?PUi0!@6@)>Inn>K_Y2c);?rE8~zFTcvJ%O=_iN)^%@8FOr z6wI1TI~6K1VAf<9oDBByhDv1}iV7-~r%ojTY=3K&Nzkc8!X}MSbf*jx_VlhpS^_n+ zewjn0N;n|4gy0gslx1Y<6=b#Q1Jz0+s8+&d11_6BC;-DYA<9I?uS3{I9`P$_;edW6 zG%V=>13vUCnOV4yHt{!oP`HR(iS+VTszYI(x|MY(EJUrmty%|jr_x~T6rA_25$cRO z(#Kp&+r#s;GY--khYl*Fu(dbhSHiGOc)=7D^duA(eZPJU(@x(MOrfA+X@tTmLPjy1 zr35iwOW_w~@EyiNJWHgT`MJ}Icf=@#UEAx%kueG1g}OU?L^*c{mSu2X+b~M+OD_bV1(|8kaP5Fi`;!Ev4Z=Xy$3gD^mGQ zDvK1_DVdw!hf42nplN46g@U09a7IVCI3cq-`=GFpPz4uP9~1^`802mQy9GhK3{BI= zK0baaX_+vD6iIxA0}+^i6U~Cc;%*qL0JTdW6gu>mk(v6D&nrw+7#J9=gWYT44;VH= z(f_W2N2=fv+jL?cvUD`qwd(Yq0lZyc7LSI9mlY0c-U$f_$uItOyC7xigb9hCJz+$g zYPbn19agJDt$OOhf2E$MSHbU2{vTGW|4-cJKV9Gl|Gp0YN94(-R?DZndU+_ak*?U# zb}p-)@?~t2qF3GVkky46t(J<@bzNlq?qm!mHlxt#^el zFiw|CdunjRuDEVwpgV(l_pk-0A6}8F#3J#^c76-i()xi757pK)xvC_G`)J++%QC#n zs-%i%M2nL04a3ohlnu_DJDQjIb#eWd5KqjNey~6y9#$oCN1WDCU$*u6&5g#jwDi3x z1xk0_IjpzeLP)_(LdbabEeyXxj4JPQ#j_?skG>tDLcb+7PWG%`d=bhCzE*$uAZCD( z9Q)3`MKqInrjPl>i0fHuHH^-npy3G&4j}v1?1oUYc4CITW+S~Z@zXCOH7=r??tEzd znF{8sgTkO=ySMS$ohaj10z_7wE(roCHAdvji<&4R*7F{^RZ(p_(TC6yo4)MW3eGEzIPT7LN zTM5))4yXTq?dE>3M8Wt^F6{S_V<9B~^}+Ia<^*}eJjsP_<%b$>4{(=4cx+kwG>Ba_ zzP#HQ1JcVF{A+|BL^F+O%gUA%^U|`mch9!otvB%+x|iv%*9Gn_#xj^XcsJAIHO#%Z zXLAo#q|YhCnXgjG_~lx0H}P4(rpWy0+66AjkuZ7z@U)}T@e^m12v*0H{6*<04SQN6 zK${-*irXnHfo(M}O!mwN>sveJDFo#vVvN>z4z(kQQo$6P9?$ucoKb6Qu}Io<^d3&h zR-UW2-fs&$K2(#v-|7Vd0aw%Q_fpeehx4gl6 z^|IQW3NAfma5sNq`&vd|jP+w}l4oP@;c3Co*CiKIupRWL%{D1~UVaFDN!B%wANA0C zY31<85Z)Fz(;9`&TF%XT2G56c>yg_1h&DY2?afNL%KK)%vAqWqVKuMEiur zM_rPiB6+bDnjddFT>OczqsP|HF9)t%V~VT!ZZjFRLrR<{>_%!ycDldWp!*&{M9LWX5kh|z}pbp_Z#l_R1FiyVk`#y^*8#}0j8Ep-0-A4dwHeZEABJ` zO&5YIXd;o+;XgKw@I6&u7}3%ZbJrC_1|Oe>fuk zb$tFWwDaE(C-OfZZNY|Zg(IiO(W2jBB&T!ycgWd#{ zFK<|1@dr{0VEE7#nk3M@y+Y!W4~rn>0+n+G&`E>^N(ndxg%$Pyliq~pzxXATjCXPz$S1(CZxf| z>#L43mMaS&4d|nDSV_gbqC;o{Tdc==J0vU#rcTzrO>6H-IIO`@+>Crr36jN*01pR zfONwI&2mhk*K-59Ar2;Wohx`0d*Cut$ zt`yEj_1_X*{YA1MJ!b*F+47rR6xSa**Pxr&Di)HuRl%&Z)S|d`;W~EGlBz`%NFxRaNa~!jt|LO)<@)n(6bb z&bRiv-VW59S7-oj;g}%96#ce)WHoI&^*vuZ``_!O>>%_PdcV)L#jm(qU2lx*Y_s$Z7l?4g63q%IitDMd@ z7k-J-@&cNjfb<@9h5J71(I@N^m4iunMFjKp*5|70bNNCZD$W3p2eFLWR*i`tmTBLY z&NRI(OM1=!F%vKX%>)+v@y7FcozUecIe23I zux>L(97R`Mn)UDq4eXU9n$+ynas`#_s#x1E{_IO2_n9=d6W zaWJZphG!qkd-0BW-_tn2w*B-Y)T{lf+;KRazC}XuM---H1G-%k@WORYwI7HJj5rbrsI;+ataR1YG1i1bz8%x zbsvyZ@?2NXnU+yh(~l@Hx1@0lTijHdWp?i-NjNW4A;Jy#Z2e~y?g`#Baf^Dv?&bHo zX5)_WV-EAj{)J<#3Vxr@0N#y{*g3D2w7;XMnkUy}FDf>ECs9gGe^GfHYdu0=me2zfLgh zOny{)@$n3c`D+e7plA7|cbz^wu+vqm?99HQWyR=NFqg_*>)HWX!7@5Gnw-wfJ;Pv6Y%pff7!7&+Vy z2d$q-X?XOVTs+Fw(P#yw!(y^3H_+(iv<>T9-jdP5+~t0Q4HPboco$pw`N!>t+y=zj zcf-3%D;S0ErhT(rpG@!P#; z#?m9)7u~`GeuAs%WuqzeQxtR?l=%~+#twD+*b-L=HT33nKNelQmHryMp}pdIKd-p- z*AXp~uJ!G})XeJHwG$NUB?*=5<((M$ps;3S3QgI#a!*CuD7B=g|NAN41uXo?SEphR zb~7lt?Erh^$m_AwPRD>}`7;JT_)Q=D#AssaoiKD5!q!+;y0QgiJGxN~$tiqxw!TQZyn;&UcUQo(^&SFe}n8E@qFO`XRF*b*wnZoy$uc~wmt_(W7pdKNw@d7p&z&Fli7^{*Z?0!P=d z=!W+sJp6YuUv}dN`2~p^l(9rqPA!@>ner@HlLEftA;7K1aM2=X8^Kl&$XjoWcc5eUj z>)7O8V$V`e)8fyfj@aTZdDPxOww%}%gXlypCl5k)_#X{Goga#b74H`k~$onsr z1r5cFIW$4mdxPGG4d=u(dKam)KTIs(6HBW(`(-_6<#q~ASR*C_96X|OS3QDKvMOu& z9&X~XDO|bwFlz#f|55haVLs>kbzlqwUsA28=Y)u!RqSdl4mGWe>HVsAQ;wTu&%*NG zlWYSH0}mCRRnElxe!`Bg3f4z%pu@qDlV{I^;jey@B;4z zi-}vE9u z4uoCa_VkK_M({JyijMv-$t40UV-aE*M~u+Q1Sq^jnsK1ovY`np}wxRHYk@1IEhK9knMg*3yA_!Xgp$#6I;1L6SG+f_e1YRO?ODMQ3KVz4a|E0Nv z?(k|D&{ zLQtq;_?IPc6GLo^2r%ork-UX}MJHyOh$G|*e<}%Gr}EHR1DrCh`56%c^1tnBcp0+ zdHo2gLg(jC&!a71tk3^ML*>t(GNAGa=C{%8&(Ax<-=nh&+_Nj&f6tClsqAMz{>Sd1 z|L=|I{{=Ju;j$j6IO<9$9SJ{7ylNMrE_EeZER3&ZKVAUuYUJZmyU2JgAoS7+*JX+` zJxBCHob!uY&5HIizSI^q{adselFb#zjv#|y)8pPzf>gxHyAxvDXp*9xmn|LxH;K3` z$lG_k+n~y0BjdWT&ddVV3AH4+oxzs;6tQ4h92rE0P1VWqsJ zwECIBb7H8?7t#AU6&St+jTu(9Ouo09=s5)#zS?}L7t1ntJ*eRqqLz*4-Thg<0w!fq zxelfCe!tK>6==rZb{yhmHmhsPFE1J?hnz!?HU|Em*1Mw`;?VFIPuEnhR%YM;({nJSo-u0(sGtFK3 z6;69HD%#MIV=Z}l?!z;ajAha2&p(cEzAStn)w*0!UzB7i7sv*{wrSTds{zV+2GN|N zoF?1Xgvnt)&&=M=gNvU4x|U$qvjq=gqVXev{3L z#D?OSJBk*+n9zf!!?U zguMzP--b})th~%YT>I;}8as*dt=BM4?5+zP3xRY!>GAImzE+q-FgttG42K2Z#Bi;Z z3$yUIB@3`uyQl#wO^qrS7CIN$=~U49?-9nl+5P;bf$OcDk^>pZ{;l@!;Lebcnupa` zFJE>jx#XSi<4r*ZEeUjdyVU~5qtu#(B(M{Kmh1c|&|DF70I| z+^AcMDiVe^fx9$a$6+pn+s5I5eJF{?L?=E;=)-zKVm*6&uE+5Gp#UGE%kr3yPfX1; zz%w_xc0N+~6uGMAxRqB}W;9m6HGlY~2=|lQzyEfq(f~DF_IJ#1B9l4nB}BB#O{==v z*THlvy5S4gCWp+axrT(21QGa3=b0u`cAHkXciZx88DJu{*i_oE)4%R@5*cg!#X zYZ$?N5ZQWZ+lIBm651ykR?Cpu5moI<_r{~=KsNSt>QZRp7S?ridVV1>N<(V(| z`oqZ!PtYdCDAi0It&vN&ATuq1LqE`jNsDKG{gA%tRr}J5*j{>)_)mRpqg?cimls4e zL$FSy_}<4|wCZTL!_7T3{ollyb!XDee>f)oBg}+SP2~7qhdM?c8r=SK*#Ex*H|zh! zF|!eld6tm#7YGPo+1_6vAdrOr1OE+%0&@!_GUtDXp#Zrn`ZpK~ki7E0!BEiD4C@8| z0z(1vfA8O5D6+ZW2LIFMh|tR$$jlj;xgayw`SO2)nI5IFm)Ci|gVibdEPO&C_F_S(PiSYOE)7PcVtecvndEms9&a^>7FAd9i^D01c2r&i$%u@{r&TRWLG&NSdiMOJAnmuf;g zwe6-8dgX18{+Ch;Rf~sysZ7NaP3jXM`L^*#9hU>0cSEfz9{OfRQFz!E^m?t@<-uky z=KeAL;8Xof6IY1trRy0oU6N_1w}#qOJ*r!oergz1aL(#Af2Z z`GOB|!J6E{^;|t!EDJs=VnNx5`$LLOnQP?UZuarY4{7c{?FY{p<)s|V7s9pdKx&?KWnoWE47=#VPZ9W)AC$p-#twqwcw|< zKv;MVWkGk)eST<*vFLFH0|swzn0ynT|X^ zuG#-XGX%9?Y9vZdH38h-UE7w~R|A%KD6f0x5jcT>@z?I=X|)S&gr@C@w}nS4%qNHT9gaUH*@wQ}m0%+V zp;-O4g`7t|#BvyhTG~lVt^&tn51vzBa0w4{ zX-rg6MrQW|daXF~OXR@5{Ng>srK>C!REfLCg~>Fe>(M5(wqzM$w$)GfK5>@;JMvQP z5{s1WA&+%S&l#s)=X+@SuI}%5Bj2}7(6f?Bf_Tcc^tezR);Bi;)K$vUFj=(tRqo2X zILgdACwzbC5+7I<60jJQ-~9o0wTXp_b6nv2wec&^qx0=JHW8=y*P^d3}t2jzYuax@*LP_%Ukk%le;* z7r)*hLdmxmVOPV$(domOTbaAss0W3+GP7N_^U7 z@VLEy@#nEa^4#hMHt8kh@R9?7PfE+cXL!p!B&WJvn zpMF2&=If;O`HZ^X`NkSpZ#}QNp>~8}<66-tt7qnxm{Ru{PvUOV=p0>m#-}YTzIWXRwNGhH$L7wVfz6E}dV30q&ipM8obvO6j0l%z5^4pJi(%Bp$`ZFCS zZ{peNw4*_C{gE=@DbXCEz`5>d%RbSz5Qb9L2Lhlxtqt!ejH97Y4_B!&0{PP7%uwd2ZK^##c6EK4Dhx{ z<7{7Fe_E{J)V53M6r5;Z^E1i-W+;u{Drx33%mWOs)xAfoG2HwU$97N5oEn-w|8y_w ze(#k#OQUU24@&~bC{;aUE54WoM&%W^&2Jwm>RNg}e?5CFbT>FEvu#xb*t-;zmfxWh z8(5X8?R#F^ANn}6n~n=^k@c`RtJL>zL1&G1gxu{`aV5h_Y%blqv1=llI?e%U&k9Sb z8+&#!n879Eh_w9T6IwQIF{}Hrsp&;kzkU;O2>FFQt9-j~^0*AtG=JGY#S6aoEVou# z-ZL$`uwv_gklxJYUVgKpt@F+axF{M`JggXYk5SS3=8-n(g9@Tcf*M}cA1+w5;_^DU z_<$PXuy)_J2j4zd?O3&R9#BYTwDe-LYdAPLyN4ye>gokE3x~8^7p?50j~Ohjyu*go z@=D4ooBGDKu~@mUxTiOQw~O)yF3~SP@m=-k#$#sdJZE3>YBuq*xDzkSi1h_41sHL1 zvyy{H?u{1u9zkp#n>Y2EyWd{IXs(Y#gE!Tlq)lb!{5?g5ur<=8TGS z7nIDhwsFn+`Ev)zyoGZ2NtD;P59uB5B?I=u3z?tSwgN1ZvT3g?lXBcMGTNzHI!CP^ zU+{84+AIttJ$Y7DyLybzA}nzuU~=xj{c%Cp{MTPtSWCfY+Z_P@IBS?R!74 z3uK{g=c8Sz7u7R!T|S|@sO}z3V+8<*n-Oj2+aFH1fBf8GL|HgB-r^cxwk-Q~WM>bD z!#oP!vTj4|VYZLMgVjgR&omHGBG&MmNT{GkP%@&fM0Ay@sc9i7%rh_kxcUxd`> zKofY#f5|CBkeEs=7*lD5LcME4q29wE^uuWY(oraD8-y0!595=crho44!Lk2`QPLr* z%0GM((Xe6AGcd70M>xVJIXJV=LMpLfj}C0of%NH*t`geP&sZft90D+f5vto@Lgyvn z%a_G<3=GdIz0HtPZwre+O+0x14N2@h1k~M!V#Nn=#K6xMJC8!4;@}K39*&3Euyz_w z305e8vmn1@gc z7V2z5Sh*d7Lj8n*>x|DL>wJtNg*D!1!b%1JK#&bS^ntUnU;N_%*UCnrwBh0?9XR`M zf=b8+p&48sv4bOeN@HVFxNcBU`7byLm6Q>L5r~vBD(e0NL`PXx9s*M;tx)Ixbmvr_ z=_lcjpn@4yn~g%fg3s&V%0e#N^16K%ULwpdzyW2GiwJ`J3i;y?Y&<-|b5J#j1XNm~ zP$USoC56kAAy3HXQ79Be2nt1Mg+fuap-|NDGa|~#KUL*)^rC;7a}*HuBqE-KF_p?R zXFT!@NFpFsA2Ea9h8PlpNMyh9gm32s-_9Gpoe!Mu!Vl;R$C>pVc9;7Hz%>pD4ZjDy z;kkKvFp3~Qp8`=&B4%(zK>DJ*3hrYFh&&TcX2Xz$5SXz5r;qa}sGEdOCgC)djlzcQ zL5Os6dItU*b5JX?{6{-^mbGvm4u~Vq^vj5R6c-k=5|WZp2*GYDxVXqX3X=b}f02KL zyo!gQaL&;G8T*Iy73t%Wk&}a~e8n2M3Gful>5TnHxTCoh&W}MC`gD&XX=d+usNOMq(E$!foYh=?v;{2hCOB`RN{vrdtsbvvt~|xSja5GG z&AtxWB(V~gFI(F4$>}H!Jf)v}b#V2%Az8f^kD98Vsr`*Ea7|%F`_xy9FsE1CLXAt( zy`@Dw?V9S$m6c~(VQ$9Q`#>V0NIj7LC`8e`EZl!6#5(tiR1I~n`z|v#U*b6xv6_f8zWo7Ee;R;<##$>EetPR zs;N`bHvFYF(bURv0#;}f#f5BQ*(2l~f@2w3m7~@by|Mkp(+nKjd&}DGmp~K#100tj zmXKcc_cGhVH!fA;8oZu27S`Qwh!pXj;JF1zdu7O%{XF_GUGf_gKTPcOv{t@98lSqc z6|3g_lO?JP$XcYlPuy4bI=oKMy^$xg{C0}3q*M6$`-Y=VJE`G6nU;JlmCGlyjEA9*>u4x|+4N339d?(KUP8q%R!Q-|^yTSD zd;Qdhk;%7SV_;!>f|`Ko*qlw=w*;6ryz0f6uQIS_hclIlK2dlcP8Y4t{{}e0DC~;1 zfSJ%}qGJ2&sqJ-B3z+`*a!&A_^xt6AQn>+Li_&Q=x%I}j$@B9cyn6Db%upZ{KgR7O z>b}>#tX*5seJI0-yCH0-^2AA?J)EwxY=nbZIzm{gDdguSe{-B4P-eQgUK>RFu-tb0 zlGG$D|CA&Rt zWDL=(8?6zJN*j?;I;K(s8#HqtSYH`Gt9q#G*%mz0hz1r@7r#qlSAq8fudrZ^4;L+7 z6BHQcUf&~386RL&QPcoJf-FsvbY4L3x^5bdO$qH1fAS53+oKp9ey5i$sEre19NZT4 z)x|D@n5PDck-y(+Z~0l{*q;3p6hm-s9E1SY0te}$g_2HN};enS2D)4#&cKim@H zNf{LCCITwmkl8I{b{m=9nRod|ZuwbRleCLPXS+u51+x_%<_ksHu=2TLr`QkFPvW&% ze*)Y!`KZmu%6bEBuqvG=@#y<+L!ll;BBh}u8=wTbm_|54~TdU+%>r3o~EM1iM zb4Dn4J`nr!!dqYz+fhm&u%MUlf!WrSNLUT)Hx3G7LT`uNJsCw0^0CEYy$$pwuq)kq zQXg|UEr9o>^fXD&6#j5O_LwKrwh4_htI8bRF*v|j^GrTRrq_=@wKMqchH$}b&g~Ef z%(Ap!U6?M$xv?aSflKDw2r%~+~PZ{=Cb*iMZOfNY+y4A zv`oqa9Ge?xtpZCz&&@Jk^rpS2xn3yeA0TtQx5-T%1{5Q78sF7C{hpj$uq!9}M6hdS z%7~y^-mxqza6;TUSp^A=O)l*E`24E!Z>JzXjy`&^&+^5`OJR!KyIg3OPkF#2SNxaN zEUiBi-%Zme<1*5b-1H7vV*0|empJtFfJD?A5Osf>(7lnGRJM_Ml}`iDsYvafV8-2k z3~2?*bRqkkZ@^bFSDQK2KS$3^oeeAS?)t5B+KQx*@llzc1ggTG;aOLi0?V<4QZmca24`{f!S zKoV~auIH|%(7yOkP59OMRf;#)=SPyOgMNVtFFCii#k=!7wLzlOlU{*qBfk5Wq8{&+ zqwz~D9SlYS5-dvr%wX{kS4x0I;zfaHy!=xMG+C{OFEz# zsCKcuQYN2lb?PXrSHR%bd=W~~uvJxLEZSraew5JeKJ5b$Nvrn*x;d4T>2sA=D*R&# z846f}ByP+w1H$5x(VxaGd&-10#3Y8|nC=4y{&(f1+1@#A6PRi5%z=5Vmqpk^lH)XM z+u1sesRZX%QnN-srfYb-Fy8Em#!d&bONlSK4i->j4RdQzMU~wAUNz_KYftxi9SX0^ zr#+hh#`4Y^W~-qh4<_1_%29Iz2{q?EsCukO9?;kqNw)TegRY%1@wLm$x#Wa~Z66nH z9mV-$eM%{W|$~-W|0T$ z<$CO-v*Rg;?7{b}Pvvi1DZP4#aW0kl{qrx|baPBpz=HCdBbd|@qLzMn>U)pw8~@NV zI}v(xqVY%VpNlm<7=gZOVrK8A2HYE6rJb1U?skK!Mrv$POqyclzNR7K&fGvKa`q{? zp^zblXSWz&yTxuVo*4MRIO|Xd0v2kFZFV5=k-%(v;C9ke7 ziy=uAl|ZMqftZ%>WzYN3Z=28RTl&9qUAcB6ENKCJr|`+H8D-Wqzi#v7)m(b!yFE$O zgn~Z7&TdLWJHIh1@JbSQ-n-8MpF6$>y|{HnMk#cHSb7=VioW?g?YVstn7x=+)be$o zf!(v5TO(whNz1_I?!#qlzUSrdSMe!Db?<}9wt)|eb~6V=5;d>-1_*SoC%kwE#hPfW zyw<)s#ciJfV|nYy%z~g}#Kzqqo)X=;=J(TEQp!^14^m$23hR2V0Rr3O;wygKicb&p zd{fdtQB2yUHc!r6xO_eB`3e=(F#%U^zmoF7uYO7C?^iK}o z-FX6Dj_SJkHNX8K8d^DLfo6KmB`x>!f+e4aQ)CX=MJJyppr6{hc$h`V)^*4=t9qQ< zn33dxW`F2%bfNF-C9ZpDbU-3H;Ti4Y{MS>=(iZlSW3*g%ygO(2Db=&`>Q;6i zc79w!F$kFFQSsbJ02PbW(Lc5*Swu~5_^-G{q|E#zEbx6E_2@aJgpBv%9x=G*yGig{ zrMg3p8W<+?TjP3Umlg@ho}b*Rmi7B2p!piay;wCjp8q90aoydI?Uo^9P0GTWE#A}6 z(w5KS!jE)10JR3^xv#J4G`?E~MY9=VW^Rj3DOzrCK3=N!{!!=~FbB{LO+Nkdp{OF7 zB`k4iY7cn(JBNREGdXL@IO<&B^1oKPOvyXUM2zg%oOveGYIgAc3*|t5OGS{q+Pyd;pWno9dmyj;0;KaCK=`iU8 z`iQ9?mabN9d1LW@(YAFgk$HCIs`7|ulFv8&M-6*>FTn8>dd^FHpHa>}8TH5cMT87r zICLy(_vA8*C{M7dy6J(%fy2}@g1T*Dh8*j)^unN`^t8UGWOCte;uHz^SH$PQ(IZ0d zWM8LIn&4kyznf~q8Vg!DzerlE? zH@!L=Pj;WQ9spb1hUHAI8zRb1exVIY8g7F}kIX|G4<+gWN;<7s!UTkGk%|S4)95!S zCr=U5tFE2CnkQ*q`9_4sE^BtG@xugItGYiN{^-c-k#eV6kbAPzrFNKHuIMAW>Qy?f z3jU87VEt1Ac_tn{snUz@PnaT&nRHKHJsH~PF!s~Ab;~pUQ6e$)egDh!edhX3Nrgxz z4NgxHB$IB1!iL((Kk7+XF%44%5mj4W9?VyQk&aM68SskakJ)>0Xl#9ZX9t0j`v^BW z$o^NwH}XEmkQG5Xd8VH{wL+m#ArMlApghXXGr-tNE-o$}2#^pg3A=MH!pKTK1WNJ? zAVl(#u&AV#t}zr9qM4Ysp|H%5VV?b;ifLx2-p$|S^?M_@60R>2(7C$ylZXiFCCSLhVWBq#1&pYK8c|j$DR~8@pgf?Z zqvL|qO!?gP3kZM&u>f&iLwNY2?865{vIyYC6DB5%TgAr4$HylkCLe@Oax7JJmZiPRpQ79XwrDb4;rglXH$3hURp$T=M&kBJLQVaw+tG_?oCIzs=pcvBB z`qA-^)6SUOuFf{UEYG)s^{==gqNczLO@u5#0os*mQqM!&w^tQKwl`R~OPO7W~rnGK3~br7|#L9k6MT3E?^9t!nh{wJ(vn%{xlO!J~J zIbdE2a_@NvR4eA?kn8|um>n>$g@gz2Li#T>VdtMSCUx zV-bb_gnRyvt9ylm|Gd=mKk1(Tfd-xeXD?_)`?t&eOa|2&{NI;D{olgSe=i4QX(CNo z1LRA|O^@Yi2P8Ew33Rg-zC2yFGJpRhE$ylbV06H2n+b>lNuxx4ZZWk9?TgCt9$fr%~^$u`>v)R&I`kseNbcKcsMY z(kQ75FqKtpH6IHyhU+riDO1GK^3Owk=zFB=b!6&fBGjas0?u7tWKT>O3F+BBcY5<+ zd?fJ{WcSrinc>*I8~2 z>Kt623o^D)sNj!;nyucsifK}%Tkkwk=U`XAd+5M74FZ``c5e1&U~ojt%#qaX%YWxF z{2Fn`isvdY>GAk{V-M&Uxwl0W6`5Vv#bA~)WWGoHWGdBRNL#MO;CX9!1}O_jns|Rx zEG^YKjL-MQr)rYr86lZz6=87Q*aEAv_$fpq!YGau;?T&5 z@syVI9Nv!|!%A;&ip31ga}@#I-GS@JFxwif4LtQNoSIkLC6sJBApEfrzd5?;N8YMjOb|x;%OZipIPpV>2WaA0~ z^&ElQRbO_f&sSYB%LVvz*#|?}G)L2Xm7Wc$dqwzKyIl#@$6mMkRGTpzD=z?jMvvJJ zlM2?2!=#TzyK?!XYZt4zcCVQ2m>rCwzn;AW?lhu4)Q!$0677#|I$l!9X=T*J-tpIK zFYQ$eD(?b{aa9k;Oj!B-}K;&G+uTAQi z)($BZ;7Y~(5Syi2O+n>A|Ls_~tquOI4~sIA36EX)k|=(A(Sw3-48oi*e9A)Z8xEp* ze7C>w0G)H59Muh`RIdu~-nZ++(wJ=8fj;fK5~9yHlSfBWrR#(x<#?;7dKP-P zzymD;;efTZFaF=&YF132BY5qr*60&n+jBKPvwd-=bON-mlb2wN97ZzE3_CnpsL%Ok z|4N~FeByxUD14DS@timab&C37pFqiAnG`zOCd${cQfC^mSi^F7ajlG5!bBz!5ViF; z32s^D%tnR)6@YzrLtvG+G7U%HwE8hSj1 z8-xFFL_9lE|4(7)zmJLk;~|p-@63n7WE+I2dLT1TWafp;yyrRp39xDp%5TQ2T1;C#N_%a~A|S7klVBM1 z`-^-YdSQzLk)b6N6>oA`m%P!ayDVTOni?mFPc~V2pl&v%l{*!!@J93vpc^4$5Qv?= ze*;KhHt0xxh>_({rF}uK#a8&`!?UKmAkcVV%=^}(<6LeJKH10Hzh9?+`xb3BXD@Ak zHHSmQo~j32EKd!&EoLVw$NMyilE7@E`zCvp z_nPjDQZ=8~wH>J$2lEFmAwloiKLa;9%IQ*SUYVL3T7lo3jX0cYy>8!ZU%&itb(G%p zgN807P{qa!c<;5E@yLg$ScBToiItR8 zo3f#cXx?ME$v$3WEJejs;Ij3?wE{m3=1Yrq4}RZPTaykv#lCt_RhENy)7I`M4EXfZ zAnk}?u?|Y;E%CZgE2f?pXZ5V%Q2n7vmzI+5iJ1^s;r6ZJi066Ca}b4-o07NibpLmm zx7V9?6F)8es^?dB!4A6cmX;8yU59+?wnXnl#G@S7X5j7m_;=$$eO=aMHqic%Uxh<& zNoki{C*C3jcVR&r$AvP!n=D$_AHK~mRuefbRe3tnqFcGP*Y_lF7U=aajvX(Lv0OCF z?7BkvD|ur!eJ$~oZ;9{>fA^i=4=X_S(A_Cvr9h5NQIe;NYx(P(jpE};W8Z4p#wV&n z+S(YvINwW*y)T<($svUikGhEUn!6lXX1;EXblzg5STxL<0;T1mDRd8mzx(VG6vuE5 zchVlwo+sBtuZmWEw?Z~3r31?IMI13^?|n1(F&?yC_O;+kvKk;@PGg7_6yZ8=ITXVV=p-qxjJ?urD}!m zO-!rh{45n!RjG3@n>J)}NFq1E;1aPhZaLq|B70PxnpxAwTe7Y?p9S;GM1dKm;}516 zjP(yB(<4%{`92!&eN|VWjJPeUH&EX#)!Pr=JfK>idpnLwjlPt=nP?H^Ut+TLpfEiA z^gQ|6kH^F}fwxJPY)b9-Ip&vaMPJ+3jUMwQanHQN{I%x1U7FcOwh5>TsefFrDM`s# zdO{JR^N`BeWM&5sl^G%5L0a084@va$#?2BxO)_V7uLkk=beEqfpytS9DotPfU|T1Q zuMimoKAnDw`(g#8g%8c*L?>5z9otDS%Ac2}+DV~eRWhGkA#r-k|1v{+lL;i1ltHtUid8n@+Qx6_rOE4KB zbn$h49^X=<4iu!BPdGp9j`Eis-)Z*BF(_?3x)4f#-o*2#{_J)`_(Ra+h{+-2S5AaU zOs~my={%9!rHrZOM>g2s$iDncTl^sde#$v9dG8#_q)x3}(h_?K46uxr6h=1Y=+23MQe52KEscSBba~T&{3i z9bEBv;A?fBPeyupq?cv=aM2G@m zo3c~~e)9U0%L2fVTtMD5y?wRba-nOcL7%=-=voTpkT^H%3$3xkAwp$f4W6A4u)az| z``Kit9EXbeZ8mo0P%iG%s@RoH!I5z%P}a!r^_qe<7xU;u+~n>rl_<_4t$Q&!vQGEf zri}#mZh;>cd15Zs4KHrlaH*ev8#p<|DUuqJvR_A+l&)!)EKvuBF>!P$BW8J()`AP$ zCQuSnJKXQ{HABzAJOH1p6U!LzW^DKPg6M;SmpBX;XRUe`O4cu)T((ZAV&kufWs%n{ z0DJCf#RiYoF)8eECiM&~+)1=e@UAE)Ycw6=hTa~V!T@PEg_C@JVh&!-kOZpcw25fg z(8Xf<^4R8E9+}>a`iu?~3MRjr8Hp2XDHqQ9T>F|^fg+^88ReZ` zKF2tL1QDm_s484ZB#xc?P~W**F)cF?Gmq8*u&Z+C-()W7Wpr=uV7L`bwHf(4+%xPP zVOC3g9arW&4AvQ{F|F@-N0+pZ<(3-zOtSHHME5d}nprkD-YlmPSOV)QrV%a|NA$l= z2Px(6Q>Ktn)!scGz)&oi6ihNV8ae_RQjXtAyxDJu^?k-4yTR_C)yeCeB!5*JyX*Oq zgTV?uuuiJ47a91aUPSC%gRkB(YIGWrkk|RUmy##+4Y`_-2N3^_6F{#tNzpt_!5_99 z^Z5>kZ2g9TsMU+b=tl*7r~w0{#{d3x^Jx&_2`3#v&w(q>D1U-u6A0cH zqSo$9+_ky8;s7Yrue+|Xh->pog=JMyrR7$2*lY=FTi<;?v&3U7=aUAOaXWCYx9?WH zzSnrjm22r$?U**A?S9LR?&=Q{hZZzKkb_CY-`P+4hO&4fXU~~CFfOCChtLZhMR7xZ zoW(Kx5O@c~whZ&@cU-$q?fYWXS>P1=BpT<7-VA$ogJ207(KK)mig|KucS5mU+GA?{ z8-tAFP&sD@S4yE|%z)F)z3m&o@Ju8Om2>F@+}#7`oc43*RKHaXhY0)R(%77e-|KB`Y*#VqVLlH?mqtE zNap=n#38JgJ_~t-jWjjGqhrt6ANkhso4a3=U0g{RX9VmdxPL7|<4bmWI*b_5m;GY55qX$!i0V(pOSw1DwSPEO5d=U5sqXHo22HCJYr?8~E52=br5clBF$iW$XI}_Ue zKEXRd!9pCKi!hh;ss-exmdHR@G*Y1i2{cp%KT3jHSEL0ADqY)gI-t@QvbeSNjUY^T zZL+`ro9Y6DlT1udy2`;Jrh{~;LrJRTb$^I@X<@V{;*mdNyhym`{n*N?+Pa2@riH~Y zVbpSvAs~azL2!SeW4VY(Lt!l|(hmfKMd3aJt@$2aIB?TIh#VB0pC2X${uPdi>^yLT zBi8cCPcw5%=g-43eJjkCI2$`bh9l$OE3UtC;B&GBK>Dj^}v>b3er*Y_UT{f0*_!h0eD z@UDmuk_Z7;1G$+I=1=ewt_BRQfI}DlirGFPgzHiZf0K~IO+!Nih1DD;yd3wbnP-Hu z<#|DsmXn&u^tUpT~7UxWl^OCZYPH}jOJ z@UD3>G}tVH4R4M>4h+M9agi`!aulk2o)(4bL0;F3{IPEy59-&EXYc3fp@MxL2TItH zKMo?V8=5CTp@!#S;G6e`+JEss7Lxy8QL_C0e_rbKPbk?- z@azRG{{tnr1pW8r{sATbdpVF;zS{r1S4V&7^Z9zA%ftK9ryIAQ`R5!f(HHopmxP7_ z)Xwbbj|;1^T}cyD74IT9RK<1^w+NY?LTDLh2)C;>yb+$#81cY9c??z-GvI{(7WwYV2JJss#< zShj5()FYIHj?o|MnPumUUvRND-mX2F!<}UTCix}Ot}98DgQ?`vmMcoun03XjG9Qfg z$4-SmJWF|&_sv~GNYN+DGBIbt(^lFingzaUA@553IJxWT%R^4S zzb}xg-hQ<}|HkYMI!B=Lqv`ZoA))Tq!_(tB%7lPdTMVC8LKe?N} zORyjF?*%%34H7;Lr$D1|+)KhMfelz?y)5D?tD>w=jog-=_-4r{PT65$M8YyXCq2$z zs#^^nUkhjzOmxNIZm(|>ytA&GCN=fs4%trngYuJaYs{)2K&64-Jxw+~w_o$giDS!X zvFoYQG7O>|%Vo(n>)%=gN5QPj94@i6&}Oaka{pvWa-x|$1Ec7vM0S(p6xoI4S1*Ch z0@ft!g*^kVpqII0e-S<9RVk{}()0@My#q1_lUuuk z$jh<20_IC_9>s+}lq2>NFtpOfrqT!+;QInvlgGo`9cYWM>O2n_tgCw6)EjwIZ045P zzBrApq+YBX2q^WzrPfd&A-J)(V#@tEgW=0-&5P%^R9r)zrN&P}{dbEIi^$YuFm7Tw z2(H|m+o~iY3f`{vtG!!OqxW&Mqy{``&DAfq5HqK^XQQj?u}QNj8qy$JrmXt&r`~=R z>C6xqioPL5;2-ox(#%#@-6ws4+-0v>C6J!KUsC@apBTFoFp3Lc3R@t@$`dkcPGfPg zGx&OiarglNk&#{JtMQs&D8OjxFC{VK=jq3u7iD5Vik6V-d+=H7ek)nV=&Mh{*3MvV zTPBm#a9qZF^Tzt;y34*ESuAD-&T4Xe!WUg79_vg4rXuTX&DG?vt?*`rftX9*QQ|J$ zax{?kBI*|KqG41|J;`Q_c8JR1xjvl|IY!| z`Bx4Lkcj)g@o10;MG$}E(V(gto*VpyM+2DJ^H&}X zkcP{@@n}GboO%3(ME=?E&ViiVN2$__=3=0S zMKDrq%=go**Yz?IVYR0OJ$Av1Gc}HbrviFYG1nWxj{y8@OWde0uRi#lm$o2!PIsg3 z>oc8;Dg8j#tB=>B37Cr*&C{@)r_|q!-5og~qf9%$uDStKiY7nl2Bu@a$p9SG_dbOU zCEu;Li6PSsy*bn239LDZ*Ew#w+zKhTB7Y6utDUUjk=)?CvNK(x_@zB)P|w`iR`_Fj zGkUSWLdIz#C@wv?6h+Y0TY3ybH&_PuvT+M?qBZILD-hZ<7OA zzlU7Q`HO3rZ3)7!8@u9MxGb6Vd|m+Bxud=z{nY3Oc=sxw2JAK5YI(+xJjDX6p zMHcOsUf}p)(9bP-R5FKoSe)5H0W`uEaNV2mxEg5KT?tFI@RLuFJZsdR&q5`su~35cL{BPgNB zZ!Y{k=lsSQ=kdSy9q&Du3pQ*fd+lPa&%EyI5|<<^Zzzv znQ`An67)bYwB$3{H`G|(0))ukd+}dPXwAQ15^xalbF@gVIDBNqgm-4BspGL#jSKL$ zi{B4ykbW{sdHAk>q%J-GRV*Pv!m;sS%pjw?s+2bvtgsYMF2t+eH1RC4!&*DCwlN!j z?DSkdo6c`(Z#4fmAgbx#%6i>8PH#+qlrWWc?QO~ViVTuc`_)=4O&VhH4Zv46kR($| zgS!~n!P@q!zx62@^uXhAYWge`z9lEICIeU#RX=xMG4MBRSF%rq*^tG%eOHZbDPJvt&vtz(F_=#^RnPT1$7_hU#A=d{#+x^-|u+;lGh0L z)uPM-cqu8{(Vstar_R-WL-YEw1q?3oji5P-yD{Ip`>^|2MUuSu%!c5bcUo^5r>BxN z>Z^d@Mt!8a-LtU@m#Y>LW43P?=H4*$6RLG*v&dpHh&}uW+`g}TGLDNP2#GA1Ra54j zd-14JV%EDtrRPw!xLGRWibZf!q-SX5p9V7FLPhEfu@Z&i@Og;7D z>HXF>D{>l<6}C|E*xRsYYdkSRRWMgz8M`!)gdRzJD`dg9oQ3nAe~D%R7{dD=hEX8+ zD%o=AfYo7dIPSg%y~-@w4p(P=!kopAaG=9s$f+9n=19}_s+NsT_yC6MbzUXa*VpY* zx?Dsz{m%oR*5%NMTwD85Wi~?jM;C*5j9rN7gQ$kBjcaizB+n;>AVpM@gQzI18@@|7P`Ne(|_h;8lt5$W;EQ$KZs=sRPcTEIh z_k#ZG&Jw=wmm(VO=W?&_%^tkIFwQJkbaz1HVGpA++psbCz|I%gI`c^gL!u?V?1o5U z+INa7v90GV6>B(EuS>&YfpGc4HjT?R8*v}%_k(M_oRK9;gDte+0sSYmGOSl`0>O(> z&nrRX*9_{ePk%WPb2?@ysfx|RXA3QBuFc!re?kTtXV7o-DUCUyky1_;qBVOIcsD4R zebo=nT#_)jkvJ0y@=N5p`-b=*ofn*Uyl z)SskkRP|B%+bO+A(>SHIu$lJYn528dz!>-m6UQ#=-ao8(BkE8;Z$|UpUT?hPn)d#O zAo(rgW4sFi&ns$C1`b=KAo7UMFrY5?Wq#P@ zV##32xIO*c)UQ8+b4BH^rj3%kw*;y0Ms~tpH0RV&XO+JKC>zBHEevQ$%g2L70qq?JnOj#z;07idS&*%E>19;kTdlGJ$U(qj^%7G`x z%C3yok>aM0DQlA2_gDnJ+L^TkJ!;ZTA^(-hWJU!n!sHC!y50_9bcr5 z%KAz!V&XAu7ct}vu4dmRBI{~4G``MZVDpN%FL&?Z)A$Z+8c3 zBjWkZ6+@%RUl<~BpMR3kdxM}`(Z($jD`J{Ff!_o*Z{`hrlhE>y=NpG> zGTZvUuAFjQP0p{QR5S>CR?exRojipSKPsFy5BB;3ba%w5U#{{Sn@`}Av;0(BF)iMq zGj4a-#1|QQtz~VG4(wf4PDpwAv!G>c?yGKFO6LihPw+I} zrDiD)pk`7X@eycNC(eGMMa|;OUVZ=L6z7HEc<)GVV$2AF^LiWfVO?%#zGMf>nr^!O+G z8KnAS6abL)cy3ISZHWjiD~YS$)Hf_HLYe3?5{9(qrwX>V zzthq&0XBIEvYx{?*;_xvI^-LAa&;08iWEcVanXx$}v1L5{hf1V`5?-K01?y!lWput!!BX zTU*=ka2?Tto`pOyoh3X${t5xCy1J&pJ=mQGwV+6U9yAz$Sq))OTUuHF50!>J^D_XV z=}%CQJ3kLu_YS15B;@c6;4-wmm620$hSs7?ZqK3M8fnvinOigfvG&yTDb(4VMHFFT zV%|Wfz=qTx4`1+-ubM%p`XCx-rDef1Dknqhn&Bf)tY7REQ62>ly;=z#k)3gjON~Xy_ulg@~V# z{bqphnw1N6)fyeZM_vtq&QQz@<;+MSmaqu%hXfiHl^>Kc!@J?k1U3|^1q%>mY;h3O zn`S||X%Gq%if_xxArC~aKwK7Zt6;sUg-?`hN%B9UWq2R0BBUCLVj{9ap@`uxlEBZT zLH`p)1sObCDnKO5lr(ezoMV@S(pvahfpzBa3S+3~;WG@va=5^9&Hm4V2wV|?1uZ)6 z2Em?3I9yx)$)JLM6COx;!tz4O+s`iuzTV(N0{?6_^n%TSh4?4Hbr~2ub+$;*KLDo~ zgg+mG(+p>!P$SUCVDx_sT_FXB>k=@(YUb0AqZ1T-B+;`_I2cIW6c!ei4Ld0`Ou!{2 zr{>~C)b9xD4ML$VS)ouua0tU8(gHmb;EXWu?eb-~mH`(sB&B8LY;TYvYYvF)9dRSE zw}(1wTPKp+(GUNC;xpj=I57)_mXy@;E(}ZnwZf z&1HGr9jc%nTFL2~3i91xSbRNZI`C9H-mmpG${rIpyxXGovX@qQZYp6xe@fp{N8{_Q_;b_0c2j6WD%Ij@k$0f9$doI9W`gl8t{#0O&{o*|p z*T=ZYBnqIaBfA-g8{_hG@rbKkAAEElhsi!h5%ADc>srwtQ~JX}-y6ic4WT zeI_qsG3$SC$o4AX z#x3jB&Ud%_dPDXBS|u&tkwmD?@;ya|h3E>R^&cU3pVSKG6*kDttCXsL0B(LKju_5% zOW%8EW(eB8c_=u4Z&7|s;$x6V{|PupB~sWpi(7RR9)ErDvvz%s^tBSk(lExMAN@br|nAU2P4aV z#wU`8MjxFubVR-ruk!T+&IRnaw%qi?{@SRv)Kv?R)Yhg|`>1%psXCod^j-=M zIVy#xD*b9+(}j?zZ3g;Uz#z>~bMC3E>j%M9?b5I@i5I?fmvai!3Ce@ zmag6B5b^qsaxRf#>IS!)J0L*LNEu!}KR?ud<1>gDmA>mU`au=%*3C=B8uR(+Nm=i) z8jIJ4hvyjf(l}B;pktKq@z^GK+FKPzc;Tl7!>)Br0kwzOD22)jv! z9eu5ce-Un$tT>T=@uiv+;mX$$4T;*9zX4OgC+97nB`NB!Fct{-wXLMxK%^YY!J_yo9QbD(--VZr_9LYNcBSkc$l8f4PUPwBY`vCuP@cl`2C zZsY(b6_LwU-^(RJ(Z3#cT#IVgI$xYgL}{utH(og(5}Az#a8RDc@_Rep;ni!ccx_6+ z|Mcd$*{Azoy1HOuB=IwGR}9pR{S`_o5*f7 zb^pS%{5w~A^#02`;@O+@|3RCDNw~xZKTs`jBLj~V{>nW82`OCP{|onoOU+0N*#FLd zffm#j{Eh#DyDo4A`!D<##KXhHzwuuH8>;@we*v;K`#1iJ{4;{+)@Kd}h4Mv!tsk=S zM>YY-CU7q2zwz7fy~y=9_UZOwNp$)275s7ZOP%E$yCUMPSmW(GkyGRV-<(GL^S#^G zO1N&(%PW#xY`hhcbPp@Tb^GlIg`e2eJJ2VEh7)79BgeJyUh86zCR23Z))jT?(`7qq z8!Qq17)gL1uOe<`<=H=_aC7tw?-0k^dY^w}8JXH;Cd+NGH8cK;)rn0!Y5 zH(*IIb}K$|{xG4AZELEPd6undT=s&EN5FnFVIS)K*JpsFKQ~I|-k02oqHJPp-gjv- zIQShn%OB-Ca)e^|T({+cHx2QUmok3beR8kyQ^IDnJ9C>OZ?g($nMCqRKKBY-1botk z(@6m=8kt$6k`kK>E`i@bxfgYLpo;f`vCCeQr^C8jT4fVHdU?k0jT&?qc zy=!2Vqo5Fn>f?jvE^U?lMlsu*ySfKYzJnhzVWM;g62=CF=UjGgLJ7mOx8 zxj##=@p({0I2z*fLvMAQul?KH_Cs*l@fK&<%$m&wJo`&*SLPEjh+1YWv)69ytLFZq zFcYr=vX3*y12dj{QE1z5xJ2uj{lZl)$*)dItLh*m9#tb!47$JJd6^-&x!5{slyf$L3L(m3;jcyc{Mtc}848EoXu5U^4X=5lj(9CW=$SM$DC1iU$ z{g|PJRm9T2s8;JJ9OlxCopyfa5Dx3|#eYm>WW1vj((!_CqT`Z92QNhokf z!H4nJYHEtV2T#$33lHwi;9*rq$)V0odeIUB%}#p9x7bd46K0-cBvD%LUS+!%+tHrJ zMBioZi(nY%15($eO|S-vSobl#l;^T977LcVA3ukS&fYVlu~v7C2|bMqstQv+gnkbA zsQpq;{-=FMZ~NW#r%I6@xUm;Zn6wRmxp&gQ>JRjD?tvLE-;I8p-8=6=W_#z&3Q=lp zvs6aUCXl>lV0uYHUMK1KtY0r@gsSd)%MUa4hbUF;!k&pOm?w!(M9+M)JEnM8-!z*> zw#2`~CF4p+)aWkPMG58GRR?r{$2h8_VS4?9mQlz*vT0Vw&?+i6xp7)l*6wa(P0u%U zpmHy?vAJWENzE$iReLqQ>jWWPE4qL1_4+T@9)CoCKLpAPjCbjJchoFg{X@R|mL+Ev zZ|lD#W@uEctdYxu#%c^2H;irY$=F4LSGMtZ6jh@MY3MJ-)a;|1hsM9|J56r_T}x~p zu}_4DPwhLW7%r;&KAXDW_hNZhVz8+(rgr4_MNm&n#bKTDV$+}qOUtk?v$2rWPx9ru zcJRd70TH8h{4UTkZrtI%l(EOmd&A8mcPaEH5Oa_R^1wH17l~mM#)vch8B~5dm zsQRyKTL%}FuW3JdQ~zF2<0fQDT|dx6K%359r~3kBxTtI3Z?>`p(t8O9KaX||<8sTQ zMw$EP^FT;+>D)3Im0HdRG7iHVA>kRlpN>fB_)Q#AUKTaaF0O)~r&t8!JobTc4WHJI zxoy1sk_*e57U?u}Z#q9IZW!JJobH*GYnwYq=)~tV;&HeKrbs+q5X4^aw6e~?;C@7B z57;ra-D?GW$1jAIwM7&-yWZzf%T_4vFR_t`3am7po?e1Vr)N|&Os>P@vw-NNjYjA|B8Lmi>@!^ zl>G7r*`T0`$JRYGA{t%X^?AkSZ)m7{H!iuCKwQNyICt+Cr!w#?DBJpWBK2rx`-xaB zFLAQ7%caunrbYe5!?(k@>~}pt2@X9sZ~G!TM{@SkdO!+>M<|(Fu{M38`*VSBzuX>A zfVIF7zfNSKd zp&MnC)U4NgHh!QaZ9V;hQuE51Pqdu;BL=zj%K^KDTXf<_47s@KL$v!3B?Bh5u1u}H zx8kn71RZLaxQjrQfQU|Xs^qn2?I+mA%6d_sMwEJw3<|$0Jjh@a*S5V49=z>bWDYN_ z`1t)8jfq7<$v(YqVePx5wP!$4#mf43c#zGZV{Ypg8Cy$jd-F9J6~jdp)2NqK!_!C7 z{tut!PGEy;YI=_M6K0kJ>(_1TaLsYX-ts$SDLi=SUrDcH)$nW^P?`Ia4-t&|7G-q3 zllRiGa135q+asl5etiNBsn2l+f-C|CnR{`?grcgJj$X;7%}d{Y!)D8ZVtiIEzROiL zjr1+uI8^6^WBX@Uclm?zT2#WG=Qr&U1rFngB|&794BY@IQvUH*fGzJ(_?UL)02_p& zLX^0f*)Ndv0VoiK5>e;{+wlQLvv(r)3P?-*z|h(*l=wkr8-zlAhtvIlpAWK7s6#l8 z;5fEIk^am76$29o2T>kER(i(yAn1ejFJlEhJ`v?>I?w?XdZ3~i7(#dkeNbUW6`ayI z2t|Bmu3!pV%FWCWH7lGLw%l9WI5^x5L-dOX35A&L!2{^40Ff{}a!yQ!n%#fIhR|oA z21%)^g^EIS6fRWpA|4A3jV(}42uD*EiV-R#&jhiMNw>9ic6UR|0YnOk;N-Qn^kp(= zt3XDMU{zSq1r@3==TImV!yG0G#R%_26ce0>8Tl5-g1Q-`ph6Vtj9C#0EjJGj#L$SK z@(+!q(|~oxBN2B6c%GoE>vg8*gh;(QEq}5U$*1~(YDNVHp#ap}!U}=)M|S(_j~6O* zfrU1(u&ALq0JH}{suEV!>xQ>|AEEiF6~tojM$N+U8IC!48M-T=pLr{QKh_G1&_)36 zASAVj4=N(ihK>SI*i24NM}B6mK+nu9D0mrhgF^}dmRDqgP$=0fm`MfalZRg`z@Z2) zD`lb3q5o?pD>>w?T22sM-u;t9XlaKE)nkRigdP)peea~^qR^~+ z=O)o?iecmx8tTj38Y;YP?vxDv@qfd`vy)|H^9zN7PIj3BWCly$))XuB|~E?~Yqz&w_qCCB4*+ zFQ|!lE_&0|o=g&u6@6Zv`VtvWC~IcaIZQcT{X@Ovt(}Z%O1?~bq`p{-&|)^cb9Jc9#yYN8$%YxC!C!iL%IjfcjbN+)Ct;I>3qK1 zR9RZ%T%(S$X|cN-d9;xujW?14Dp*yIzO+~JUeWbw-TZK^%uagbBWelU#iq9Iu2VAT z0e5bXjhxD`evt|CelS%q%-5q8W6-K2S~=2us*ph4=K|(#XMVQ3AH$JH7xr>B-K?ZL zZH6JsQ!As%HB)XlQkV+7bP2S2JsUmT*P+Hp@Sw54MZILSe6M^b-u7b+xh_QsxXksg z# z{~${5PCFE}j_zoAh|7`{_=$t!mOww*BM>0goN$vr=X7tKx0+LrKwU?B^bLllKJM=w z`%3bRArerb;7?cGjx~;jej}QBHU6>D^Qb{%Wrv4GwfeVvos`8uNpi+$V<$~sk=#~P zh9VtiMGH$@NAot@Llz0f^vwcJ@a{uKLP9K=-#*K$+(Pqm?8FgY#lX2AOOYOL+*|GX z13=bICT@!-{dcOS4dmJGTTV96R^P8Ya(=4Aq&sE=mYtU z1rn(VUn0!%E6ZrSG3W7WTUIBB&1@ST@T+las)9fkky-A+Yl@8ss>=}$pNobRC3#zK zUPh}9KhJI*`{*Yt`1sD!?4u9yw|H}b)%2^2;nQp`xdRVRt=%=_N8y#W13x;ktO-#%?lf5Ut6%^_WNIBWpy-5Fz0TgTKaJ&HuwS zyAZAk4+;JX1p!=f{VNm%8*m-{S}S^bq&qG!clM=S!n+Y90hRM z|F3ZLAFdfVrwSdt5Re&+Y(kJtD6$Egv;6n}&42!{)}TP!G#}N^7k5jOE=*L|e42JU zwG-~UrmZN=ex%ZdQ`zPKILV0w7I~|_Q5s0)ehb$(j7%9657?;mud@B@s`AW`2?Ud+ z5N+-D)8v2A|17&WK$N@?o8+xHs6P9Ynu@IJupR`S4l`|?w^?E|oa{-bZFNLd&qOk4 zBvCoX{eH4;l$HU=V=yw(1hw01Mx|toq-Fi?AAaT$ETKc~VaUoQziq<-`5bl6R4JEM zN*jx>ESpR%@0N7lC>oiz8I!j^a!8%H1XN0*7Dwz=Z0}vh&J->UBtTv1m>?C4UBh$B z4v6fT^(tCAfnp3C6Z)r2Dp)W{RBq%| z-BGqvn;i7L_C;ILz3s&aelboou*9-xAxo0q#^tpfyeY!@t7mXbGf~G!{=t=EmCZ*Y z8{nx&I{MTTKObk82~oM8_|T}NIdbmL8b(j{3~yA|S7LydZ?8Pg?fldvJUW4uU%`Ck zo6Z8E;gkxlGj-oJ5)2VPpn0y*{*-*{W5f`L%an( zY%wjFFB8Gt7in}&k=b#*SQG=Ydx=~}FR#HmPcpz}R7-bqk{1rq8qm{pN(^)#Y+Q=b5eXq!ly}mK# zL;Z)h*-)_hS;U6lGW6~7`(6)*AH!bZ9$ftS|PB{GNf4N&J`{H0J0N2j}e1{kv z$G1Ji&+ismbuHp{5b{ zT(r2*ooCb&7j%c{geyg!DH6&HOoL|`Iv(i?lUfG{zR%`6$rVX@Sv{j_*|zn~)0b3x zeW5i;&IwK}OCa${59WRHUq6|1_{YSy*{GcxeaU?-Zt<^za9(zu3nEx=$F7v8>En~( z4qI6@9lj#(JNUk0-chw5G|`M=7I5y3FxW#K_PyzpO<32*?HfEbLX!JG|~E^!%XV7E?DgpIOD?l8#Pm z82E+8!6%{$%p*Gowttf`sTl@W)VKF3-0=&k8(BZ15O40^A@*t<2D79J_HI$Fcc{4I zrSy0Dc-N0`QtLJ&XGvLna!Zb>o&Y?-S>|Ncey@b;(L*b|z6F&lECRZL z;klsb&EO)Fqmy68CORGCxy!aLzF|Bf_D^38d|D4GtgIgcqA|r4IrvKEj$SXy>pJ!0 z8o7|J~a@Q;jdKSK{J_ogL#Du^hbEQ_jW z-|hQ~Z`=L5QDX`Z?5k8qKKno>Wa{=X^%n-cnAY{m)LAC<7xh}VGwPk*)&Rz^VifBI z?Ut{se!&m>e<*7Qr@YLo{jwsMGqLs4-Y*U0Ry6iaQRcPxtndD&X17Shq+-+#P5Hco zA*$)!*i1qWqN=~>TKOjz*VBI&QYhr)m#J!TKPm)KPV*#}`v*1MKyBv;5vkCfLMEf= zq_o%X7jR_WQW#mpjxR7DM)L_>h0Iu2b4h zGp~@u{IWw;aI5_iVU0>)nzsh80 z;j)P{$>#pbBT?A_OkYn zOwkIwNJb~MbRx?OZNcqhxXLDATFa*pJwRZZX* zUAuFDd0EQUv-X6P<+WNH2_>QIQvsgOcR&7qoAdQM@GabBvoE%6)iq2=D%z(ImA>lh z7QsM{PK_Mm=ZwKM@;?O z{$cSY^TZlDkBX`fUw;do+Kx#0=%#Wq%b0lz@B$ck@1d?zW?H(IsoLH3-^v^n{KlER zLMY|=yqQAG3|Kj&k7IL6s~nNAU3Ch3T+Jt}Z606w?&t(V>c*>C=Md2SY3me-M!f2_ z>0%Sm)OIT#So$pzTH5guGiKq)u=g?OHAC?##!PG zet38cXYAWIL`r#Jg<^nSTd;oq`VIA{m^iR&`^h2qUZ z;X`+U|1o@p*0QjT9*Q`x{jmptK+?zM(;81TtVIka6Lle%W6@t=g+6F7t^!&e zSfS_;y(AJt^##_M&BW5uHbNc=2;t2mf;W#CsWa^)oSY2aG;;U@iXf=lfS0M}pth14 z77Z+f!ZI?Q%eaXt3)Pd5LsBU~{UqFH#D75@YA2y?65)?XmX&K3VsVB-EY9EtN_SD7 zK`87q;iUIHNNat3{6Y}H@|lBhHj+H`0?|%F{s_gBg(ZF1{jkX$#+$)k9D?IaJeh@p z0!0Y_#;g#1BI-{974&vM+#L{C2Y3R4ph$FB7_iP{lvr4FP#TQbVIT|=*=-UM3N{X| zGdE#4k5Cp03!#w0BFG~zip(k&ghE}0_bdV=5&5mGtdfxlVkdm2sf40RsH(KLLNOvR z^31>%(T*Y=_)u605hU!wPfALLbELrW1R_R=_*2uepocQ#%Fy5d!LV7`IfV${N9caX z8QDK`yY1~Ig!UVQXC3^AcLsdEAgbgaw&v*2N4W)s0ps0{Y@P7n>*`FU{ObfhyMH5v zoWP<`n79OgUSM2dcWoW8|A79lbCf95!rUnx3bi=*1%+CgJH3o@5SlyXKtrv}QT(q7 zS^q+u|8cJT|D14>M>;o9TCpk5!K(6?f}b=^n}=!G?vSQ`FlMiE3#Uv8Xd?FQ36Yk- zH;>fHA@sTNybb1FCaMy;iRiq>o)t`T1K7V~oHJJPUJufkzU{yD?w+H%nq{l@@bx(S z2lsy-3{!y8cO*`6-29pLS<7M$UHdb$nrg+$E}AZmL78QO{ktiEmPS1B_{XF}v9)38 z_?@pdN5j>WoA(c=FG|cD-1ZV}1=D%Q(xisD{n;w|xo^nm+gBpGHk1?;r5s6Pk7~xt zD8Q`i(jBSzLA$PxFIufqI^+jpqN^v`RakcDzjj=eJXr;}y;md)*fjK>%2gyhTJ2I8 zma*=9LnXFk|Mccw=o*g~Ah>=%b4>Js3nQcTdxcjoeB~zxFYHtHT;k{Bg6c=6 ztqzYbW%7@9ZRiNOfkt57xtOugCnhFE5i`eWk4bhkHJ`K3c6&YZ#%z-du>i03wKH0Y zt@myav2e^8s7!?Cj9xA;o_WV*`Qk}>=-e>y6PONVZT;@GJww~6&)@rXF_c=vjP@el ztEALg7 z3B(Xh{IN~oYVwqG=nh)%PAqV7vhyBMWHrx7JIr`T68NhxyV^vqe($PWFWbZ=r!WSf za`DwWF{{x0r{hV?TjTwm34H#mKgLH&3(wip@1~vx0iQ1Y3$h8jH!Mr%^%mcJ(UT() zCZc6=_2Y?p%f4i@Kmc}o?2Z?!o1XEWuC1pBO^w|9=(l`sj)ukYiM*1+bAM6r22%7F6wGi%j3`M-}D0U>1 zi+F47k7nS@4~}=&CaG|LKU@1?96Ox4$aDW5Frj>h+w8O=E$6B!kP1ACsPS-BO-EMq z-J3(-h29~gx`OVKu19Ci*Z)N3^&Mq^T^t)T%AZZBt+64vMw(jtNt<@;V82MGWOQ4RrNhxlG10Ag`NhPi%Pe)InXa}qzXSY@OF+WUbY{QJBZj40?4<0i zyS#RWFPHh8H@RL?RXE$9qOw62Vd2Y~FT?RUqw8bXm`V>WJk7Ua+dWpLauc!ECLb3E z(osiNT5^L8W$!QYu8h%LFR?!tF}!5fX5gruK%gO;185l{>n5f@Ja*>Q-y%_$N@IHy zR?(`R5`06RPB4L}Vi!0H`)*o$JB7MD=vujcetUtof8p8etzWYe5BoadYm*Y-$DY3b zy%2`al^-4JGq@sr+gGe^dd=RYU|nst4AX5F=!*zo6)54!Crc|H@~R1)hJqvit9bOo zGfz`iPU(f$!430n&a!%b^w2qHLNV#+OL1QL0_zk(7DD}gXor(@S&VTV+FDpO5|=LN zzI+z#t*P$5utxbcm1UN=Tutc8e_7N)-teJ{5b3!8FYxm}-m;PF{{QeMa`8|7@A+7r z@Sg_!K$XLd4D=%ZD;)%|E9kFu5Wt1Rzfw|wtZn~|l7dxeL;p8Q3gCL`UnwboT`qs6 zq)6Y&Un%K7fHQ0^4uuLwh-L(`i9|M0$R--Gw)vlh!G8lz%wcezHP>1#mD!D4QKT`$ zU-~7UN~d?2p@){+>)|B}u%VGu(RYrYOZ$G*+oBmacc-m!qYSI;`D!V$n&+C!&cLLi z?04}cI|I^E+Wn(T>6>+|IeRb@&-m!4D~?3H=?oB?txt23XR6U%eE(chfv16=0av-; z4OZsE9EM++>~VU)_(M3e1oo91e4!>c?P*$Rzg$}RjD5|{aLfQrEM?-yd2lOEW92@* z02%?Gjh+?d^Uq(0>?Duw_1gp-W+k5+xa($VS9RsnTS|R6tiwqI9tf;mfmoGFB;*TRSA;O zl&%1^YcloDo#nzEemz8dDzzy$I+NL7VsMpv2oTs18UL<>_p{?2v%QUPq|%=$FI8K7 znO4ciGUr$tbM#p1>c-?x25Eh*%agPt7=eW8IkJ)cF<;(Yug4#4Z@tejtmT#`TnLK! zUbKE`HMbI^lBMH(IO8xkhpkkV8X#K3t$v9@_je$0Ka~n>W$H`qC5>VibYB0UQ^>IK z%RW{9U41&;i%6kbU?C+`!EP7zA@c6s$v=Rwipz+%Z=kreoh)TM$a&DH%4- z3}PK>U!_|D7aDCeksc2c^;c#0mngDt*-C-kBIE7S_@F^B zuD6yw`+36PW7lp|ts9km0bB&?IVmJWw8@v%)ylyyvM4_jYz;h{0I9Ts8iyV!TUzq+ zX9Yb{8T+rfmG}igLX(yGx=(q}5c`vXun@nOKe5ra-LG>yWc_}ZrS|>A541&Q{*T2g;J?ipXes~5U(MGLHC?k&G-@Z)5j(Z7{M*v8S%?(&iEDB0ML zK}};g;zc$XG5xT8q@+YRsP^qH~I znObv>Eq$yT>YJ9qccP$tnK}1@Ezn6E8PO$Y(8{@$fpt%~6er8?vRN9_C2M)Pl`X^=Xb?V{0>qgZMMxaWkx_6`+~V z1^0?$KQ=okB%o!*Hku6H%b5|SEAAeoq3r9$)CIQe@d4-U&LuIP{~8a70zI84Kg%-? zwVU($y8OQPEbbamE#j9ZIDW{H*&p7CPCgu6nWLdF%yUDqm%{6uphG|pP*gyBde2%| zF?DR)BXd^9`e_jjFQ25HcmL9FdQoFrSI~ND=NJ&#IZ9&USo&#g6CE3$OaD$>cGtwz z0lN0>tZMMKa~X%0iC@M#zU4O^P`3%l`DK#Ycw%-@<4|8wC7cZ`pBL+sF)!J0nBXML zSPwa8%2T%dlUwOl{V1#EtpNgF5DVDVHT9iiG7AT}%+qJlc!QfKR(=q(iN{wq4}SVA z3(TE8{mUtCdA-bgH@1L#@zUe^mM=%A*qje&SxX+>$20^hCeIZ%w0$3z9uZS%#jI`* zJ}+A5dDgcq{jeagWs_P7JV+ft(FsTYFW8_t_X;pZz%q;(w#}*XC^i3RHHi&QXdVnGmJo+`eLTJk^ z;#>521wZI+JfyHC&CBS*gx|D_mDQcxl8GqjxdIb=#}|HJ;xe97w+o2?@9)GtEi8M} zadg7LBa@w5zQHXhrD(sfw2w`8#nUf+3UJuRRFiPs%qXQkZ<#o_dceqkXLL!guIYC7 zi-nGh_yWM>eAFzLY3ki}NFKeIvnemS^aVAb5nZ{PFhup>X-*NSZ|R%EF!fLETp=RA zsCO$dv$_voT0gQ8#n zj>-KJ_W3ScH;%x6foE$U__lj!^4k$PD;p>nn~~0Sz7g`Ek}ICe?5(!>s9H`&b`>E(=-R$$?qe(J!Nz(rkGxvY*QhdjnB6%8X(@=Y8%_xDH!_Hcl; zmv3U-%FhcyiE|4yGKSVJ9?3n!-wqf=EV8z!1jS51Q2etjW_CTptm<86QHKZ3-NTdL z59xrAte&xTX~PUAVB-wUs_tI%jO~z<3##k*va@YfF7u@{5}UewMmJ$l0hqfy-1kg< zwXA-_DXU}%9Z$$BwVg{qFL!X1TXB@H3`VSQ&>ET?l~o75ACS-CeQ)Kwm17QsV*swjN_lvG>b@hRW>-wSMs(;SUt66TM6c$sCxOW{K8?G?GsE7 zN@YnI`zho%fp^Z@m4rq`GAR1pZ-7SkEUS3%o2Ge(xO@I;_l{WYhncwx@W7=G38LZ` zBoVr%kFXxKIW0QSzq zg27A(DoTd3L1Jjih!moegyxL(a}eS{kz_+7oa`-}qsaNS^g`Q&F`FU^B6ZA==0}6g)eDlgUCh zCI^uuvfEG_iKz%nsRacfnS?f|P#dYCt&IpHVH-VEIJiTn*guWj#84S|-#0lW1(L}B zY2}8uD+eK%FW|f{kqKY5py>ZmMgC)(dM1p7dp3v|})lkEmIp+eWgTu~-rPFRTA*ur7~Lk|mSW48(S>A`}w3z3m4 zb0a9!>f9+Qg6R(-rk^`if}noR`F};2X@3GrD*tcwo}Z$fY7d=>G)vL`%Q-tdRYXp^ zYf%5?j2##G!CetChO9?+P~AZq;9#YY0{*Sja|^!Menlquh3rgjD|Z-V8u`e&hy$_H@cDF=?A)7K!WNFSV4N z#I<7Vh!0P_zbf$n`f}q>n{xe9#a=IS=pS}B*z^sRdH-l3H)C02?24SY3G^Iu!qRFu zec7pP2+EX#;y9V(Rz+^dJP#ekue!hDmJ7I!TM0vlx~vlI6+Dv`Kc@Zq;&#{Y>g`DV#A7OTe$7rLh1A|59Nk2}O{u^YU11UR=aIzBDHEPC@B z=RegyBV?kkqNml--#L&VtROABMggv62ppd~4*ZPiGPC!Bb4UHx`U$N*6NHya9e%qz5qoBR4^85P5 z(6?icVhhAI!U~zwYif8Of2o0->$v@(8k8M|txqzr#~&>Qf2WOk(U zVQp3LY0;Fz*1EotrryUv#cww5H7sAQF*FA`_w8B>`CMMSsVn)(5j{1(ByCnKh#e8T zCj3J5gR)#P*kx%pZ>`kt^!=1UJj5rkH{<%+F7P|8x;1k;{=);NDUj;%go`h9vjtPS z_tAyjjJ&J4vZj-m1jmkbG!c)@?y&=T3=gxeV63|*{Tvt^S4xj5lTx~QEa~Gqe3x$Z z=*kQMFRu<#LLrPdO8mZPUtFCW0!Rm{lAU@C|xgXm8!JO`z zo_Ne!+r?sxvM+i6A9rsV73JIadk-y*wA4XJNJ}U!DIrKV(kV&_0uoXp(hXA5paLSP zgo1P_PTD@+AsE6&)&b~oXmi8Fz3mviO+n4Jm%k);v%V*R3iDxc5 zsv=@fn36@e06%v_@mg(mQvu6HRn#v&C<`T43!1JpSy*iF6+5I~09~dI=jYyQ)6ALz zXT;PGG_$FhZcD5sB)AI{47|wJF#^A@i(Dfm7cHkC&hr^JPpj)O?Y?u=ipK7Nd9#oD zn&d;^7ksB}x>DJrvnxqu*ez|bDJ@-yZJ_zNHCFF>Mz7}pkb1#w&lYODQHT2+(Ar0b9j}+ho6Ri<+rtH&i>iIc|^RRkpFuW z^L+ojIRW`N`r%J3^u-eYGskTDhhx4)a!i(slFk1a0wROt{|W(tH8L9hFEA89SIl2w zD7Z-X{tFBRtuU79Z!i?V7e{}Ep#T$R|Njk!{=+d}%=W`i&5__T47mwMZX#yK{vE=+ zoV=ufarIW+QN7&i7)9bT2W(TjPyY0sD3$URXR{$MAa)utd3PsDbEI#MP~Uo1Rc7U$ ziD+I(VH`O&=G%z&6X33|64PL1l?_N$`~z9Imk2S~ip|8fZVUIKN|qH7)B#(q=or@~ z1It1>=fT_*k~ZIgS{$>1K}XvW`X}ZI&ael)Xkt!gD`Jj4SmR!Wux>*r>S=#gv$bMZ z|B|eMqQEzxxBPT>WrgyET26yUKE}X$69v<=!P?vEWjfblWb8sRfH0w>O;-R@DleaY zm{aQetHLoQQ9X%5>4({$g#F|Mu7k$Y$1j(wMFJ`6Vqbk0`I3vCB&7bc^hv~Xpm@!f zA|M}7zGz*FKKVuNai5yKX~c9>@`>rRZ=UjD_G1?&P0Ryk@a9|96RM!TVP#EedSACy z?vPqNv^%$aCVbny@p^95JpoMNukUbaxO&Z-Umer0(|XSna@BW{HK^*jTamk9<%%C5 z+~ucOru8=YUTD`Ba1($3!+vWU7P}_;5P0|CMA`$#UrN`d4WxNa@B=$ z%n#OugK7pv33)k5!=S%bmsQ}r&UM$#{_(4a_h~trsP~J)gos1xB7Ljpg^Pgt_d{89 z`dg)B2uIg+m9Jj3w=V77Jq(PoU)mWhckjXgj?(%uoi1{wj2@$P?gj>i0!w+EZHoZvGKYc=I*CiQi>4R+1 z+hNpRmC_ZKqdH;kDrx(455Iq^#OoAlHao?-to0b&f0z~Vn37?oqAPDG&s!^*`i{}> z?feOXx(~wF7dT0MfpGseenP)(JnIWnC_zzuyJKbsV|4*xk_Vvb%m%(x;}|#G6kMub1*E zm_}ZXLociVWl`ya^Xa;SHJA0zraSgri-?PK=AUK!#wv^oM@>r?0|GaTzR+e8seU96 z8Kq)!k;YOHqFtXIn)>!-O_XzId=I1w;tpU7F7NO9&)hBvANuHJ^vj+k;Jn%-O=^)*%_j82MI`ylJ+4DaP|$CTuoMyw!9hoVJ0Yep z!cI7eXGYJ(<{V*dPZRZh1)MNxJU){p^-r;nG^bA^MHdyn9X`UvQ~OTiW`XM>$3D?(*)avw}+ScB~uv>-lE@Etq>S)hw;QBV@kedZ0&+E8(X{ zU)_A4U{XigcJm=_fThyN>e&;Wz(}HXfsom@JhtW4}6nv|QEZxzFwg_|N%H-EeT;1MZWkqf7$4>&{U%oAE{$Xq%8l8|)-8(e@1B;yFRxT)>S;QryWad%4 zD-HZU)%8In1NeXzOH=>kAj{}2^hHd?DA_q3(IDdvUd&} z*%iL6pl19$a-P??mWuyL`fJb^Qc_VhF^{@qy3=zvCVK@P?Qxg2Q(PIk{?MqQ=S?Xf z*X}t&Z^1~{b+Oz-<-woXiKlGE@=+dI|%K&7}U(?RA~u&n*4>ihJ4>Chi3Ie0IBiYjwz>t)_xYy#j#I5_~6IFadhw4d~(X@s`);hj!8&He(5oS zRM8|DY^s|+Oz6Rs*0A)5XlMtl(gydeUAzh_hL(ZXCnz@siiI5?*4Ng5--zD%?T1%{ z|IlUOmb}iy3`;8om%(L4ZSY{>h_A4wV+xON85LX4*Q4V17`Mj45;aiLxt~+9h6d=-AZk z55l5B4?Z=6p-cBTHqjM+5n1inY%B&fv$ADG5s&QcyjeXjklOf^U{Y!1l&DGAW9A4u z4Mkk;h)Ml^+2nVt>*!rm>EQjkThh$hgMi|`b4)V+yXFbq%RDMpu^FTD`^-{z?V>Y2DLXxIAV~d9%BK^5zP@x|QD!frwP(B#l#5{PcBI zd}_BAL$3}2*Pys9fcjy(YQy_=Rt1C7T|1A8`mx`AC&tOyq&KzoU0zgvng@*X&5Rs8 zDRhRW9h7`Bv8~-p1ebaBY!cI(zD>f%2Tfq?{5UiB(-x!B{lHhHy_jUw41%hUr*~yi zKlbeMh}pdcyI2p?U%wiccvLpNfkO7c&)Vlje({uM!D4t!<3v~mI>5en8lN=y+j&IG zV@XoB`k+~h!7PPUIXFs6Gi7)Mml#~Vp=a#;;?38g-OC0QO)WF4KS^1x1?KRID#c{C z^pBnbzh zdg4zPjYUXe)Y5@ua?qL$7eTD~LGS|M9Snek5s>i01|&s)6q1N-Qv}%EJNSL!*oJAC z)HHBl9wsd$kZ_1&3z;3&Zw1 zQv?puvi*-|8~&1VNZu}FlMul|;QFbtA6X4GJv;kHE(vku!UE+VA_-q`V`9S^9(*_# zYDq$(OsJJKMPNYJHjFEU7f2!bg{AOtE+Fg`YQT8m-bN}VA(%vtj0_qQOG3g56_bC+ zBr+ydQTeWw9rRwoMVw|KCWPn?LdpiHoP^(j!bvn_;v_t#|EiOR5u#56g8oE-{v(^b zFm96-z=2tsZ%UzXvLDV@>j|MLItJU0L_Jd@{fWNi7cUc8yBDG`t^%=RepGVgg^rfpv;7lNqD+YOv1~;@Mc0{ zi5ys{g>2N4l-4schl)w0Wbz`2(iUO+G??JQqyM6nj8A||B*Ift0|M<|TFF@i0+9;; zLKkvLWG56-D+*iB@?mJ}1-68-2*|19j7vE57qpU|ZjCER^} zL6y6(UgDCD4l)@Q3X_q`>SrP_kWmQ+hH!l&Br?92`OilH4G8>;j{uM$)57Xu@N>%| zl+e&-@!50~#Lw9c#Iupvb7qtRC>jw(L2S-OA^wq#T)eCOkIip{XT$|MEdLX;`5!;H zIP-tRZ;qh)pIPO9aLj-6jvlNmKkc;Ddv^yV*baTSJ|a}sS~m+nspJDEi_HOI)A~A4 z_Xx6%ldhMJFWN5O3>j{{vE*LH<}=kV5`LV1%W3Wgs5l7T>hKB#jS9hNY%kKEH8_2H zv}g1)?If123tKDQ476(uqTQ9JG@r^o=Tji&X-L1!g@15GuBIpVJ1c(Rp(f}y6FZjM zdw4<^Li0p*|MM6vt6Lw6S;#tPx34nZsbK>c&S})0>K)u05gl8K3jzjWpSj&RWM85Y zC7vE}pL~l1Yn4s4qC_`kCdhWV7C6t$e*O-vw@)0kAE%1i?0jgj1F*c4cJfQ8IX>uI zDs82~Q8s=vwjMCW;89(_^RwVRZ4ww?N%dF|$jkjoDap(cH$ObYFp?ANZ#~EmPQuL- zS8NOLYhKb)D>nx#@q&>ommeX^f?vh>KDh~ov>Pe=B&x9jA>AJW?kwiq_&8>J2TE2a zjo;J@EI(T1xA-10T)!YF`CfSHJ#_`USh%Hg_&Fzl;%AGjBPXzc4K*#SesC zdq4CQ&_fFSRyM^SzbX=uPg($#XkJ zf%_}%q(Ods!Oes%^Nxn8tKTyYvu}w$k z)J`!lp!x=nh11N#)q*$iZUytArpL>AcTC_^wpg!whG?1!ua2mgFqPm-!rvey5Elto zOpR-Gkd*>+0enFsiFlXaKP#k>8OZLG~aNI990gCPFEcI1Iy^Wv4 zzs_iRh*+kHRb8~Z#r%?&v?oO+D1gW0M|6u^*Ri)NI?|;#%c+UOKA=AlTS1R3)psd- z^XfTh2&w#>|MdBeokMU0p>d~>fwk^=gT0b)yA76trV_#eWGdb7W<~)t{WlDFi7g3z z@o0YbN?Sb&%j>Y!E{)VV1~~HJ{Or=cmsReKc5B%Yht_|tYu4nA8l`=yUQHB3a0qE>;+a`D;qFNC47xRqQf#<7MZ$}Cr z83Y|Cty8azYmE_!D~ri2{p@W1io8y@5nyc&k|gpfyTy389+`FMZK-rwKZ*Qltl`JO z%6qiNc8b98y#ht>#ANwaddCn82R`GQfcD_K<7$r@uL$xR36uH*Q9Dt9;+2=6bo?ni zQQaC5XLVVuX3zl3?zvY$Qu!BtkSK={A@7#xM>I~LpRA+AMxrVB@=NC+4LxNSxpP40 zBxq|-TD&{(qlZdCgPmW0DVD53-|BqGzwgvJN!02~aTnkhp@?~9WO0to9!EW-t!*lI ze_Aa&o;ByqO-jpawDHyeBw~0j6|v!5GV($EaP4>bT)(Q``)kH8?GoWrO%#SeApauy zHt*4$(UTs$g@bE@a(cz_+`CQ@^m($8?b_HAu^?!LA4v}O}(??TxKluILC!p=4-+551_#?^uO^x0Q)8X%0U6r((yMA z3M`Pp;(y_w0KN+QD+dM0C-MULQssZ*pr8qsWB!eU{(~?hpnm}oi3FEX$W1hI6NB8u z&L+Zx_|H?=e@ZcL?N>z&vmM;&@=&EUeiouFCh!CUCw>AqT<}x2Ql?)ia3VpMUvY5{ z512)Cs#eI+`KyP0!6EJbg~ur`OvPcC1lsBj!$a2gYPtz|mbV_HW@aCS9=w;b9AXe8 zJ@rr7pa(_mxxS9Cg0JQXs>K|7jwkPEd*;0j`8Xpv%O%L4W10k%>y#O!YE9*4e~nx{ z5@Au%JFuQpqQa*0u}kgoXoT)GR>-it-BgZBlU zDQW#$@>rcZ>f_`vlk8Sa4KUhpYpR#InQ6Tk93hG`a*V`$F+=gfOn<$q<=eSB8mE2r zMv4}*hm}tnF9=^zkzP_s@`OUOoK6j_-Lw6#!u|D~LdP+m1Y4?e%z-x*or+uZowPS4 z9mPEHQ*Km+?o&tP!*vry_H9u(D#qZgT5_DZaxVLuoKA~Ys^E~g_PDobXr2;ah5CW~ zqlgb+*GzSP{(ytPHwew6TIc7=dirOZ!-1)4wD){vt{si|V7lh3NUy8SSU_E$B!5r` z{k+#5vJFGA*0?CIaAr5&LGS|4&pAOMfHiz)(UY9pt5wO+_s|~9eX_y(v3xT1*apNq zG?6uh=cX$~QLb?smfq(mQ`4QVE<_JFhv>)46jkoWky?vw>R^tpRPPr0*m3FdQ1Q3a;zBvA5-&arrwH z2TwPrUJ3+!Ninx?e+Q$&1pI5z=VXFaY8-!)NQN5!Ry^A=_9IE4s_t@=$nR!L$x8fM z^{cC0tO5~YB0b-?HwZ`yze*Fc050YMGsC|5SYy<4t5^PLaV?BmANS6RfBWT{`UatT z{{k7FwhW!w1q$)KwsQQESTE!_6(w)l$B;P;sQ5Tmny`TzJUe8s-7yv;wf%xk3?*D^ zDQMVjk%2VHdAz2Udi?&1}*xkxmrRf=& zq?;4uT>4afK?WX^;#=d@Ixi_8xc#fAfiGh_xLTjAn!Ah1y1arws%fBb|HA{k!sibq zfo;fKL>c={$?y|FHkN4lqRD%WE7Ks@?sn^S<*D0^K*@o4| zOv;lS->o8!kbE!QG%yYH?^!)t3)XuOR8ZQ<{b|KLzt}Nz@;8g^&>n?OXkuw2;5PKf zyAFw5{UDA<2Boz2qtLeBN~%WYJO%|tUq_ZAL0s+ZFO=(2y5Sw;ztLnJp9Z4g;7wy3 zVZXj&|2``5ISb%Xq~mLVR&Mv0GRLS`bi$Y{rr?}vlmU-h#_u&N^(sJe-oP21?BlSq z%MuFR3umm)Vp6Kt&PZrjr1Tx)KQvBDkTGcKT0gA>%{^qa4!&`3YFf69{6mJvXMdbsBA~dL@`ZwljYBfD z7%Xh!EBBL0soo3h%i58;+={~!H%{gen)^mE>Gx$VhgyJ+d)1lW;TEhK_UuZQLo8O|b zwo6PapZXY2K&sT*4N`Dehg*z?N*z=-iTc0{hAp-pY~Pws}NFYi6rbQPKX zZrxPo+>|1t@DO-Kj!j)AVbb#@;n98Eu|Z+{@aY;AkFbGrRAJHP4gmmOPZw5q$=F2W zK4a^fc!vaR9i7pO-SLi^Tsh`;d&xinDte-Gng;I}{ZdK1x5lfBh9ejGHejIelT6(P znv(YFb?~;b{@Xe(A(PZ9=~dOx_=1MP<#maB7T(Xp=C4WEha`gPzLRrO7KfO@1AIYI z<>C*E%8|+A3&%9dk%tQIh0CVEeG)~t%-e}w@X)Uhi_Qw$&NaBy_>JYzLGPB#b3#ft zfF6_Gk4oJs>khAsPDalzZ||{lCLo@WoH0hoDexi=WNl#*%G*CHF0UCBidefQoBg_B zVdq435DVw)h*@gU7ZCNS#VKTq_xX4_gPQhj$Ezkx{#ic>Syq2ti!ZX;!(sv02^CFx zdDEvkXC!=zDtYt!I0B{)0mb!=lQY;fg3_kI#wVq?@#hsqONU49KJjlFJAPfcdP4>( z1ryV9^1cjBf<2X&t-Y5$pM`%zK&O5Z`X#<;HKM@xDaRrGlhThus=@4{dg_~!>s~by zj)(Y6Z%0YiwiHZkXhl2F4T39{S$#np9=n*7foUbQ$nO%<*gcO*%p{?py2fXmNNEwj z2|+IXt)PM?G75H|(DIfJMsaCZZ~wfOuDrQTrcz88{Qn#zu*_U7*$Y=#rD4$hm{CBY z>6uH()V5ilbc+4=>+o+sVp=B5tpQ_`lYoUR=_Qw z^E@JJ8|Au+rk-;|0pGKb51%Ku4^EV`%j?&Uaqxk0@cZhvF{r>Hb<6D=-VxUv!Do

    x9>+`MmyZomi4ac%df5ve(cxTG|EmhMGcjDSx_^p@(Ake3D3jh$mF1Qf=;{)t&_q&!+r z2yW__Ja`Qba9P=df@c=j@4I z$q*R%>xP)TsU3J5#V zK7ICs>O15#!h#j3p+xe`Ca*=jZdh-1(Pi^TNlEt$w)nvLSB?43;n5$PH;rY%)roJPfsuC{k4=)6m!ptN{!-9f>oL49j@;*s4Lm#oD652C zdk8R*EjeUJmp2fCs>)mNa7iLZ$`pYijU1U-1Pq*niJ)@G`4_&FSI9&_(-hJ*rLJX( ztZ9O}Lzu3Q)K)%*72_UHAgd(w3xNIT$bsEOaI%q0<@iBuWdoE}nj#SS@O%N>N$C5A zMLdDLuYF%Xn?aG-^gBhq`eyxiOTPPFletdN>z33P_dmECLEbGLd=^41}c(A|eg1 zmT;UL2kCE*Pe@2kulNw;wuo@RhtaJtwzaDp-kbjURA6)VF#@qQdrpl&Y|joO5IeKy zk_g1^?02~0#apre{#F=L&4r6^|6f2gU%x7NXWLiBFEw4}{UT`4%SPq0C#@=%wB_@B zYWnH+DKI6aR`Zp<*$VVbGL|ZPM{Ms(GErKqL|7OUuhMex)qv0HlSZ9fuH@>kYqB>q zdHX0fE*0+nQqsl>F!%fc-p>Q&38SQjx3BIBxObfQY?1fe3i|EDIe7GXq}}0xID7X; zpsS&Tek{;yyOfr$dd?c-@vXM~ZFr=~JF@7LdOn4=uYl!ek*nQnmXV-^1B!38Gq*+L zeVe%6kyqn;4)$4uGjGD+M@BjI`|Q4Dx*_F~8E=Q~>C=!?&s8lF``*HM)%%GY%*{&@ z9SHJATYg^+63o4}b39m)*FG`NSfV0AbidO%1~4?d6V+edep)$}u~Ybb+VZFUSH=e& z(a&2j{4Pm6+Asl9hSkh7?YIph?uig6r-;F=wnCA??7|v5xt#b$(As_72DM-Z7S(;>sUPxuZ+-a z$GbXIuoD7Hyp%_-i#N?KrEEAB-+SVKH}c70Bi+kbKu5m1jKLuZ@GTEZ6PfDKT$Loc zp2w0n!?wuDEhzpYyRlpLUY&&VD6m0qjV3F+@uI*|V(4wSZ`U1@}^-T4f5KakLGWKy}R8%ohHdY8r;&@h|U*| zWRgyvx>k3bS$c;hox?HfBT$SIcaTxl_s41Kmr%LgLB&BeUDL)p9hSPu(U4O8&=JgY z2%M`u3F}zub6iYdw6oD_)zyIt(Q5&h9ZXl}(xEw?Dt}wHWQ8#p-;k*oJdFrR&4@ z{>{rEx{|}^sL@j|G^jncQanOKzD@(wITUA)rZvvSOu3%`y-D@yGlcM^C-2q9e3#HX zzbyaEG=AHb6*P6z-JE110OYScLh!Z`^wOF+1Sap?wU{Dv=efsP<8Vgqh^G1YrYO+Y z()AkxzRtMJx;^uU`BjvA?Ya7w>NPNNuuE#nkIlfN?482m2J85v_8^9-c~`&httEFZ z#kygM9rAfKJS^=4n3tmqThd-t&1)FC9*0(I?4B{!5oW{Qd16H#J-jF1L2IV{!rcuy zL~O=oO)DIc{G3K9iWgW-tL^j(gkHg~^T0=n55}_QG1DdrcwGL5Pf68v7Tr?F?|cmo zvj2sBFzyc0?{3pSqx85|c6o_`69z5#(-)UUR%Ga3!@LoS(2!XJc9)Y~7IAV}U)G@b zwT1Euhlv|Stcuc)g^pO6jeqi-2eTuaPie>-XX!rb2TW6rIxY!^ZsvLJwODp-<4$md?8be(DH=6W|hwJ}i5t>u~V9gdJ)`Wp5 ze`SII`CRiiCJ11KiEfH1J>~S{$sn1d$w1-kv_qh^6hwv3Ri-_L)GVY8=#*0U;YM&820#-`G#-at24q zu5u6j*3R=@#y?uAQg-ITBL5^_t@8iJ(3ReAwo%$xm~P)kV%fKBNTXei2<8r?i;@i0QV)d6CMuJ=FKoX_F>p z!zalwnuyK8w$4#^v!PXsw#CBmk5N?HyIco(nN*z^T%`R!z6ScAfHft}Z+Apfhn(LH zSTAB5OpT`;w#;VTtLhf@cuI25UJ;a1p%}bui5x}G_g=E!6Oh+@Tr8E=LF<{o6QX-O z<4p({+pMV9u3^21))!iLFgMd?vCpm1e6#fqYx0_S$V-D9K#0(Yy}BRXeu<1+Ip+IF zEagC!R@`>M`7ZGXQ!Pc^*WmiAogXRuB#txR7-VZEm+-Tgr+*TL5ht{UN_FjCDSixQ zwH&()KXZx+{f;QfY0*j=`w@uy8Ewy2^NNl@g|nv|Q0ds(&!YH-bN2q)gIgZ*!R9j= z**%qA?F5umjXKy0S3sG9z%Vw4+}9TLDSwI?-EFJyR8L=jB#?MNCOaj|XDJOtqO`DU zM4So?e#L05@hIi?oGe^p@$hP_+Q4|_lIA!Levmp_ZQfeLO=c-HT#c>LduK(#PGpK9 zHU7C9%gwGQ4xCw-p6gBY7z|gGcis3F-GKhnKd+qTnAddzi~X(~N;F{hu^LqtOlb5M zE50pKjNjfu*v#-lMw^=0RZ9S!mn6G)_hF>CW zUooC3wl~)by&k>o5cX%hI#kfjFjg?zXG-p1nKT$Bk1>vZ{{|)D!U6F+?Ynb=xnd1dPK@9<9r+@)RETTsCTQn!z}8}Wp(xdjF47NCoYw5K12Vkz44x;lNn7> zy%bGVnJmG4FjGmZ5mcj*GLT59ov9Zz%qA(@=;`~{H_M6RI7^UW;vr7%>i~gloVoN) z;!8u}_dUqXZFFw9^J3-*y}r?Fe2q464P^;9Z2#6S`|5-0EPS>mas{UacJ-BbW^I$( zRcL>u;oY7BHFq?scGZPvXU~VgPZW#YA>c?J5i+A~ znL9^|m}hzMRmuEO)$T6l3uaM0>*Hsctz$sqnoV*!XWF*|e8b4zaSTiUz^t1Fra6_H z+c;c;qEfekiiUS|;}Rhymx#&i!QBV1a%+_Dl`va+`sP%Ng++fD0uw(rj)~?9clT23 zR?AsDx;`+cnWme6mkwy2UIE2@DL}{YtbXpy#ea7?L&?(%lkB>nY5aSkl26?~_E0ge z&@lqzhfygX&~UFR*+d@V8M^r5DC#+fguiU!wzPZjB(l2?e3NwXE32-57{+>^Q%uVX z@i{D~|9DJD<8{s0!YNu+D^V*L zII?5bdq>zMegv@%K9O@R6XrxPJb%9opB$PM)kpEJ*t+*PhP5PK;+{lv03qk5et8Y6 z+gQ4u{!!JVcXgeAUMANnsT;y(xSB60tpU7W<}Gd#(f|f_#k+b&E+2bkjBM_E1iU*I z)gL8fmD2(~<#VEDv03lWVrHt8{rDd;ik&l9Iby!}Jo0lT*^*ZnNWOt3tyP|sh!mfU zcSlN(l75_X3EkGR_6dtGDO*6p2c(qHs#93=1()%foOyTO<{{1XJ8G8j@O~QKI>ey6 z4qTFkf80!XSsqc;GNfpjV_x?pgFwd)CAPg=n;j znz?PcbV%4~#p;8Y*K>h@{|rUR3Iz3E;WH^H>XnpJ(|i$iOf4wx;OP?(l9*Zwb}sV> zTf4Y>J_~#GrsQMY%=&Lqy6Z9;58V8#1~yUf1%a)bU&O1tj;<*xkwGdc*OcO&li+jo zg&=$ly*(M=Q% z%@Hg@a!c19EUxSNep8GxYS|m8SoBdD0GBNJl-(Fjk+PgJqJDO(Pp_3l`ZtFieG5h* zxW@S?5_F8p7M4Cd>aL0wmJLp&`8%neVh#E}&rRz&s+SK*?i^RD@c8^oCkx`nS2T9|#-NLEH!U5L$U^P4HI%jW2ADhvPrpnrWR*}AhJaKB zLLp@I>msX`)@dT|5bbgDI{|4`XPmt5NxSI z+x9;^QbQB|60HUVKswqX95OOCF}V;{`doB#U$o#{G~nO_Asc@(VP$)930w!NDG{Z! z2n6Ci@fv9LeASz7}0BLDQ+SzOBKg0Qo45EZ+5}88@rIj#+^2+?bY~GMW zu3_Q8)TTe8N~Ee16&k(aS|ol*NhKNr6Dg>KToUg7KP^Bob(4w)2#ZL-T*eDsr3@UT zu9EN{G%2rmM_B_ILum?ADB;a>f%C`|fqv264VQk5JO=I%iF&~HALu)W26w0+g}Vxi z+cySh9L@w3 zQ^I|oo|#)%T0%jEZQg%mlmO}|FOn#cwcap`@?V@1Hkjj)Az>Z*1*U|{3i=_FDB-d< zXR%-uC6Y*rz>8>5Rw)jDk;E-2DT9ARl~7g*Gbo`2{68_}1GGmGad95XNp~;(v3^7I zH(WFY{$)~;0~M9X2uh@+5;9pNl+DS>%dbOP!7-qw5~fkYJk!o@WG_x{|G@grh1>g} z0f7#cl!r&~Z-Vg$O+q|~_??MBKZSE8> z5Qj($`ZC%jR2as2(Ng|zTF?J^>r7~dK>c4NLI1yt&!n6QN`HfQHq6@08pX*i7XGjg zhm*=Cb|&+i%N5mFTLCcN`ZQkp{nBMqc0$dUDQ;2pzCUHJ9m`7AWszjz6f%&4v0@Kd zw}juudo3B#5;M*w>Db1B&RQ?+Hak<5&z_iJ0~rM&mv#|;!bjRP3en!~ zc)MG|K;2Vwe4*a8>}t*BDPg@5e@<=GJYODWoCw|Cbk}&__TmLYu!{&*!_vaO-Uc(aq5mZx3^xiM2yd$7=LG3lRXmy zqRc|&>^Jz$k3L>W(_JC)qoAI59y;@iHoi7iE#=4l0}SErP$C|s&6wug@#1zcYL*Ol z{AG0PxF1wHT*&y&v>aTma^aKv-GJJ&KdBLmeQ-ZhP??sE_VCjeqkXT%Qx;Lsyu?Ca z8D_COWFM;b<8?goH=K<-0wiCG6=}Zr-937J3JyxR$eCn0KD_^YeveVz&27&&JGhMR zs(TjG2D=)%QwW&QZehDttY9=}>Ygj%YxHT0MUJ_DRcS{WsKrO5^ZxPs??IuB3r$ z=PU(-Z5}0;$G<)M&0M5cXTNGM4>BZr-Y)aoQ@JX0$i)>8j$1TwXB?JZxzu0GoY4U}g{+;CZ_k=^KUv##!J!z} zvLIIBKn}}r&-NUO+g7}Q^&XmO*K5)_5Hee$PPmAI_W-n=_ZHAteDGT?CC-QjrCptB zWSMx>T2iT9q4r+_w1?2{dd$pB&o5}5*O_Qw1GV*)x1$y9F`));+1lz|X|!;{zcsTJ zkrf9;uX(8zafHDrtbGek|h~2W01L?VM_PaF{jMjYb+tK| zqFAGFZg2**f}?_}?GW^h6LlJ^ysmb#^n5mpAu*g(`?a7RBbrW__`9t*@6U8_kBWDD z?`Mp03SGSr_oWAESj;mIY(>r_UKD?c_{q?f0b+_MaOwBIzcjHYq>AI~Ecxa0Z0nA0 zV41#<8;aWp!8bIl&#=W>Gac>Iu3{$m1<8M88;~2vE9G7OMfO5|?cd1M{|nmuH{kPs zdB{fo=l{+4%;A6FW<3&a-h-dB{f!I)=;-(>83eEt@~?yx)I*=n-v}vyuSfn$NWtmZ z`Ckbspr!jeAqDV*`Me98A&r=A~z|>O)7GeHcJFwLR@?zSPh@3|2YFR zY9pSBt5TOVP!~qOJ9b9Dicj%6aXF#H48;KA*w(`dNUt zj9C&$NLXiL6Sq(E`<0+$7+@BWQp#Y0WvZ0n7r%NC=oS;$2?SR@^7|}8h#e39M)gC*xb#wK(0wyTr)VaZa+O2r@$wux97Zy)PZG)Pt1ed?vs!;yJ zSzCNi^s7ubK^})x8K6=R0y-TVJ5PTyjbx-S-QK zd7-3U!pp$4=MRn(d)V`}{BVo(x1RAW1nF({Nw)B&NI)<;qqOf>baMih(%#*h7V)w2+gJIsf^d?OsS8iSCzipxtyIiU z>G|c1zR}O3gcgoJ2N=f`S>nAREWUG_1iMBTIHh5TbU(CSRHdG@nykT?Eqr? zb7r16)!d&?H7(c5x%|jS{?-d3&Qg9*sVj7e5##cmIcWp?U=l<54=+}m4sawq>#=_0 zUS>3r1XY)Y`AJLXR6V#CO8KE@SM_GM@d;J)Pj=9wTcF3+YaqDgar84j zd(JIn28V~0vv9(YqPOcUotru!-s`v2KW%$s6?q-oh`Ky>#clq(yV#J@L)G#@?0fr< zftQGo?_NqFW8eYx*iBZl(Wh2Iedtm@mls-WQxM67iNK43*$v(Chgi6?8(!oQs^_bb z1Mh+J=S0IJ%X-X1j;}#=INw~)096|P<2&AUXrFmnypm4CL{q?=6rUZ83Rl;N?SEVd3R*Knf}N9I1WOIs#-eO9#Kyn5m!-l;1Nx?}{|L*#&~>Hk?j&ikTp+TYR{! z@@kn9<%SxMo;|%+FX$hPaPVdUsy+QqacftS4w<|j$}8haX~JqbqVmQ7P4|tP=Z7ND z-4o6s@OG9c7TrCXqEa-MzH~bMySz|=R|1PuQ#Xn4GEnwNy4-gUW-X!uoS+kwWIc?7i#QgQt(ltchm7z zz^z&MHKKizm^^XYfh*N{R5ue1-(o=guBLzd>y9xf5AZ1NTDSBKt*FIj=Dw+wo(mg? zMpt%m$r#lv9aF1NC;^XxQ>3kz6wmh%hghIwjoev3vu#iwRWq z%7&@rnw*jeX>W~~#}uhmwXD{ov^yv9=D%wP8#k0*jm@vn*|~auXzL%_B9k+=u}^zj zQBN#>SEKOD4{(OY>X4fAwybhw@fWeU)WGm64X01Q02U{&z!e%M-zu=SiN+;1bpk}h zLsR7tEd+#*!lJKAelEGHU)ji6yV(r1nOHD+V&W%yRbKv}jk=dyS~oCzNx?lRp$mtE zolnRfM5O5$8oT+w?>J?R`Gvx!oSKEn8kzaJ&ekF7ZRO|;8K5+=$ti4X8=&L8=Q3)@ zDza-hU*3Q&!!G@x)P_*Hi4RCQqhgG77*b|CkO!sZF0hK*IK;Je%qLh_L%`+ zi`_0|_B*8o*qL4=mw9%e=hwoa)`e~Nv;c8K%Z%Je?BrQ|!yvb;GMaw*cWa{^~Es2%);hOWwWrrmA%oQCYReAqmNcUjxAb zPVbGdW^WjxJ&X{*#Np5i&lFfpD_!0G+&1!)z%Z>U)of9Ry76Ozz1FtYKeU%Je|#LgqGZTK+i185jq-NjY$PI)u8 z=l(o3qq+&3MLnbkn|X+KE!g#Jy$G!Bq*e7!Y+?~J-09cJ>ECpVrZmOSwOBc_c0fnf z&O`_3RM+jCE3inp1f(=|P3$I)1cltv^A@*G2x(cP3mWmMjlEuE_YPq)b1Obf%snH& zE@%J#)5@;L==8=P@g$^0Fo%*3K(s>dhd?mQ!k2lB4G8crXbIy2uOY)4RaBs45~-Qg zfCG)+xJcQgj{cJiy(GM%ujxM_l#q&HAd9;F12T~%lPCxf1P7Tz327x%O+r;$XjnvK zT<&XF(RmR>36QlpkXQakU(TPJ@{f%d%ns@&k-gnGkW~J$fzQn&UDFG2^+kB&m*6bJ z-~S!5G^82+$NEo#KgpCx4J8y&UiiNuu7ok1I9I6XU~xBcB;n1KYJkn%@FbH7g_KC5 zCufSl{!byL9~4qvWO2f-a#+-<`VeX<{}onwkyiQG1J45%m3w;nCM3RsDoVIy4m{<; zs2D9Nf$5u-Ro(F60?H^yMn=Akjv`6r zL?8#f0fE3k?j|NYg9VWs{(r6P#=*@*0Ej4&-gj6+dYPC6VoJe3T1psF31ceZ;QZ;z zk(8FTurx(rSRuz6u4a>oz(6Kc+S>UcF#hN${SYV*9zxNhgTtS$a+gO?RhXFM`3)dd zlt?~#fhHlFMAFI3{ELp93#&H& znx{^na+2)q>3u3|mDq%8ObcWGfKd)1;)-wA$J_BpGjL^h4l6C2}*|y9s2Z7d%+&$oUDc`wMy3 zMv9R)Hj&G1A!+NjAJkOB3(f;}e^t zFl)!2_BlSa8@U8*4aKIPa+;21dZ%9}S$lAK;%7_Y7=Fa0@y~L0_dQ8+VB0+;Mt$t` z`_~;!e2~GDsC?A8?>Hi `IG@D19Hk-@u3{%Ajb zehm-)KHv&8&t*l0A5P^iKWzxY7?CNf#P@1je{Rh#BL4sJ_SRule0|&Zrc*j47TpaZ z4Fb|29TFnaA}J*uN|z$tf`oJl($byMNH-#FQ15K~UDtI#_i;TQ@B7cY4rjS%&z`mS z8im>One%&|q3>Of-Y)&&KSn~g!_s(%_o3xwfH%ahklsMA)L+;;7{*oa45uk{;n9&U` zpz}?smQ^8ZrGCV6Wya7sA~q;xDW4B2zi}kRTSp4rN}dX=oRGjQMNdLAR?{PBx8Y3Z z`higj#`L|hR9xast7H@dOz=WxClNUnlbr7vl+*81-G55w2Yl~{49PvIqKzQx6Xz~s zI9Pa=+FN<&=VMDdUFBS!t3e?C-Zg-@vUW$_AK8n=gFly9zK>I1=vX44uw{2qeO3&( zmyU0e+8~L*2pD+cWyM-nf1a^d(}f)bcWTS+-7e?>VLc&iYQ5VHvrlVvFqce?4L+*S zlB`_hQ5&J)(m=_ez^Oc7*c=)sO#j6Z1 z+aBV+@q)KNyw%ayq7+wK^X%2bs9*SpWpA4mF}mt{Y~JVhxLdRxf(|8;9dAIa>l(wS zuf<+d>up4WQn!*q*dX@ca|MNN2ADvnc(o%o-)&(*TFUsDkllOB=Du?gN$NWG&JKqB zlXFnCmu)g>yLsq1X}FK(_MOPIxLMHYqGyoIg7$U#M-fsG6CoFX_+>evna_~9J@NiY zRo&9yx3f~ccXnalH8WC#-P*Vs{#SRQLOg6-s`7@%jg>eu*^Rv`xvV_Q(jHww{=PqQmm>`0L7~lZuaMYI z<-#2i`vN6Cggc0sGSiZ%7!oxV9nE9tPXMWrh^h48ID={PXm^N&?&NTsb4|x!wWx2> zl&FYv9AZmy;CM{YLd;+W6`adB7Aea zSgBTOrR7()R;AzCp5pI+7LjUV{VgQ>jcQ}(qwHO8f6PQcl^&n^tpeaCfrj~5<2(%l?Vd3bM@bdAb{c=|4K#ybSL_+WE7;p z3oiabMgf%d_*XItW}%$KzmZV@C0PEIjQ#^P)0XC8uyi=Z%z$^9@Gfg<@W1g)R0BCu z)+?tApQ$;>`A=(TkF@Uc{m@CA+rnDBUk-8z5O?{_l}J$IO}{~Eb{i|2n0?fzOozYf zExn-VuYJI42tKrt!(wqFO{^W-IXY=eFi>(HriFZ*wRzIuvf2yl>;RquGL}=kn7g#^ zk7rSrsnV>Nayz2d43VbwR7L4(-tnqGwcZ_|&cdq59sU#Tzcclc^O1mv(v(Ta( zca(u`=~U@kGaW?^xs7gxu+ zePJf0G|AluoM#`ZMNVWZ(UesnW(~PNbd)Y>>bp$O55gOw&Fz?b2*TeE2Qm-On*L0d zrPwN7dmGPeG5$ry$MeB6{eo}Q&zeB_okZhF_h!e;{!uGUwI6!Xw$%kOZ;($CuSM0@X-ZG zuBgYB%{~2|Npt5G|995Ytx(}cEnd@No4CssDY_6;kfLemnOxeI#S)2lcZ7U1#8}_g znM-T0Vp!!NjAfj%8<=rLvft)nmbDl2Yp&E~dw!C?I7(0_fq`#1>9gv}#09CVF@|tF zf>-RAhsBYzWnFJQm7nNvC#Bg;qJ6P;i=_szoZXEu-YTvY2t}csYfHR7UsJig*L*tj z?v9~U>cXfEXmccbND*#>FfSD7MLQB`+SeT7*X5BnZgB5q zwSowEK!GF}>?B_x%*>5B?P)#!X!h()nXVJ<`;Ld6SWoDD0jC3g*ClM%taEj+iSIQX z-cp6h{MI(_(@2LL2zk_HxK1#$ z*MWf>V}&K-80T&>h~2i@-l>a^@{rLD(*a19sb9C2&SQ13zn1MfyL#}{fa)(x5z)yH zJUWwEh4G#iqa_X0?Q`rn4@y<6Ck;Zu5TFg!%#<KIJ}kEb&xN{9p|_B5wjwY8%*;N({K z%c`9?yhI@pbKKfJ@CaKwz93_Wo;(;k3(U{50(r=6U-2Y0YKb`Qoip>wW-06fRxfUA zU=xZveN?EUvI1;khfqfN$l3|c7?GS!=G^)1yH5UTJzqRum6UbPt;zt$=r?KEmE+q- z1Vj|~L$e2lPEc*^a|cKE#Gb|FbCqh#38961Nx(Ni89u`7Ip4B2x zSEZgP00XnEnft{H4D9mEh4_W+4_1%|VV4fg{x9SBMBM|^C&1wu8a`ms=-x+Xck@AJ z$t@(LatWQT6q~}>j?m99Tf!zTYA^;a zMP(wEk=aaq>YicPnD`_9A%llDpATlZB?p?7BuJM@eJW6gHI=&!Q@DAQUV^oW;r<4lF(lkl=fy%3}2)1Ot4pFLd zo_x7K{=oJ1>TTw|+>cwwRLneO&1YN!N;`nVZ+@GMP-=bzJ80m5y^j z%-F;xzJO(JNj*B1?Jf*ZdcGYcGjj@~=5#w&644xLOV=uLdl9}29t|G5l-13GJqy*e z3RGVIYC#LX#ug-16M2d5A0ND$4XlgGfq=9k@FSN{&^5BF*-J`&UpzspX6)?OzIsB! zZ}c)EE{&89WYv zx~6UAkaFx4YQx0L1m&`|>$#fdw`kzk)`D9qwEpB7CccGrRAKSw#cddK8i$a9(UZha zlkN(X4E?@cXiD|RPv>_PQ#!!% z=8sE}hi1MhBQu-0tdhnK@5-8HNW^6{^yA(Ym2B<-bS+)Ku=sDd^jr_L;}h5LNJJdM zvx@>nWQ^*@H!MC(0iCLTVxgVL{KR%_kM`4BnPWyR%v?!noAMvWc1;SYz5?B@$5lhS zgi=-7KEuDzv8egQ>dB?`42&!iA??<{C=wuLGkZO@_ydDQHokCh`QZFEtxG^i-RiD} zN!Df-DxD;V9Y9@0p1IeuO}BO2awtr=bn zY#YC7{4T1X8Exzs(#K}v6q8%ka2u77ocmrt8M4rWnSBEfEGnjyf&&_%(fQ#S9S9Ub z9)P-frZ50$*&sRueO0}Y5yEfeLpGGbufikq3!!@L(o$F%9Kw`C@TCH-cdLY?hgDTo z)zEqkL|$OE{xC8q0q9TtdR={E+h<5C`44_v$%0Y9eJF2&yW#K=O8(h`$RqYYE|jK_ z3nerT0kjYqt&l(qX%-BDj2wbwkd}>t0?@;6&O;uQ@Ms*_KSkV7G>(Fj zk`jiRh8?_c8ZY&_DW6 z=v(;G%GxywHp)LbNjQYWFoh98){}qo%5MN94lWxzMCMHYrILh3z6*^k1TDhww-JH1 zh_=FDV$j5I3^*mA_J_hsN!?dag2aSYaK$9Nu$%Os!ftpnkh5C^JS^u=S`M^-@)8WL zO@O*oe^`na5h^7y1rpBh>r)g-iQ6SOo#k6Yk}veh33YlEKn3?bY04roxg zL=>{!92kORK-k!)ya`rH+6lJJksE2Pu)NAM5u zhb$7HPZbno9u9j6!P`Hue;M)f(i?<8`%CEQ(1pEl! zM+eial4c9|RUCgiU%n#6SF9++MCRk=WjWdi)&;1>+!2L)#O$Z3yhKSy9uLajm?%6Y zv<$@@!AZZS&U_1o%aT4!gfa#??PO+nWU;mp8kK&@FvohO?wCX%o9}w|(FMuOv#Xwp z;+=~AS;vsVlB1Kl9@zz%P?UVh%99Ya-Mx^Z7*m^RJo!1WeRWDyKOd5}H7q>C z0(CmGRH@dBXP8evH0o}MG`%2bepIg-`1xY^$xzk~z6y}ed%l^yUN~|$)Zyz!P8#Nq z1UbiOaq}^BpW0_A=Hk~3Pk4PzZ;u!k$_va%_MEr!~+W#PgwhmbzW&zIk3Ip zaKEbdTJLpv<7)>N?V9Wo8eUH~avYRlUs7GIVGx5~@f>`LVL zqu29X;KgnW(;b}0_61Ttp0#T)L4I-Twg>%)uA*zlY4wbBUkiUW93KZcmsF_SwAx{0fImMIrR2UlKH0TS4oqH%%sI(Nzyg>@B&@`N=jeiOWa z+9ke4j@Bu$JHTe72nb2}>W;0i5aaciStZo_$^q@dUB3=2obp*My zk#PQOjHL@BNunb0+f}Fu!?l12eJpTnMoxM)B8u+H`pTIGkW5b=N16|w{Se`4aP6g8 zKy3r4`{u%sL?Dp&E%@gi~Chum;ixcJMP0;C4D4?F17sp8Sy$6y?> z<$`d*G-Q-3Z{MNmZWK$>`kM623;pa*oMg5=U%i34=dfh_&%#wt#NC}WNu7^9dS7$( zn8{p+5B#R>J9e?bVLtPWud3bbzOM;`x=i*b4QjCstNM$g`brY$zw zj3w%x`Nxb+Q-wv=*wMbo0ah*yi3wjy7^rx|yo4W8r6{4O`p`u!lFsfPTfKYFRLr-M z7kayim!edKx{p)Pjh!5F?tAZ8BRxyr3am>JZ+IU{dabe?`u{iD{7D3Td4fS_3#gNv znx6S97X&{bdzQa(K|o4I{#QN4%|5EuEJ_?}V!oTuSkeCGBPW=lX1s|aj zoxkx>_zCFaHH0w%43-TCnmO?99lXnhcX>PuiSEo^_tD;?Y3Cz*${F6IEUaGv%Dqj7VbZ}uVFMbc zNS~woEGqu1=V_}>Mbnh1pMMEffX|g`8F0c8lr|WWKM3R$kC24>cW#||cg8de+)2Bl2_7TGL z8Yv=a&;=GKY_*r2k)K@v>y{n1cjiKm*X3-#)ne7@UVb{BdUILKJ}hy%Fu-(8M-*q{jyEf+C$ybIYHrV1AO|#bsQ1@$2VjE5l3i^(${THw1}P-B z4nntTPB>l#y{`e~4RmtaUD=k#lZ$spb;90HiQ-jv9x>kyWyomCD4Cq&{_r+joQ9zGL5c#1Fpd?A{jwv-dN1Q5 z)x=+DJ4XJK^!K+D?2p+)-L~dbK#2$LFGaDA`XsrRA$#~d*L8V~O7ixG@=v}LKNtsB ziNMN9hBpU$D|AH>*>C@g&1gNNW4n_3Z^eF`e4`i7BRD{$^zTab9gyrMkzi=I-)U>~ znAxTMS%igf`wXxDUd#pfFi&>>8f8ix5I*e?1WNLNYvwmszMp0Jq%_;hh5 zTqPewreGDkVb8B!mLn_FliN%Q%JQAx5yCvz1Rtv_>P`)1XnkJCA?T=dm&KLs)DN@f zNtzg=IX1WIzXP(K_nI(XqPPF(VdWrZb)#8fJi z`fNGqIi&253G&x4KPeeSP5K1Beu<*wRZo_@W7AYF8?bYj&ecc4r^RTVcE76oa?YC` z;5a?JGhyuyd=lm{5XvOcA5Z|bkDbpf!IF16}hdzT9#?>>mIVyVGBn$ zoORAei-lKg(sk-|Lf{r)c8h#}-)0$#mlX{iHSogv!dl_;gjW|?c_DMiDGRKPJY4Uk zF(wmQaQQIsR0#iQieGW^_@4IqfN6xOzljV$PIMANcK7^gD(k5HT`k4Vp4RcGnLA#Z zacSnK>x24fu;BJksa)N1?crdvc|xuk9agCF2M(6JUM}rSVhRT$ZJ_AknI2%Pa-uV5 z^P2s8F;nG>o?OMvFX}IQH(APmkMe=n3mjcd9dt?AX1ju?)TdETs0-9`DT-z8ZcSzA znbL*>Iabs)dD}ka;|OPx`%bP(h)=WnVi*o)AS#RV?WsLcwv}6XlfIo>?==FZo|#i}R(|K+X<9oDB~Ug^?-f?m z9dXEQCQLxQC8(LI`t=v9qO9zh$6zd$#81FlP4AWLzAYwOfPv7*&gFVYplN@X)h(oC zf%{n_1)Dgqd{0 z5(duwXAVD+V>X|^E86+(j$lMa=LP8dQ7b#p2)Yr4r%sKTw~kC+Ut^M+XN-+XkUKxl zR{sR9iiDo7UeU;FImWe)?PKDT>$ycBaBvBU$>=yl=Cw|NEhGtfWxbe+hFJ=3nW+4A zbUG$B&b#7zekVaMQ|d?P0Oz9~j6{-qAW?Fi{E|CrfPkfijsG59L8s^D-KO;eY@ib~ zHY+!>Ywv_5@7IV)qoiu|zVA1;barLq1{%Mhxhsgv{J4*VilOVBlv8;vDk-C98kv2K zOTlUBUR8%}>NN_82Dqby4a>hD_x8v_IpTBQG{36!gwt@jCFOoKcPY~X&QsnaF%9Ph ziXH*{F{4Wd{PGsht3M6nDmlFH4~i-u0cRL*(rY@;(CDDZ_PW_`oC;cj85OPli)wX~ zSfms*f*>F$trnB*erDOw=p>??b)Y!ibzP`SJcL#dj29}YMb}&Q?J+~EJAi0hroo|uiR3=H>_{} z7+2KfRU55oKx)s|eRf^PD42ku&BrkX!)rno(0@zO;Zrvu`)BQmPcJvD=`*I8|KS7PzPR0l|`1+(p39Jx;@B{UU4nmPw(u_)`ZEyAHd}DXVU1l2cRH zFeag<@htcNjh0t9Fe3WkCk>ky2(Cn?dFTr1>pE8ui0fn`KGQO*M^|FVf;IvUpPfl} zFd-%PeCW|4BV`vZwF;9G@wa-W4{OK9X2lCTxg9e|*g!8Ru@ak*jaSAZD!a6IjK|=S zS!~vVh9jW_|GutKz`0g27 zgug4OZinIU0juDebq*EZ@RDKn2W9IgO!u^(+It*a*t>;6k~XN`7DU9xeSj+`%b?gC z2wB1#WVBh|@ULoah{HgL61Hjz=_BC^!u3`d-UhsFLJb0yH`agxYguMPPw;FU=Eat<7$3_XbF4Y3K>gg!C(atdV?EF78XGt^8++y zaWTYYp(vp0nwtLMv2nP=BwQB>wHsyREYS^`gd%ZPH-96*1(GOGLxn~{g9bo{&l95+ zO74bMu$Evq;z-C#6Kc4)cm#yEp)zN(h#(ax3S1ir7e?M>-mpVd z4n9dv{~Ki_jJp*Ec;M|O{O6`fT|iJo+yL$(33*7~2qN(zNhG{n8*Zfur31h-P~qG! zG7FMJLc>IxLTboX$e`aJhVlnI`ooalKrJ4c;M@OzS7??{U3x=96TEJ_8Lp9pJX?ux za_>Jw!9P%gVgb5)AiLJRZ_tqY&?q+&$t<`+5`uk4&;v*z2|Iz7Q)p-?1nBH02I%)W zT&)66pQ53q{g-1a0|OC6BAJ*tRN$IpxKk?}LaJ-PKe;9}f>tXG1+J6S)`5-y9QOb5 z(1fnb{75sBmXF;&vA7cGkFv35m zABx!e1fOS1+vl#)#SS5iRmd&i58yY)!-0NYj<9b*!T0!(9RdM?Ileyvj0hTocnS6o z+&6`x{4qqxf+0cG>Ih2~u%A8DaE3__gCQ?nGs9pgOJlJ7ouxZ}GK2n0k^0#+y#xFr zFY^CoKKixAHC56LmQ?yn4pIFd=&5wQWP$KsYAgQ@J^xEp=D(rmf7uTh7vImW!w!Ak zdvug=?st8{E{x)s)?!~G6kFeNpO)DP$bYdReSp`U#phw@#(*+j$ZYVr(-k-s`UFMJcawr0^KpdKhJH>64lblF!m_|o)i#!lV? zkHZ?{juMYOlwTufdfqe+n~xXS#2?)|xixH_ed|0N1rQN2^tvZW8oj)bYMc2OOyWRR z6<<@S9}(%9(~s75$^cfsX6k)@<6KWdyFuuXD-2M)NsYsT^^-Nk%-bN>fXUXG$go?}0wrG&^OZhwHD+ zD&8GY%7-CqBICxs8X8%2HZe*TPVfZ1tRzv2DXqcS{Cy_MnadOL5;20@(mwt~qR@ayVwqn_T zhva}MJzb|`G5se2pF!RE10eQ1a5k}C{;P>6b4A69R)llzv+#SRoHiICoA}X{- z#TgRqg02dMJneDipmR@T2iJIFp7y&^MULI>36k>=7bvE@kPWL=!ZT+z0Sf4tw}%Ki zk>YZbcubNUX*E#`^ubbGRTh2F z;+iV*+n52~+bB)D(uyay_?9JvKII_fE&r;Ftj1vPd2c|xh{bmsRBHVga^U1Zdx7!m zo3BD);ZY;*ZsE39XZrK%jr56m0bu;?*DD0S3I3L`RTd7m#_P5=nhSza?B}<82W{tA z=i`CR#yDC0Tuv{PuEa36D~@jU%WCI>t1>WCw1OZ%MC|~G9N4mHUml!bCoDYk>&vT8 zg&NAyUB3mp1Mg>NvuIx4is_J_l(Sz+*ee=3NmD`l|S;UCyk4uL$(Ak17 zFirDf=b*oUPPoghukKShh{ele@vIZ~{*^t62f_mFEVed&7eK1EMN?$*YuiY=)Y~H7 zx>|u8^{$eZf*>1#IY@PUq|PtQ^y;vvZW_fyPG#h`|2*H@S6f+}i{8NKJ#X_F!9$g` z4O6V8dG=2(L(y-7-K!c9!ZE?(I{pfHM?`he<%3&kqhTmnmW64 zRoeABP@l*3yI6a*vf?AfW_D*8MpMPVlg0K!-PUTIeXKu40O++}52+MFCri_1k-BtZ zrHtPbgzvf(aU4exzC16!o&jD_OnAcs5eAeFNeU6lx9LRt#W+lc><&{js{F*N4IYDo zzCGV7B5mbhc@$jnI-@i~%znem*5KaYpCyy4w2+%*UWiH}d^CDtm77huH{#8Wq5p3I z>9Ej$?i}6hd(-{jr!BYUm^ZgZ`g6FPoe}$+2aL>FYGsv7A4m$JUT>-o+gm*Mc;p(7${AR*6sg#u3)n#tJvsI1;pC*^TjC?LYLRb97nSfAGicBDU_)! za_r{3T;SvY{NTvy%bLzL!WJ8?YDlHll`IG2;Gxm(QcP~6Ri@nPHx;0YQ07XXgvl#A znn=!{F>YT;o)&>5WPsOm3;mF(Jn;;?{)K(qijY5`B)0Ap6%nWUid5NOckQEoQH}=q;(&yT=M?mVXSH-htB;3!YOp4^N zZLPW>g{OAiu3f*HCf+5#Vp<2q6iw-49Fb+ms4LpFw_67{&)&0aXb98{5ab2g%tC1O zI|Xw}I%#PaYzsY&cf%B+89gYLA^e3{?5DU%8tcsj@GBwcHQ~=9hE|f1rG!cQM1w=} zhhq9`+ljeDr~K}xsDQxeV#=m*Cx#+B$>}za!hwvglwVpTTUHlm*3yQrz6&@B@P5D> zKz?T`l{L4=)HBs|pLdOlS5`CYN(LP%t`<|FHK3!he1oZ&gv{$LH15e4FR6fX$D)mBG)bM3@-|ka zA}`2(=zPEB%BGqd=HG+g^q#YM(b=HytLgWR{vXMeZH&y|8HMRR3c>Z`2jLwPrm}&4 z8G?oQ114#{ce=1{BM;Ta0ijlbRNWbt);N_c13X;%^!iki?Vh>QMFg6zJheF4T99Bu zGe6zj+46M(c@JqjA}hkqnRhB&?iCj%TiBqGL?YNHGNi$IBsxZ2FRO2jFzjJwRdULz zBNV4E|0CTNC*u}SHxUi3J3%_xqY|XZ{Lqk1C#zceL_X|R=(iQaK0R3_$oIgsj!osu zA_-o~YmyWH_e`hgA-Cu%bVLd#@+lf8zJfQ}NC|0fZ^SR(s%mD@$D@TmiLmetbaEg~ z4oT5u^<@MU#Cke#5zR$VR@5x)KED6jCi}yY@D8&lHyeQHPY`H7ZBOMCzsWiSfTHhkccqhjQn=P0W zwD~=I{jN%sS-;>=`O?GzP))yx)rh`(aZ-EX{^B@4i7Q63D*Q?HW?VIy4|?*X0g#PZ z!L|}CoxCN4^{MWMpw3m;1fzz(^XQa%GaU9ih^&0J``sIg$0AFG2by2xk zNXxO&%ZE>-vC?>GY^i*__FJ%#+Uo@Tz5%`NIO(}VUYe33S|fW59F6vnC@a|4#q-Ms zmgIDD8a7bt|DAW?1M`oP3^(tCHH}|90$yo)wfNqBwU(P8HL(v6mAVg!kQ6r!?R0Sx zs@^L=o(_3@8X_!EFlUR8+5f8n7{uCE&M|I%Y`w?FB`S3~{z7d30pq=t>X^$+A>}w4 z0q~jKWBhv+y&X}_BxZ=SMgNZS+!3PRF(bR^xV~9rqZ)Xnb4M&Xt(DxnW^ic+n8o&j8ZY6A;40dlI$LcwzpQH(3uhFD&B)Y}g;=C-jV z&0nFE9c5ggZJ4_1jAkkL;2bYYF?rKk>zV#av*1!Jh*}Ot{7X} z$P?5o;Ih0qC1{!@kkZh38JX6}f=L!TMaxxnD6JV2U)chfRUAKYbqh=DQL8Pc9D3uvA^xZ5h_RRb1@KeUgGeOaf-^!EMSdGau9f-$gj z<4I`hY}RJV4>4U}=pLC`Ub*s%{zc~d2QPDq+sCKZkHuu}JNxH0w6xFu1O)Vq*&Tf= zcl27fajEz%-ZXqe415`KPWs@f| zwqnv}S|$q=wSfI+D9a*Fr57}MVJqkOBwXr-qwMOg(KE~I+sBlEOI*t@I6C9&J`CSA zv1RKA9)o=RiJ&bLi`}(@J-hmDa$v903c|eY(45lf}5CqhHCypnK$Hh zHrOLJ@{1M}3s@8Nnt5A0zW6L*YF#;b3q3x+p>zEgLMni+L1eTGo7)Gst|g!XcQ;S} zQyJU9B}uLKTcUd5A3lM#V+v|^J`)R%EOd8nQ(Wo=_X1lh-Nm|g^RzbJ5uz3F-NgR= zl$NeBU4fpJM@VFRhyNm-k^CHrk!nKs1fi%7bg96tq-i&RLaULH*VOWp%hK7Syk+)* z_DfEA)A)WOHo)r}#jfq~`s;p7MlV_AB1O$7w3-VI9LUd+Fr7d~uL*1kSN@<*34H%N zy}Z`A!!irUk7DTC9ukj&j!j@;)hGsF5qa{usBC0;kC;+WPRAv?c!NRoo|dVT&-@?p z8la-3mxi>GkXRBPb^{?ucu@Ht{s`5lJG&r5^wH(HDGcW74;e~Ai+dKNl!Vqj{b3Y; zl#*Uh`b(Ef0A#Y*c%8+!bPGk zUN<61$W#&zwoPTpt~CizkxT3ez;ch zUq#!HUJ^>b8K0QD(MtY;Ci@#&&Rd~cWN5jB;1*QDeQgRWXM!R4!vG@UKPBrZ&|L{A zo*Ocl#>lthS*F@;h6@tA}Hre4Eg<=eMu<+V`tHoP@8H8n$-@(`oE zp_CA(ga-cH3WK$q!jNxBr9X@iE|=`->x1gkhlfXZcW*S4{xFh%M3euxxxyJGlr%*} zy#$dvh*1I>XcSub(WUc;A>TNFBa|J1Rwv2$>#Jov{Z0j`^*hKnZQNk4Gm zBt$Cp^i3X_`T9W(l1xJGrlyc&5*jxMdJ|Z%KMW1>NO%dkB!s}%Lrr1ePYF8&F`=nK z029vps~|vLbK~aP(AtL3Hf45&@y8VbGI3q?|C1>N-+cozAb@!PAK>o~gY7_vcXt<( zh<-mfL`1zYNcfjK0_5d-+( zFc=!#s}o}O*C-IQU%I{pVf&>FSpJ_&5yky`p+!W4yez0dPev z_7EG!`}ydgY+E9VC5v#^f?aH5YiZtj>L|IdC=1XbTMDh5w(8SazPfUhK?qE*;pxlO zDVo3&n|C-Xaijtgg02O-*9wOR)XkC1&zpB`?p_bS=3TO39h=EEH~B^bMmq(L{Zb{H zsD`qCpp2cd_sdU6>QuZ+L)r zlK;XxiUFm(b%eH+>7f&&Jyr+_Gf3%E;tQ%2%oe@WM`;6JyHfySz8r=48ZhsV>g~@< zi>~;7@Qqo2%>udlNvO4Oqir0ZwA85GQX}aWI9WVqnphd+51sjBu0;DK*?o96A!U*c zs9veGqi$b5Z4%hBT-rEfA4)$^@IbU8S`C*UAf9X+1(t{k#pF?6`(=^37EJ^d+(sG` zO746jytG7RT)0)oehm2R@guL4&=h_Mj4RoFNUb6n5c1~24HU?0txG7HAhZQ%;#6P6 z-VF$D6`**oIls>fNPh8&TXSR%>G6|>ykuDdKspjGkNI=j9Km#o?e}f7mNiE^uPx1; z^+9758dfD_H1G?b(BadYL%q-abCZ|0nQoDF7-(48i^aM8UAs8n0y{vEU@wwjj=y+2 zxuyB07DLhNHwS2DiAr%Vq6Eneva-*>*I`|$^s9x{%O^`eCfYfkAMht5FSGkKeH#rA zjzTg?23dJikB4&t3NjtkEai>`zX(_|oVQVD=ERfXpxLbE)qr=}+yhY^_ira3T8%Gm zfBXXKeP8Z745H0;*4Yrf%XkjfGlYjH4aJp=UDH^rIJtiTL%#^o#v`{mN6NbdZB{g( zbbNe$P+7lIKuCTVYY)V|@SFsA6np7>a$ZsdrD9AL`o&Mh9`RdSzl^T-%S{Hi32UgI zsQA%c7G?sr>jV<)MdJH89XO}7^Q;jKOMCWU1J`i8JQlH6;zRkH_XQ6fTE}EuT@rsx zWbMspjP`bZ1dLG~Ty~Nctu02=3gE1 z9*NlLKKwzan>u~PNIoT(O6x2Hzi~LWWvO;Xh#93FH_NB4(KCeSpUM-dCl}c7-7;%> z-n7W_f6;)JU85{6!T$FxD|mhTK1}kTUzq=^(p~KM-%#{_Z4o(!PP6~B#(fzAq0k># zFC2(!XhPX}f1!zxqat)B`U_12aj&6o4S%7kpaQZY`WsaRqtLgbzfo0icy#<%stO>> zp1)F609kYYm8!xIDkKCgTFQg&pTh}gF}y2*cct*IYzg_l0Z~=lOe=?{qW8pKyiCK+ zj-QKO>}`D4z<6GIj*|2BR*@}W!bUNzE|7R`XHyk~fpT*o^Z;yQYgmjz|W-b-Ie{ zo!JSttH)FjwCd|LT1nBPVU=$eRVb2ELI&^=cckJrra1O6@T zBuTZq1ESzq@qm68&Ei_KODbKhS8Ys*c3R=tQf6_i2KIj+MD$_!HcboG`{cYN> z;_SfDTFr>dn)yYq2sP@&Z!cHDu-=inGim!U(f&|fRWJcAH&h*;t#@Ev%kQho3u!FU z=s6Pje{tHndfuS^Zj34gT-ZptK0>YbYOQ;mIEWT^!Wdg=)Z#1OeO5S@qVWj%EmV}E zWTvoKH~+Rm1)GOutHDOTjvBvxzesTcW42eeI1;S?jyU1@C9_$+k@?1N=T?7qC}ntD zne(3sfsMd>l@ zwycixx$~_mHGY($beaf6vKuWd=@q3*0dgU)AIa{)7gDXG;DU56hQ5d6ODa{H)zy{F8~!?m)nBn!5DSm*JT8+la&nkFu#k zSunkprc`_FdGs4SdC39u_sQSxzvcq7kGHf`-=(6X+VdKVHOR8*P6}nSsuXR4(1xt! zu5N5k4bK`NhAf^C{&(pHXr z)C@z8&hOhL<<<;w3K~7_CA#b2>>tzuNMWcd+G$17zH;Wd)lcOLTqZStyA)3;)=yFJ zKFA%J2M-95O(>i&M$}dX*>v~x8S6F-^dXQv){|1R$XeBq` zyK}#yrb9~gtC;2!%U%W;FRs$F?_XFGO7kfx#gv>^fm_t4PF4|X*gcJ>egVa!c*KhS zS-BnkXL#aSwZC|N5CG1BIZSCIhxF3=!Tn3>`p+lycPs+hchUUbo7zQ(EUf`{g=^O> zsfT>O0?KD;)4R?PvyQG(Xz-3(V2uo_hS1&iMk1L94pAkarjJpnM4q;G2t2kfBoI+_ zcJm4982GYr1b))+N$NVsRd$h*^FMm(9@RC!b9}D)A}+B@P}(r56(5jL3aj|PNobOP z9`m}c`7^2DF1w|ZXW_CFS~xOlt;Guvndss>SGLe)o;f~$gNjGSEu`X{o(Fykt9$r`#bz$pzH5T) zJvx8uPcyJd*7S&dxIb@*az6mXq{ynhF75pC3)`>&=bDj?lQJ^#UAZ+1)5Nxj&#prs z_>RPQM{EXiMASDlwR+h`hMitv5NJIG4g+Tba>nNN0STF3b}upTiSBB8eCn8C zyQB8(ZADFeBWO7nw|thCNknruD7SqYh2@^EiHg?8g*_w!5_&m(8c`sZH*%}pcxe9P z#Vw402{#$#$ES>yOFJ+D+e%7OHX$wWnpm&huCaCJT1L&~+XWH7ltokL{1qOZ`m3;* zjP^ru;NxE~gw7^hT-ScaBj@g0)<$`6n@QU7`TK?ShK1YqzF_7Wm*C;k_dP?)zt}x( z9|V7|{P=lt$-wg+dQfRCLB%Yg5exebaP+Q-;ae=@i?4ME6zqCsvBn}>$?V^iW zd&fa`5K9{;2$o4N!>dV2W2sx*{>|8i z9mdatUN_HzRr~jqwcXGB*`nMs8(N5|Xj!LEZ6t5cQ4Xytxi{_M073TiowTOq`R&K=Z^xiK6mwRVetuT7WWSP64Wwy=1{e~ z&8-hCQuR0E6dyYm{6ECKcRbbq z|NnpNnU#_GC@W+{_9hA0DiT<^DgZZll*_C>{$-=jel`odRyF|4OcN?_aZ ziprV+Bv2C3CSjx`Y$OhgrcN5)K>ZWrw=)Uvk7o|~i#dwmWSrD;!%q^!Po6SIok6Tg zs7*rA?O&=JCCwRnP9FY;Y_P@838h5j$=`$$25BO2687MPhM|oO0R)sMrQCM*X(tjT zks~s0-8yk7(U7Ga{t7sJcQl4kB(hh z8DKd#R7Z(Opl^tjbN@@NgeBeF+&t!gnU!c13Ux6JMT=xko)maPehG(=kf?+-)C^(X zq%Vr`#IS^clZadi+l#_s>-YpAmB@%dWW}e*Cej!Bl?XMAhO<9|KlX`Vc@jDa3)^8U zAjmBtv-}HJwzRa+w6wOu_(|AS6_$1P^!6`6s}dG=FRwJi##3;z-@%jc#s-`f2f|9& ze99L^2%D6{>`7$oz;A-|1UfiEVKOo@!Ttcyu4IPegjt@Hd9#zTbMRbLLdYG|E0Lj@ z27@S@Q8=*DTU%QPej8nL6cz$4eNlM7{YnGFW)v_oGQSC_@yKa!{bHB0DOLSPvh z`YgA&7#sS#C8ZGXBHgFT%F!q&S;EOGkt0zB9~WX-B3-CzYLW5VwM0W^4#(^)%uyhm zL;98@J-1d#0vK?hWC```eNiZ{G!*J# z8j8vr4g}Kr`XK-_GYe)YxZtIJ|r^ zN8y~rClI0)P=N{PV}1jwbVIC)}aey)c)%R<7;-D0-W=di_0?uQyzpB!Lpn7OUnqM)0!9izM%RQ50xnLP*X!I0o zwqEs;VlQ5q0Z^ZDYQhsujyC%u#CO%t)%?3|9b=7g+x$u+tT?o|asa5^gH^Ux_y`j? zK46&80X^>NxUFT~;xr($oWr@+vSP1Ne55$Yxtu&J#k}vZg z=G1M0Qx+7w?XqUP}OHO|LnKLPQ4Mj`6nQSL@ zA6~$j=8e>VNnGiKIhE|OevoGXo%$SRTW)5mI)Sb0y}ep#8&Bpn4_}K#+Y>jS2EoNW zB_Yma_suHo9!2{+Yrbv6YiO^%?aM{d9OLa#>99Oo*PvnZ9lG`*KAz>7@NwL#B7Sq? zo0NBIbbWMI(qy~=D=wZD1LuPqJrD8jsBxvMS|?7n328p|tF4`%9xX~y1J%=wB7UCg z0g^Akx*%Igf*2*sqU(I{ zi+w+PXeP@}tEHpHxd}?!$;2Z~35ykK_P0fRe(-VwNmC)Jo_3o=^R=)o z)`1VF%1vs+XGY9DRze4+xbDt>1V1T>ocemHy|q_N+5$p)R|%WsRki$^ImUGRYr_ha zc!9#N?-xW{UGivC$NYnnO1e5<$e$9tUU)Z>E_{0@U5E=jG9(Ul;Kc7Dnin4JOtAmr6l6$R*&5~F+`Zc})`}+j zY=`O#Q@h+JP2_V9XybjkQxvQ#JlwTV7FhY~M!+b(ZewyzNysrhHLB3y4xm~)Mcm)k zrx$dft2_LDmT#-=4aNELjlf!EtgX#2iJS2) z;|n|>X2qDYU>f?!buRMiX1e(kvVb|f5p&m#&&Llb&R@vx1of4oKQ?_6eC-qFlIVh$ zj4g~~&aQ1ID%N{)xDH0W?gb^SPsYpBLV~))HnYl1DzOF1rsIuN35=6P=$Z@V_P+p8 z>|^nci#L8u`(2Kek*pr>^-^t$a;Ze2IW~AQT50ouru1||N`Y@}gBJ^%3wGi0~3hI zG0u9N3PGApWNd=$wZ8MixqZ?viYnf{;GF?CBpHHNtj;Vhxkijg=i0Bj^Dj(G^>D?V zea<3VynM95u<^!Y^b8rsJ(KKYjKmYTLcydnt&$jD- zpA-KBU?O+^w-C>h)uu+*e@?J>G2rvhzXQ(y5#mWg3JY@nKnDRYOrH4@9R#pu;*XRR zIKyS*KT%TfG!iCc|ACT%B3OO&CrS$X2L}I0NddAD`6o(>94-hri_!BirwJjNrO2iX z*_5MO{u|r$eSyW0^nioV;@q3@k#ovcEcsSf6-i$P1&92qd=uV~0u-ZfU=<0yexPXa zJcRAo*4)k`nQS0y*zabba*O}5z5&n^+~Q&)TG+9YVC_8jx=$n*2=w48Xca261pfM} zMco1ned_YA%a8WihRfhl{!o}R>+#vAJd7Pw-V)E~n%#lsU2z8Ybjy7H%g0GyLZ0(U zHpDB$E}@g-4qm(4hx1rcgIulkJ1K^Zy{wd7YPlVsUzi$FNou&+jI|qC+2p(zY6LyW zf{EeZb~Gxm=}H9&KYSUmf)Sd-oeMf@%Rgy6S^oRXtWkO|}Cs*C3)oHF3 zBvXCz5+2n6Xul?hJ-^XLqfS*idueqd#-i+3TOPXo6c#+}6`Pjp;ELqBzL^)>Mhqcd z>SHT#Q)Y-hocY>lPoMKUS=>8I;Xt-Zh(xr72v@}2+I!HtU_gs$b&dHTuq?r=Aor=X zL@xlsZ6wz=j0*5{YAk=y#cxsD5q+c`lDsDn^v(P1z$utBKk139Sk8-Wh3$6~sFYg! z#1OCPjBk(G)Qg&i7LF$}fasN5U5en{MzS2L8hzH6XYe1Gv0N{=e!W}WKM?weQ~}@w zr?*jS-e~tFis*Z3CPtw;^?aE6svXlg?~0b)^Re$ho&1@+ic~I}*;1?~zsKaFlyw=4 zr-ZJlmlt`yv2ggH1XyB21=h90uj;;(wYJ2J=VNBBCq5LfGJUZm!EGSjstQ(bC@8N! z{*WQnC1;z@W#ry{xX;5%-qo}r<#FqS-Tr$J$)Y!M=$hvyE!LRFqgCq7e=AEqF(>>r zPUFJWguR&^s?{&z)dmzL11`9u$eOawm((*dcVea5OQ=g`*P7RT6>=G3fsX=?jz%5w57ew8~G-}N_ z`QZ2q*dk0f*^MMrnvqE*E0#|T`AFOqvCv*TUqoii@*~D$54c>395;DJ>=s6WQJd#H z!SFoC6YEWSBc6XxG2W3G3pdzr!fi?K>K>`#zJt;Gi#fM($ei87NHVLrcqY51>YgrG zf_0LnqTBXY#Q@Rp`;GZeWY?Oi-e^0^#JJU&@W+M&&Qs%OQuY0VPJ1jG-Ji_h_f>P^ zd(Zw0lSKKG>Jyv6Kp;!d!gMV^%(@|CdAs*6SCw!-SwghYd)@E(JchLEDsmv()hlwA z{d)Da8?WzXdK#@r5#V%*4P+8tj)-@yv#bgObI(jkzts#C1ud9j9cZweW4YH^P;<4x z?b|OSm9)Mw0T_#oH zM-a*F_bH%Fw8&NKK0)`OM+o)@^({P@#>M)0-woA@wRivZXFDk9U=PHzYP8wu91Au1 zFMl8g;tJPjD!S&!=5jxU$4s)?Ak*`q+OBO-i7s*UFo}iNyYQ0tuPr? za_hl1oo`%2@96rzmcz%zy#soVD?#Dq<1@~#K3_5Ev=-HYadJ5Zu5VmI%KA?f&s~2q z)7Gz>*DTdMYZjQ~8}=~*0TZifZz-N@Q6r14YYj60b#TDO$wpQk5N~yzT1S2=C7#R z#ih*sWEL>0MSok}y#;vsDN9#o+F01zDVejTZfx^OJbYEyCD5`eCKYgTmPrwC3EzIL zw)*nG?m?M(d0pGk$~kr+TaVPLhBw{s$G@-R0|{Ld8^^GZm;@Its{14sRt$dK(=jx& zb%_3s9ri4?q7f_{`44`(-MVlTAvoSd_rJ9ek7?Z*6+ z48=m1Z+Ec^i?+?sNqU8Bi^%AJsCInj>(7e1r-k#o;i`y%mHX4@&261S=x=A}1Xa{S zz*}N24ejTzC$KK%)qIsQwsQ8#Y-GRTwns>PGcI?>OAQd3kt>HkE1_(B9sq$4{-f(J z+7~V7aT)mHKP-qEl1=~uj6;3X1SW26$IpwK&aERd8aF+HpFT@2nmuIX7Zy`yvZ(BT zze-GQY#kE+W^Q4bK}sd$z%L-A>3|wZo}7kE1wf?|3Y8EGK|$}NNB8drIDZ9C!k!(F zQbIs^0cwV@^9|A!Mntq322Mf@BMz@6;I(8L%$r0c%D=-V5sy+%4gp0fYBosXqyq!Y zn>-gYKLBzf z1Qcbz&MoXlAZ2fFKa>kk(B#n2(C{z;621wQfr;Hca}?(O{((7kDbt`!39paRP(;WZ z1{54f;N*Kz02w6~HZpJs2#H7#rSbv~Y}yUmb|V$v0s`bPb@GxRtY{Y(my!96B;iD+ z2pTnmADB18yh-GSg*gfv;>mw&|Kp1Swhp(D{!0-Nuv<6Mt{W-+MyO;A(g`On?m1af zN@^9NQzA0uNyRsut{IJjxszzzzidk6R+~8r)egS_)G6O}K)8pH+V1`p__7`jBzqE) z$&;QqJ8(cENeBzv4^K0mFiA+=AeMw+vKji6a2^&!R$xVrGn+38#h!-3Ly{%^w~pFSltN(qc%E7!Z;CsHK}57vL5WWA=LkPu3O-yxJiupbZ_g+hg- zp~yoKoiyqhQV$MoN~GtMIf|+ZF)AUNgj5p#=uL0oUmnyc&5;BJ_{hGcL7x)&1NiQX zLe0a6^aG)k2&ep=p|HNb4&Shyq$j}m1SnIUg3YF2#T=41CIQhnJW4R!^@<`Ig+g6z zMxm78G|G_LBK9&8p8x|C-~l|Tc30QX($Up-g`Cp;cZh<=eWb+{5~Og(3+YH3keHeQ zy=C~i54h z6_8K`nD{zjj>4OqLZc6{aB!_|q3{B4Ln9OZ8VJ#--$4p^{}Pw2BG9B5C{pw>Jqks3 zQqT@XNLCngi=IKjoLfG4|9{@#B7e^(;};6`2Y>Td@qg?h^}ljWsjYwR`ryBD%?Cm! zcc}dju378(@B5+tzg+Y0{Q!FMs)@|e2o)n<{x7cmEnNvA<-CmQ;L@QDL+=_Ty+9DM ztR))d(t-7wFmu5lo*F0XP5T>y&M#w|V{I@MXH9{-8anh(IVs=Vkhn2@l=HB7r5Rs5 zMf=DOQ$$CbZsYj|*p48fF9#uyny1vj55t8r1 zrj|KtV0RBl>l@&!-EPV;y3T&6M&nw3NImZv z_|=(l=8`7Yhv|Gj49Z-UGpl5C`rwep#xUfSQFoco z@9TnZ>FG3iLxa4%f>EHl)n`jCu06qHHGN3N4kojAPV?e zY=@UfI?^h_Wv%}BXE{JjMhoB0i0k3xmq(ppx(PEAs4A&i4xjp= zn%8gHTkF+;-KPVSm_93?Zz4f=v)fT*R$lQ0aSh+&mI92h#%itO04v)#ky;K(wCS>3 z?8u(h9`pF_ z4^1xy)Q%)3V-N%XS2{{3sYNpUaw6ixfD@k^-Ov%gLnMhosW zQu(Ghl+Da1tSdh5MZLR0Xu0ovroIN>)6IFb<1-Gx$#ye#`Yf!hW$1G&Z_b6G(WarW zv+Cu~thAsf`g`>lK=s^8z)UXtBwum;RNE=?g%4`0PpI8WCSUgRaO`rH9)JqZ0$fK@ z8+CDlO+2z}F}3(_rxl7f?aC=Hw-#hoh?@hl=Mj5rt7Jlhy2m>=Z0PoV=4Z2V&YF4l zHeAia`oUKe@e8cfh znt0ZT33uH6R;^{CCQ0Nfm1$yZw-K1cIE)j#%Il_1<({C$aqdM%#;5H?8Uv#o{y=Qp zd6HF-!6e^e9@p3)WmF+|jfDM~Gq%J2e60ncP+$cm8%LBN$RtV5aYSP!wiCV<oth{`k;THzTN$pb4Vxs>Meu#wN{vk zm3q@-#L$~`I;Tz@kC4~wV-nl(Fb>OL{fh46XR6iUzOSJ-v)K%Z*ur30&A{y!HO95Y zs&Dj$IZ8ak&f7bfg7YfEMYda=brA>5*Eogg{p#A-m%6&0&TFI)YJTawL{O;ZX!Cf}#o{j_CtUM?o)J&Z(*Mad|2`-FSFQ;q_(I2%vug40 z6LGEcKj&Bv4EXHv?`U%gI-Bqx>OI`Z^z_1_i$8EdB$McmTo4cu!+wW<;G+OmpZt-J z0@#D^k9-t3-h%Jj|G-B9eEsl8J__<-5z(LcC~~+U+AK$3fD5Du(5yr@Rmi3q+0>wY z{u|#^Q~3CuhuZJqo6$%eQh5WbcvTCL_Ae&qG2$u|N!XsJg773oJo@G%d5v*ODengS zj!=2M;F}d+vkn((QXMd{9DoFerHZl+(a*cL&T*9FRUYi=-^@7Z{<3Gea`4uo6gw7R z_J3_#7NL3)7RnO4-2bR_tW2n0s!xS@iN9$x_CP`ae81qf@0K(>RiT0RVKAz}7dv1c zD^``HiQvO!ySrCidx3NzH8uh54o2aM3PrsqzhE=X{)#}bq}i=DYhG+t>T^In`~I70 zwKk_ozOF^cEsN|I&yiIQ^J zvc108^~z6`7vxsG@*KX?jiD^o<H zp}*nu9v~s&c%6~{lhjw0lEX74ZmmqaPbn+1xrV{*@NzWaS5ga=&|3+mcdoHu-ca>&_He2LHcqFeIH9l)}q zw9LF*S}$Sv*nCoGsl5lU$|w6-(NXPigQf!!S{ZhJ?!5X|*$G2P@AJ~c_0-R1tjBq$ z8BvE19aq0UdTEjbJY>!u*hNs=57V#}UVyouy1MyM-8%QeHGS`p+H>?10Otb6_Zmrs z+xF3NO>NJ%YPv7pBbvR(oEwtnM}Zy4_ZAG3%T-q=PF{KX`2*F5Sf4T62E6IdtC^&4 z)A|az9+Av}4HbgQ2k3XFBf@TIJhgYgS>iTK`t_wV(5NS*_JY)mE5K?4GBV24L+92H z{Eeo(lL80>FrTZWKiZoMjf%H6kpRpFnUb#aHW~{rXUn|@iJDOylAAQ>+s3`HnIq4$ z;6XszgI%`dVQ+*b<$iP~U~Ysj&;HA|lFVI`kd2%>hoa%&*h(_1|FYX~*R2q&BoYBE zN}3IwE$`l9Oz4fi$B4-VQuiJ02Q-TumgJs4U1e2Z4qQ~2`#wk>Twr&HG&-pr3&iaD z_u9p%(jMFt84)WxNY@!I!&G-A{gv5M)>}y(Pze_A$`BoV8Byz)X^9^fnS615==*Xn zMsMsV-?QgO-9{<_?)@oKtVc>VS~+32lgxxCyCO=o8r!{f=?K%G*2d;lgMGJsp2?aH z6Y-`TQyzZzidRu_tJ%19!vyIqWj2pm?7;2=lN^dmIGwrX#z4#L#gnZ5G&-%;;D%_O z1DB7JTDO3uFJIBnev2;OYMn()jUx8T8~gW;Hu)28@EJaFnk5zo&xCP^TNmwh-SCqq zy)^=+HD;v6kIiW|<=@rcdjB9s12m5^rajQun-Ac~eA9eErD&_={`mymaE1a0ZB`3E zem1}rf}OpWUcxLyUpW+4MV~I|+?==M8}CDzZ^oa^H7fx8ds;c%N3YZ5AAYEFJmPeT z(Db{%PH<{EV2>+hl$}c#G;NT1zn@XIxRuL-g`K+V;CZHeGrBotaD5L0Q&`({7o5@< zu<|yv@@=JLdqE|s8Zmi2BAfZ5s+DbM#Pixle0p$R%`dvTv3o^W_HpvS%$~L5gRY^) z11xQ$0Fysi#scBv}G@m$iX7HSU=WTBMfQ!P0SxbsfLWl!%cIhq0z!7dJ_d5a@ z>Dj6sRNX%O>5E(7(CJr)M8G<5YzK>31Gsr5q)r_$83mVq`l4lF=kAsAW@uz|VrJ`@ z%G8~JLqs}Or41QzK&^HU8CZJ^y1**P1UomJnc!iC#%GXdfb{=5~^*tYFj;=G zDgvu#W$U{*kTxci|DaQjyAxkH3kUBcI zMJ^_P) zfrk<4`9M(0m)+X?+v*;Xw5sQ=(l4C+=qoNyq}tiu9solknXcTyK1x3OXHvRZdO=M3 z8I7Nh&s>WU*EvwMaqk1<^g_0e!v{vj7cN-(g^v=`vt7|LyPH(sd~o5SNOH+1Kz*ej z3y+Rb%Rlwg@mXn`n9g&TB4U%O17iyp?|RMxJK%^lYb}P7b0d2+q4r!lrns?-=X=t< zqK~WQcQ(iKo83TWQT3KjC;dDxhmB8vyp)+z}h&TnAVG5+(nA5-(sFjd{A478guB{hxKMB-k&j7!U`KPD6g79HKQ6iU}kU0=Uj zKfa##WquduJl`d;h69pwN@|gb`Cx-7Jf&lY*$nGE{}umDwxA6rYE`5V80dE=Z; z2{*_vOCx+Vd^xHSo3`OXkeY7S@kP1MtnAe&3Lyy{L(gc?GqKL2;MN%~jR!RM7^v2l@e3Sc_9-)ju4X70xf3WnoYkrr8v-&^ z`u?F+3mw)@1LwJTu9tk-JQ7qlaLVu8+E+EYVf6xZk4y_o->?`Q{fu$pQg~8EVNK)g z;yRt4<6YmktJ|u=KuW>d^GQPT>o;ANNv-G|m9*@kua`sm?|ukc@69eS=m$5Ogc3nAPRPdD7lm?x7pPIQk}?WNM5PH5 zQhCy{8^weqRGw&*5LBLIal*Q9hky{MQ6l51FSIDl5sMN9ElM;#)F>gK!ud@sn^C7u zm?i8H1Z7G{Y`x7$Yfh-;zbe36TD(JBEK1ZSys?Ew z!E{Pr6y}LRdFFSEpaUpTGC_M1s*}IFc(b2}P0|r8$;B-oa8>CVqE5nDwBQ8VX)v1- zeyodzYAsYJVZk>n`A$P&8^B=*k1K3f4m(nv_@hXx@&}%ed=XT6;!i$-e3JZkN1(8$ zFOWj-QpBL#$8hz$x`(NX$dgzIpKM0q{8lI7eD!c3l(xCK^=%s>QO-h#5=xYF-@ijT zxwwSCw7k0dlZc#x64F2_WKhG0Ndq6=i9(6gdLto~^pI;}pD2{(C^D#v0szB^#V#vc zfyLfemEhrFQa(YHFpU%1qS_9>ElLDWo^+%7-Hr-AE<~dImqm&95E)RSgbx!bbq@#( zMo{Gmqs+}aNm(dB5;=#Vs!Rw~{^BXN3Q|x;>2b-@`~ejUGk$KR_SLVZe~jIaFW)`UvA2 zR8SuMuj!qC)6V~R=lt*Z^5iWyvibE7?L2Ai{`VJ7KKKic*8T%K|I6F=|KGu#&Q>E8 zUdfAQmC2Qo?WYDU3yjaceQ74J;e9a{}%|i<=qPfUW7cbZeDE~zFlidj}%?&gaeQf)svI(K>v|JL4V*R z#Y2Av)yHGuru-9HSz>o`77Q~1ZF!`^-5YC^#OysOY&pBs3R9PSrhQwjn;R8z5i?jN*N^T|W{9L*me&v+OD_ve3@KS89 z@XWkV!3Q0)PDTgcDPj=R<6icm&+OX$i`%Re${^0(6hhwrcVDLBh3i7M^|%CC#qf+TcL&+rvF*DJl_*aKF*5_z@i=Mvdx0xo_wp#>(4c$*j9^!CI;N1Ia z>Tm9;P#K<-#ugH?#HusRCfKtNh96E9UB-Jf|G=TZM7pNF{*8GKjih6jx6Ospn%bEA zvY_Jatkk$m`Vm#L&wY8`*0dXH0t2*HURivbT&!CCkiG-rRXh!vM13O{7k@P``)c8S=B!&X@MQz?Ff24focUKCiQka zimRSpk<$XNd26UK4(@)moV$NWFnn}-;u^>?v~tRlwj@T`ZU{@hAmz4w!@_5D%Q}x< zV|l{lhvYHv{wlfIH1nFk3Nt0DO7whmUzNS5h`%aH>wo@BVkvA8aE>JiQ-2$Qoo$P+28Q;CP(9s=Oi}(!)e7+Xy4Zbh1_0uNkro2%e{y8x^_?w zVf?65u4(_M>wn|Slk@8T#o*4lzgTBK!a9+-?LUD+0BZ{V2ns=G7i?kp2Ve^3k>~k8 z08@Yu*N^`MOaXi)^G9F`l;E@fpMWWVOXzPOz8&?nS+uZ&AAJfLBtU*p}8%J$I(Fnbm#{O+bl=7P~jfu(J+4>LY z9NH_3WVgYKhqnro(zrPC@9Natk**v)Z_MLktUNBfs68VolfEVcGLx4&>Lgi3>cv)= zUt39v(MCF%(H;kIR8b{+{8-_{0~QI-t~r|BU6zQO69#g|KX@7kcaocH&{&`Jc@=ao z`+#%HIIrluzS!A>hCdj;*1WULpUB#eW;(;>ci--4m}~&ZDSnxWwi#M#jDJ|Ds6Z~J zS4g``5Wt1Aa|^S6X2H1+9HXw4{tPuRN+KJc%UDcXBe1&K_YF&wvfC;0s~D#(fLj02 zujiHC=f!ZX4%kq0XyQ28@Xk zST~=NZvFgm_|d~4v@pzT>OPpct>j>#No2JbF<415>=Y-xAh=Y59k)@jJpSNw9*zOv z_LpOcRs7caHDddh`hL+HXSJ_-{)7h<7a~8T-kBrl2i|kG!Yh(iQqEERNyB=r>YG?G zo~7xsYOKBVS5?j9&Vhw1MW5e%_kF*6S?iO@19h+B^OU(o9h^Uvx!-$F+D;1rZ$e!T z&_M5}%5wQK%|$oGG*5l0`h)jF_Gxs4w$5Y0;Pd!vHs0Hxgm0atQpaI_)+^(4qvgp) ziJLncBActIo&^CoC5{XH54>ua zs?_as^qhY_;Xu7;%dYP82ejX8uW8PAXA&2^Z1q<;ZDEcTB>u2vFUqfoBIc-?P#h%g zhqu^X?3T-rZRFfy8Pb%_v)r3rFUd`TJqe!Zf0qZRBWoO(&Yr`25uLD|gKXFLpv}N~0Xg^TI~)vnw2K%cQ3RKkIn7n}YoJcT`dB zr)njZX`B@AY}w8n`{m8YUs{Ioo zr-)hnm`4`z^Rl1kM8jug)h%N*WbT1$%!}c<1$-XC=_Rcnw-_&}d!=QU|2oTe)uOPf zxwCf~05%O{=YWu|zD0iT;PgTCKHGUgaoxa*C3c?F?4PGKz?~732id>U7K*brK_kQJ z<9r+$2G1vqA|H((_{H7~?*k$0TniFty=lgYbK511CzoLmvob&3=Pa<<>#j{K5fo57(=uc1&e0ef@CZnss?~)7XNj%+xta*D}DZXq-P1 zv_`?4R(JZC>&>O*j)OUTUI7K8n3n_NIHC%`seB5bL;DE_zl6Nn?fMZEKKCVk8<(uQ z)}CVmASkO0JkaagxD3W-ZV&ydmJZ3iQp+}BF9!F+t~thzF6r>qAh})S zf`a47%nFO5#^G5H7Ugq8z{$hTeBtvU6KnC{!D&vRo56#`jPh1-q-+8*moqBnRsc>x zZP)nxJ|Pu{infuCQCHmRh6Yhu@Fk&NQXmm6fv9$~6ecq`Wx__VY0+W7Pg61tDi0y$0J;^`$K z24>mL18hEZlgN=-6sMwzt+RV{$_FAV2XH?+F}tdzk5@(A=IP6hCH$y(^r3g-Iyu#) zxr`inU42ks*tA@t(=fc7d1rddzGHD^(=jot|9M~V5A(RP>R*%~ZZ(zoZ9Jdi^{@NP z#M&0Ye`r?4oO%PJ!;H zGbYo{_VsaO^(?w}5wEAfg-h-&GYk8q@+#($jo%rSjXZopBfoJ9s2bi1h}*|w0wQ+7 z;VI>BKkZOhyWI6m>Eu_-F9%nY-O{sr773Z57vU5qkl@<8^DxjlBJY6jMj%(r6gi_K zuU5j&5j9)I%_;BNpY;j6IeESQ- z_(jp`4uQDTWt05=BN8AfedTsq`x()Y2#`56@=@3_Bd@ZhYluMACjFxYnf3U4at9K; zObqUlI!r)9$tI?%Z(kQ#y3ZvRSkxh5EG>t6BP=ZZQ@w1`$~zLg=u$I%_`GQs-!QF* zP&2-vc>oiimQDPc!`GF~EdCl#WZGQf+}r>fldw%UWRd?~$$jFAii+vz8XIHWFo9E=nnQ0Ad2}b@Bt&*6 zJkl41vVvc*hSxUmVhf#52xA?cZ$mEm)EtEo83kiSp*#6Ihw`MP8^Mq-63eO^PHMWF zp*Crb!iGiNEv;!Vf)XK7ZSa%rXcP+d&KJedfefU+y{oJ5#}X`uf&+S!uqbM4>!&%2 z5GGK~GJNT#81|4N@UN8!L$L7bdikhnox6jrnIT@)0A z$|RCP`MY=bUqO`Duag-X8bbhi6De?p1QIexxOX5j9yg;g|g{slZzVzimo2=u(=aP#WeaYNU4%JOqYDZYM3Ne%q9uUcM;u z-;5GAF@N+ZFeK#_B$RNM*Knd7c*#wJDkZ$+BYzhKz9{TMIEvr{|J_Ll^4ao=Dx^cz z@2CZ+QvTJSigFTi%g>+D=r3^0%;F&GGCl#Ekq`|ZEKGuh*wP#ZEx@@+;Dr=k$Y28^ zNHBjF{hgr~5&df|pczH?kGd=;cUckA@xN9CAhR?_VV_V-IGGdteW9G;OfJnQs{+)> zngBA8MFFJd{T}Sc^&lZ3Fdq;8KTJ(cOQS&tAQ=kdX@3I+1!vHW+#qW=iu#5%UPiG20A;J*fOj-twa7k%*ZX7$VOTFD-%FNhN@Z+f|0WPjDTj_!EC464b6|hlZ zJk%Gpa}Vd*fq{lvDeFy@G6|e;`X*b;-Oa+lJk;BZU!M6|7H&V^Zvq61q_P)_gzUNQ zz=m)e!i%0>(-qq9KXhGX$xPHWxDo|$gZyP^1Xn+VGTfX$693c?wLzts^)-3$-u%?} zRGPjbu#voT0W14J-KYJgeYok~ft~A7)xIFLOQjOCh!A;%H>epASa?FvM<_eSoQ<#0 zGkkcx+Q&h*+nD%H1KmDOWeJ!>zc5a4bXeI~P+Y;}VOqGP8|i9o6J2m?SxW7z{YDVT z!gcidlCL;y|88d(HmYVkx;W!i==SXALu%ovW;X*);2g2M5x=z`(-mR8)P55=;ta1u=c<6(hMYnEQetNUMhx$8-*zExXqzcfEO z_>{C+qe&U%0yNKKx==ZK-M$z8#e>P(RR8pQ^ViYI3g`f0w=xwG_e8LuWuO#QysKfe z=#+k=19&{GH@dhOumEFpTk&xKr4VdZIw%98`H(dJpIJ5q{|#sP2dCpz+RNv%b<8Q%b4X5?u`0@E30*<9EX9ynI%c}7rs>IT*5S>7^8|`y3s!*rsiR~TI*Vm`(o!c zaEPu^Zt?V!?v`?Af|qy-La6SJeHAYpKU@*Quf_Vd!2!8 zqhEX~pX^w9Ho<85*)umtRYx`+xsCk-6@rSt?!^I>UPJzY9>TZRmfgX|C%K$K0uG*S z%C#+;@+>xyr45p@?+P1b=V-@s%%hb+pSt87mWK~Zb(DD$XGXKX=v+_ElGH!jo&8)! z@dXn*CFtSBdPV&1%&gGEy&@A@D;#u*WYhs~LQR{`x(_!gx*qrz?A;4E5d3vNTCu=( z_?63K2gQdVJE@m9U5sR__2%3FjfR82E?>CPJ1mFG`p14Qm<3faYHJMdEB0N>3*$7R z!9#X~c!5h~x!RX;RyATFWy`AfGPJY|6x7&T0gP+jsIzM{``w_P9c=@3JhBL6CwoGu*~L9h!8w} z0t>YNfJy-@tN9};1+e|#A5kgzhUCip0hIzAT=*RQ2UH5!*w6nFl_Ccg8l8>kQWUBQ z!J2Q7O*67-fg8-$R?L%Q|L=&?#UU#3Fm#M-a8RG*N1|kE!6K!|DCL}u)*A6uzw)>& z@ND(rU^tIKlrH5gyRW%Q|20m6xt2U0P%!1UfZ!S~i-MO~98$KXlDcgiq zk-|n~M0n*Xd*w`T)35h{8MhS!Pj%8ESWBNLAu0c9?=hDLR?l|u1#_FTGW&&sAfK0B zK1&gc(5Zi-+%c|2y=!#O-RP;Ilq5OlJDj{a2Y|Q5FdZuYZofLkMN$jX)#e>qvD+@h zdn3!xf6UyFPZzYMbvBmR<14(8d3A31jau5NFA9W)?cSK?%vNCTrk5lL?0k9;ozz{9 z-gy$cG&gvbjz(OM3u}WI^2vAIcuh zzTlDZkHF%4HsPu4FX?@+*50$EnJGpbe>lG8(0OHx6_3$rL(dPCcNL4x$dpP>EvO4d zs_A4HvA-j8P5s%MDQUM=HT@ATH4vU5xmw|(&89?c{yfjdd8GB&IFNxhIA8Ln#xSZj z66o$1M>kpaUKAMITJ+W-e%0MH*?PO4S^w+DOkMH|ln=m^a6orcqj$;Jehvq2SyyS( zMl{#=OB4G(p`SCNy2uwn>Dg)aJljwYxtEnOpTk&Gf3$`hKJ$0|T)%Tr;~Z;q46bOn zyvJe{_4ck9`hXoWiyd(-ng%a)T=&hfc}^aeNFAWa{L;%IR@zzRwceg_VEEMc-RJrK zhQYb$f$NVCdcE|3?$dGn`k^&W;yYpCz z6^h9ML2Ce`;KORLKr<&tm8^{u?#O!6m_&o9Q^F;m=7A1Roa3KBNT|OcPjXHg%Z-3H z`NbB6x0HD{Co9hZ``u3LkU$lGaEqh!EXE^~v4zI63r?#7^w+8nJ_Lrfg^0eHnGcZT z5CYQpYq{rJlOrdR@65DgOwqaN_i>^`3Wo-2tJ9uEQF?<@xc9%sX8NZ)%%TLd?+XPo z%`ewHpSa|9e#@{R>hnSuFdTjRKC9}5we&Z>7dB&gpY?R4T`U8LQdS>pul^4Oa1CGO|l7}|6E4%Mp9Kpeme08eU zAy-!TE9{RXX6<48cg@-N0%`W%mv_XEyOM*bn7O4Sr=@4+2lL1Ne0U#Ca@Pd(+Gi~$ z)wi~@!o{Be`x`c54pf_LE#Glt!fsdZTj+!yy}?izxQrDY@rA_X0g(FfEW2mY*-u|e zsWzvVk~nktChek)=-x#pes^)DX&<0-oYQ8~BX5!G)ZqJz_F4Ydpoe{(&cpb&dU9&* zky2)$#h{@i9VKC5-BoBW5Ur`nOyYanUD1e3F+xs3uJ95CkROc@c(mJsFqR zpoE1#c0E8f>U7PLlm)pQ4p7R7L`Q5pr$=_Du~t#U9#Q|YnVwf8c3-L=_I3ZxQwWmv z^^H}hDk2_I1y45i9NoLQEO(3amDQtD$!fdPakk|kv1$BgN$fsz)%H(r56>_U|CH?d z?)R(5D!PuTjpLMFAU0#`kdlr^S<}SI#kZ_!a*;(Y;uHn5j@iw~PJUr^S5U2_mzKNF z#wBmJ&eX@${-d~r?P1eRD~FHQ-tN<^<5L0sdPj50`jUbrM>xOX?3AKh3i70ae&ByLOPnDq)t8 z)3j0g{f}Q1SCfmHhv|f0==aY^*aJhe`#(q&!lX`%9w~JnvB{g-&TT7Hf50H+db1d~ zYV8XsWpdrtc0`PA-Rr)vh$(33J*lkw@bi#XKfm_#jG%cesBGyN+F%vE@B27soPblx zw3UL1O+7Y|msoS_uIz)$4qjbhPG|Ky+79KK7R!w z;z`+D*NtHM7+2$i>8B2KF{;j1IN`NxB#Jje(z^`7o%Evenz8ZqO?-NT+|t_bi`;zT zn%ar)_l#cEzZ?I~4{oe6Fkg^z_YF+RY55_o<{Y1KJ)Og>HT=}>{S$zRd!ZJ5g{Whku78;$(B8{B0^^N$c|(SWyF1) zdcQyS_xHQMzaEeKkNbB&e#fKpbQ#y-Jg@7@bzRTnHQJ?8t6D_FFPVN8Xlx65IgCO5 zGa>IQxK$&i=4tkVK~+CCF@rXAP)5$zA+eNHNYd2t@lSlR8z5=uc zVgz!kA4tA#Q$4B7OKU^E+pVswE0Fmg-nwcXeQEd}P*OED~c%Y)GZD{r?1Dl*%Ldp2Us-zx#+y!+P%aeczxOFlzSD zJFa$g^4Foqlem<(ol8d|_tWY-R+)2ZwlIiTIKbtcW992c#;#r1Qa4P6Z)7cWh~r8< z#+K4?>RU8j#K{G;TH)$JO`Qig%onRMJBDpHiuSIWhfHpgYrXGWKKCd96m@Koig@QY zBjf@?rVd5#nmdMnQ1MxNJkRN16%;kG0^dX`R`HFz!{R%>;t(?k*v@Ojr8YFTDN*x8 z$FdQd_9;54c5>lSEtH0?u11e^_cKQ61GRKsDspf+{LN?LRYKDu_s~eU@ zHBJ4Tmlb@%&*{5{hM#olWDvi}%sr7+Lg#m97lbg~kjnJHtrwCREYt!H$@BP!91N)zN1eDU4h(X+hr zuk{;VcWWAfvdT0ltAyK*34(mEMNH6y|Y4qWLG9G9Vxj zt)+Eex+JfpMwO{ase>dlF8GRHi}Wl?fCnG$I5w=r|Er!aD)X39rxNvlpO8x$T2xVq_JGqjBKRn`Jn653 zU>1^G4o=huj+R%QP|JVJ-&Y{6yng)#iH`m~NbLRI?YIE|dtS0NrK;qy#``IPXh zUhoF>hS(BL2}^{~JWhXjl403bv%!9iW$ zP-h8m#Bn&$1l+#BZ4%Bj1;;d`mM82MrY=B(`^p*~6j~CZ4sa3@O0>J`pIBH}e@;nB zEpk!J7a@ejRq!E)4#{Z*MlLi$&1D*tTtYl41IJ}w1d)Z)pA>h&b5~Y@g3Es+7wqjF z9MHrCC#QQhlc^zFrkRqLK`^_(8Yk9^Cp5Y z!E{c<{Q2~EC~=)WK7}A)`WPGelS}Y_x|KbbqL9t9 z$ZV{D;wuGwhfHY(zepYlnTNk4wqNdfp9w$mzK*x?Jb;y)1$;Ene}0-Fo&BA6?adV` zqLAl95vRwt97qH9X+F$s)mZ@Z#ZJe`pMy8@YL)gm8oawau+@py9y)T)ol$8G*}iBB zu1$ugwkr|KeQJo%HAonoAp%4(Cohul3sYc4VHG19BTuS$ry^X?VB%0LfR`&FQ@RERB6 zx}oJjAEHz5%*Vm-I;ESd%--D4eAwvu>w(9BjC?vG$KnIN^FY%0YvTA+A(r<7I>y^w zXRS7h>1Gc~fB|dc_DgZ?Mb>@|WzX~KQVf~8B-0|~uh@Ex)mASWY=VF=ewJ|SCml^B z(;Z2yKbImHw&{x$&eOz@yyuwvwjvK!zs5(LDIh97cjs}Ua0WBwj{V5Q z_tV>6=k~?k7D-Q}v&n3zYZOQZnT?Myb-ypBlXM@&?q|(J3m0uWZ zZSLr69*T`}S)>{;=l6om+Yf7JC?$pT{L-a zVo%qdZ9k9KV+RsG5AQaUsI`b{AYyihp7bg&ys+lq+Tm1ff0!rvq7ekVQ#BbM8;cC_+aF{7^Gw^AjN6e$#>F8Wg;K7@ibJkN`GxUNp zh7Zfz06@y_-EfG!W-PeBafcmw$A((*&m*x z3U@iGr?5X?}`meZalLJr}xbTDqylUs|)tf(-@)BkzbmR%ag<8!MzOtnCl_ z-A`oar$VI>W|8c0gCPd&S|#I9_+Ae9Rr*xo+a%+V$61~Qa3#4A7tI|k zW71{{3x+Hnf8ss5jjf>bMPNeLj~);EE|@!2I~A0awpPW}Y48mHc4CZGYT~S;ne{bA z+V=Y;o}Q=8GG8ld2HteL<}jxgDD*Vu(0O04K}x;W#q|C}`v3eFS`tCwCuDFqi^!Az zNqmpi5dBYILVxzZBy^&m{+A(fjPngH`~6oNd*?sUvkZlv&;avSVhGsSIsQrv;nN{3 zvHS};1!gc*{BPtGoH$4RLQVm6`}~!h0%#lgD>((TbMSS*U&!e{(6ecJ8(rPrg{#}> zt_9t-PM80;s!rj~W{G*MFb|%-I~D$?^3CxAL?k4mF4*Qj9#I=4mj+LNb6?q=yr9Z@ zbMS0YmMo#qTfr~0_pbFNNV^}t6LVq%&bv5fRkU0BgWU(QP6vH6fz9NVD=+h6RcG_Z zsH|%H!LKS_Tku#wq4)KKDkwfbd$H#FtH>+dqMHi5*Sw58PJ;xxoAO1{diG%|U(a*r z2x!^=o=&Y?qR*)l9IrX6GVBgkQpY^Li+$Opx}2@E;F|PB$v5xTmg#wphxF$>{X@-+ zz}c!}dRivuhf2|MA2#M`f|Ea=B|$!E3Vw;i#?hPXUICpNOs&jLTON~DbEL0{>*pnd zzN{R-a!A0ITl|50mr4Ny&8t$!UJp5N_vS9wO=x!uo^mZ$Anl(lyym6uDRAEztW6Rc z-1=l`5V;Rj^0dXqsH?PJX%Ol#ePggWrtrE#2jnY;HEr^&$fd?u{Y-bY4s{!Ogc+TD zbo_jn2u}^{#(}iO^N;K$E{o|G4o4mepAvr%Wt=+YK)G$i*Bg%WpH}WE`eY()4Ba)L)UjxaBVY76fXr=DnYN_Sv8GLBG`ECz?fahe2t#8h64T>aGdm zv>hW?*En?GugWe+feFdhu^6swFwf)zFRT#c;e6U!djd@dNzWOQ9uNR1V z)NWZSKhWM9Iojr763LR$VE*y`0+YU3gjbO?y|_O(#H2l3ShQ40D!e+;NPZ@GY0ysm z)cUzuOX>N2Vf>asaB)eaXX|5U^vymdqQX)mA>IrF%K;i{fsvJU$^v(;RS;zpuZgKA z#+Z{*6X7xPfqUQ^qo(p(xmd3)?V)eSK~KPE`kLc`@rk<|NaSUSop4?TH=4^@OCf&J zH*vm6XwIb!iY zMu`mRaKB`9VRjMP(r%cTx#v5_aApL6HQAKRVdEiYsPmJ5UW4jppsQ z*!TV{iI;RTH z816+|XHcC^jh-O@%1`@CZT#;|WS1Y9-*Xy>5N0udYgLORmP{vHQl-Ih0 zPX!8W4qRFUPo9m0U5cTvct|TOl61YHe>uXYdpyBvBkdX!c7T{Z5wNf6UWs+x(heruzYf|dB%pYWovAYB(O;vSFQk zs%~I-&bfOt3WQ}nJpFZ5uE{<8!zKpn-B%RU$}buh2+a<`MSYP(No!7%Al(}jjd5HNedxcpvuuwOwg~k-j7wCyzJLa1>pk(l0l-K!ZXRX$ zm+co-uX%U$j!(CYEUi;o+`Si-T=5$dpEC?pGwCl{G`Nu}>I6j7$y~V`l>6hlbw*$4 zXFlnxy6;$nK)vXz3Dt|~l8*+{Jl;qJr1$ONiIr6D93|vu)_*7El?AuV>pPEeFI>@m zo}M|vebGMm>ye!Ev-nr7T|*(EPv6IA&wECibjs`e zMF`>8IeGbYAH}?_TwdG8y$W>AYuna$g(cNBVegZ){`1Q3WTp-CbX>fbM*}YB~tBNmDKpna2gTRRFR%%XL2j`&puc!GJ-O@^*HhHm|5NwmTPj+?8^2rzqP9uNbUKy`HO^4$UF99$G`-w zu!dgd+mgnyuUoWc{?F1TU_2TX_oJu-3`#n7A@Q3==H71^Ji`jgyT<8^jJrOMPB4Pg zeDaDOFC^Du@)z_{2G>a)sf#{jx6`vcG7XAK%A-60C5c2TKJELQ=HkXA*&CRo90FN2 z{d@Sj#Vs3b;+LJDfUwA{eFUFWL{i7A^xX!lpq!?K{nLb3*)1RYHnA-uh5$XY#uAR2 zzVS~h_oclL6*D;Wch>QlTHNP1cIeaQuuXyYo6BmxVZD7!iqC2dtOGV+3vzMCh~%Rj zZr3$FLz5Cv-b6xX>0VOxk%Ut5TFg0dRSm6sF@*%DeFBST7tdd_1Wu6!B^5vLIJiaB z17lM*_Bn3Y^b)y5PR)OrTiG=-GY5Ia4@}>VRZNq_E}6G3`6j%s#Zou^ZRgpwt8e=7 z)yHRG(yV|^`f5?bG{3lJV0dbI*U}pCX$}Lcu$+?W{;>rtz>)jDWt3Yhtf_mG;*3;u zTw%lKskD#VxP)AWmCdxwrr=SEAc{8?U_G*c zM3X8{qAE{FC5#w6@qk0!C6qCu>b9FGp1chij`1{{%mm$;!W|B(yEHS0oDloO2=0pj zSSbWw1*0bT`zWwP!z%yOextqL(DRJ~%7lcJl=KSd`aWsVd7p;-!tfprsffmCY1u|n zu}?&nX$XKS%YUrz-7t)E1F9`ittCvUgiW1jbq)iqfZXhh||-tU{J^BZ=alNlHo-Lh~; zTXP=&A(M?Tn-X=h2ccN&N!m%*H}cd3p*vAp!WJR4MhNXYkBWI7kAliF6NKqsspUyb zB^u6I*Zkp+=NmFf-#_|F>~C-^!B4)!NtaC!WCilF)z#HC6NGAgePe42mXcCY{gGCl z5K3Q!=8v`#jpc;qZx%KlN$JZ_VW|WsP==cdoJ4gRf$B8WW1ji|&%z=^2xq($h2&(bk4GK-LhOg<&-k8!%7Z)SBCoDRjjN| zQWtCyI}-%C>xAp3 z=bT)ayU+<|?1EQ5DX{vpMgYIk*N9-D8L{x<0Ne)QW8sgmbYgM~T_89@^B4XkFkl8m zAvp6G;duC`QJwEO7Br00qGbA*76W0MJ|;rbRF8#`Y{qGl|MP9?{fg>mX;fq#NY{>E zCGx%3mCDysA(GqkIHUG^f#}ihOR#%yN;fn9A+q)E5g5rmCMZb8vuDDY#U2UYQW2S- zBLebKUR1rUOo(6nMYTbJpPTmtkbLcI#oL?IdNih0T5_OmQHeHpv&m}WoYjxk!w!~u zrrquNTT^j+w-n}rOgWuE|45b0NXTS>+lWu+m*WqN4(>zYRl5fmLF;^s^t0uw;7$q^ zC)sD8V8gCS>%=(Thf!U8zNGt8R=r0-O8kNZMu24|7b&@-JQ2oDr9EU3vZz7D=-7F_ z9PO8E8TW<>Q4^qctTH{jZfDhA-TvZIlkaaZMLI(>(SuTj4tQ zGiKT*sqK4y`-h|etqks`t)Fe>l-`l?!d@}9Q}-0^Gc7Pw&&$aS(%tRjK77Sut1?Ki zoJSL=H2}ENN=tA=E4MsJvB(df6R1Re+K>|J{9w)g&Xl$J%A42VQ$v@UA4SjH&-IY| z`w=Vy4A%|m9ICwxdlHj_=m8*$_+B40c6r;SdkJGN+Ijt%&`O_Dt zq8Z!}nD55(EEdGduY7CpZFkFtQvy#gui^WgM06j(#RX!e%l4!9G}ts^vqp5K zbo4tlJA7-5v7Q}Kq+~&GMZ?xIP!)mAKY1^Q;ANak{2dKwd|W0UjV1) z2WNkAT?Mk~4(FX)1YZ>6B|Zs%OT10^&co$~(UdK?F!^%{%;egYa}@Se=czgku_Xj8 znG-)<;6K2$kt8Mp*V%eT(;M+{rPJfha93Y+|0LS@>EA%|uCip2=jLsqhhT9^kV?~t z`$4LUw=Os4&;Y~O74555CEWMC#C$F}bB%!r0(A=a_nwy+=3)((4Atu1hbGG(x?ep! z(-bfhvy7YrI%E|Z31v>7#TvY?bRssNj{>FEnyZ2s?cQi}Dt6fVf+8fTk+fBds%lk3 zxlH~#qp}LUn`&rKb+2}duaxaAf3j30xL{ovzO*XT#|WaxW{ehp*Kyr zko8I`hHzuVg?_d~`=iF}tY-m552bFlvkY+h5@P@m{L~}5BGrv6`3cLHoZXwTI!Upn z`djOI`8XkEFYsy30+XT)yD)6qGQo6Bw*^cIro$eyq$Qt%Cq|DND*DELVS>PrGC~W& zy%r(k&)(6&nsLmnw|TXke})l}uP$TyF>RvpWQ%rG^)h zYqbxyqSH)(v->U$ZfXn7YKC5x!)J!Cm=?vQHs_Y<7BsItd`Z2%0cwA6^B%}y=?n+* ziGKg8+9=zvkX&}7hm`%xfZ2Xx;3uGTNiD7+RNB6Avb~g#&+w%J_@cpgjanunOqE{b z-oR^cmgxOu%De*?i7aF7^kl{7o=i2$#q_-6mf1nI`zp6#6{Oyzqo(*q{CGW|mDFm9 zq)g#v)TPCOzV1N_W2?^Za!f%a>3W*^zwDnGHrH7HKDIo)oiXWm&FtKO=70h9|E;(D z-)2)E`-f#Vp)3IR-*)s)uzJHvRLN93k)>{y9Yjydh1DoOmsu&==^3s&2_-y@|~B=TF6x-=c5Sm9~a zdl9v_K=?i5YZvsx7(yOUvX#XVa}TZkQW_v+mJIT9t9e<;wgenpxd%%&*iCHntDh{K z){OuDs+ANIgDRxhYL+xb&7=_!-PAtkU>MugM3N%9x%9N(Kz@`&S%T{3eX8vl`tyO0 z!0E*4iz3=*Y+?(Z++^Mp%g?Q1+ z*K*9;%#TsRB^8n2;#aq|zS1(gccOP^(wYimf_>NM6V9`H7M^>2L7a6~9X$K?K&jPE zHtlD}XdFw`=G6!{gYiHSe*xNi38|A)DG!0M+^BP(klp4Bd(l01**hNCKcWQ?zW$mk zp>ysRo>f$V{b+)bPTTAsvv=ihUu*@$JQU`;0))&mg2gOBzJ}bBAce3gMf6SA!Y+1c z_>6zJci){$6m?01Q}ul}iM~y*83Apo+9WCRu(1|bEt{4N>bw0`JxWam8TW$CUOo{F zeI*5C!ezY`Qm%Iu)F@=1_WqJMWQmPaKxwfp!>rle>tZVcwi?t08@o#??>y)uu;X+{ zEy6tzPq#P0W!(?YORJRl3COSZa8YlOSK!6?WOb5%{FUEB>fC{(2SkMsJo5SKbOp@3 z8F7fU!xdyrU(0d+S&ccvLg?P~>s}u1dmh66i~U92b`;!8w|Ov2blMM*hI?pAVFEvI~eremr5U>*p0wHwxC(qysB;=6Q6%coml|05X-DMmy1%5sAYuF@Aosu~ zFZ24I@VyTmAkIIFOL{mnxc64gy}q!0KdFv-29G!Qvp>_Z2}o1!OaSs3g4zE9SnJGMa-m8?*2WajI;DUlP<-X6@!f*iXAj#BFGWvraFCd3L=4 zKKSP)tjHYq9zOkwCqVi=aLzbCC;pDqOtwGWeF4?!8L-Nj>=%RkAXrq3Na)w^_JC{g z;;ljLX5|-|Lp=)0EIdHs)+6fmxDUFw6chQwlRjK{E3KaY!$w{J$0z<>RFwBAkjOd4 zrv6LjR@NO!4ZMBR-Q;bdeXXoPvhm`t+=)@|sX+&WC2;;sFS75mM)uBY?b=Ygy%;Oa&KzOaBgcPIV zEk5?nH8L(mclI-wn@UxJA2KjZe#`}|vVyCHn&aO-N_-8Hul(()U>DtExuM`WM(OvR zb+Lz|{elco8NnuGe17gVKKD>2dY8IpGW2Nt-}*~cUARG!}8}SY4k(Dw>1nwN$tSU_>Ar!M>@_` z#!!xg2DI^k`=jzkikC#r%2)S15aABL?i;7Fl00aU>2Y^o| zIOp<1->yAtPmWuy9P8Q`O2#U-rLW2E5W}X+^Tv*ktGa&dQk%F1RrfG(^TIxq*rbZF zbu2C5<1Z_678)!3BlSbN99x{G%5s50q{{YJHJG;h*g`heai*lU;{R`wYOl|>@@u_n=R}Kisq_1ij6fLNk zSVzR9gF%tdY{UF|gySh=EA1O15ofPm5w?g#7V#izz+Q=l!yJ z?ASxzn9`;zc3B_Cp)VYvWCo(jTDA|%jtI#ad5prMG4SM_<2z>#F-}oj_4X@!-^mRG z&1`+cGk5lI&Yp7&i_K!^)wlIaXlUltH?qK~b2jk@QFXKUt`)s_4B+~QQ4*o2^oc4R zBvKKXT6GWN_wa=yKPygTj@*?2TEszu-pln2`PJQK3& z8au~kmSEnLj6=inp|Jd;@TT_VAN!&f4?;n7@7SEIZsJP@{hqj*?vhc!z^Or8K0G>! zk<0G*aO(h+(lJRGFVWvyN66k@iyhee!D#0CQPwYViiu5D?ZxOGIApiVuUI^zOe-XA zX&d)hOiRb!-M5$Ml+-QPkm#2M9bjm2mrl?ryz4V2j*@EAFIL~Uog;E4cJq|%Vfunn z7zk1z7u(jU+7l37gmHvP?vjsBYn(b``ktQWDL#qw@)0eti?^gdq>wW5Xx{#fBa~iI z{jQlx&1UZd%3!&vgXu2SzN3iz%vYg&67+wi=m8NEp zQvIu|{KF}2Z5{6i1cjsJI%vBN?AL+wM8k<=;1&xB7yfgUT*46#!P$wn64kpUr@Txr zudi=>4@c8U0r&?fuWZ4AE#Re$tE)p$j|wd{uW9Sv!a*I;ZV%yXe{w6)i98#jymA`8%7?*~a2g+YY=6|1&>|lg z6#OhZ2hFZLkyb)&B^*UXRA^9V1@!x)36^N34zz)fjl(NXzWOa1RH?^!vq;NLa^Yyj}9DohUof$2||cMTNKou;^Vgn z3GiWrO;#`;7R`ng7r!K>q;G&G!=ll!#>O1e$0U%sqt0~B>0=fYyB|{`2=}x$`~@d= zx)Uh+pWPu6zkl-Ol0V?{Ki@dH@c&8K5bVOxbUXQ-g@0`7|6{ub^5o=umB@edE*~t{ zDVbNtb-a63s#&)2rHErK>1~PboAn=Wq%PvO)UT|A`E$FNwWCzzGlIkBB)SoAhC6zw z#9xd^&Xxw@V3%6DfzAu3dfN^huInTiXUaY0mcdmzQM z;0VL@wTc%{stehUqJnAgv{|={XyYCeEG~ev`J#5Oc~xA&UHf31vD+7ew`{|iJ^VS&g$}z@3qjFX73!y z10+k6s9 zH7A=}uv9HBni*TN#6uQ445}SICazu9P*zAZ*%>EzC)LrFvD7=LDAX9Z;=Qv^F96I3 z0$zqoZ4T9Qtz`}lW4Yzj5b}Clmny6LHJ1^Y>puo+nSb!$vde#8a}C~7!hFiE$%kLj zrYTBbbx~sHp=S6j;Gp}_e}`g5jKcVAO4Z9eqg6to(08OU)yqqh(Nm%m5x|FqDj>to zIyqHEB6d$GDgntdrScQ-`1oe6if?ox!w^WDm`T}xcjxqpIDOza>uM?4S`x{`VEBEK zs=5BV;;|bjk2sFg@jvkJAyZqljkczY^R)7LAbwr*RCK7JO&?*+zvy@%i`JoZmR-eHqw30R!v$A<40u>W?r^pRWnr zM#}9Hey4R^(R(0vTQ~3H(OK{)*@Zm#T)Rk$Yf|~+Qnx7|!E5L9l$_3q+~(0)2!H<> z+^`m=H%tE55X{i=H6W|UakKktp=-_?b)kGR0=h&7ap1D~n4eN7cUGxlQrKdnI19&B z?tBT&Lmb8EjUCw^IVh7{aE75LHfL0Bsp(I zD__5V%ZKmWT3d^>bh$Nn@>W>07+XQNIW|#CSK;|mj4{67<22&7i%xR!;qUKs1Fo;6 zOu?(s&lawEjEsHo+E=h6#fgT6v&M%V5cK7{1-RVzeGp>vA?v6C3Hif&9Zri?BqfsF5$A9PRR(@>a$7v28 zmC#u?`WGpgraB=Rdx7?fzl2SnvHtS9^=>_VCI4bMu$KDvNr%(3m~XCSH6cfBWsQt_ zqt3OHZ`l>!Zxa-nY2%RYT4@g?Fhem7Yc$)_YBr= zRi(+*=@LzFIhcgtECXzLs`+;ALqEUC?%Q@~=^ zyfcn3=|f`e4tDlDN5xyRzu$Sxm!PF$;jI4I?zj9*YW^Os=qV}v!gOH&Y{gI6Fkw_Q zYsPb4#)w&j59sWIL_En`@qJkWt$EpjNbu^$g-CzR<+u`|&CkrziT ze=dCnSY0X?=h>#zCRw_kC)8gsj`z&WGTJzsgLOnxXe8^+eIRbR=_kvvCq5y&w%yAc zxfVm}H~MO4u6)^LhST#?iV>JAofp*7<_MEcY}h;NlRNIQv+6C_yY((o(9@g16yFb& zyuek{(;6qJPn|lZaxC$TRP{cTTf0ekh6J-Q;I*V7c=0GI$Sul$uX>i7*DEbs#UE?0 zWDpDMGmfO`a}LArIDlDp)-|^zWF-0**AE3r9mo!XjJ7z zgm?1cSE?erRp5=&TiKP!&h6Nc>UcXtj8^H29r>xAabtnbRP)1Hz6~INXza=SY8qHJ z(;S&!kRmqEsQ9GlPJSCFTih#G$nZB}Khq&adEW5MOYhNGUt$4R_hU?X0fw}F~BYV)6wJz{alX|VPCm_P9itlzZr?jc-QQ(>-v+(t&-}{ZLiQLr;`~Gj*&&%J7Y?++2ix_Ob6-eNa1>V*S;tc&1Y?f~8{ zWit~y%ExmG3KHp|)@9plIPxt{uJu)IfKE{@gbuMbK5y}jzWeG>yY0P6KvaO-e40~l z&R#{X18guc3UrsJlh=JeSy%hl%2{oQPvgwWv-|?>_4L6n~Y!U7hY8UHQwS zky4gFgP>OW*hL^Q?BQn9-871i7Q=wxUi0WP47Y347K33dZMR`bnfh;%-*En0X3WCwSs4j z?IJkBX(Q(^y5@aY*i7jpN=fW@<}sGM1MDMO`ezP_r7hk11{SsiUlw-{jqY3! zQ&Kav2nF?>J%o(D&$3F_Se;jJnYhlLmo?4r>XT6m-@=j9)UpAuPC2|En?Ge7oz)Tc zy7AWL_htUFO?)D`sF5GLyCFiN;N0yyE;-G=s-6w(2)o5PcqH}MeAN%aArxnmD6Z_A z6anhDIkfaF<9g<0Bqa}<+UR1yStO;ONU#O2m?eic(PyT4CJ$^WRd zo`OousssOm#NB%!`UinW>=%!NcO>?sq7sp}h~M#tDBdv1YVGCWvv%^n3S#$>t|5E| z?u1&|#Yh5WzGMFU^R6%RezI^~l2cW*cLDB?{L>4#BoqR}V_Uu;rwr|)vZg368$N#e z`s)@Ymp~MFL%>Z+?pHgvD170k0j?=bv*b7Lx~y$A#=G~1AiJExv}#=hUl zWTGwT`8=QQQqBe4@|zxMZ?dbF1c6jy8ikBbSSPbz_d= zHjJHIQg?pA0~DLFFRTPj!=yJ18-}*Y)o#ItqSrZjCH0@a5YnC%RMT?_4Nt8CEv$SG zqMAn+B;}QR7gpBEmF){KUYL32vjk84rAQhR`v8ARm`hWH2>o0^(>;c zb@O*o(#jkayMA5$Qxs>_tzNW&&OxEjkvY=a!CCYB8VUUyBFfckqQq*hEK~Hl`X9!EKsDu) z*uK%=dUef@G^g9<4oNt9ZrS?h-Dqmni&nxQ&;(fHv2O;SXEz!=&aINvib&2XF8?$~ z#H?oJ7M)nyG>!pq*%hwdxDy(Y{OT*an5w3wXWXljI#{Y+Nq$-1Z-YY*rlnSPdnWc= zv3=4Tz7!5_bbPq$6<(-m5IKB!Iv}2u9b5_^vv>7~FJ4&ZeDwG@^A*1si>apE4SNpf zDoqcsU1D&fVseS+Vtz%(Cc8><`^@?-n~<1O&UYd8Ahc-4#00~{bQ;n!GZb=}ry&>? zmT554(gaq4!*L?6v~_@4fe}z#`A1#}4dAG_GM@NEUHKvjf+F}j7+$FfZq4X4AEpuL z^ntVyrIYY7HroE(Zh}Be3CBY{Lg<6J4GnKwpvG_ifHVXfQpvy|^hlrhLV0Bxg7YWI(geXl<&~k&;K7`<3x!8OC23q@ zD%t~HUI}lLDs;e-oti&{@*RYejg1qNGyit$V4-L-?Igq!`3~n^PD21Bl#o$ENQq)f z*nYlE2A$w1loEA;!-l7SHI`6h30PRzI5^}_`oRAf!Qr9&!zk7MY1%x)&czD(@v6BTYv_ch@sJt=_AwipWAhCpJtpZEv z;e+KwREZv%qc6;@OoOUQ`0;)tf;jskw12SWNy*Sj2fC;C^B0N9$tm!9@bUOE%>*HV z;S1@n;mAM@;Gf)As@CFnkX|@Pp9y>q2pOW4L0NnayL~p|-HFguL7adfZ`d>_3YFQBeW6uuvhhFI*EaK``Nx zKy&r<2MmN~`j`T-OrD;^2=bdgX2n4GrnBKMJ)wvvZ=L_MJ4F7|HT1tO5BkqfAOHW_ zte(rD_pMtYcyJ_v;?0KyO$rQ(XPVfTJLP*CT}%6z)1-k0+0>VLflpb#Q+qnCFg<-d z`lP-YejD_2R;VR6Hp=w@y@BIO4k^;O8oZwFGrQz>Lgs=dJY%mKU&?4>N_;a`4KhC+ zTgVWQyW+N1dXo4!d_}O)wT2u==cr$2rW2>S#hV^DDVX@;UKY76<8VX&ITMZ^2->jUUAw|B8o0bG zM0C!Yr$Ga_(i9Bo9)8Lt9;|cb1Q@;tRCqVncGdS^nGef;4dc!vTfz z#&fk$u&a^Bo26{WE7(n3h`(;$syRPd?87dZ6$>oVIn-85x?K;e(oXdbJ@1?O6>{fl zp@s^Ng!1F((FM<=&KjQ&8c#-7d_$Om)4-2ED=o;H6hjg*TN< zdqZw~bDHi@(Q|XfosS@_R{2;B0azz2x(g#3OhB?jk(tZyHu_ME%%l z4namLgDqx^lZhl_qT76e|8ZBWv%*(-aQT5W3q0L5{*AtCoxw#jnf58m9geh>uJ`M4;nxVQZrp1qiOi29yts zL{yNz8BCQT$vd~Dg2%7Ucb{HlZRscf@ZtW1XA+28(kRm*e-y7~@5TJ~@y6ZXEomXv1rGZ4H4pKIBA7 zCF*RB{pvWqV2yVMyX~d!j;F);9A|*5{u)TSs^iq5Eq=F&dgRi%Eg4M!Uwy-gb$!?@0@KNyEBU!;~l<6fTZLI-0v^mIF6E6>e&qdcy#Qq{X@LJo{8bV zYpQz`bw$?8@dslicm2(3;kqBW24H_-rY-rYuNu>+Emy{3yUp9qDgC<#w)+*WI8(AA zWCcKg?rEXbatZ}vE$9@YSnqYgk0Tk&`BZJqlI_gw*zF9Ev-Ui3SBH*@%;RGtyQg*} z`ze;6LT4yGn$gibOjK210IJ5~RjXA8-pZLfR5-M`;{*$ra1%6ktwI~56pvpvCxOn% ziIlzDqr3X*i=~!wyO)A|$WnaX@bJ^vno~}ulbbQwnC27x=9?dv8aTa8?eym6l8#Y= zDQhQf*-rI`bQZ&pGUD)A=Wm!0=)?8fzhOe)4jmhR!=>OwV$xr6DX6Y_ z_g7pBM#tbY?_Y2!*n`fSzu{6q&j2I9|AI^ZA)UR`b?_AciZ(x^yMA;xFun5MLC)eL z(tFSNJ(hDl6GNPKhIyEI~h zNsAA^x|{@ktn#OlqAe< zc7aX$$MUl?BzE#i@)dC1 z8qJ~o{{9+$1xq6dE6sCZBi>IQx`R(kQVLCj_^+`^M!<4W*C>Zk^-)yxvbm|~7tH6La73|!84 z3Z<^=1%%^{+Fw(yY5M4f*oCt{zxlgtp3=lo_foae%(?!GS`kpFF7a-tx<7N=N#jCM z4h+T>ZN;f1Jy*LHBlTr)UX}+Y9AG7VB8}(Bdzq|zLzX4(FuXG{EminQ<%7~lsT()8 z!DEpf=8FaEM@T)v#UDQfO_-xt9x7F&g$_?BGN$(9>w=OulL42`kmL6AL=HYmQjiSt zj|(N5IE$M&cPM{9)~y^gVbV*R@p^NVx!u}en_Mq=ud%wderdP&P=Smz?)Nh+K;Cub z;C^U!-eG*$rYDV(QnVt&xrAQbQ4D@^EU`0tn}86zC0udTpRUjJiTVqQow`t;4?-Hb zOg{ou3+hS-BR>JlSfYoc=Nw zzt#ERT^M35@W}KP@yo0BG$P=pW|{GJ1`9*2qidh=LS2{#wl;mZ@nScQxMI(d)ZUzu zc@b^ge-|uzvQ*zs3#z}=RJ_LMYUe(9hpd77Vt880hf9>?nIs*+Z!S$q;r^M6xJ!)p zhdt&7$+<+IS=uHn`rP3hc{`PMAH37HWwJ`woVd+$80P-a~3dW1jxK z?V4hWSMtxnep(RJFIg~R>b0tTfvw#2Tc~@N=@lEzvYgJ>ObP+J=E2#3hQavo7qix* zxWrd=-|6ECs6zNK)QzyoHHCxTZ3Po4fE>J(vW9nYm$q=kUWZ5we{7G;Is8Q-TV9Q# zl~S_s$O5ChV)qA841)Kg8;D?XjeF3u;*a`k=M8R?eO76fWlcceA|%`7ZB~1Vs_EM z7x)r-`kbeek8RvPRD2LuLdnK=>#j#&RQ#8XoqduEyn;Yn-R)s|_4avgNln8?gMeX(1X5s$Op;uHBdg~t`_9jjDaxux``dBup^&#}{}dB86vH}s2^ZV5&8!yl*isW_y~nmRu$ z{bJAvTEd_Ud-@S@h^obmOa@nB-f#_$Xr127s-Tv8(1UUCy7D7lR`<#pkmHtqGIpNc z@Ws&DFTCUz54uZGrL<;p4??vGVjH{GZ2-o3;lRi^MYqwD3$*&Kuv7AJY)MPU2`M+P zcw%bNE*c0+z+^%#mF~H!xuNl)nR4__O*6zXLJl~qemnUOc97mnYt#Is`)>PFPz*%-Bz)% z2i|3G2B((R$k?=8)AOr(4v4vgR3k~actW7nnS5 zWbW;k`Mj)tY;ny!rTP*VuZl@PLQX~NIDg{R(ugF!;5y_i`V6 zp=$i@AfvI(A!aAOqvE9R}^<8WMF<+L% zl$JTXbN&}U5xY{{)&u7CMr7d@&h6a`_rupt@FqlMLZ zOo(qc?1P(HAdHY*Bidvi{mS^pUFT&~%)|@+D-Sow{i~|!*!lGBT|jvIr!5Bd>ozw6>%HM2lY%Onld%-s`qZ{E{1w+~Lv$*bz!C00~Y)p01Fng4}P z&98U|6xR32w6O}ZC8+sg;l{tm;L^3)6D)Yyt=ctuHxZBD18gSjkxp5D*Auje>OKLC zTs*hcUwfrww|8Sx2#CvS=>j7s&-lWMZ^y*f^(_L^@~fIZd|Ldrfum@Ugh(k96VYKK zI7D&Dh<#vcdV1FLmXw0O2i@l)Swd|24=4RCuj#EqAgh41}M}{Gn7zHL)Nl~ z0G|D36gdQyr=$|`4}=-$m;lmB21e+yd8(sifiggyw{5@}k3^*d`PA_5Ib+95;QzacGp{P<~f z{3)4)Av@K`kTuYp5=j7ubQ1DONRHmTX~;y8pZ2~(_2m4*U)srUuppfzgZjx+_2iGA z+dF&5kV=x1Q&2*JcG~=ntmuVI6d`n|L@X+)VZIJ5D4(Q&@<~{in3&mQZ=4!c{+3W0 zplBhQL`0OQo!^j5D(mRkBYoe$(WHl`KMLgqCvRjQ`ZS}ke39}qfNDy({r>R%fi3Vz z;t*7ghK5H*LP_N*r-Y(PXjq9jR-P>@gX!lL75$J*!X0|5r<{i2^j{#KL0;Z8+h<=oe=h*i}(0`6e^)2U}A;#djO!HFn-Q%c0Ok_<{m&tE=m0T&g6 zV~Il|3<)Ja|W`J)mVP#6X%6lNw0g#{;U0~9y|3vMw) zw6F`F;M8>i>M5b15gpkSO5|FA;WP@l5&&n$1~A|%69!y0!;1mP zt~H-Vp->i?sEd}+pwrI5**O$ZFC!Hji(C;ny(AFqjFX;zs#0!g^+#bLAF;N#(^!b@ z7bXf5`J{19d!tZX(`zUc_w)%Xw5>&KHKB3s9_lNMM)-$F{(Wx?&EwhW!cqs9)J}L30`b~H zm{$rfxD7mcR#zx}r2G;{Ul^uv%rPMOh0Dy+V$^(Y0b_5XD;AUSmZ-DxLfB*`_!ebR z%UR3G{=P#*bGGuc zjIyQyg&u^i3p+M;E$ytp3N4sPGW>#qz?9p;^ivbxbH>rn-^E+B`etZ#$t$VWdS8fpI+e`yoG)Uk?PB7ZZx%Q{V>=xLH4+;FQHgKh$eG z!q5JMEAz$OIGPl+4yRO71m$Br5H-uYAgf6;TJC@Ev*`;Tg`nBHrWS`2fUx+f@Z0oA z*F?$e0PV6#vVcKZzbkFN)k*K@lu>r=c3=VBvgR2}HL1V6#Sji7!+R6Cbu+1tmCuY%Vz+Gs;_fMfT5dd^$2(Fn(o-3h zi+dzjwevIV-lT^pCrJ8vIjbB)TRT{)=>zf$P=$>+?x~*(OOzA>?~L`{ujgdmOi= zp9rRl^BYj)G7H|9!80%Q1uT@95kz813R)#0?^*H+0=DA3Y3j5e&8psZU(UL=<^054$h$8nRcj}1%jXaKUuPAYGLC{IZ8+} zWlS+>=n=5o$yjpXvpyPRMFUTU$Zkk8eiI5#(lGh_1MQHs53?#@UNAIBF#5*$iR}fD z-C!kBOh%55ZlYC5lt{>SmC?H{)1SAP>ny`A!E)_0>dQs_iy{8FhT8<2SUy{cjb8$* zwPt#B>+*arE!F(X5%Kic_}`Mv{~Qzl>mZCQ{6CB}PbHfzZvPx$?a|jVLHYLL6HeiWy0x z&XrLl*z_q}BPV-&JvO_9cOHa4y_;Z=VX%LIhfm@uJ5RDW{wyb!<^s->c@>QF({9s1 zT{=V2iYR2?L)uE%c0XI6Nbpr~wFZOvtHex}?}fI3U_~=jvS!i{quwg>5C^r(l_sU$ zcC2wXwx$2IJ1*shJtz_ydsI;~-M2;75ajB{I@sp*nd5O&SH^8sIVn*xz!B?T(srmohH|D2`ti<1?jB9Dfr582I;>J8j!9c&Mq|@+>PMC-%a4^L2~y zZH^vUZDyL@3*a6iH|lh2wOQz?8dbs0`g;$Rqmz32Uym^FUbg?D+b?LNckIh;&WS=<3% zD@iTJ+0mDkp8@p!R!^-TLNn|5-Ygcb!G5uEe2(he#wMx#tE3;{Uy^~~VPC825t(4c zo)y1HQ5gQGh}e9V2G&bKHml2(%WT;|hWF)Kp@DTLe1|)x1zS>aAJIsSa`%f(=BLLJ z(MUQ1oeEk1`weN%`&26aoga=kFi$XU(=`a3yi}I?Q1wLg3b2YlZ`m`YBj_AD$-G<7 ze9v1i!d2~~4Ga1$o%HjY=f8o#Oo^hxUpxz9MO!h#Zu~Y}a?RW2rVnETL(C<|E#g^$ za8>o1D^Z`E{&gXDn{*PFN$vo>cvn6X)McdzBhEC-@Wh1-oU8f|wfOZuWwv2o$VUny^ z4m57&5Zb(0q$#RWSD!-O+gBar@;%oWWDZ?<%<|GrFfGFCX*NFB=ZpPpK{Db!6k493 zENB0^FA)2J7k;G2Yi+~W?>**RSoxi6t2Qf5nK5!Jq%%3&<}Q#(mHTEgGwikHMRrBI zyfX@E{x)WFkwL48yxei}_|}m1@&k>REa= zc(pAddDp3JVCd_fn4&@0w40NA9D#Bp;Rgx;DhF;%x+IKE(4v^)m>ioW=SB$Ri3gBH=T&(ikXeUQ-i;o}AYtNeYdfRe^Z@avp} z5#gp5YpN2NO}QFHnlJ9Jq{o{;7?G^?LI$Nx@D~f$OwUv;)h^QW2D}oD>u0HM+B$or0AfxRb#vHy z-PA@cs}oe(+E2{JC2O9XTmE5c@jD8a9!#=dm9_{;ZJ#;B6cAD}u=4c|pSy)dWRjS9 z#j_B%<^Y8CEe=jnN_Y*cI-a{};r?1A;@ytT3JKZ8Qc^i}Bll_h*HzpLyb4BcDLDm2 zZ(2Xxw0x4*|7B_ylj!-bNrsKRm?4Ie9jP4G6)FWxN{%) zW}UfcA3Hqz1N+Nmk@j_^`1+gXKWQf(#rDbuV)pvR!cv?6=rg0oFcGatc__*SyJCWaMEGaE)l>wR8=u ztR7lX&8}(c9|dz~MAfW|D|#`+rFFtGTNs!^I_8LlrSgefGDg45GRpzGpw|NmAAX%x z`E@2XO-FCb(zzE0pVFXWu+0C<*A-w2yjTTW&N>wIeaBXoCr*>ZF*3UE|t&>XY2e+&us|QbD zx47ZEkA@bp*>eJl5)K|qA`+wkhf?s)%Msknvg=mQ+Zi0vw++7UDncUZ)GG!i;3yXN^H z$3!G_mfm@oR02+IGjuXQt9I)6$Vh&PdR*3c-)Sx%m(bYzna9gvQMGi3+&0%Ju!>$i zy|u?-{*j}ovgH_`&n~fd>R8zt3ovsEd1qCwfuFyKwQPNx`85rw-AeGN{X((|YKLbI z&P(68CgQ9b+6zqkz_Z4yjE}}OJ!*zV@o%VVy9X|1o72~{zJ2GItsVhBED%VUyL$zW z&40%Oa@ z;xBGw!C;F^)v#spJlS1~33rY=7{v4`#cz7iua@A@KSR-J#3_NSFNROn9qR6~kY0ev zNy~WJ_TyV939s;^bWt65uk;qsF)nT7>enztsnf?JuH=&Ryt;LGlYo@d(9S0+rhAbA zu#4&$TPA!*e_1>0=og$36TkGRw04);vz}DuqGHlG8n_nC;*;HQ{b9L?1B13_aoMMD ztC*M7^xR|f5ropw*^B~+q7uehzJs2DNU%w7Z~y9dL{AA7l}K$-A*Pj3RtZfjkxU(k zDiKbJf?k!Gf9HeyBaO502O>)*3In=TvKgQ-*r8h`BxanjAa{rIHXa^6egQ$D({LTc zx>D^_SV;qgmGEaWETrihftB}-pm$|(Fa&oH-NAt$A_IrPJK>NWVV)XSHp7T;I5t8q zpFE9$vdVHKApEaz@S2*x1eI@ak%sRkn4`0>=#L^q94ld`H^M8CL~uC9Y97EheIeJXj3s0ajS%2 z>$X1%7ZOXvtP-jz5lE*DSM%Pfni2{r5vxkXtMUO-r*S%gfm!hE48qC6!Xh~03ph|Q zye)y#O9;J6OA$y3|5E|iw6d}qZd!dK{7DbHzagcB@!znfPm^>YmBjzHie!r-d=64c zI1&MTA|ag4!L>r+LUF zN~oXwEubXI$${P89UZXK`xHy|^-rTvSV+J3z+f{JQo!*(WI`E5Gb-f(H3|oWloE<5 z;aSYg<}nH?D4_)yQm5icL_KMAfX8#{0gG6`^6~ql&>@wCyB_biVp0fkR1lsm#cRexxi04LsQ5kwn$f`bb5n})d& z0@EkwQP7|hN;%O`g46VC66WBRfc!@1zs9i=vedO0CN*B<9FZa^LP?3o1mz z{#5S4RGJ2Gy>TT^T3%_szvD=&89eE9L`1B`9$uU78WV=YdE}xGz9&-cN!qjBS_w<& zLodwxGIDNBnWuk;x?26A+J)phPr;T(GJQ%mx-S;~jeE*h{7ODXrzj`mw_3=DugkJJ zjH!Zk=z2Naxrj+DYeM|P;wDukvz(rQ5AD<|T@sF!?#N#7jP(*9M3p zmNlq8eo9c#50J8m7{Fv@5a55-`n4>8NBq`9)k#S(#uK94Tz%*HR>ZEFR>Ivyzu}L# zTO1T>YP+r)&BXT!0XNC^`5H@0mT_+qQ|vxJ3+%H}@>cTdN~T`wJ3Zch0-(*hB#-5e z=4{Tq#Z*sD-R(I>qYh5u$8{#X(_K!sjGRDC-_#^yB$s5{c!-SPJ!9u>{wIc-KGAzr zc`vG~jMz8=wpnNW&MMeyf=nFh)t@oM#k>N6>vMaN0?*9YqVVgc)$D;H6D-<+z=FtIhW@o znQX*XZ~xZ++wj(}uQx3T=|IlKz;18NIEMxC4fiUV(Rl5n$%U7zLKeK2)+GEz8Zg1e zIW1w9XnYLkTX(V#_9st<&SQVeFT?9QpKHY1_l0!>IPq2LyvFFy4@%t($x0_4KeT(j z(~)3}-yGd049K}zLEU2S(;*Kty)FuN{z}o5hIhT*&ijkDjAJ{-RFC6$c!4mXU#7AQ z&2oH>`^>%dH^g!|mt^{0uCa;LxD0kJX`z6ZSOf+4Z7sXA!$h?E%p(Rfggni~79Y^L zl?^U&fbaakuq);ZEcw4 zU6G6;hr#O`p#y%`8ngDyrPN!w-vG*o-*>MU$^~A29u`r2Is5))7XmlCmfJ5GP1%xv za%}Gd>aIL0Y`Zt{>J+!BNAno;f-2v;?O1l=`FR%4GY~L0oEFL-59Wq6>&=*2U`} z#%yl$zhseZf)nVK^62HGUTh^F3Bn26uw63n9p$s^<3CSN#w0$%nkglG_5(lNSt+_u z+r$b9V=sG7ut3=yULE%|@F5}HsHCRFwJXIdqB^01aa#v1zxW|B<%-p9AWDy4L^Kf!O`O5h#K_;Xl*|IJ;I^_3DrO5WuU1f8>V%`kDWcqXJUs zqw^<@3Iq^8mOpS*08P&S$Wei_3v5^U14ji&*Ug_eDzalC0v(%{Mxn+L=J^?!eL-du z$ZT@j?B5XRzV1g_Ef=f0DEZGh2^{nU$LL}69XsigTG8^D zV!R27(%xp+10KAA^`i6_OC1m`aB zz_+wDnnOFI=15rvO*K8QPGMY3z>X`NA}+^cU%^8dq!BL;OL(wM)|z4-pE#N_ZMn_d zv&F`UOyjTlxlLK5Z4Qjmn(u=A>7OpIl;b1N-uhxQYFJYhRr$hT7Nk ztU?;!7N^=(TaaU)hw6&@I?&T>nxf(JBV6ke|4a<6sXjVSOnl?3vqN5&S^W>Jb+y34 zp-!|X)4;oD&doJBOtu%+Dl* zW$%`1>W32C0~3v`R8%vq4C3F+46padUd-L6`kZOfiGM|8E4~p|KMcsRV(Mx;C1Wa) zpQT6n>4`cw&gdC!xe+c3aJ9s+Zfk<8uP~FN3N3u09eMhh0OzBX^w;f7|6S& zwJ|Q)8VJ1VKDlw9bhfwOQwN|%KR-OzxcGTY^(3Q}dfgyY*aR`luRE z_cngp^3@ZP*`3AMqzcyKy^&0hcP(Q^to=uC%=i_?!3&9)Dr-Eti5n@G>9S z>;onCu^XTA?=!wDtEJa`wQf51grxdfV0EPbB0&3WXemy3WuV*ZL&;fVUcQ>6=Ld`{ zLNzmjWhV<{WS9U)dhaanMVkwL$66QSDNO5XJ!mf+J-XM`p-YZ2{@6VfEU7t`U@t4C z`S?jpi>n{pb&i=?!Fp#yV6oi0qUHdVjWKB857_OdF+BUY zBxyw5j}9aa-jfRwb5@VYm@mWW&JXVS@t*H74!hXmAU;Q2vA#CI8Ef1sbmNGB3+>2N zR!JSHFFfP6<9x`%jjR2nwd4aXxDl3E^TQ;owxN%SjW0O-X>;cRIi1O)jLN~ETL+}< zT!2qN%l6sxp_L6%W)aEEFAF~jh~_p->qj>4e;gM}Dn1Kx$I_qNY{Fz<(Mq~1E#HN2 z`_j|*{YMl5jfJ?h9-AUP$TMtqi=d^*=swCxu4$HGCGFO4Ge-x zP*@BK+98RJtfHzWp|OPy?is&uWn7Ye;m`_~3WICu&Cko*$LIHdy{iA^SMqvQ*Ug7J zGBxdJ#Xh#Uaz_lfw)6Ni-8`$B`?lph)AgI#(rJYCQWXkBDu-dr~tfJKX8-Fm~cW(F; z7TekT)tm?92QptXweF>73O*-jeTG&P6&h{T^l?MT$h45`(Z?lRpdVdQ*FBF(NFk#i z+`m9UEgPCv@!<@ej{B3Q9y)Hv1dw0&YKbkDPu!+Q+%tC>jg7~&V0E2>u6(GVejded zbOwmyGGDDQk9o&8z8X3>uN76J9h2iW{fR_7C{FR^5xzP|6grppdUAT#rlhgu^B(R^ z9p}W-*9$zl&Tf@0o!tYQ;0O&{qPBGc@G07k+*Uq&Pt$gX#IkX8{k(9_S2DVnln8Tc=`RrF!QeZ{?zP_xRGh);QaP6VAnBnc|7`4 z`f>J43PzBLg@2yG;N#?MQB$fl$F^JM^9nNau61&v7f+WKZlvw&*r;kV$WJjhQ(6TIm@! z9}xb2LbR}l$0az>xtdHSZ^9zwVLn=|{x%&JhVH`KH$#QgX*;WEa+T{-IQ+6lz0d~zp* zl$S*8e3IUD(HS}wOeeghVjNy40ZydS`cLK#7$5g`4wytlQObD*2q@L+2GY@o=fC-+ z=>V3|sW_DkoN}t}IL4Mt5?{EZW^V7EnO#sky8*banG}GEwz1DsB4Uc>*3RkGqcg;S zRq#es_4@%74jcc~;Kvjo@eSsAmIrq{aw@6))u?%M-t3;xq8Zg-&Mdy*(Fps-L+$+d z=lbzGquR8I8wXTO7Oy`YUUW_>Z(BSs0yUJ7?;spf9ZD!sn$z&Nu@(aIv@=oQlu7>W zMG1WZjf|}jH6etOzh#sc!;ntzQyvN1yrB&xf+7==a*Giir2&lk_D7wqM(TH?cP0u| z2Q_{5u-+gA+2n6GN`Dk+Mi5dfgh((avkTJ7zbWOZrV;{5Lg+~eYZK;vBau2(aHV0h z_xbY|kafKXN2YFuj+79V{l=8wx2h89dcSlUeGb*6tZZ^}3JO?A-}kLsz8Hi`8nBQ3 z?>28wFaQ4$`VH^spG2%W$6lhB+JZtpd?y^;uag#t>H6x?Xo>5Z6E${;#QL`f+pCl5FB_8lu| zQHf|NF_GYJNBAtKOw<`?SO}_wl2v%#;1dh|P)`jikxp+|nQ+W3e`rw&r|fAcfjpH} z8lX^l@PT~zVg+!sOUwEotsI2rl&9{Lr#;_D!}r+a^bf-A{iEa43>_3wXmE{p&@fOK z27k#Z;VpJE3LT0mp~m&EmUlcnLSj;0Ug$c&2kl@HpUU65N&^%rR8~TKc~w*#c6y(x zDj~dVhLXx@6x3AuLro=|%;4LDG=3v$O6bjrl#PvpWAyI;t2kKk@nokyu<$$xQ7u19 zO-)U2YHDpYrauG!=8$S{Mxs@|lo_U2QA1@iw~ z4}ez$cm;%nRFEqH8YV&L&|KlIEhf~D8U-2ipoNB-m|-AlWkbE`4m6a|^a(x+b!Ga5 z5QP#(^3+ix)1GkDf8&=ZPvz5x|5fJsFXo&l=qEtw^cf{+e*mPOzmaE&(KPzMorc3v zlm`DA<$s-td;Xi+^FL?sVB4rXX<46z^Z~X+N$SQKeV61D4C<3uxi9i1S$Q^(u|bm< zgL*u-hI(qUhm;!{2MbOkcC2i9Rx6w8GQ-5=+az$lywDn*-+xXhrX^)T{GgGzwsXN^ zIf6$*DxO08RecBepq3=#Uu-|i>V2i#lz4|!6(=}_hHdqyvUO~v*Iw%^pb{{r56r_( zCb}(07hmZvtmN+WTvrZTc_!Ce2Oq1Z1dy%lU#HH@48vSjy;sn<&nvZK_x3SL{zKcH z?ZlE)&OT6N-AIgmjk#|2)}H(p@8c{c25Q6W*#Ucb_jPC1m}kENTl7~qAB`70KYVyU zzGBlSP(E$N%-kuPOTLem7exJ=fUABN_ zSjh;=ziGD{`Dib=bFrNhX?0Jx8}8hzuAmx6XOzhy?TL5_-dXY|r^q;AM88J+Ibe$u zM_QN-efJlN+sMA{KA$?)0^YQTWj_dRyQo_bXS+)vt&p0|XdmpTA`4ikY}(WTYJMZh7|hN^brw;x)r_JsuGYt#ROZ=>+3d zdrFMowXY0rF_tXW@wfGip0<4S4`0d3XBTDxQbTs<+s&rYmUpOj&xKj1(KX>dOz@;& zVAAOyrj=Acf!HfD2fu7aa78(%=M}u=n+`}^(RCxA5=!4r(5>zNxdAHX1ROVg^74k6 z?-ub#kd>-;{-P-4OF>&T+vX}()C&S#ZgT7;dm$qJWJ&i)-W55$EIaYVVKp;f{>Hsy zIH5!ZWNij<@?~6Rxx_=i_*1&wJ#aAFzRWFdz;Rv9#aI_Y%a9>gk#1D+-RI4z)e91a z#~XE9&h4!+pSWMVUdV}dQv?>z-hb*j1FhDNQ&!P<{AF!7x&(%Gn$+GN8U^Q_JR1OI z@5~J7h|g$^+Av&PjCm-|mwDogCm)ejAsM_*xEQbqE?M8i@cjAZK9?a!`CmJC3j z8uas4%RZ2Lc)(4?isrZ|=(kxN(Ikdz{{o&HEM`l+ZHjp#yf0o?K&9XKF@oT1;0azc z7xpYkBwF~;J3uf0&~?MQfspxWmE<7#cyf==L@)E|9`T2I&HGR2&Qb#IC_D|JtCL<& zndLu!SJpmr-_OzDhe++-QzzjWQjX#_aFgG7Zyd8wh54OJi!Lc;>W;eQJ(qbg%QJLg zpQF})6ansA`QF^PbF@F7&UCijq?pFIIAd36E7Cyxrh>3Yyr%%1T-pEW{q)V)KD}%Z z3+uWb}+W8#`&AKgjuocVGoPn!KGbXf4|$+mxAjhA`$-lt+=swot>x;Cp2|&tQPHkMVgN@POm#>dNumI2#$J$zZbFVN*7* z;=V@LKn(QSG8%T-HSlodfl2bY9$ho#^4^i^r8|_(`VP)%pXTg6u3%~AFl+;h?StKV zlG;vBEUHcaGR@MqUoQrVNUHNpJ$Nt~?0Rp2x82QjQ;?6V|~=HwK95h6)S_muc_t zzGJvrzW#36uZErXpima8-_zvLL#TSS*PvHNe{z)GaLO5x7Tfi<1e*Js4LeVR&tKU(Ty;(DjF(v- zD#G(o8NsT3Pg>5csREePLi7sv^X#XKL+}OTI|Mo(eSbZ|5fV#*E`Z}bF`5TrUrCt; zpbPYVwkevOsI1#tH}n(`!}Il_P{cf1j>dNdjk_15T(G_-^Uxh~l*oBh8tUC4$Dv?+ z#H@9PG(nlZ9=szTJX6|%S}4Cr;8GVFR$`V(BSz?O$mrzYjkVcrkPq1N8NZ9ZZ?sjZ zR~#^ok6LaI=-Kljh~g13xGL!^LiP?w8YLak$D}_~P#hhao*?F0P(xh0Y7xga? zeR9(n=v|##SHx~p3CGdxaJXLmhUP%+)&=nzNvuAGbz61PP!M53UZ=P7bE>`6Y<8F# zZJ=nN*oN0#HBNG+T;YRu-8b;qcbulRk~&6d`}6%~+*?a>QyBuqD}h!fF?SBi6ViLY zj^E=~=GD6lRt@I`ztf0wZo8BSJ}>C9RaEL2zpT{Z1}O zv&3SE;*%yZ{Kc@b{W&mb!_;55Kb(Dl655HRxYJ@k-7Gaow9Ap4#6_NgmZiul6se#k<@Cd*W5- zHq#}C0F#KjwhCW2ZR#9`z75M4S=IS3y15b^+@Y7IDBmZyp+Jv?(?!k8Pg5S8*wCLh zAx?5YK&&5Nn4Qc4HEA@F&$azU5Z24~>W}U)|>d8s=_goy&^$iMjLiSEZF-*EU@WE%pDl zP&+8s=g~tN4Qw`;+*bL*R+pcKdtXirGvJAolu4W=Q5=k(ui zYMKi;4X$Ev2w2(#4@~h~z9KFu?briWcF_oFId#qaGIJ}pj!tk%xm8Sks%qc#ppi53 zcFz%ki%c9MvUfBLy`y4q1nz2?yWYmP%O?pa^pm(1 z4I8k}k?TCJ6CIf%z7pE@$qR^n-nl@3BjkmTrppGmnr`M9Ew9M(&)6dJ+Rp|kuPLem zeY=d>hSu+B=P!xLsM|PtKTIsEU)d!hqh-0#KBesu1Ky5r?cwr?-Es7JnAc;Lnpri2 z8M><;;pYzw$HCBFA(~LUDBloF|gY)vqQuepNH^Q`@ zUZ$_sK2aY@C=A_w13u$ikuh=%Nza}?7EpHx0>iA_-T`5`ZSOWOsp&Xpy&A?349gU~ zXO6|=8CLn_7c~g|+N?BbGE%#`{pE(!tM4}wH13By&U-PoaIEoYT-wXN|ajYLiK4ZC)TDHgYpxjmALvU^QPc9pewBm*~$@UYV=Tfv`=n=EW^`d!; zsGVA9^fQ56xUzy)i?X_Z{Pb>ol% zFiA)wsc4-!x<$do=lZ(7fte(Yl{W>CQ77kKzJu^x9 z)HgGqkBccSaPNcW`DidLV#{?|7QtlY|$`311Ac0V*cHX)g+0(nwH6n^7`cPv0m?QVSTHI{5@Y8$UR{D1Teo#iw>2 zhRL}2ghUs14jTl7rFrhe1~zV^XmxpmgzY_5^!31c#i3N7Jd~>#ES?DPiw-CJMmjZ^$l@3?bNln~+v=s-ygEO?m1~NqVZHY-(=p zLX?!h`@WY9pf4q)M2M0SiOzwbG82XR-i$)6`J=Gbk@^AS+99)qB2p+4K)S6C4#`fn zmA}a)6jvIckY;e$2o3A(RMr%{Nq5^|*5$h|uTDAZj90V+W} zsjRFFd*NX>yy`ulB0^1VXXsanxK%=trH`)x3im%c%K+cZvteObr=dE~t`d$>45t!A zo%s^!Coc8>D+j#!w_zo8tb|pC6e21`JUXG{6m)Zhl1tP?vH>N+G7*|NJ3qg)dTLmS z;7j~dx#ctpg>?=oqGl9|7=k$%3XU{`Bkk}Ax`j>Q)YO3fAFU;TT1(06u%uuoxbzK( zE=|l3fOkqRkv?!Zjw2iu<%Ddwvp)*u0`HK)oE_Iw$I88V z!9*Qwr2QNAf1kyJUGD5$h~g5`%4rm|s5F4_Rm~{OzYHo-R}4@939y24ONeg8#jioJ zr7P{(ySmPILDL0@E1`fHDwrXu>_7E`Ma0X^Ft-YhvV*+cyT~rw zga6ylgxX63D7}Q!5gh-t0UizW45XJ#FtrL6)G)JgoTi>5(&ck-b5&0*7k`VxT_}4-G|Uk5|L%cDwj0_mzu`%V+(r6iV9gstXlQOo&9jA+Na*l!0o07YPmD*wjj#IhILQs0Mz75piZ>u=etxf;%tV`s}Z{)m%g0 z9P3DT&CHd0B@ARL9t0`8RH}4z`?>aNj1tW@)1F;H=}R1+IkvDSEBY8H=J}{97*(QX zsNj)v$LxWs=P}8>+^1d)oaZn;c>uS3P%7}^=Fdt#g~+AtVE@*I+?R7{5}a z^79F8)xYwsG;ZE5HDzCEdZG^Ud9-n^*2tE!$Kq8f65(jm9ro)uVck5`BNP3YV?K=y zum)JBMw8E_<&IDa<6+mFW6B;N86aKp_U|}0HmMji1O;ul&(AgK=WHx=1RP#%XMb8( z)=%7hjNKiQZGDnOngV+9PTaS05AIacnBu4?MdJ?qLfxt0wDO3ix5=Bf$-NG&Tii51 zlT;Ev`;|%QMZJnnt9*Wks#OBxUOfAyb8ga8KySnCXW%5Z#&eu?r@jRjI}Orzs`s%C z*6f0J@5@@#@&o4|9d>KV)9)y$7wjI_4B3vl+AMf-sZDo>Fdg51D|HBlR%PsS$8^8d zn+xCdQLFMZesLD>iq?56C)6z&jOP>hz{|-q^!V5FvOz-!0|&b&JTKKAJhA8Xx*mta z^;N}rB$K(*ZfSLO5fI_TUD_DvBfNxjBM;rs;BvG z__vLUVn4`r7=L#TqDKJtLiU-@k6oNem65QDTHNqw5p%U;*f zN6Ns(H=54ZbuyfZ1SIAK-E0^_zTFrx@b;_Ro;}xn<;)#YFhJU8ip!Y7M<|^~JZgXJ z)G@O4f^qR#0siI1DY5!d3E+o!wT&&2lljcFN#596m1+Q)y(xI`{25cUl@VRl0@bB$*YOo1I69p|hq-$fdziJKmuTD)sk?1YrHu(#!n} zK_3eWg8d2>V)Y{oF3uc9_mso2!}fSj3p3EkO|8Uk;XV_L9vQyU^2v;kpLFD7`v~nB zE9#yBdH!{P)GrhizHzmQ0Y9nSkA1P2dZ?z3 z7M4frwrcI_++E*t;v9Xnll3aZ4F)dPk}lqU$q+#E`R$CCpoqX&Ky;M+NbuF|^kBPJ z8A}7eqwrRNN&^bkzk;h9Nj)vpwHc=~|& zM+V+Woj!t^H+syN3hPlj=ZXV;CmVP_;S1`wX6JWN8wFgF3bWYo4l}JS{hCu29Bc9@ zB+;wU=;(Z?%A^0!+2;Q%d(zv=q zNY`y_uGN0Uo90OFIj{=Mjaj7ZiwO|MQjg7P&$!cXYetm&D7L&)T_HI1&O8{vF1uEf z#QhOi{4!w+OQFeJgqk!@%a3VHQBoDypkjn|S*vX}(hQ+r0cS+wC-6^MI*o+{Rxl zPTUk=vXQ#2DSU2bcJ+N-uzw5XkF)vntlb1s<7=PN2oBW8z@<$8>>|~>{zW0lPl{4w zPksbEI!a}_m@U(IpJD5#;~nsM8E0v`n^dgv0XLeQ&U?MNlVzY`-1Q2&&6NZQkCyM}6xcvX_2N#HeWC zGQSjGQ)2|fGO;=P!2d(td>_KmNZjdu9|NHxLL)sLye<|J_uQ>dmZuC=I&-vcif$n zaedwx=UnlhX+?SculIN@o_(crAO?Ww-#YZ>K41VdHBy=x7JNp zGa|aEt_j*_Kd&l07`6<$sq6K)mJkfj-S$tRly)RMF|`eY0Y(LH{k9-p39S-*T&SK z;5$_krr;f2lihgomGhiDMsHJ!9KwdmbN=k)8Tm?9G^ zCXZ+=oK|+}gzYo7F^K>NpMZFJLFMcZeoZ|ypTNio*eQKW{JvXk;g3t4x;c%YZ(>zg z+1R{fFxlBN{UR+>R>Qj4E~A*6cYhL#wkP@>;8h(>c11?hI`Z>8(c|ZYM|Y?erVn^T zQaiNmeD0b)>I8E_4}4(Bj{963u|Z*_H&Cv z|Kre7_RQTonK%`(`C`He)e>GT>I=N4U~ZFnZ*=mUlxln~vq6r_J|Wu;h2-aqs$cH8 zYAx91Vu0xLJ^?j#otK$!JPJ)%Stk*3a0{$i#N*%+3hNptydVzl>6zy=l3daXj!*0C zUd6%Z6<1OZifNX(ts4Dql!EaFkTxB$`%eQmXbn>oI?{R>vyMJs( zZhhb2E;@mLc*e*on~D~&_WaP^GZ;Vi4gcZ$&+WY86YJZY>xY*)v|rDN3(;IY0I@H7 zL|g*PClh8B%R6Ro3O1rs-Pn<-A0cA4-{TOjRR`OmkFMPlx^qd~o=g1RF0Q#Xw#ZQQa<@VpS~5*MF}o?UEZ z-S;&nPMIelDtY7^Cb^t?cth_RrDar3QO{3=T~J!d_E|yKDX*e7NSXe*Ws`?~>AK?m z%y$)IL#e>6BU1<;gCys zMZ5-O+=7Y4(?Yi&=Dw@x=>JZ_rWNw+dF?3dPgmAUs%vToJ~R)T2R0=d#t5Gr4UsiD za8NXvmC^`F&n!1OE_vs20{__b_3N(XEoHM*ocG2zl;dkT-w>Qju$Oq8HMV!k&IzP- zexZ?q>w?me&pNK%ipgympJL+;%*dNrS~();@(%ⅇ(3Cyr^s$Sz2W+!avXca^d3Y z4cA3$hc+rpUd+TTa24yO%=ZWJZU)6@JmN|2#)ns~DPndgIp8ySGm)khod9ENyGN;S z@u~TIo~Bj~tnWXH%V_POq~=pLFm(vp)v^W|l>|gGHm(;#Z`=}AD=6s}%m1>+El?fI zCe#5U^N02Ur>n1dPw2#iiKBD62%NDw|r`+F)Ac;^Gpljyc1XsG9G8J>L*fZf}$Q zF@4V5rg8R0)w06AMgiM1@sCK_ygFDx#t) zQ8AS&kVk6SJ2_#xz`pNC5RszlbIzcow-1Uz`NFCF;O3u&AOVI5CiJ=oJvKy0f`gxg zN4`GORKk2p_z!g!a`8_>Wm$P;^=BAQc~+f+f{^|wQxXD~@ZnjZNaW17dIbEVt2`?Y zT3T9OU&lL(raa4~gu#@gcxT-?XDreXAw9#9mo8oAgW5_F)DVTIBL*iHhnobvFIkTu zQgD+tM3CEA2$l?L$QmL<@S>r#(gvlgw1@GO@R9gOP>G_EXYrh#iAgXP^^ckoy1%pi zp_&p!$6mraIq*zhy+TD)LM(|Id9X+alHxyTn zjlp2fnc2Nl%u@sKTzQsNiBCYmbpxK`nV=FC zQ+Xz*gm6+&R8-Q?=*$U@!jotZO;D$33MVmxo4G&Cr<_3$#L^$3{zp=2YwP6f77rca z&;WP1lS&Vm&V02EWA8ms=KD?mH=@$o4dye@1ysHtRNWMaZVNh;xtEdEeX32)ip zEqm6V!m5Ar6*!@E^ybZ5{7}{m11@*ln$2goe0xXiCAE5l76j-$(~t{=%V!e=(P zsxaLu*nL$C&jUc=Mp51vNp#%l`-E3Hv^KW>apZ)jxeRtu3b}S%h8k$k=>Ir69)Ekt z@zdw7Ykeu-4ZYys{|AOAG#mxbR$JXc^v)IWB_{{crmvm-8z~t+bjIyy%)vU?AucnQ zXx&tQ0`%#xM3oT%pMMT>kh2$4`K8J(2RoyZp+w8M<`V zuO+z+4(Ujy^eL`wP!E3RQPs=`SEspjJeTqV2EjO8kJ&~buA_b)i5MH z197Q(?2U_j%S;N&q$*!9>pSS0cx06$-m*WWL=Oi7^dn!~k2w`{3sNvYb0|CVA`jYS*S81PDkzuh^bZt4k$}Fj zoU`HbPygsl`pu9jJC0Thym+qF!zbLsjyCHF;N8JX4LuWiZ6oIE0i@S)h)KWd5|f;i z#L}@b?6%N%dI7G>8mH;+@*b^~9^?VTnG4?I7ULnYzXLF0#jt))8E=4rOC7;4Bzlj# z!J@3E#KxVt?ftK2RUg>crM!xR!(4(ul|#dnkRl1+U0t5=thZMjcL~=@$O>P)@Yv7| zUr|-72jI0~5y{sm^NnczjF|VXlqH%!2Gf}~hm%=jmxugHo57>4prQ?83PCiN^)xo! z&QD~HoW9bt-E{V!r+L$AzQlqCt8-fT;} z<>O4d&lq_DY^kD~1kP`35|I=>?hKY6C7(5D*Dk$tz_CS=w^Ohp22`us3FvpM(zCK1 zE?;cwu-*5!_?dE?a;X^zUAB4ZWekcN5)64K+N`>k(_AhRg-~2v^l*ENyl|%Psu*mv z>ly*oNbO4(VY1Hyblc+_yI1O-`mJY6Z?NF4G00$CU)5^>!|!Tt9uKv$zGxKZqxp<| zZnxepp>Z!aZepOn5pYo_gYEsLbDjJjvxJI2Qf7+q_|81hWkpj)`#KdvW+B@*kqP2b zir-%EQDpg5XR~!-=hh3|X7S9a^Qrd#o^6>hDr-rpGVR=&PrF^{yo zUU|;a^Yh(IW6GWP21cCA%jcHkR>@9AdcW7W%+6ZQ*5Vg=Ui*>N3Z686bq4As>sLiO z2rSe5tObV(qHO0+tgP&d6R@uSOuhSCrQc6iQ}{THN9UljL?0h zatrUPZ>!{&faeiO?T&n^iG(R!8vx=~@+CWFF}KT` zqaqG;HBE>TJw>E-Tyc-0_z!qm`@hg|Wm=SbeN`RNFJMlw4p)&Z?m+NG83tQ+xU#}| z|BFQPY=P;Ifb+k+CjM6eCw!GIRQ=~w`hTrYd7h%N{lRl+@UP|nIkR&fsyE>W(gk;7 zKVhQ!-!LJ7Hj=+$LcqojL)8C*OTitKZ{#ny6j-5FX8(dq0jyE^D=r0Z3JU*oacX z+j&Cpan)0tDTf>*Ag@YWVoz!GoU#L8%oE=iGhUs#;HoY4yyp&Y+lXDQu9OkgW6bnd ziWqwZ0QcO*SHlBRc+2y5-5Yh8Rv*;_b{S8!lUwAmgnNi)=m3phfdO~$WQd4+l;s3y zZZ$40wX`9u$gTMb7 zxZRZ7K2P=_`(t|U5s@tK&&JoZPj#PNHs{Oc$x#k@o&x*|APn^+=Kms4-kf8!<1bA} z!dCbr{C>09$Gf4}9uW|CQsll*i+uL<)|-dhaVMi6c->mB*s{#|CXN?dT0YDM9c5n% zk;2J&0hzdKqn~{J+M~VNijnDY<1D5sOB)L&Aapo;@o>A<3AKmclca>=`*ChZGRLwe?!f3kCmhmaMg9b3mnh4tFAN$M z8PmOggG=#!G_P}gReo1w&LxtJI_gC{CzlDimLEV(%)t3}-5dDJu3r*iOY$X>x=B)E zDtqoZz4{W~RejoSqh*eZBX=SJws}{wRd|s|eyzc3oVJ??afFS((t{9^m}vh--9V%c z^!e*KZ=^`E?sGKCd+3{t(m^u``iUAh}`N-I|Bx80oA$^(f>YX(j& z@Ng3%{06MV?mb_)_872DJuxCoGCrx?xVCWlqfq9M%>yzW?ppu%toqW=GsWcrj@7&6 zUMhB5(>G(s8d>mOMKX-8t*{ulJwY0``;@+?^-;aV|;j_oIw_3li>L*cU&2 zg)<`mvX1KfT_GUxW}TtxT#VISKB|_}Vd9@hyL;-2EUZ2j$E2=l=!za-av{pzA=!yg zN%W-3p}%|nS*Jz53gYYZb3RjGv5HF)6jnHI*m&-Lp^rh%Uw2Hgud2(~$BiAb+KI5X ziTdP74+3uZzG*J4$P-_pS6B(aA?8>&(o!87RvBcX59o91x(nD}z3OPYFh5Osghz~- z$2c`5_*#Qtd`CJ=(X=-FbD9Pa?rn3!i$FNYZb?Q#`-EmNtR5No_`bY*wZ|dlw{#ZJ zn(G#IdV{eg%scvIIyjKHLS?Eyb?tI@^jm-7uUD2{0Ph{jZOK%oy4lxd2&OaB^;|MC zL6-7`*GC?l?0pmL{Q%<@J6*-e9s}0hYTR^N9;s9UB`@+q2isEq zxwcns!s)+OfJ=B)CUZ3}&MhxOf1ek^>n9?H>2IySI3yMExK1zJegHH&r}yid*v6VZ zXs}&3i``H(o0Bw6ZkXMbyW5XV#cvB-DiW$Gg>UHwJ{3>AW?SA}-a3y%27@j?wREg` zgeT{M4gyBuoTc60_$0Ji9zGqzlQjG?T3#WgU9>!M_fyK+!T5FG@Wsp5HPRFw-*WJ7 z7)yKEJDh~;pWl2wKJS>kHyKQChYm10(@M&zdUF+hT_N<3I(&V5&iYCA>2>Y!l$Yh8 zwet(zH9@DG;ss;Rz~M1WoNF3BIfYa6Kd%X>=z9j=01}$oekr*PxL&@I89&!<1cc|l z8<@kSp}mq!+PkD%c^(jX1y6#jTqjr=qgxj>^gMforGj7f{^H)FF`uLC?CA$1@y{|k z2TM+GNNI;;mDcv%wR4JW?!u>1*YyYpPkvVonzyiS_ALFr%B5xD^>y>Ah=l9_v$*FN zyDhhfk>#^hCXkYyKwzu#g8hv_Ou>k@6SNO9pQ`^{pQ1p(Dp-ReM0lzS>sC!iM-HAr?i^#})cd6;ZrJRxx5jwlTz3WW!A!LB}gh9!@ma+_&L~kEY zXzx5Z$HS%?I)g(j4ehYz<%gM|{M;R%(1Y`Ark0s+(WP`8B1gWjZ|-8!>6rPZG#$v^ z1BTC9hqW4Lc!Iz5QV73XAb%lawbUHPDIazI@^Jq9DKtQRQ{Hzl=!nLB!Y=duHpkrJ zmD`pPQrdoZ)O=Y4+edNDvQBL2y4J?u(+1 zS^DQ)(yRR9ZiV{2qugSyZfvH?T@T)+_VIwpSKw}vjP2se-UXGzr?b7>PyO190|H0| zwI5nLgpSh#wmViXk9_kE*ti5uBC8Jxh_B0;CY65aHL-n?Thc>t9$XPIFtW|=U&f{~ zFa9*KB5vS!M8G09%FGuc;)c#|+X8%e8I+_VsJz8}QYt-ZLkH>Z}?c1Yh}689=7ZJ)#?VwLlbOUrz>d`Jp_gpU5g7KN^XrAI96?)gw# zKfPo@?~_pU{Wl&plR!ZoV9$4eaWKL6v_T;QxBSA%UEmg9h;BRScXn?Ihv3b42i9VmJv2 z1aVj>SV?-`9|5SM?+Y-i@{jW!>L)2F>FBTALPb@=oJui?3n=9z%Kv@l`&LjeF@yh~ z!&9(_n**HD5pGU12vkm@q@FJI2=2eiNp}e4V8=NuMtkJt=jWID9K|ZL|4~n#xxQb$ z!aIYMd1ddrdmvCmMO30jzagsY6V5r91*MZvYI?>fQ7M(9KC$529NM3LZxoa~lTMx$ z=irmUT6r$0nZ$vz$upNXJeU`5Hw_Vl&mVf5Aqk2oKN})w4Gl&D*ia$b)-|*6=o1!{C_^p@d)(Jxj>6W~ zxqtFq{UPZ$grpzd(!yy?>c9uQ3VK}yD(M~IFh<61v)A9goG#v;c3vu;3Fh#(2{gGt*i=*X5yfEiZ|^jwO=C#)3A-xe;JH+TYvA zU=uGKO2m~?d-dLzx)(vlvKwnU#yb9f95q~EF5rc83X9Y)-n-Y{@;-bO%w#~LNvN^t zGdR^pKA7z%11cm5R*80UbL#R&XO~P1bsq;e1|Zd~eL|IHWef#*uYsI(-Y`*LDKkd| zr^F{aQLIN_J#F(-IdS~P2=^(dCKkb~;BTgcS}N#*I#Cavz0+V2sJDBfAVJZ!Wg*Bn)vi*qqULc7-@B(AYbS4&5 zGM)@7y2PB9O1Shfe@8pW{R!HNJGPSsH$!zi?Lyix5NBZ0Y*vmkyunt*u%9n{Wy9Ye zd(bIpMnZP!P;Fjh5uAHPX!s&g5)`@Z;8)f3I^C({8^Pq<%`Fq#po#|VP2iU_`nvK8 zm)g%||CMU2R`NIMtp6MMd zv6aiUb~b8&;rv$G#{_xdFSuOV^PDT)qQ7UIV6$d<`s_;uWYtjI1#wj>-$-yBT`(;Q z91lsOZ5nQBkABvE)l(kPEv7{DtpZ@oJ4w#1@%;X7FS|PbgjY;zkugNqWWkJsG<@e` zRj?DtCHYdQ$E@X^elyG(_x-vaXYDtPK6&AfJk(VYQ3WQNfH87-)#MFR)Q|7xt=p0U zd2TxTMmLV_=hfF<0pTNnM|oLo+6 za%cyJf4ALlYgs10eqdefoj=e`sooSByU|B!s~dEy3u|pEoe*E65&P>(7cD3&W9}@>Qv$ z^(tNX_|;32u#i<&&%?nJ*Mmdl3f{R^#bOdm_I(#}z*?K`Lc7|^FC zUZDgJ+@|iHXN>QeOW znOc{!C2wsVR?|?eQMv7txdYTJiGy#XI3`ZKbilYrMF(j1+Hox|h|XWgY;N|I^_K!$ z<3q7|LahW&Q_P==rGZ4DxP@Mq<^G`LglD*-9Ope6L(=V%5<-LgLc#kTfxzHns#~AR zkK0iLO^?}a-~1PpdG>Pszs;#WPWFd!Ho{vm=+*cuAOx^p@~?mppt=eF21)@cB>Zon z6rk!R{{~8dtepH`K`APY=C7a>z`yc;1*QKm&XpM@=qf@%%{A0+9kts)?KWq${~O~h zGwhEUrYuY6++Zni4vE6C8pfHZKTrSo=r9o%llPt`IHapJCANue#guyE&MgqO%Tm+e zBpn*`!c?%cqVglhPq0zDFxW=l`t+4FUBUT>-BB=Fu0!BQFHNd?lye-iO$#r#j})Rw^rU-Z$c-%tGsKhR3=e`D>zCw#SIDi@lzG3#n-r8Y`re)FWGL+hn9tauC7bHT;`C%pRmRAr!tsZ{H+)>-*S}nNM=vK zH42NlAqtPJlv9(5hYUa5PCkoOk2R~?5KeZ*T|7m~!Gxo2B*U`&E!TJW#T>_ZO7ZK+ zjK>F(6|zgWm|s1}@B$4K-ZQJ0Y?S#CEOZ0b>+gy}w}P^b%t&tcuk(`i<2Zn071ihR zABymb%yt@;(`Z~(HnlDsR#z&&RM0XNkk!2lI`Lbl4W6!_&kSr;X}6@cu*;^o=uRN= zK1v|nnCw$?to*}rn%qO zCfsrTf$q)H+r?>_%q!Cek5zu6+pJrG*v2O|O}Xf|BGWr&m+jRD&7De_rqEp&Izq!a zE{nMEgD9>cBT?busz#GfN>BRD9yJ%D9TG1`Sl=<=!7yWjP@Ldtwxh>QJZ>jkhgX9A zM)cYUb?9BHdz_fu{07X2>N=o$Y(B~Jq0_4eRs)#$S1zeh%1w7pE9=ncF?j2#4=1LA zM3eD|qtnOElvhM*IKDX;%DOsxWQhfyuXo8wC-{~j25k0I4T#>FTMT!UqvM|oO_Zy+ zRKBJseYfJ~fP=~cMJX6@&69t1Rj)_=-OIF;55ZJkPgsLzsVoYjEB5rn^>Y}(7mpQ& zB-oW|3&wj@sx7uf{Q9e>1}MaeB9wtJaOR88A9 zA~h2Xd^HS7De1&#=aIXoq4&@_xngVox1{X6ocohyA{qfJkT-&jr)%IHpV2fl_KQ)( z`jYnhT0wDQ?l|Eg{K|{LMc_nG-@*MH3F||TyYH(Uh1*ZZa<1KuKo^yL`sQ8Z=!z_u z`gVy&R!L3Apr8d$;$3A+4?Z~!w^6|bWwnP^pI;QO^$I=ze8c>AOTM&La;2bmR^i*;AwUc`l1f@8<^Y?R zXWZLQ)9YJ|a%zUoGmC3G?1CCP7Qog$)b~2HQUC7!Rehxne}6nj42t_qi!$3>l%F4j&m`^L_=tI1*Ev2 z9VOJyW8M;y*K+U-?mEU508Z|2YnyhDudpi{X1r*|AQ8CpveWc&+3+T=kf!0QnqDxZ zu4CmH6oVgBfk8|mZ}GnSBBR|JS^ih#xApqlRUR#nbK5WD(?({g*4(-4A{-*0znC1~ z48^n||A59h{sV^?fDhJgj~iN+exnm;>L%nBE^MC=-&eDH>A*m+LCmyjYkGr1Js%7F7-!*vXo>wy-h+VC`X z3o~c-lp@Ix*otPE;CG8n;g`}(>zn7kwYh^!Nq<{T`JrcGe&do?A$VIhvP*rHomJrk-rhu5)X zBA&ghZrmc}llOS^!#JzV3p@^sX{5R06O~%q_#5qEcEjSbSm-58FWJ%Mw9z91g#tih z_`&LWKRR`-b?UU;{UXy9dhOH}+4R1NJ#2n?vzQm4O4997NMZ10snywHhx!#MJK1{5yK7qi^jok8qt|uGn-o3@2)+*3|ihF%02li z<23MXj!!^pI3%2ynT_#l0(bN>6QQ@azZ|F_U(UNp zG4G1;A3M6h(<^Ztk621oL)#@efBcw~^0J7+eLZ^@k7Nby^#{7PsJPoUPGL!fl#jfz z>8=SkRdt>5j~M-|sGKmOdTAc#fPPGTOP^xwoII+SlG&3!jy((ERNWLc`zjilJ8{)C z1|T$TX#N-tD>QauhV&|nqNY>W+xHFVSOn+D#8hlEHXdBEBDG4(F8F+fMZ?I%{)YS< zZEoi;(U=dv2ABM@pgIyoc>*AF3WVcN^5o-xvNyxRQ3SHE5L%94e>Wj)@P^}$VY}Qr z7x!}u6b(YJ_N4zGl_VC5JVL`ZCW7-%`sAD;${_?v&-d?VhIXh7{kgKXMgXM)(6;?g zO%5p}j`%3MHZ?%0BgL{143tm1{w#44k%Ci5`$Mh+`PG>)64eMKC#R@vZHJ1Qbb_tj zsNn)F@DMkmQZ^x4^-f5F`I4vsG2n~u6LTngHarOoI0+^^2*IlVzephc5d_Z=LGU4WClrQR`$!BS0B$}CeJL}{xD>627xJ)D8*%a z7L-TAtmJUV{fkB^N_aQO^5GBHD53b7*#D{2}x zLnwxX&oOch-r<0!dL1=5;U`>%2!OeoDCjDzdjE`bYihx$No`c$6qH0789g+?f(Gr7 z&?of>28unxGl_s(WEO%%LF)S_905{D_<0Mg0ZLQ_S^BqN&HvEQ z|IN)qe^qeanjmb<=)bPm)Y-*%JKFXgb@W@cLEK&q70T$jd+NDe058TVs6=D-(|u9q zqTSQe+&&d18vPncCQCw=y2+c4)L_=X`FChytoj;JB zxtqyGGwg`2f%Aew`8)`)3-Lh3cxg>8j`FIPRYrEKmieP=T&jF7k+EkFmo2_C7u%3<86{(tx{ss2`Lue1|>gjdx&hp z_4RryaV68U`Suhh29@ZYtm(}17Vu58}Z8uViNRy&u^x7hkDZ7~NS27amX^wuS zO`b9a#O0u1;rXlB%e4t(cYN$DI7h`4aXE1A**U)?S>3#MzrFbi70g=(ON6BgR{PMoRwvk*^ zpF*F@UtzCr#F`eZe>6}{4!)i~xq(Jt!{L?v{G|aB{(z)gp~XT=>B-=cK_%aB&I#}d zZR4=i`*CJF3mLnRi$PC|CE<#O^N)&|;YFV7-?6p;OMLBx4XyW5js>&rnWoz>7ii5Q z0t962yq~iD;;XTY05>J*c@~B!6Wc$?cP>*7^2dhsDeYrb?y@S}dU3sNzX?R9m`tyP z|5|RD;^n*Kbu9C3yy@5N&37jc0^CzxJ-j;u7E`BJ<^tH$vL+&fRQBu!bfv@9Df-Iz zzoBW=_VoH(VRKNq>HjSC%r0e3rXbb#c`-%23zV06nsB-VMlYc&KV}?|rbK z!GCEVJWzM3^6S9Rvq3X8P*fSD>?aN=s}-g~$u$OsCjBYua8k&98ZL3+AaS$ywAJ?& z$vzGNXEc!YGuvwSQR-v9dG3cn=zHh_SDCv;S#+^Njy~TJ0-To`D~qMypRXgSL_gZM zZGXL}nUp8_SkdFem_W6tcoCSC&?7-ZtCzT6dO2e+r<}*AG{{7(>G!!6DW$+ETY0n zOCeI%VQwV%Sbk(M2wbM^*WwJLHbl&$>n3Tknf%PLXo^LJZ_+m263vaCOav_42g_{^ zOPDmhBabMrY){}%Tx$`;h%HoK8*XgHP38s^Yj;YvIe?EcnXJ+|CPJ5vZy&_o%*&cN zDBgdZ7Li5-UaND78dFWZqF3=6;hm=`?# z+ROzc&)t>Jvl`!yvmEB)?7)#UaU`(&vMeo2*ZpkBtu;KHrcrX8CVakyI#e&YdxCi& zKY3&@(K@&Sk5v4G=*9m#3Qp)6K)qg9Apd#QKK-BNn+yM-%^nomG(m;G{Dlhwn3VEY zE(mg7p|WiLXFdvGeEMJcD42(N=M*&=k{wp8-2W@W7#3RTS zie>&n?Y2?79n@}jhT^}W&4{>B-5GJc!ZqU=h8sGHM)J$#Bb^t13%O*a%;?W>@Pwzh5tbkd6Ekv_jPZ~Y|$)2ujHF#(|!bjkZbfiUoM1@^9gWsf^wvx}2a<{O^ z-y1E8Q6|x@`i0&=w}K6W`YzVe;Rk=dhkiD1rXSDTdQ?!(YRnn4zZM%E4A#F$e~XIk zXIdd^zWy+Kdu2@6{i>Pmn3$@oOu@|W2ruyDmudcePtx6`xpvNF88Z2#rcvL391f-{ z*7_;!Rl(Lkc(ho`sK3~HwxMpm#ch6fDx^jE-i!3iZ*C{DxZ+tVK%Gu3^!IN4HaP?F zbq`EdiR`bgQ<7A@x3zjL7b%FX6ae9@u9@hJ(BO`6A=AaKq?~|~UBPRa6G*-#>thlISj?cy3;Xn`fD>9`fzIU&%0s6Cd5PxRhM;&` z^b^yhKoLhx-h01ZEVUv3FkDOgjPI^@#8ooL0=z&-PNBDG`?*-ztdpANs2Fxn{b67;}4^kQVVr`;*Spl}+9d0goG8;oZ`{L%H0 zlfua7O(lJZ{P%-4mKz~e18z6>@CnMdYXO(y1-(Y6CkOs}j`X|9+l;cSl$V^u=Y9RD z!sS!m<;VdO$?XCG!D+2SrQfmvo_tGbF}7WTVh<38ZMAL>i_(W+vEJgM{(KPvxk?WA9@Xo@hpGI>vVNu1!fmF=EEOf<=hh`dh^OwS*5o5M}h-kdI{^P{t3n` zEgkrn_7Tf#>Mka8&Eb-$vFeW;YLAD*lOCLRO=D)F&yEG1}?Wkv_%zS}GGQK61h z_lMzru4znxcx~RGX+w>NiD(;bDl=WeW~6Uk1lxG_T1dBvGIcbr*GUTWhXAg-^X=L2h^2uPy3FFN}fKz zR4|C)5aY)WV}B$z_%bByP-5xx_Ak&MEOb#|w=Q!_n9kN!QI@G-+H8N?!i+usKCZZ)TK9-@kQVALW=^t*cgs;~V+I&tf!@iK19npC3@Ib?>v3H5& z(&cLcR-WFWk=gkl@OVM<+{*Da4Zpy?9aUZL&2xZN;Q@91^T^oi=$%agB&|#!e(R!{ zf?84I!f_M@wW)vjhy*Unn9M!&LIi_fN!zU&Fa*C?yzpgi0in9CWs%(6zi0UM=kEue z?`Fx_EHWBfJHWSxmTpyj$0S_$Vb%G;O+ov-w_f$F>UPgKF84@HI_82P#ye>l9Bzq? zU$LBneqnFx%yCQW{b-gC?7|IdUcH+FN~-!sej*-TugAXq#AoMJ%dA`Yb&5+xD|_GE zC9$%h1&poiQ_}I=l+G`o{dHB|)aOZ5Zf)b>%r-75?RBvSt`(qaU`j~D&cC>-3xn7> zK5HABOf_}N1ruA}A)NaG%~2t&6d;HYu_{ye?mTq<>T7ho_YLi|Je#Bso+Bi@Bt0}O^s#zyZV#cv4ryUjK+3>HDE2<(UyQWKTXl5J#(GU|*n&(6g zSiagu!wHB=ti2rgzWOS&s;;S>OD0{zH%taW;P{Nzt9=ER`+n~3Z#zP}~m z=D)`1x2~D_+YO{(UtOf;;7t;9i*H-oK;yD(rIUO1zHMox&10uRy`2p_xb1(-A*T?* z#3eKF8%69LBXW1KuSyz3$2Cx~-*FEEu{C`I$MiQvWrxQ&>?1yE+a}B$Q9s#~uugwt zfy1Dc4W>4)C=^KN{~(?EP34tuyfD5IzHVMUMSLwbag4&N9RQP2cC~zpoHD-`)=8x; zsz!co_^vU}@E(SI`MF6)f5#p?ewtKJGYBLDLkh|Vjzr9B`ot``Fy2^y0lncZ)+So6-FgqOdxJJWySO#;+0@J}i;XyAlR z{(ksVXrkXom$nEjI}y3Bo>Geg7}R`%qgDaVO*<#E-<4$}MC&Z?BrJS?><~ugtTWw= zw?tTd^KG=j<|7VzF8<5|S}}RQkf(Tn`M%BY+?s-otNXk9@wugSaDq#vU=%k-bA|1` zfm{5?H3FB4V`d&%hgZ)>j;`4F#e%~4k0-1KwOo8tkc;&QLScxUM>UjF!W>N+S`k>*4Hb}*QqY<$ zEq%r!<>l`wp`aXObSM~U2nCSvFlR`|{%PsXLbY_eqXtwz`X#19D0#*sUqVjzk1_kg zYm_THzo4l29h4iK`LX*4;4FhE*fs?33}?Z#$QcX-88t+ZF<1x#4eBU|`uI4!#<{s4 z(7X(Lx}g9P8ym+EAw~s9!U1KT#H6HbH+cLJTwXZNC}cf?3mN3CGwmasRS-@nqccrl0X!RD!Z|Dh>Sg>j?ethk|Y1LbfZ{cN<9=nqE0vs z#mUm)w=*CgdyX3aa@bd|@(NJxH_b3f@=Orf-hKfUB-w$Al0=1O_Vx~~ZEf#7(?j;M z5G>f+jcV?OQy#)8F=6-3?<07+$ME{0DDvdw6#nOqi3RJsVR|GR8~dLC$?Jv)K5V+- z<%MEMXv&7z64FO)oh*d#Pq`GtkSKFDoX0Q=A%mXuKmFtnO)Ue@q>xYyX^2q$ab`nr zHmYYTHZCC{_1*ikW~nN8UDfd5kMOo;202SWAcaot|0p6Mn1mS!@XAqg$gb{h^AJz| z5k#U=5Fn9+S*;r=lQ!Ab?jbSxMM_wF57SxUI8ztHsp(MDf-K~ZFcN-(b*7ADs7EfM zs>)eeum78afD##_z$7G;s4N6k2qXznKvLhx;?lD>ZR$e#oRIB6if9|RA0 zj2gjN2sop$kx{P-p|lu6{<9Q>QWWPu3uXOKfm=~CF^L*ehR9<@bQIl(&+j)w*kVwR zP|v{urN#3w3E@9^wUEd{{pb45JYtTJnCK8gH8BMwu7H96OhqgXkHHS?XHUgZxw9iMcNQh$G!34i{~s|Y^8cZNb>weGb@AgK?SWYrm2AS1yXS8Ptse^d z7m4r+awvbnoQatAiU<8a9&!)I#em#^GOyj&IZ0RU%#mbQF;=Q7E1Vqg-_ry14`zcS% zD~+~mpW$`99bTxrYe0Nt&_)Ut8~o4janZ`qtK532isUjFw9tj&__rm6+boaF?vq9W zW!)@2EynDv%|}>tDq!e~opLqe)sxF=iR9uW*HWi}(dq(=J7}#FFK4QrMbvTblOq`t z>^PQRNSI}Ie+NGr2Qdl}anIb)E$!4M^=f9ly!|FHz8=zB+gUOWY&n~rNP|pmIjzh^ z|F-_V1{LieehcpenxdlB_6BcXxxuRxPhK^&9*<008+-VdusVMh{0Ho7FGhP}G-0U><@ zixOaBd@4NI$HgTft^exJcYXN; zaBy~maY4gW zZEgLaYt=ysawiKcVWn=oGp|-Q@tnn&Ej$T7=Lx1=E|`(|B$&l!Y0jIRVg;8fiCnf^ zbE-|?(T`T-4SfyHnN@XRk^8b{8u(47=@9b8XB2#&Tq|qX#uU)HbMRFfV0~w@XGWL% zCf^VpJjUFpYO!|xe&WEl=X?Qt8RympK>b1bIO+1YP(O`{2ESkV4@|(8_3!8PXlt&AG4Jkhfds(XqA|tGGb*X0W$4@*R zy-X5YF0Pv2_8a?xaQs6WgT5v8Ss?0{Z=bZ=L3$AG&KvTWPhj_^D3uVw``)+m*GPHh z0+fM3&!Om+iC@(%&4=3g@BC{f@zF$?8w@$q76}j0_V0@Wd`s!fgO^q1OlB_w147CZ zMOsoiKXqkt;IdvNjlLEC7U17u>Q6Rk^S=?}$oS&si!Z5}Ts4KR3k4;a=v!qD4LU$` zkWBRVRsJ-56>Ek3xy}EBxwj6B^6T4vhwcuMTBIU~AV{Z32}lUiDJd-|AV`OFr*tFI zNQe>wg0wV>Sw`^*t4*jzrZ8#?NP05VY6IL_M z_$yzu-attv)so{~)X&g(limLVGUo!6H{m}-58Oz=RlC1xga97P{8b|aCh$n-Z(1pU zD|mm^Nw-h>#29T|s|GX|d2`ex`OS8Fg~khqzZUOK~~_%#vsbVwT@UUr^m&PC;ap z>t0Q#?tAxe;Bnql`AMJsGVuM$hP$73-luHRP8T1J8|Mg{1vFeoG4TPA<0s#TIRz+a z&g&U}e3VaKZ|K81Lq~b?)mAcQx^~#|;hf6BRplM9Yc-4(wOa;8QLclWN}wqF?y!RdTDn$P}~h#jcJbhB+y z4J+CUz8T>8F?zlJSxk{^R@pC87Gq9~E!xLmxI6<(<~^%T|3K%@!%^2c3JEXec=EZ2 z*;_h|CKE#DK*v8$@A#epsuUKRz~+?!@rQQX79BD^D%AcNQ8(#Q_(7&Z!5+Ed%oWuB zh)Lm^)8SeF+vpx6AIWQm`*l&#_ zX`M|9xb-)nJ8@f+##mXrxn&uj;fBo>7F@7ZT}t+4jJx`y5O^W@>{pN+h4%M&t#l18 zRLrtK#ThZ}lGhtfPq8V+QsTkhSj$bLn8Ug5fiaJ#pGpkMdO6wmCA`MvQ1l<(Vs_;N zS{*c5XO6w;<=HJLo_tGZwx1@wTnxopAG@nqjK3>F0Zv|()S27r^}%=jZ?$td55Lbf zFz*g-5ik~1tZvE_1%S8}oyI0!-qFENIX1^QfOXL&;s9^y$RUW$yP-14a}6+?_iDKG zd!4KGL^9Wp@;CFk_mn*{=yCc*)5J*>lUxsMAG^F++HU-Dz9!C+R4aazcR-|hwqwvx z^14;pj11*6=&m~vt$od~w-7wZm|he;pha79sXx;o;aQz;{?OA85VboAZt3phd*tb4 zce~mA0e?LizS_I>m{A+a7rH?uH5DL3rBEp)nh@vqh|_4xXf$nh#p~{SHMxzY9>bpS zSVI9!8m{GVX07?4KR;Fb4!gE77Af513|7q^REIilyA5k+W^4g=xi7SGoeWtEaL6R&$!pffVn@c6fd!yx;J zP>)m7t^SNN<+`vWj^QQzq=SVw(sFWoryKUdWd{ZOsG|Ve?Gi=NIt~2dZ;QUPIhrFj}PW;`JJd2EF z_t(?tH|?8HqM}@7d2pCLJHZR}pX;_{F_U(rSOh}@KVsO{73M}B6|T>dxdqU0fEo}K zt!bSr=h|U(P|t)LkFO+!kTEUk;lpvSKH+fheq;^ktyE$@ZM=!Q-L6gb*lneV0VStQ zzfDQVNB1hCd3FsOkUlVqjBb;1c$(SpbrTbtnEndCn^$e)@Z>f&Lug9>5;(=@Q&2H9 zO=`f@v5qMWimIZj_v30$JnYu6xhkNf&In?vH@KTOC?(y4m7BVQa<0U3K}OS|=*j+Sog@x^ZUcl#$=Je1ZW9$Yfuat! zTu4-6*%uk#Y-Rb|2d_4~#&3We)<}u?&Ye4|RZmWC?xVT;ig-4SG5d(Dx5qWM>6zF7 z3?3VYu!u1Vn%ia}HKdx3ZVg|i_fSMl93v7l>V_eU$E<7n+$X=RYV{}Cv*7T;9|F?q z(M7+GP`K{D-8n+gSOLcdLcJHSNUk~^dCQTE-Zsib6*#4Lba}pZLIk|3yHr7N#Ng;h zOgvMU5T~VIcL_pn<;J39?Q|wnNvKB0*Uzj0P4kHIci%|aZ z8d(+d9}tk%eU$l;p>_I((5Ii-2FY|Fb%EhrSo;kVoA}F0OvbF&i>tUaCcZyFQL z%5sRJ;!SXsRpt%h7ona-p$xz-`Xq|B@iWt-7h`kccY~udNjSvqyoy!#}9&WKAzWi%NX|F!Y;_Aqd6bBJe$}?Ec=r`tu8Y*_feCWOc~P zs=C!9mx9;63VJ}K`R0=Rz%3#DM^ai=;nNFD5*oUW-4i>ZuzNJxjRO zVzCP)4FLb9WWsJ4n#|G7WlX%Q#;G~wRhVQfck|!2jP0T_3Ec(CX3zW*x-rNdL(_6A z-|i68i5R`EZJqgb{-)*Yfo5bnXxi4XN*w!Ym^gw(+1y6SBE3sOWVjt5t!AA*%pq6v z2`q1jRBBZnopU<0S=LEe44p+kMZGE#%br&Ig+al{uLm3v)3FK3E7W{wC#6<1jek4K z#(7iZwzRHm)n^>MODv*5^HJfu&apKmQ+w~Y4{c-9XPD%Efu)uGIxgY{6YIAT^E9YcYWI&&l*BofkAZ1x_X!1%x%dXq!+zeppy(u zn@3!|rl^y+exegod^tU=WRYz``YF1_OW<@%Bfp*AF*tb=mqm+Rr*B>Efko~8+9uJk zGo6f_ifh0oSH*Dctay`U-D3O~sfhm65vN%Edm3R22jBF9`i2hL-c_vYqGpayeUmGz zYln7^9kW+C?`m4vZ|_2-&Ik6s!Xb@R2W8+HSt#lJE7eCqK}lIz)dA@?j|}041(irQ zcXu3jC<^%`Lm@K92Z51`tURQImk)1W07qd90=MYGDH1lIBQtzZN=l(SdS%tWj3QwM z_k~p?^ojhVLr%{woFFifh$Jd18k_;$7=ggZf`DWT0)d58@SsH`0>|fqkGzD3ETjla zNJvadego;Fh)m$-Ge*!uMiS{6i4-W2lqBrQhOOt4x22?IASP*Mo&^a>IFTis$f^Z_ zegR0r^EU7@TOS0lgN4*$9UUPJ>WXxZgzVsjb0mzkftfZascFWDORzN?DM;oO{7Z=Z z)0*7{C+W^Yph6`Qp6i28;{_NwFfee@h(0tnxe3i9FCgTUcJ7VJ&uzUhNNxy5HfhcBr10QPJn3ksEx zafL#qJ`$ERGBz=VJN?Mp7ulr^+q9uJiJU3i2eOiIM8f}oC|J-r5{i__XrF(eP`KYO z(`zr7Nz8Ze-ot7%Mi3)gwlCz#HV9?FS~G^YB%Egh%7I8;a`Pvg5U~X>`DKj2hTaEA z_k*2X_)P5W9UdNE$dpL?0|-pQuzMsfiL9#}T(YRJS33*JcP&Wu4)Kre0XzdBDfzG5 zD0O55H-sgTxTKaAge483-vJu*0`P%)tM7&7!3BC82rDQW^0-J`vb4+?Mn<(jT+#;` z9>5tPE(u>v)yQ*iTA(K>d~mhMW_8%E4yj3~!?u2%h6FM)Giq*bejce#QX!RpSdbK5 zTieEkuR2&4p;1{dGzv~aI*ULc$e^VE$NAu&@F>blSqStC;on3Ki;dFQXR{F(fkOZ9{WzgP>mN?&e-0@6$BeVi7J>2~nlAqzdvTJNq@aD| z)_ZG`sdPOoTQbEzcwKdta{pfMCsW=*3Fk;Kw{JclUAe1vvp2YZ<$GcksCr5n$i-B$ zZ|L%4?Ne4dFx0WTL^7f|b%^smeMvN|WkHBroZ-7ubVoJ7?sCfJ0?!5OzZ}*-o&ENZ z!lNkN5MqmJle_kXGOa{dtsfhs#X+(2JHw42`rD%XGVe&@mE-ytcZ@Nek5<^wKRQ(7 zZ!UwR-Hze%WHJ(7`e1MV2~sLc1FVSE=O-BNtsGsh-fg7>Z?|ZAy2f~UqZYJ^h?;%$ zhJK@tWzL122&;Vdww=;F0@B4v752*h;)Sh^oomnAfBQ8gggjKlAVgb2^+W%5><6~l z1*Ey0{OIW$2HK-v)~7RgFh?Xg_SamMimyirSd9i1G_gK99Hsd#?^HQppr?w?T`4HENWmT2|@&=Z1h!SMA8 z%l1gHrGANOWY^aUk*V-+f*!Z&qeq1TS3ukF@2%)E+gTIEkG{GcmlMK+u6?lnrh40v z%%;mfW>po~gwOx1TgLcJQ4=9z-6!v#YU)UpUl&~edQJb*UADSspePkOc#YiiEc)4n z;q6sWXgaVHT9|Fmv`^O&RVh7!325_|FTEj`9qZZgR_F93Mlg)a9`-1oe$`tH58aSoXjGC;qs zi{^V{u9Z06{Lv7|Xsb{-n#otvN{FzK4ro>h-kQdZe(k#PRA>G%skLj_q#atnen`}q zJ?ZH7Ff-_NuG9RyFLn*{-S^3`HSZmIW-)rM`#8kD6(O{jhf;~ap@%QANRNoT$*e}a zs&Kn>)zabUas_kr(w(LU4WzS8%HjAh4Y1f$cb*} zXqS=}Sx#!zC8q;tf-8k=eSG3ChpKv4CN(d)MpEW~m$rIf6H6pvZ`Ak{6t<>xJ)STz znq4cji$hCJy1lAy>B9C>CPiYT;+$Q{1Mp$3HTJo9W8Yg_){Kt+%p&KiyPuzcQBmKN zM|Udos0LI?HYzBJub;bNS2}{?+Fw)Z z%vgc^hntWpuhj{mpu!y%W^`KGgt3~9p+Gaq74_lq)2XAGZ(Fnp+C0kJ-B+q7TK^A7 zoS)!F1pJ4nfE$T#GqZoy2LW6N{i{9*ke}Co(@B9i{Al}|P72_H>0fnH;N|V}SDh5V zW3IpIq@W2Nocv8E{YT&fw7eO4=_!iNem2wh<%f7PbMBRo z!C3c`ua3Q?H%hnYg(xJ3zUp^fRh7||lpM}BNh-CeH30i8>_cY`tMMPMNi#n0zm*tt zcl2kcd%t|plmCI8Zzze6_+19D_VLV24q;4^HiKDI&Wh9`s{Z-VG}pB5XR6r z`DZGyNQ&{N`~(rCmHWBcHA@xq2;gc7SZ4|wJk_Cr8QjG*QKq| zY1ZUZ#d~d_)++PD3Zn8jQe|$JWEE7|Gd-$<*^hfpPt00MB#Bv~%UZ3^HZ_2CL zJAgNEO_Wp3DtqU*M%Jl=(o4W;$}IBGsP@5Uy?4$+d1u?Y`Iwm2!F`Xb&l)4IBzBU3 zTXV$W{T8g(eTZGGOf35>T_*Gjwp~qsRdUq$=nMPN0dM7R8rZ>lk{^!WFtsFNQQ$2r zecpZ8@Nu<|id>ZbJOw(Ay$VB(pUE;$Vh8)bzY(}pIqHOzfhPoJ(?&Dcwq;;w90mL4 z=F%3|+tz@hn?Ce`>=hhco9*^Z5mtetY{TB*J^u>V3<=2`Kr?slsN{aa&^8!nE5N1{PI{1K_fMY*bwY zNTa3jrFA~v{50ZOVY?yrab=SPehkPAAndk%#QVx#Hyt6%rk2yX)U8E9cc;XVYz5Qc zvt}|-8p1R*r72-|=fuM_@T?E&yfm24_T4ef;<>}Z@r?sUaIRXLeR}0SUs_>(d~;2| zAXf%O5S0j#6dC^*Ez31|3IJmKcfV6y=AE-)8&Th~6wy=?|H;W%fDMl24|ot&PYF&+WRz? zV&39sxj)DGJTPMrFokgRba?htrfyfz@V@6VC~BG^Q;r$zP`QtW^1z(o5UirD>>ReR z^5VtYmq|;_$a+cOLh~gI2>G5EeCzKd-dv^ET|> z%ft+6-8GK}9pdPeBu^7f{p;RM=CCE#H6O zDJAvqjeR`~O3dh5+$WRKdHCu?;Q{as42g&@pw%2gQPB}D(CEcun&TF!<+1WAoaNJW zw9EwkOX#Ly77&&Do6aSvf&SWc zZk>vn`nEZAKzE%>JFBqn)7o!rCIKZYTi3AS#_@$UVja(bmp`s40lV?uZ$5YZSV!Pzm5d*sQ>vg~ zecToUnvUGDSq+V+JnYJz(fp#iHl8g#6T~;O-}VeIpIyIY104NRN}5ToNh@l5283s$ zi>ulvbquc4F|hc&2x;vhWdf3}X@c4=Ayq$qi}`NugW3^Ur=6Izd-sji643Rj1TBC8 z)pa$BSMA*^Vo~h|(RFPf*&q6Kwd_bg?wXT6o_c=+SbI!4o)R;gyqH})AO*Y%VXylx z1GYPw4lk!M@aUXf!E^t(K};$}HaR7o?(q5}nny1F;c>%LmHo?t5@vS-KZ1dwO$F_2 zf{-vXobu)sjrUD&=LAb<=dW9ZibyC|zOM%jt>D!X8A1yIo1c#;K##FS0{ zK*x6pi@&6tz|Ev;?f5)W%qYM6`yP-_>?Kft{Ohvt8TEsPI%Q<<|o?`C+ z>XTPLB>l^XomPUhpVhR+SAlahtDc`iNj)ZGY`-}lwsV?0JjZ%6ewd#Bd{r_he<&Vg zPAscfWR?I?yX1_5nl&{$&#>scv5gaaelabJCt>gkuPWc#(wVt38v%97Iyp@tQ zJU+M0t();5Z5i*RIqnwo4NSJcU;_kB)5=Pw?Nd+DQ}#J;o3v%0)X1`ndRpS z$#lpRl_aU0;_D$C8d-zq2u2|ZsRxfTE8D@YvQcPcN=EO%<_)!+&arQ3*wiLwSwlZa z=yePpdPT*7j2aSd$&T5T&Hdo8hHl*JchzFE9P;0_Fs$Xo*NP5!M z4atqc&TeR%>4U)Xc<%3yG+4|+T+WA!@5pQ$7;J;|%Y@2N^{0zQ?qOrdP{J|l0};wu z1d^ddMPNhY$cucNFYqT*aD0Ut5)yCw_6;6qFD@M%{Xr=)kl8kWVr_8oaA3bWArVrh zV&tN|{w2*{9!uSJHLQ76aQi?-;7Gk32<`x$m<)1L_f4aI! zAxsHvC6UIG&{@*K2^n&GZrB2pA$=mQ$zXgFXfTxC82n?uFLY>kF zf&Xui5@wH^n`na|q+%I%VJsOALvD~HC6c8?3Y5s6^+cSsYGhM4%)GxqDSaSG38!p= zQ#ZqXMYecnAuy47Qf>dxl#m(ifmU0PEZl%3v2KcYaAlt`5Ff~15> zB^|vn)F9#13~(?ae_u>c(_@Ck;)4LJu#irZ?3|oRur5$aWfkZ)2@B~qd4WYt}EeON{^09sQK_C{5VTvj|{o#Y4Sw?b{o13^qL?jof_RyI1-_oQp zf)XiB(g0?NR7zeX+9Mak$$sKI^W6F#5$7~P=9a%LeVH$L zq2@pV`}}35QK}lpdzR;SLE8M`c7smmIW1e@Yws`cE&b`%cJL~t#%FAyMiiF)Um%vw zKxy3kS&r?e1WflT#=B-Hr6ay1Q~kmys}=qtNq!*H5|<|PBewPtipH{bv~pqQzT?L_ z@|3xT`U02KP$m}8!Jr_Z#NrgRYi`KUd`854R2qKL>Ag2ltI%A#fXdJXw#hs{ISD`S zIfyz+zRY1?oH2(&=grb#*}aT_UWCRR!2RB|K{2*<$D!4)E>;tdY#QcLxepHbeC@0j zQI8jfMaTwnd$-b~qqt;jCUDbyUzZE|Sg=zJ1+%&=5;kVQW#y%#Zkvwd{3I>$-Bnf9b5dJVv%iSsa zi0j^ygV7zi3C+c4MP>}ZQT!$wN##qcPa=1O*YuLG={*W_@fIAn#8Z4yLJLZN0*l=q z<;+5NlTUN86RWRXYEtI;q6w-5*Vp+xhm7edJwZ)&oY~~ujm6*6`V@=74bFl+Mw4pz zlumed-&IwjTF*c*)^CwZilslS+9+#Mmcm}-5p}KXhIFdCDqGjLbZJEcy4U-?Covfd z?|%_%4W11|yIk$NwUcx&GxYIyXNPg=YM}n|{C%h^=3jpKO^TOE*X&`(BcIEQk!(Nl zau~?d7RGRKs9m|@Eg9}%I~4Q2?Z9D1Z%`vlrp?*^1{vZcl$j+{m~r@^`|T1M-r3rob$~BA@|~2?bkAYw6lM1BA9KD0Pj~; zBp?6&Qgr*hYREk`h3FXh+f{6Kd9DId6rBY)xEp{=?@<_O9S51oA*1I)DtPuRWyHxY zO(EEl0L#k6J8c;-Z3#%E1x7~QA{-MGv?#TjO=}R1+B!{WBEP-&?AZ(^Am%v}|Jl13 z>(gufj%HT#fvKP4!~KsP@qDxMQ~W9!oxrjKgB4NlNc4&_Naizh9-hKpW1$=hk!x=g z;djG!{wmNs%dolpwN>2!e?CiqP?>*perOU~e>s*NMM0;UJPkS`wX^Hd-Y4ls7kG>v znWxSqD2cbeIJEOB=rlq>wLZ%Zlns*Pk9<>;AP@7xB^vbo=3M4N-7;47{F4u=Y%pC% z4=_kvVf@XTMwupuT48vmEc#@Cr^C0OKlMV{<72~yQEs2vp>~E9il)Osz0cz2usyLkFUYklFvU_f{l z^nIOv{Fmc1!=vJ<%TeK$Mz4~aQ6+XHo1#-m=p=!*r#Afo`H1olCJQ$k5?sq@ojxaf zYn(w+;63_c2Cs`&h>c+7iDAUUef8%N*TpNse2|If@e z|Cf&RRA`F*HxUp(dzZh8fMDwD^k2nLaC~z5S1}aO(85OAzlfm#1~mRv3LSUgwrZ#588F*}WqSV?Zdh>idvCmmlZpyfC zEoa_Pwj5McPN$}fNfAFT;KGjb4--Z6UF$fd-C&nXuOld?=voEt-egvz-;^)&sfX|d zs&I^}a&A~st`_8IEtx5L{sfQ<@# zU6c*7>hD_#O(I9dOH^#7O;jYvZ@s=g+r-dj0={@W_VNe;3JU2s<^V=FlSLz$rvjZl|T?Cjz@L-+8rytsT&3lg&j$O4Kr$$ocry% z#0{1}o}=7nKA~YJr6UwAG_OUg#>Q#&0ZqjDHH){3@obip-qf$4WxoGl)F`4-2y@9t z%jQQW0@QsI0G{T&gcSV8%idWTG)!MM>Y5_IKe`#);39A8%nx|eaDx52zPNUf&@b1j zK4UI*i$!q11>Sv9iVb}|Fl~_>8!Jt z`(~hqb2aAl-btQDp+;70I6?Mz?C+q>`)P#gXH|$^wP3WE^#Iw+)}Q=CXx%x1bfudG-CeG{Xfs2zL{hb zO6RDdW?`4DAmIBn$H2*CLI&tl&UMqQ8Gc_D^gW5JBzsob`s!`PB#W+9&>o(YhM_Nr z%Wr8P`Qnu^u!JFZU(?PhAS~_u7AoKrkkF~^8=5-;s0?CC<@J{VkBD_tVG}N|T2Lbo z|9PoiFv4L5GA8wa;HT2IVODO7b=Sa=tj)&}3SL1GX+AB3g7QI&!HJpgpyJESxmwg) z=Ey9YQBKeM7!ejvUZiK&Z61;^spP-M5dz{-FSGKiYKD(l)vb!k#)(xQc$L?T9E2rq zV3D)R6;*&!0zP?*psZm`Y<{8ek?Mid%k+kh?l0R1Fb4LkI4d=LqS0X3r=hbIUUS^=%cPhZq7oN_#P8lJGh zA_m64Zs8JLlQj&lnK(s#Jurug!}2(V2?Hz#U{KAHXyY^V}auxG=%V=Ja z!?T;TPdt6cH#xYpC+uedLrT%9RKb;Q_T^@Mb_2UX&n8rj#$E(A`%Nv=+)D5OJoe7q zVdAj}Y?>w$lQ(g2bIJG{!I+2HIuQ32_Z3A&a-{jYI z_W07?RUAUSCuI%qhpXE<<`!_&+ZH(hE(zr|6&E%`^Ut$yZstgya5q|CzY~!;D~sk6 z5uKDb4|M#JJH+iHe#zK|EM4BespE;}A2H4-69|xTj|_O~fN`Hz_TP};Gt8=hhEnr zM`!r=jUOKXuAtftEq%|llG@*=$|!o^?f&(lZe|?XXNy?h@owl@N9Q>wq!R0=l+CmD zns|J}1t2K32utK~{#R-N?OUV5KX*eCS>4>; zU2$vM#{t2aovRplB!KPK1FgV_ik)M$%P;alDkd$Xn2KHZHid+xb8u#Ec_%={R<(^v z%IF)T;H;ULMNQF?zddzvLpo8ysO}UbZkd{Tk%I%n$+HVuJ5Zr!32*f$q8m9~k1+xR>XuNsY(d~a z@e;aF!usrkAiaqFfexHgd;72f9HuWF9mBgmF-G8Aw0*-wYZMd{H3Cd5CKlKceSuoC zb7cM7juLUB1%co)M&Kd?fc}a9foU|i`1pl{wRLroc%{C9F#-$O2#&-oJu5IwO|66f z4OgN=xiTUWK01h2UN}+WBH7A+vVV*bWPh@MkeTGEnJ{q)Nmn+(+!}~iUPR(RZ%Skj zIMglM+b=lFKdL3%W%LWk5T9A5Vk~;mRPK2 zLh&*SK?DU`DA~UI0KbS`AeQiz`(G{KNXimfP`O-LS=+$7FjpW!hv`xzq;OuySYjX< zOL7YMA4;DKcLfAh3jz(AD^SCy0IA&4A+0zWp+bukH7^uRm^XDtT3QAckcB08K{vy^ zt&1*r6;+M@<^Y?8Ks;?hAY9?c!Hz#!Lg`}xJ(trUn&v^v} zW|^pP-5?+ytE8lRU}$J~IM2`I<*XbELVNaH27%C-JtsqEDV<}&Fs0c=1hk?&udhUY zAN%hm3OzwDE~`WA{P)Y@dC~3v{mSR(rzro%HIeiEuXXy*?DZ%o$R8ka&DD!*)VuwA zCU=y7FTnq@^@>J~-h)hW?3h&ySuCO7n=(|Dm%~X%PR#Ne3x9(<>^qBfZ1HkWN{rYM z&dFy4V$P16xMc$thqh&X3I^xE#s8BYT~Y}_wo%qK2AfkU3f z<$gvBMz*U}+B9_gHmP-lsf(&F(qW(PJb#~9_~K(x0-dFR*Zg>-A+zVSJU$O-tQoFT@Uppt z_u`}dEn&&nd`%7?LEJfApD{2!gL=XbCPvCM+zMjH@}6Nb)oNXmh?M{)1tNY==`>76 z6Blm7mwU{n&smB+i{xy@Uaz}KBTIsJH|t@Y)&r+7om4K=1F#w(v}ZxS8#=MF&S3k_ z%1$s|wEs7TaIXh%h7;wLekO2D9GkR?__Qp<+adsmN#yz12$c$4?4&CP>7(Kh$ikIuymK62t` z??S^ZwodSH!zXXt2nW|KPCf}8FjS@=7xaa-89TdvOjpT`+a}eB-kai%G%f%K`!!05 z`{KkeigQ{cI|$Yb-^XNaTM|3my@u_^tLJ;|0*`7TVB14SfA6;cMC2i6J}vMRdS~<`Dr;e@M)z2 z`d?Cv3no$HntZy66uw^E)cc`#(8-ETv&g4U`V-T>Lt8SRdwE!`Npml|1hNJseh2U~ zFZ`0$k|=bSt? z3FY*U3=0);QMC+-XNt=nz{jfD-jqb^=3}V@(p0FPaq$kGpHj={n~6VqX@C0gBT(iL zF&!EoK>6ml7oglZogyBt*baIE2r@q2XF_M*!yf&>^04gJHS4>KMBBhzt)wLsXn#R* zfy;#UuPT(fa8=(T#vz(zP_;BD`m-S))o zF2nIM=*m`(c<+FX&w0=8yV8K8;=Gh!yxnc5+DkfnsWdfr?t$#O5PF=%qJm(J4lP-#Gc zmgB9pW8Wtx1(DYBr^K@3)?hw%%Dt1jkN4$8C{3CMyhxf$)7@huqH{NtV zM_m8&7F+wj=caFy|G_oCz*7lr9i-Xy|Ev)Ln1c9MjSwWKy!@+H3hJR3_TRKp0NZl@ zs+EG@hevjPZi8fN1x3=z++UXYhofdSjY`Fa)UFAhxqrW z=09tPbqG*pxU)uXtu0Vbp3Tdqb|z`D{)_S`u46Z9yBWIm-d8WN`aasDE<(Jn_!=8nOo z9zfzAmk=g5^l2zxEpOG|$gl0mkzO)LEKVI6)kMfk5(8$l9r`y|OkzwgXSo8M_MNG& z*R<*C*e|7P*hQIZvT=Z+B0)b3u7TgJ=%XiMM9C$di;Zr<_TJRS7BYd;1?C=rLGqPy z1ci&VU1r9r*NtdQSI)b|b*y*rG506QbcGgd!PTkDC=EG&zq<{wYUguWzV5_kC1ldw z+zNpZwX5_kW^*ET@aLA2l2usd0VMLk4aZ}8FW!nWx8V-yq{Q2$d zkHgNr0FM5K6kgTLVhY^xGw_}@SBt()|Lo+~ zRBuY(L>{lR!3p|U(tDK$M|?wPFWNxF#OiS5NI%ES@p$v-;bQ@UrZ}4@rz`hkH$G2% zV()DQWUIRGV_0rsbLh+DVNUsEUJ@~Mc&TZrRiU`C&*2`d1_Ijc6U|(7hIzwdgy~}t zViqR~#AnKwwqu;?A8D`EGy^RARgJcx;>1Z@aYiY_VR~bE9N{aGX}|p!@dx#;N#ubR zD(zjvI>Omg%qgF+Em>VVJs-(TL#XVIU$9@2k#jKztAwmyiISe&aGQy!o{lOmQ= z?HF95VCfroOt@WX zA#wF|_8omRV7N6CMyPRiyL{we{lP5Tik+5>H{VvC^Ty)6B5_=vDR4}@^|o77oitfL zu4EG{G`;OU{HrAW!f6HfVfWpJmqob%RA#<1mDFqx#$<>_qhmN|0v8`?HWL?E`PqV^-+gW%XV zpYi-d(&|^OA~@LsOj>3xo5-7X{2xu)t!_JE!yu%CGqbQ2DHUV@p3x6ZiyV74@$jT;Y|u zYZhIxPU#jB6Q9+#3z#?)XP3_JKYZ-${ieI0MdO%*&sf%VOT5hsU0HSedN62S2`t09 z#8cG%+_~(t)Mdl@Tbacq1Z}(~FA8f2>0}guU5Sp*1f{Y^&K?iMRWWaf=)Ue}x-A!9 zJ8kYeddw#eR2;*8-H*%U4H2(vWV?B0$y4GWLSjGONqk#DOpXZ3pbWXMH?N3%Am$-$ zVxp?H_>E&cdWOmCP&dWMp=It65DY3?I&jG3H9Y;^AV?ThgCkyb^==(wiQjp!cz9jX zDE=6nQgI7>k$(_&8Ss|SKUEb?tQ*VhpEf$Q@FoDbEQSogXbV^LuJ@8{ecuF?!MM&S z_B}%jRKUeAmfX3t_il>-a7xSihxcwAvfh+Zd*JBWu!M72#lo@q%Mlrekdb##80ckC z)O!E<2Vw3UF5^A-w#&ClC`aw0`T^amuv2@H4?SRIvuE{6cwRGFiOAFy?f{glCJw$l z0jTk9Y>1Wj6FZThxMqBhPT4POL&(^|Iw&S>g@EO;UrL>noQ8pMR?7k<05=S6Q-aE)JBR@2nSFD83WL`A=9d{xY{h<@NJj`mL1(5S?$DFEA* zGi}7w5xQhIRDy!5=!F6^@nzheM7@M@_b@oc9=zGbDrL@I*D#?NUEHH_bkEyUwuXPM zXEfq>?)t>NtN?@@oL26U6-}*4?IUA&6t~r_N-920lW^Tp@dz%uAxcnTY`5KP5ZunJ9DN^`EpDlSGfePC~Tp! zp4|+!!QA7IQhhLk)j4YXFWxc>f$;~o^g$pXZ;3Sp1x&bz|Lr*?3I;~P9a^MOCF})< zIuRxJO_-De`ATH{X#Dgj0*bAr6NGl(=Bul8lToBrN}dE3JJH z#5Na9B{C=H=|u~861;A57Gx@slG{sUqkL-1KMs|%hzpC#Ok^Q_IUz_2J?)Y8Z?duv z-phqJiIioBC-*P7N;u;o97k{*XF+Kaj#D_!;5au%AP`vy05wWvGdwy(NbxWHDX$~B zN|=)axk{ugNyy79APhUdb&&Bm7meTlcZW)21o{P62}L$jQ=}eiZUF4oP$_t#5Y-bl)dr*=@I&hvMAW%uyfsJ!4Q0R$?MJmp1z_n+?Xi}r7QLw9#1Rq2Hdod}>Z3AIT$qpvaar-Y~e zEeJ$_4+0VB^T(bt2Z)Ers zoMa&jVwG^u(V;u#;u5^zM+*W#uJS^k{L=#uanen=kNWLemPKre#z3Qk_^gFw87 zF18SAF1cukFE4-dW@rexGB7qa9w3Dzm~oMd0^eq5k=(L}2P#|(nDqaR8iw>+Ku7w( z;$@tew zlUWIr{{id#=PNal2?Fi^_h2V|$SpoKvaj!ZT(UdpqYuQdsdc^My(B*%i;hj;q9_&( zES%S?iMdx_`x8ntY5HS)#W5juaIJq`H|e5WH}R%64rt!JOvrH?&s?$Q^32l*ihSp+ zv^>Is3ZGvBLa(oVe%C=|K<8%ISM6r6W|r5NG_1YCZqX`4IAkyjnET``d>I%8!p7H= zH5y3#aLK74fY4xpJ{yp;E*yc^%p$JnQO;KB3f?vqnt z#SBv^Puy(d>;%Em*UgN_&k8i0Dzj)ZBc{^cTOSj&da$XcM{WudVM!{15{WYu8fubR z(zuT=uMmAV<0GB$>GWOwtonq&C*`h89H{FrB8VZ2z5#~ry!Dj1{_dVJ^DHaN z3qIR=U(|uY{nn$(c;CAW@mg}eRRt4hCD-G%8^UJ;ScBzKG#=ywa&2zQRunV7a9tp# zcXMX}_l(4?ht6WWypF3WHiKyy#ME~Miw{PNHF|#NU1qobB52i^EuAz+GdHHH(EI&P z5WtSM<{WSTzp8udu&BC*|95CXx_i+gDWC`_9fG6?N_VGp2uLF#l7iAH(j5X)Do6^7 zQo<-83ew$Y4f@>od%eHs_}@9_I_tV-GwAHi>^-?Y`x~;;9|*_mh8vj+`$b*#H*P2% zPp}NS;0nLl21-1!$^O0bjyTR@J`;G)U&_VB^7)Q^eMjxUQaPY=?+r*!?NlHPbx^}! z_nUWl?WX#DPT%vXuh4>L$9a*iBTqdbOgGy6WEsCAS;WpV_OmkP^RT2Q(%1lU`qOu* zvy@^6z*tIRby;8Hp&XYTmZkLez@9|=lFcPFgv6|Ws$DlbHDK@BKd$ULRA17?!x~a+ zEi$>eaUn^Zdk1;RfgfMGUJw+9Urbk_BUr%2b_n6G5^r$p{!-?;t%=suP_CWryzd0! z2pP6$guCco9!IfXq;O!9LZi!w;P@1~OJGXvMAMZDG;D-wvn7o3?(SYzM)R9CRFDa? zHpp)?DD}b%WX^fZ2SWO&g;TW)q|3!?x}26b+nlnw1e@sN37CApjt=5!u>o-=LS>A* zccyRX-B-!ynW(P3R8WmuP}lrT+%ZL*srLvNS{6LN{b-d_;U+d+4q`H*z?9)jc6OS2 zy3J)*-Cge%P@MzRt}&9QACe~>G34r`z${%RB$@8nl0`&BcXr{bu3(an( zoCugEpy!^7Puab4V{CtZ!fB zi^~J07as!SF(Y*bcY964Wi>?PL7@e{xYbO;x#7HW;lx3pw8+}u*^_ZHIOF_IKjU1P zofZot4TlJ3%fw5ui;8!zg6-1&wovp`{}qMT!$wkLR26kNoR^+aA0{-kxQuWm4T5?7 zCeB3FthHj}SLz|-QwO$Ht}ng%lzAKjBF3K)5l8^(kCQv(R-8%ga5nO^V;hbpYjenF^q;?B(?7%$7x@)|z(XO<^QZ+rYC(YP`ERh(cs4_5E|cfRl36K!m)n5= z;-?E5yO7-Hm=^c(!?sppkjUk)c2)G+t7ukoz3wRI>Ox7muhY-(g=d%*2{bE>y#~W* ztvDVZ#++~3>fZHCJ50wvLar))vLFmrEb!j`z+4G#ID{8QPAs%Y)NI^~c{43rgRzTV zLKw54&?T-ed`I>NP_h)!SGmxDeGd%!du5sP^>)Z=5`Hl*NP2NJaf|R(6c|WOyzu>j z>(-NHij^1S0%k?xk5&wV%4bjf_DkOt1r&mWF>MDaRdaAdd-zbTfX^)Y<(d14(ufrm7sO zYdxfk24O52p;&{N0hlHs`ygKq$vMS1O_oQVETpM`uB`+`aF{RUVrX3|0`dA1N&xfF zwpj(sN!g6j5s7vje?)PFt|s5hIS8sBX1QxF*^R z*bmXXvh7XpYnKKJxzY3Fo$tdNv~W0@(s@R#WkYapToEHw@p>k(tiIv{g2#Cy9U}@m zrP|jcTdSYWq_`YgY6Uque%$6JSj9*W2J8k;xffEtatrx|y(hz247%x(^~}*Uq)Nwi z&CYi%PZP{pcY9+;N-moprac=pjo{r7G_^o4u)q&}yU<%cVRIK`aq?~(uUcZNM;`p( zl-0aP#R@zlJNq*bsW~O%c<vwV(%e?I#UhO8x2w1qJ;z_g;;AY;Xh40+9h`f@lr}v#C0!l{$|mm{sq;3H z#tRlddKKDZQ~wEEF_+hmsi-vRy^y~_7)x+0K<%SA!uH7QpsA}hyZz-nVC0Dkz2jCG z&pdi_RcD|lF0H?w&-r6J{h|MU>(+-yTflVhrWK}E{!GG!hX?j+0un2k6C@4yYu`O& zm%L-jNLmSAT^(Qyc^+2j=~16u{otBU&Vu1#)dHFBI(-fLH0hEzu=}A!F<|VFJP@O$ zCsQGA8`dGjUDcL|J!XYgNlDcq0Xk)hxb04jgUR_ys$J2Y>&q(@qwE9lcF#wnGYQFB zM*^myoEoKHS3R1Hh^177=-wGm#G8bcF2zNc7PLLsR<;9KT88v^OQlHTVo74BV}|8= zafMtVw1T4=A}OH@WhNLv^iw{!>mgFS=^Sksg|(_Q&YdWpD$3rsM$**%S<2L5fMcon z!q8of#j)|HTqNO&de=OwX5Bfq940xde_j)(GXW2=q>?S;TykYiw=7wdZXX?#MCFMl zd=J3K^NSczpJN4gr>;GmY%U*@;kr09`J(SQwm}JgEKf(P$zrDeBH_pYwdc6pLp&P9 zfA-k8##J3u%mZ%k9y*0P*5PsalhY?yKqQQgSJcTRI6Uelzl5r;iLHCsqvrlkv%AX4 zjp~{K(IB?>hh0?P5ONERLcT{qHSsgWBYj-LbQ-HKHjkSpSA9VE%Tb&f(}->sleTZP zqAG#iloZaX4c~~RTm`Nzqb0cl_gXfM>75H=@)nL>@$Fyd56%JB3;fEOx(+c}1+^_Z zfJ@WJ;!*Om{E^RVSLKyVTuYjc@QE1jb@VPBVoTi8_6C92{A1r&xnx6|+dm#lnA~$a zVZBy0%mt*~ko?x3&oF>X#aM2w*Zxs(8A&isQSUf!hD`UO_Z$8EtIrE31e6>~;I?T?u#T}Gd(0QF z8k%|sC04vSK?B5`A!DCUvT1BXGjmgapb05x**5PJWImt!xFIk`OyLBkcLPJaGM=Yq z)Tu|vyz5ufOGqD?J|!{^8k+p_eUthIFmk?lS>(pn`3tv9x_Y(TX66J#-&2TMI1Fw@ z%%=ztJ5+JqYpewHQ!5_&1*o5k(1un(Q@dcUrIL!&HTuPs;~+75l(@pf@}<56Z& z-Rtfzgp?NrB5Qj;e#NJ|F6)s9YCa)ni5WRfEW#3>71a-K(X(mldPkO33{CBmyLRoK z1Ls*dd9FSAU}+QoqO`JoWP*1lH>{RNCOn$7a`BSLuog%^k(O217L&YfZu?Owu%bs^ zf1W_wg50tX`LnO_Jq7{b8o|7S*UQ_=FA#k!=oUMpZht=@>t*|ljIPQ3>Xq}PKvE|( zwz#fmjl#z1MOn){0V%t-x%H#DpDV)e`i?Mg-+|4Xw+9&4>fcSC-q8I{eNEr-`d#M> z;0JH+zaQWmO zHnmN_9uFpti%s1hzHLJ_;f2fB6by@Do(iw!lPNX5CAy1pHZcc&5o_oqT>KL=-m%Xn z=*mD-+E*am@kzzB1$P3+%q6+v^Dr5oUm)VD#*>I@?eO6(&1e09+Kr4yH!w7@xVddr z)HgKKu(f3aJ zw#HPj#=@gx;uV_#6F=#JwRcEV`97tLt(#9oYED5#2l+*TD~8d*PF+cT!37;-2i6LnaAxkCJ}OJGLNr@|2mMSTvGIKkChB zq%IjzNc;N_u66A^wGEq>4ewt7PqW2sYp4x0>mHD1mUj4bkRDvWRdhnY!X|v?{Jutt zQcjACOQ0yxAG@~=gee%P3h#E9P>IAu{ORyUI6)i)*(5425C#Uix_QMyB_WhdqC}G@ z*<^ZpdIm#A28^lvAJsWIdBsp%*!|o0{YOKI1vQi?tk<7?mRE@wgz~!oXee=pQ3H0F z`#l8ki|yFtA_SLvdk1I9mB+tjln4a0fJ116dj=`lP(_BC7N7@TUvpa)pi(5iA2C$ zN)&3_Kmk1%Oo>XSL~O%W9H^T_$wUthpkfXOGD-j?giu5X|J0d&5&}x7pF|A^D9^$< zxwxfnobgFaX!lmvfSb8xg34q>K!bB2%HIsXyZ;WVggVNz5+R_gYXHTfrmjBz5LE^S zp5;|S6=f(if1i23X(G=2?vG0#r2FIiJ}c3I=5N$yYqMciCA@O(w~i75OA`bJ%KdJF zAa8~PHg3$I@+x6V6>QRhGRn+ilC@1-=>3LN5~ZGmz>bU@wIvD@D4j$->QwMpU_lc& z1eNWGvl5}-)^`Rrjte{zP&x@^lk!k53HzYVz~oJs2CJ%}1<#qd!A-aaA}~;FvK@i& z%0?hi*$N0BBmx1>2qi?bs19?e6phR+C@3iU6RQAs>?8IcQ8Mb0_zYJ-jKS5%QEUFw zNd*x~FBZth|NoENY?N~2HG8Vr#YJPH%5$0haPH{GlBcNh3o~6! zY~dES??9NyHL(?OwLn(k4sjVbWG#D&sq*RE#yD6k{~(rlYNO@6AKUoK3!0K7wan&Qft%_F_sGETIXiHE`> za`g~dJn1*Lly^QyB>~0>FU5sm-r|x6C!Ej15(!1f*6W^O)rgWyeLFGX#JLK-?Fk>J z-C;FRYwuY$h_-$0UoDj?oxVk_GO{8R%!khhIOOul!;Wtb_PWH=hSpoN5|?snetvf9 z?0q4D8wb(*0Ic;JNe1ed>~I>pr>)C94hiU-@udK_D?(mBS;Wl_lm_wl5+o|oym&QI zaS=kt$A#$z>b@BwDz`c1KKI%_gzXSzeoQl(-NMgDvv!6s&^!6w6J4;pM`?GV{ z!Gat%(9v8#YNY4z${E_o;pbEO?sLei8PI5Gz_!E*R;dfzV5R-Rxl-Cf*O$L;qC0-7 z>ca0>rOP9*;hPZs6&cOxhXgO=x$re)F?_oRzPqV@e7#)6$Z%=YnfJ|cIu6ZExse@^ zOv>l1%+jCy(zH8kRa1?!j#F^IIE*9N^d;50LwyPkAi@sWaqG?|8XrPC_GMo$dx7wB z)WmWPwD6S-dCRZO4#KrJFPE1ua>^?^eHW|XIHW+dvbz5gMIWSl$Bs9^!w9r1J4Onz zEAPwlUOV>?y~YvXZYZ)kX&GuozNAc{?(GD{BOY#VOYW(a@8kA;5x|=(Q>aTr2bSKm zNDQtmCY;B>wNUoSe#Vo*^w(U{a~_6qJ$C40G@3R|oYU0rW<9gur#PM7|*EXJftD6&v3+aN5*&THp0ZxSX2^-j}k z0}6nBV*T};7+OhOxfx%!h8^248@KOAJ7R&6@o0j%<=Qs^LK^2KmitKk;{$H;^L|!f z9(DwFtlb!JJOI1JO`({epfszs|5^OpJDTF<@%IXj8}W^{(=4lW)NW}8eG6%^;NB${ zImNg0!}x86B-u|Kd`~`NFHox5FhJk;1LP>HC&;s8vy2hVSX=j^dO7Sh_)S_h>v^5= zxEYV@`aSg<9>xIsE7KDtC9@Z_RfG8A^NY`tvhj~!U)TOI@!)mBPAvU55M62aM4{d9 zQ-MeN1XJxL#Bo!Q+~HeDGpw#NCK2Bl2aJ7c9^S9@JVW&Kn3+l+?0W4fh#i#+=X^~4 zF`wGF6bS(NHwm(mX2x52T==BtUu5M^A}M)O@hgNS@fHO5-yDFxS1Z@IEGh#xGkS*g zC3rB(2$w!6YH{}pS=x2hy2_A)R~i8-RF^f7MJ#1Qi4Q_UGVkRQiXa@d#ovsHmH2-Y z0yxncLUckOLVrvWh56Mu9F{$1)5nYg`Grf98ZGi;t{|QB{F|!QVd9~aL(;{RF=nw0 zgq(hky3zFyG{=1RuD=5x1A6Ve2Y=#=ZEHIdAM>e^3*%iqB#MO|TD4O9s=EU8#sNv zkdl%A6^jCRviq-C6lmVo`YRR%@DuN^SQI2ACjAwQ0$B0)S1kI6Xc8dV5C}pP$0R~6 zh*1j?)PfWl2EXE>ZUr0a|J86RnJlt;CaIgTU$%d--=veGfU)S=7QXlCemGM{=!~Zv zAdc+RyPoWz2g`2uNC`T2c``P*1I(`Vk6q^1S!^U91LFq4Inwl><_)(WcI0H7*7Nw5 z_>#N%-`&lTJ2**Nz6B06>a>j6%>5s~>3KC5-hbrqWL~3sBdI{0Y$9~YQpE|#ha`+A zF1Ot+=&-xMT%@jXtK3xS@}=RMIXdHa(Dh*CgdIl@VW?saIuDZX*1U9AMAId=;&8&b z2f96{PkycWv4Lfm*6E3G&n6MY=x(WhB&4=+TA$^)VD}PK5&I& zFmqwpD_OhKAqM4BqaUv&Dpr6LFhs#GA%5jS=4a&_x$|KwWesLasx-?ZWL5Ip4-Z$N z+kP-Y%TcIiheM%ZqW`cKJ!LX6X9qhD%O%gk{Z&>)%f`*W=+6B;RM+y zWnnlwFNt|!SRZrCKU*Ww`(=Hwm1$(cUa~mI{5+>E(lNQVoYH9;Oo9oJM`FQ2Nb|l2_Tb5B_}BdJ!30-2#Xa3V8!a}gjOIm( zzL>)V?`;^>?c=Fm_-*er@esw}PvLT1P56qL5Y>5m0+(v85VYyUke}Gp8-z6<<=78Y zA3fWsw2B^gO5h7G>bqoYoeAo`d?$z)mA!ATiQaD4)N?`9#mx8n!qMJhSgSjl=nxCA z#ycNUqUi2g+dqJ9wG==l{J`O(IP%*2m(l(TDYWZ&fQN5gTsz-&$a8Dvd?%@JJt_95 zecV_@fzZWsFN!}s+yJIM$*oOlSk?oRJ%ZFi)%NGUkkTMHKWl#|c>Z+bm+Vadj^7~f zRo*6uzAWUgZl^Z7)VX4eqv_5#`8sW|J2j&f6baq+x>Hy8jhJnomdEy$ z`FWS`ndTY_1s(c(zeH63D*vdtW9;My=0rY#>6ym(faLI8N|{(2QGUgKx{AGJ^ODCI zxOZHYL927Fj_lhbNT{lYmO`qFS0tqs9aD?iNWv0}#<0oh0F$7QmXQw(C2N1Zyi2GN zo41T+5>Y?8DP!@4{5t#G1t9-aaPa)5mB%yk3?4BO#yTn*MxUG1iqD?m+aen|rJ8^) zF)>VQRP+lul+rP^4zKR%bxtmxTHZcDzj!6%?d%pH=1?^Ai;ipQ{rnv}FeD=Dq~?;80Icy7n`v|^Y`w5Id75h=3U6<&CoSl`vVYvt-GHi z>4pWlM{3h6GRM!oA7@RSqo?PtN@HE57mzQ5xdn<&uI}DdL&G0u&k>S(cf7-g5c-c8 zSYwDN-`;%YLm<&UA`=urN-vs`^|AvD?mp<;#-?OezL!=pc=@WNyuC|%$H*E6n~FnP z_KWHcK*!E;H!-Py*(f}rqUPuEIeKobz^aD9W#0#JdzRis!=S8L^X`jQd3t_2Bo4i* zk!2Ik^%u;1Bzi@kXlRARq#l6C+@gxQC3NlOkY@$Pp*C0T9@jRXBjlAePR{E5G>OL# zv}|H)#*P^nEL}q*OS-?#AL3F1sXLXghtHES^XqyhfxdY*?n|@tKZq#o-Thl>eI5}@ zJNsD%*)B|ByhA#1wt>P~qq^7D(Oq3*-}w|2by<`Z3D|Bd?E4a8N+KET-q7U{i73L^4mE|ae2Lr7CXO(mB_D$_`;G+&C^H6 zy7msiQ4?FNAiZyNYV9;Bqv!>_$8)}67mugPy+P9?(@$#`FjPIt?gEF&xm#BLMcCgn zW{CX=Wa1OQvKMsqPGS>KGRW$?#;gHOE)mJfwId=544GT*I#EP0ahEGcd#Wehq zvkS{XZL^T7vwP;EwSUOF-jVP0KuSi=>(OxcbiYBP*KV8PwwcTUl>rxG?=>=DsEW2;L6g#1TX;pc)zL{C8Y}~xJOwC&3QM@Cua8!7=cU~bvpcB$q-%lD)IdOa|9UPsKJ}%)_PjuZSX;o~ZZ3BFBhxK#&w+c>t z?w3w}n>Vz4HqOFvSzh;kKuFF8oozNy(SX9|^sezKKJ&1_FM5^_;!~L}DYr@09Q*C~EPqu{r$dbN4?2-EkBM?$Rgr_LL484MJYY)2rn0-?SU zUUDFA%tb|T=HH(OrQJPeL6oq@dtd(imio`}Z%_Gntwu8D!Ol+9FiO0slc~+sr!+Tbl167k}8qxER7>V3Q_2uZJ zSmf_8O8C68?)N+#<@tZf%2#-gIzy_!~@~i6`TJSLP(qqL?J4 zk|}9TztxkFO8%$Q8@0iXcBq`phRVs;r~wl=&%~23hO@i7A68xesq|i7M{Hyx$WiJ^ zD4pEh-9J1&L4&pNC`)%c0s{plv!QMhi9jG&;Re~@FYJK`1PAmcL+RviHhGbY8>&S4 z`Nh;Vpx+(V=bY8%XlX+xMu@U?LmX-7aUUYcz#t^zk6aRU4@0w|Ua}p52sc3>BH$iF z!6YP-s6fi-M{rk@ilJ`uPYxx@&D}r-HItA(HoxuuZRAGL$62`U9Nfk{ynKh3g+K)2 z2fX~uMj#g9&!s>FfB~GpVE*RX+WICQdg#knjohTP+FiEezr;M@4Z~R#w4L*EsU=thWjR zaa5$jgk?E(SaYkP(uJ%t5obf!swySRqe^Veb`k9i}iKUn6YZ zolUyVM4109_TWqO&s^-kNHX_I{?{tf|GLosmjhCI@86qx{A)x1cT(;laCU>%e{JYz z0;JY}|G6IGKQ{FLvK}}YB)y)X*UTEIbP;E9vFBx^96?rP{7@YC^Zrevrg#JJWs8kk z*};gz#`@JPp%+fQngiRF=yO-B7H+roUihp%1<Gn>saJAv3<@rJn{I#TkE!co(<$ z{h(_=jJJKqiN{{j89t!gTv&R>z*?RTn6i7LtcGNnbw(1`0$2HJw`QgBqtlf;m*={k zTaMn;1OXfOGnu0^H@-{=H4Nm3U$<+$nWBIECgeu&Xo{j>FA3-%Yf;j=a;Sc}b_Ii!%mSp4lnm;*IMRFK?m;ml4$q*KMJ%0+?wnb zB}W0l$jHZK%*08oMPD9R`03KGi~2t`#$>nd0_ckwrJB^<&S9x$lRdtxrQZ_9iBu~B zJ$_*krE!TYxq~RHC2az3pVXY7y|5e;lC%R7y|RY2#)VC9V0g^@`>D@k5Bg3F_JJc-{6~OV?&1%Jn6#|MM+cfQ#38{fc5yde7ZOMshF@J=-_(J) za3Hlq2yNt-iEjbIpjBB-_kgJj7fYXp0-uW!yG%0yG>)n0J^2)=?Q_|BPt=jYjQIjG zUKT5xDgS9N*Sn4vvB1YWEv!3GSeTQ8ZRQ+yZ`tBLD{*?u-H+7np@tiXCMM9#z$3-` zL5IXd+bbveTH(u>A~ooemL8#%@=kA{z@!0|kG34$Zc)B^cDI_B8bn1hW<6fsPn+W-w`7-MAQKjQvp5XnJ;Eq6V+d%2NRBJ(V-$t(6Q|b(wgz=46U)6bEk2#3(SNbof;tBwl zR*El8FHRZ#ue5$*)?H^FDU>hBej635jdt{Eu~H!uTp35Iw{f`hR>jgB{^zAfm%?a7F0+X_{n^B zQ2!^uygj=8CZH~qiMR)CrEzjOHYfSW!Tv?P4`w+v(K?q5A7F@6b>R{QS{9J`Ef^nnO^L`qXmb0_F7n&a5=9Se2mD1>8<~(|9g?%xU_^)dDtv zMp0EqGKOO_b0oT4hn>W^LHU@yRyy)d#i3`y$`7NfP<~0-g91eIoWDw6=BBMqbfF_47g{8)-deo@3{s?{b#?sSk?%D0w=z870Ve-4iLE!Y8j?i@jzhwIK zXr`OZ`RLz#H<|9;_{v?CK!)|k^MfvM7S(FhU0TU_|GCkpm^#7hk+BAAx2E{z=)H)+ zM-xUOfK;Vs<2<3j>6k{}LeTScpPUj)b&30~a@b9|J$1)sMu4nT>pZwYp=*o(99_4X z$!5cyMLaRZH;1H~gGJV)MW8Hp7r6>fSbN1nRMP-)Dk`X93{-2{FG87O?%zUxlGaB1YbYxaU09ailtnVSTfp@l!+W}Kr1vt^&| zN8a_b9^V(_lio3t@~yaZWj&F)YHvYvB9s$B1aL#H&*2x4yG zYmQtCk!tI|s|hUYgyPZUM)H(wDL%^9=jCnLxvc+qcplj+r2V$O(c=mDO8JG}^yKi_ zK;DhylE%Db*2h+Y%RPcK!&N;R2|*PFAYFV&!ef@azg*^=OnfU(m}QtbUDyGkIi`fT z*tf8lEwGTS4p@JbP9+Nk5r?amuP)$wjRdO-GqU8z1~QHaWPxny*HzaVU8>^lvtLH+ z9|>>sA;c9zr8G2eCfMUWH>(4T%C1Xh?zmCJukJ`BCdrr-G~M&7_OC5YPLbZaoK(96 z%9Rar7_f8o*OQB$Exq<{j0pec_Z`6*^33J5$~5Z9BFH+KA^W615@GdC->{o?R!7$* zh%7F7N&IpO5z)Jl2VI~S{N($2r&Yk($Iy{_WGnndEpom`#w!xLoa_yeeS#*?v#mY; zq`Ea7wNHgbFmY2SdRFE=HX}a+!Q-K?B~pd>Kr(;tQP<6!9oZ%FCxm`?hvSSM6|qIz zqxasfFGuKckb^^EDY3kARg$SMMNcFtqWU<>oN058OfY`(##S9k$1Q?(VHaNKSH`m; zoKAUb(+(fqFMPgZ@{Za2m0PTk*hcC!@LnV^_6T(Ls~nN-dP~T(bnoqpuo8-lxs2jNUPB7J9gpr1R?qz*88he!8+6?W1W{u+U2({ZQ+HM=9+tE>VEQ4zdG} z5TrPdhf{2_lL)6Bb`p&8o+5f^4m`bbe|)%Xc6CZLM;Tl{@Nl*aSuU=~UFq0)SLT!b z7VSKV!~r>O#^&q5v$Uc^P*_UcE3imxnAEs~#(+=m75Sb`JcS^6|1)XA zlYwbw%~t|1>+Orfvz{57Wz>UnqVXy4W`k9(MdZVbwP< z$r}Ci3s=*qY0JCj_0NrCz|N;-7n9dF0lt&VIJEbXkWt()bM-Gn((?(++=*SMl2fmh zHSs(^djwKQByO7H((a_rHfpDsvhxUvnLjJ1_Aaj1b()u?pr8ZSaA&^RxYxB9)=Y$m zJEBR|Oza-<$Q$_QcEGZ#B{of9@BX6s_Wjhh{`X&x&hzrWe$zj`@N@T2_nu$zpt6RM z4ahzqRz`n;#El`xuQ_-PENJ0Kw(4{%b0dyX&uO`N|4K2PVw6G#Sm7%q`!i- zTU;_~WEP&d)yxr-oaF(GA?u72Gy1tl8R5mZ5rV-LaaBz1-8a@`Y<2?@ZsBn7XegSI zsLDe=xhFG%YxwZf)CneOP_e7WhG@jeJ=ZKNV3EaI`#{M##4Sp@tY?b0hc&d#SvaZ0 zKQ99dFZz?P3D_0Ak-uz1cTvkYn&?tR`FsL)l~c+Q<374%?B^>jU9SPfEO+a~`c=}0 zL!p}C8C}?J1A@^NxNkmUlB)I{-ix3I-3FoA?FDP48`GY6Bm4ykCD$`o<&#U*t)qEz zN2;0IK*Dt%4^JVbp?Wu|2Kv+R=Ylr^x(1COjV3rJO!t$tE5o+mx3&|B3%df89OBL{ zm%W&TDFv?FFLG&EO3ijfNGF0B*}zM5=n~i=`mZa^@0$3CQJEzxI$zW{oV$K|r$opK zRD51=d?nO5f4=oQ_*I3+n6+oatz=8M%ZXg$$I+=f1hh3zKesKeo@dnltP=VDS_-%A zWBv(;^1hiO1zf^c`dvV#d%T5`KP6?6g{pjFnptKQgF{Z&KkydgB&)4@8LNYo{y(=df^d(aoeB#)6GSKxS}zq zlX>A`p^N31)8L#FvBV>ej3Xen`RaSLCb4g%m~28`!$fG#xyhxO%V7XRr@$MG?=xOS z2xSdmu|$VdRzt4Hkww~ojj_inL}Z{3T3K(c;>eUS#;*qN2p zaB7_!+|-QD-D~6-dEZw(fnQkDS(o1`TGc7lAa9fw)=xJI&tD|Ivn9|yCoT6lT~PGl zaUPF+isIoNpTdyOnh341+zK#=A*KJ*1|dAY7@6K-BUxq`gRjQ zt*yQE+RVi7g9dnsBr8YvjeY=pOQ(WT-a+@U}IrIZ4$hq0EPW}uoU&}^M8e3KDdvYiK zt#abh53U zff$0w2mFDSP&SE*0z@TnqI%9z`bnrRgwjda_N42U0u}7(;<+yN> zOa9EdjVkWe(n7hkpiN6x&onUTO!^r9+m{^~6;}aejWgW zKm`X(PtO1-DTH<2KYl_ujL$19`bQuL;TuXEC}U^?>>Qyz-WioadZwj?%o-)6MHRlE zWj^K+4bUZm58`=zUct+kg@v!7;=6(piJ{-#%Gwql z^2c$BNl#K++Dva?pprdJZ=%0_yOx>90MFGhLZ*v~>12f>)Bgxex%dQOB&Sx;RYJYr zVVm%1g~1VFDrPDUqGBM2MIEO0&e=1u=K^Z{T>7;_PEErit3aaw2MoEq0acrdFyj)s z$yH(Mr~0ki?xw=Deh&%?p)(vN$Ci|qSE3;1)bt!S4lXV(9()qOCHgF;z8nRA%8QMJgZ=j!01i!U?H@KsX~WArSYF;s}Hb@(KdsisV5c+>nx}UU+VpQ;HNr1(jm` z-^x+p)D<;(MLF#M7a9=X`WFM7-3qlh`WNT^7y5ftakk3;Lmlv9KJI=Ap1R-O4KF9p zDR@0W54?3tay|3F`1wr74ikML2%eaey({^}J)zd?K*_@)=pe4+rY2=;bI@FRZwbIq z058Y3z46PRKf=tGT0W&eBFd=QU=3K>W6@MexZQMNYZPEk+V;KHOSzFiAf7!MvRqq! z(ZN@D1(DGr^pvTvFTWFXkGSx5@iso4;aONsUwAc$7NavvU6PWB8yY}3lt&~3lyo1Q z?_YR#{i*Dcn0>bY)LxE6#e*7pc^n~o-`0#PU*P%K`;YKQcRm@;5~D96$=qk}zo9nE zY`#^X`#^@5xPk+iE^kcBW#-@H?N_%$(6L!qu8b?IGUf#t285Xp`ek^5y)CXPHqJ~I zr|f`4Rf6)mtILuqBR$!4EbV0Oo^2&tpq=R(m#UeCo#9QWz{%SFL z(apX6%R#LL!mPJ5H^8uCAbAepys0l%*543z+%fv880B6fyTDn^7@Bd;CrcO$k|qS(^hX)41Bk9}8wDwcNI zmEd+`Kd)Y*~bNh3p7<9d~l@3 zcPAPtX|+a&n!v{Wz^x}SOtzVtL{c{4Rw6#xxCHttdgSbvM5bTrcCv%}A-tO>x9G2( zV0bHu_i7z@8@1)_7Z7u7VTGfz}22W>h$$O)jHsU)UQjM#Sui4L!b>${o=0rL&XgqrQo+*xqQq zUFO@Dw>mkf9;81bHcc9dVMK2SpZ7GkCEstZE{OQ(e%rtG)Gxl@F;{2g3mFTU0P$-w zFOVumD?)6#L^+?CAFp6a+UvgfU5mrUoXD&?1N&{LoQl$?JsYxU-)k$r4O~aN01V{1cna zJNKp@)q7G1r#QVP`KkF%bl0d#$mLCBm+-ZjXzqaoRb9=d)`z{D`@^w9 zu>yd0I1zj}@A=lTr|H&bN?xAA{HbfmrwDIOyPrd5L|!_($MOe&&f)1HmY9?Hi&reX zN=_U+nk)>n$5~{DR{1Lo1@P1T zuP_v#;)4DLg+Xaq`Cp+hKz(KS8x#icyzpP4Fu*4u{3{d&Jn+o--=Hw^R0oo7c)YYx z?6w$zphi(#8e}eF4*py7?-QUps9)~1oE=rznuP9oRcyS6BQN){?jAKuOhfwGufZYZ z1sTPldyLJ+%!a^ubDyEXfA$UY2#b78?T_#W=k;xVW>W6eI;v;wT@`-_mPo#^U_4Lh zt=+)yA-j+~ExA)hNb%WGsz=Gb&${vxJY#}!M^oNJ?%<8`^8x%)jG8D-zA>BnIQ^c~ zLgQHyN*9py{nrQO(4NqX601_Y<~r}kuF%n8t~;&C_ji8VZ@=R~LT?PrK0;CRT? z?hkI+wENy_y(3Z~+-GTvE532p;c6tC=Etn9%*szya!F#UPzb3tS&kH)#@Z-0D#@-i$y#@xDd$lPu*M>>yTV-AS3 z;pydccG@96VOwr0YcgKEsgPh-xsT_kkIOWu)r1Y+KOnA^dX(!cL$N zd=*j8qV-7LI@1UVs^a98VmoJtUUgi?RnSUI@jwfT@y7kJ`66mSzkd>E9z3;e_Wa4c zxSfvsJ)S+ZZ%4#InwpGQySjqX%cB8LMFmijOTMr-32bwY3(il{>?|WqbB`F}P5RzL z!=fb>Fmwf?;;(igJ|E^SLT+;f$$v>j${4)PXTO(2DcJnuG1f>AkhkJ{AF#0ehS+FF=YW%kN$~={F+-tQ{i6n!lqq+A; z%?^+!OQHABCMD)zGew>KWmnx=$ek0XE*+_f++x9oO?BS|`1GR(R^B#W3((M56)QR4 zmL=^44ryXPrZShY$G|i(O!|9hQ*vdI=b5fQ zm}0;4^5^ofT*EcU?++Heb|_AFXe=%QjcEu17-n<9gA|_a>C>gb8xqQ z@HlP2=KM8Hi@eeC9vLe;*Cq3Z4GX1MiU}6jVp(9uq=}s7^A$w!l|hV;^Yr`e46t&qP}?XC zc)k|p5~#i~(j;a$l=$JtZdKpY`y2cUetDn+L-b9ozfKux;m$$--N2^SU9?`-Xpsbq z7Zp=ELA*@BN_o$Em-0$2Q$$Uzrm0K+Y>C<`zT~6Xl@tDEDVYIU5M!7w`ka6_FnQf! z*m+L%5!H1?Zw>pDwU$ZOSFIw~EC8L}{^7v;mElv?7MnL5R^sOFGrl#RVe5=icePTd z<`zL=$iSWMLARMffx|_L9*kMZ*0-br*QMTHs-X?}o)b6oC?SOisL!SYd@^i1$n3)*Fm_YKy}kP5dwB0z4Zv?@BEs?H2vtF~bcTi#-Ma7oTv z;i<`62ER^o@;$(;a1O8zf^7ie^K^SYmzlMAIgeSHJqPua(rJ`8129YOV+9HTxE5oe)!022q7 z2a2G6M*^O)5)lzeBQtYL>)*3c0OxA3NH{qqC9SRVO%IA;Y@-GgT^$@AAD^-Tc1SO{ zxOoI`!I}7PFXvrU9R?imn7{)9VydeluYjDrm+B?(jGx%+NlI zD}>+yrSkdRjDp&$L@3V5IyQL@3=AQyf!nhs}V zWI;NB3OLNkg&&~5!4=A~3q8AkxKKAUD>dtXJhHG0I_!7mUi4X)hZ)b=a|_N_;gr@3 zHJkNDN}vp**I>H_k`LBvAn_0gKO_MH;g7^YAOeuour3*ii9iG*iD9lEk_sx-P``#C z;kTJkBqh|Up>7-wul@UBefEXup9O5mK%JS@L2Uo?PybvwzJ2!T{?G65O4{%FuMXn> z_~wbcmrym|NPhKDeT-p37T{e|9wqB z`m~y5z2~_!TM5?m1jDUHlMi=uyRTKTyu2x~}sYjGA+oDuYw1a(q(*ivxQmmM)3c4i}mOBxfSM z$DAJV{cA1WcQr4H&@(0Ve8}om_%!jbRdn(3I#7#IQV{5lys)kRZjYFv7{T0L8^br! z33faU+tmf)i~;Fx)}Z7g;e54HTrTl6B32g4A9so9E*#iNf(-UsR$YMVuG%EBSW0zO zz6Muf=n83dja3h=G_GDCokRSW={_g0I?zwL8=O4Wm?{+TWBWc~ZQ~Vc#*HI>Cq`x9s7KAVbpe-sDm^Je>c+XmHG+pMq~rTEy8JtX(PrCE z!T095o72gOkz?<(>q@OCMtdS$B3bIb7ZMu>2h`_1><3#@UN|uMpeXv#Xf4a^`fHcn z#oO<8Kk^(Bd&(<`z48OUFu76=FSFdgg&lQZYbugCtn_2{QcoB~@zX~85*9-p5NX}A zFZF$@tYz@LrU@O91Ffu1X~(@g(X$BJyI*r(`hwRkSTyoj+(BkSQq%`NuJ0#FObyLl zzhOs`^Ny55fiu}JnOX1U2=M-xJ5%J%jr~k*Rf_mCJ{`<7|MomlpFNst69RL6T diff --git a/aidatlu/test/raw_data_test.h5 b/aidatlu/test/raw_data_test.h5 index 942141f85526c34c2fa7fd638451c8602b4f053e..98a6195dfe05952ec14b076c9e78b9e861941837 100644 GIT binary patch literal 8994 zcmeHM&2Jl35TCcM6DPIlN7_;$L03eS7KO-m5-7KXe2_?PQY9`>m1@1U9mm48jlFIu z0@Mo<9653A1&M@zpvPVi@Go#Oahjr3Eky~c3nu%CC;#g_DPui&V$k|$(`$@WXxey52JgAO3sQOVyg=huCL z@tfqwXUE3@HP!s8m13y-MK7QjUi(aWU~+uq3~l&*xocgvKgl?gLnxV7jFf4aYMd$U2S#zyAmq&|hV z(tl@m<}7Wrp-;01;N9`rxv81yN9K?8hvocYXACoq^v|4&kGvflpED+AW>1gAjdu8G ze}v-+M{B6cg{V9o?&M;)A@kJ-!;Dmpbcme3BFqb=ZLa7RtSv-3ToESam@{234op<2 z?3Diw%z(fIU1x7F9hgDEC*F4Czzhk^L)!sR){ODv8Id0~A8%kUgn5TM7y0Znl6Szx zT%nvKJ^7_Ndfx_6F%yuL)u(Cq52Fle35z+YaXN^0h{)E)2Vd}9pz+-F%oxL2m114o z0Z8OZ%I^Vjh^{)%B9rolh{=N^KNG3@S0j%_ooJW$JX;ah(BD4qqy2AkI}QCu>ku84 zT92xUL5wpZ1|eQ2CZ@(?V@BAR9yv|ri`N&5HHB08?T(AI$H^Rb$3@%YWS+a@2HNAc za@}ozgY9uL-`(vEwa3Yv55g&O8~0}rJ*xaUF%^puzd7fH9$g#cj*l3-!$)_CAJ`>+ zaF_U@C%~)o?!ep$!1V0Qdvssjj|EHAtYPkK70-?KIUeITww_a+d3;13k;-Eq6uJHp z)TbFu%PM6rR;;wt+o|b61s%Va&0K{r)ZdPj{(rqs4D-pE+kN6@NE*iaAk=W&dY@>m zEB}Ap()lXH^V1&3^AnvBx*7kd9yaoeDx%7-=6cnIdg@$T&&55jopnpyOUa%(A9q}M zD=z7L$fmMOSR!{^BcFH1JzgBE_@w`v7_LIascIMH^O{&y<9hX2qtAQtem>m7_J*DZ zJP&vt@I2so!1KV<wFYNt5tw7xH23!m63wzD zxZXTmgphE&KQnl%iI2-)gdT^PCz$L<`mF#RJFH$I(aRcg z?AO@7k{v^`ZbBekL@F0P;cl*hKYtc!ApzZ`QYn84$*f$e;i77r9bmR*Gi4WtZ0=m4Ln3ayf^vl4+*ZbQVF3 z1ZeqeHrL6(TIYa6CF0Wxhu$b=GRYhgn92}jYizZvlFxrgn**b&@fG~SAX8)2F3U6x zf7>6P`L7$15+Ah(_dfsJ*Xy1)uf6xonzh!vB-B^}qWy4)m)92>-@}|J3Ew6<`O3h~V%+xb~lV)D<*- z*6`o?B^1Qe)PD0LT>B5djHsub$vQd_U_!f+G#V zuX0~qOk6=q%|KdNRZ&dc;NQt}u(1D^9HI^LzZ>!=PDL?(7DLs);}r!GMMAKUqW_9% zxBr`lgv|oaEeKFSZojJho&QPv+uJ|MaQtHVpW^?YWH^7x{F=G`wu+y`f0E()#fPB% zpYTsI+`l-9|4#yN*3TK_Pf{8|Bi zH3lxH!v4i6iu>#N$L^TF2Jsj1KX=$(zbuIKd*BML{tA>o>(@Wx_}4t~@8bSvw8HlM z2mk*$?m1X^e_H<^{Qo)+A%+Su?g;#u6LDZaLjVcF{W(ua%SkCn8n78Ci76tcub=Y^ z!q@)D{fY0tmt+6;a=&Bz@8vlDz1;6O|9d&ke=ql6vHo}c*N0Sa=&B# zAM-9QJa(ASAA3s6DJUT9`PZ07(*M3r<3IyH7odN6FNNzXflL0h zgTd614NKF?-r4Mty^*bf^CK$@3$sU9j_g=)3CF*d7{G7PW>}7#SaNJwPEMMpF3tva z4yG=)FpUc#!j1Kl#*XF4gUIq?X~M;DAs?2ek(H?tmgAj2VjOTKYzQ89_CHMQaD@)$ z=5PV$A1+Qf^HVW9tofHJcAh_6ynnd3U@pF&y6*hp;()vLQx^w2%;lu{yJrSg_Kq&j zPFRi{90-06PApAl%SUETmJYV2F!5(q9B@_tMKplB!ohtJDJ0(1QA1t+2xzees?0iw~IKl{Ro z=nGu*lfv_J)PCjR=5zA?B@Z{7lMhSoXCD##aC15D{G}XjCKuaZ@^JIG*nj5#Vq8ZD zTcbx-&K_{t&xUcq4f_j?>!<#I^u@`_0^xK{mPU>U|KUcA2p2rMPR@_aoJ}lY5-%2m zts~dZb{WBK`q-M16M3~(7?gP8Fmtw2jS)j_tA8;b2fmtkj{@BY+>>*PlqjwAj78ShW&$$g%5TQ z1Oc`zH|!YyAi$>OhPwa<%+GSzvfRHc>!j&qLN z3lOG;3t0a9d)~i$kNkhTe?+WvNJz;4vd&#){`-llf#TN&;S1BR@=pf;yb%v4`n`ep zE#3!yy%+ykZ~tEFf2jYlz<(_89}E1)0{^kVe=P9-WeXr95s)FyArTki9RYFni3C4y zAR0aY=k)8Jo)1tU)W4ME!<+Pf$^tsQj}}!tXIXUCZzmHYhyC0lf?wS_W4Jb4Bc2RB zywV7sBQa_#H90Qj(i408wvTbTcoseXy5(%)8y_v~m~rJTm{VvH<5s*OLR-$=v>08R z8)i*hb_LtFjT)7Mf>yEW6=-0fD{IMhx-{p!HTUkZ&GQC*Lu8_L{qQ`eQKF2`^_oC2 zhV@GJx3Kh#Y|5thOEzcuA2A*!mhqSJTbtfw(8o0gw7Lu+3tN+ox{`t8lGw-hHwKYb z+SeX1DvU3kdk<3y09L|)HV;Ksf^KmPKCjm?uj%IRe>GU6%?e3*Kznpn>k5j2C$_Ca z4c@n=L#&5;l>5YKE(`)B8Ru2)8Q0xK`{n@G_PsdL60|G1$R=jmC81gmA2TSE-^3R$ zVRf*ai@cZw$rx8Xt**VGt()u}P8I#s!DDLjVp-bl!;xrV_~MtU8ZaGG{eCZ@?9ky2 z{i`3;9MSJnJ<28;dXaAC%kR7NZKr}@yGVuCZ-_oW9%@p1ko_+F2gVLMT0Zh5MKw$M zSGS%HFmt$Xx{Eb;*TdA@YnrgAyBl%LtOSgCUppAmw-mi1W&i;JQIhYY7 z44xVV-pOsOEK_(!n_xA_{{9hqzYy)yFQeZGUh5O70I#n%J?X|J)is{3SUcB5h1*T= zSA1iWV9I@d=JU92T?a@AGc8WAg$QSHo(Q`y8t@4%o2S}etwSkd$8?}`>AVT{4|^Sm zDVKGbIPG%R2~o$cry+}KMBY>_em-^*OE~ioT&bmskV%(>vM9M@?F5CJTE-d9!<(50 zgfH(8OrjK51C1M2pDCb>_ho~(6B0+~eP47V>u#sV8dXq|Hh8u2#{$9Pns0h!$MKx% zBGuNa&-(Sa(ah>xMC-YkNuH$a&tZbjvZ6qxZB;oJiv=;WVPR(1OU=w@$x6FHb%RRe zVf!ech-xmGJ{I?wq>s|m-Sd)po~wfNRPE)M^C%DI-jyF+0ADBI%_nKA2Hmu{Hz=C> zCT9)d*%Y^KN*P`5cGnO(_XF*F9nARoEk#0@OG5qaHD?Wz5=-12Zk#hJdlnlZ;{o8d z?UHwILFWFXB>_@L^#!f%&Q#y?x`C$gT=ut1s*5#1b^Sco(-nHz^tJeNN9~U~LQYBn z1$rF!(IZSM0sJZtO!uCo7%2_#;#pkXx#y5}O6y4NBlWfoY1P9V?rBRDy@vu~%t z!U}vn-_#7|NE8k}*7w7fBJ+#6Z5o8=7HBqamfuLIJKksVeEtCm81H;1Hq*t~d30GDTg>{|8?fIZw9#^i z6Dz82Dx9o?6~I7@aZsjha9k5?{kkjP!y3%UJrsHApPY1c%ppuaqaRr1IjP!8U+Dd4 z(>)zeP_P0MzF!#%qv8XdN?yDQI_H(1ds&>Il@(lhi=P@pOeL@poLT9i1q(A4Ny?xq zClT0vHpkn!*?792ZD7Q%Vj4MZ1(dHiKE$tL`X17N^{SGwg4Zm;<<7hBbyf2|DCWb$ zJR!iQE$Qvrp>b4;u!=CUc#k-f#=Cs6Dp|vc*a~I$)H|#|Q~R1^DnDkH5xrZmB}N+>2aN#ayg~6J$X;S70)t zk|=cYK=qsMveLA)9NBQvHMy8ysWB*Vmjcyjy)jM9Pwe9DUq5!0k{4BWhc1pxpR4;cQ!L zD9>nVMklVw_`OJMWPYIO4T&w?x_&WDI}k>-g&xvy`MF-G!@fz5LsWrf)ZJ2taZLI! zOeLhxBYS{As#(cI-QD@fO`=xfV%ujiz0;-3)PUM4cXVgO@ zp}^;U1;JTk`D5BAjU9sDBa!Dl4YZvf(pW3kWIK4$`)32B>W3&i^a@bq9i6b|MPBAH zU1P~h3XM!MPjdL$3+%+ep|LidLg{secrGcqoaehQWe)5$-lfsT4<Y){q8uZ@HwvJRG-rQ^tYI2n9=f-%&OM84n0%(^ zl!9w0*{V%@d|MsJi6h%a9%8;s)HLi%`=Zm~rj3!-)w`8`Vy2@(On1T!Zo0gS&$l{t z^A%A{`223;@VK#a5553CC8iz`-`ovPQsnD(3@Z+}P>DoU4YKr^A%Dt=a+3wX*19GCyz)YdtB;~! zAZvd*26Z+x?M+d?N?Is%3!t`w0+Re0V;t|zIe9MTzlJUv^P4sj$UcnX#vWIGEG;eK0jo$i$3Y=FN$hV zRL~D7^uAjr{Q^s28f6wtVRA6t?-S9Jh<)#^&n`fdw6&$LM^(Gwj8Jk#Qo{yR(P5s~dx=otBp7knh9f-_5? zYyeH(`Pu!Q@)F&9YIj~xWATaTohH;?(^=k0#tH1f7X_Cv?iwWYyQt_ZKcUx59!!rk zJbc(jfI{Br4?G)|x3?Kf*uF=lQ{sGqcIP{`eHkA@wSE5OP)UQX7JwRa3#^RZB2_1J zws@7fWoj~ZNeZdsw1{El@qHx}R|D)tV7qTL@$ECO`y9!T`6<8LleCJul?{6BzIDF) zb{_!^0}H#ee{6hr-}-k{&*m`^HFKA$BMf3jd*{H{A6kaMDk1k88H-eUS;yS+9p4IC z{VQRfDT+3R43c9UEj^r;U|Z@Xee0qi68=lgxbrK`VY8kE%_EnBZ^k91HZj~Wv<6P@ z-iZbEeTR}N8Lvk+P_7BPjZUACYqkx}Z|%{Gm^}sE+9??yW_Flj^1Y%nyKiusc2AS# zR-O(d;!04yiEE?*In$hxV=ITG)d}Cj!qcYss>9eNl|n0~mau&D`xn;tfl6l647IYV zjlI(lH7%F0v8i`R;dfN0=h4lJ=M=QOqCj@x8?}sLN~cBrILURhas~5v<(#!wM|?R0 zH1yNRd7XgtwnVSZ*g78VZSg%OTO3KCcseX^a?RfFP5syb9uXT5R<}yo+J>C8QK0 z=pfw~rwtc;O z1fHg3LFHCmi^v*PAK0Thj?};1c@$W?=#=#lIb!I$Fe;#VD);yUI^ElkI1T3}Ptt`{ zW0Fq@u1G06Z3-t3u3p>*_YFM)LsylxpZLbtHStE|SJyVqZ()mw-Fpz7+c0s02V}~s zMrVoa9~FpZE!VehArF~}p3fdVd@AaSZZMb~=NKgYr1n)hS5ELKLe zu4{|nnqL;bM<*AMN$;BYw8ov3@oG2bI~Fs)h^=$r$F7lW^vk5Q3??4I;1K%?1)u7D zo6H$ZId|XS%lB=01z$(vmnc=2gs111?1M#Nwb^$beOtUM;=7wzb|xFYQwTJmm_Ra)NyWS4K+dL*Uhy+bBv z5fnFw`9eg=DfD6G8`-tEGIpc58F16B36J}2LTZi;^6Sx<*M|ASkg9j_#@(3N4gTU4 zDRz*EMib+fnKMBx7Z@pM;UE0zD~**?<2MpEDLoVW7s>BH>j0IwRz=+zDV>UHOkCo| z7Al^EjQzWLbUv$)&~=$KuxRZO7*#VvHb+Q`MK2jM=8Sy7U}-(9`&v}5>85M|_k>vG`HRlweTn-a5y=x%3#UxNI$n{L zNGO-^$pC|-N#*z~27!pSbLE_=T}UP|wVbL^`zXUbht5s1fI~pf#_c>#nW~;!Qe6I7 z$1*(0EJsb>KfG^4Kwdq?v3nP^`XTohyveCT(XsHF+2S{wU%f&pZD1S!5eW?^Fr~W> zOz(0?={?VD`Zz9a>6wuyZSFKJcs={L;2RZ zr#Dhjr6G8Dc!2l)re%)BM#j|h*DG{eXRSYI@1sQFtEDJ>H_b?rve{c|9&QLman*$^u~u%ON;b zvW-hPJ|)rAvvukHv_y1OSX?Cmk2@?mt#B8EQr8@m4emiiw-rsyEl)4V41JS1Bt1Iu z=&W4%4=}HrC!g|y05xCHvt^O|L8$_~d>fMEqQ&c4AqAZk@&m|914}0i0EJ7X30X`s zu=Nz1l{0SHSSY>dw{$tTnV*(9+T96#<5sWB3+mWSk_)Q7EUjX_C&K~8d`+UOyGvGfAE%Y_R!(xO z-#2oJNvdoaJ>%dOif!!#TS#tcLUzs>jm>>)t-(rgZ@vk zy~yq6)sjw9!BG_V)_Lfok&P6AzD2_D7%ExF z8qjYVJQBNW@DPO5p|MF@wRU|o+_^-nm45j3JMC?CZFBe7gtm$4Wey48H8ggeRZu}a zkiLC>{mWH)vf}aLhR1 zJoYQ;LudCWXrEgqXBJn|4lk+)ZQXO%HN8Kxi|9B<7p+r9w@uG4Qy8OP74#oHyF_z^ z3N%8`cEmJXa%NnMy16lSeRUiXP(lt#`|oB6%T+t26#@nh<3UxEsIg;Ab^&3NwEXv7 zy+5#UU%e?D*u7~H_&fs?tb9hrAs03GNlcqt-eKU-w=W$1icCVrpi|a2e)NL}*n1aJ z+?4lE?^)5kz@GC~>@oNC-X)MzFpWT_jjDZr1~3TS(=JKfsH?xqqd{=xcF{>umXXci z{1=udX}R*2Xu?2R+1%|##`}>~4v}XsbIa;JEGZfor)K33Qfn2ruJH%}$(lnRznqfV z(HlJX-r6T;VdAj(mDV;}ZsfDy;>sy&2TWMv>TlkSqIyirtgNfKCzXg!D<&(B?=2l_ zt<|BZgoE_X-p<7@qOwntxg@MYOXoLF<&Er;+Fg7jKLP^T-e?1&nKk5JWkT1fg8NejQ{$fQpKWnwo}&?j}70ldP<)oSdAzy!_p}3JMB} zN=iy9D#R+Ps%mO(Fx=fe5O@s3GXsL2z>2(lA;{YoLi0hu*AN1Jet!Ni0uTs<@iecX zpzv)`QE_o`2?E%qrDbL1<>ghiwUeJ<;VEATbsEMDoSvPBAZQLw%=BFKB{J|@-FNA@D04gdPJUS41BLrbI zLI4wiOP4NTVPRq8!hOakAY^4{=io$u3kESaHxDn2JN*1YqGDna>N*C7hDL@EWQ@>a z0w+v;A;=8A%;B^}1_W8ceYb*P4a3I97VfgETUbO?R8(|yOiXNCTzq_d0&zk@LSj-< zN^0uM%IXhIO-;=(THwC7BJdHRx(!y>-UvY*z7W)D2%&U!b#=og=;`V0?Lz?W`N+uF z-v0i<;o;HI(ed%ularIv)61u)-@bo8JG($aMZ0l>mX;2o>n7qx4=ZBGfKVA>Fu{tM z4I!diw{Eepu(ERV32A6*YHDd|Yir*(gz$A>=<4d~=^Gdr8o_=RG!Kt(FpLmb$5UAL znIQx{M<@-2{VNQ{3txyL9D#_4h{(uDL@yIcE2?U0-ovP^tuur!*VorKd}wTJ{@6A$ zz5siiA%waPV*^&X39H=7fS_$y?dN$2!uo>14g$Nr(B(ZC`v(VyN5?1M;BX^vRYans zY?Z=<1B+TjR8mIP5Hb};hNH?Cf)wCOaUKBRA zuP0t!-rl~xex{;0fq}u#UcAc9%gfKtFYtvh3lVtx_H7ZOf5ou6k_-r~6oE2d=;}KJ z-~cPHsHmu_hWiJ1?!(adr%zLX)~05rhNe1!x>#^jt*oqVT*AV}X@nqLLkPly^<2(? z<}T3uA%aE-g^-Yt2qqI}K*%H{BtdV`;3?!95C{w~eGG{OT0oMf>gclYG@)c`2ea8P zBWXd7fx5m(D2UMUg^-+`O)W89;P`>z=4SQ^ayNT}=I!m5{4(9t`f^rQ)~naAv$GM= zlHbzR-6~@$hcr023@M_*Q8GL-4?)l<;x=XoLF4c>VF+PNe)=>sJNNB-pv^L5f`^Wd zfe4FB*x0OWY;5el5X6xIL7cu&plSxvRUQQ3sNh534jdZ-0zx99;u=QAt*Tc|OifMA z%*@R#EUn-GfJ4C6&hFv!$f)QTxTaWGOC0=+_l2MY_)2Vqu#;dU!$^T)_5vWLg4g-) zn_5~wer#)NZ|{UXzqzcTs=(yeYjY6Y4RHudq2zP6D}6Phot6Repy(`0VWL z2aNOc^9vLdG`gENO{QvnJ{! zUh9yLFVfRMUnIz99-fNAEh8I|Ab(RWLnJ8B5DJP#3l0v=$}d=kvY*xcIQ{=9>QWBCrc z{Iu9q6pI+KAd+6WLU#T7GQ^G~EWD1C2Z^pD7eeB`kmXw>Ny&9650bXb3rfa@BUM4g z+5rK_Wfb{9DNI*ac=7Y`NljZv%0 z(2xd@=Vy>0%=rs32)Z;s4nZ*Ue<2?N4sIcB@7`$L^u_YM80%#@sA`InP%)lWWBW>U zt>;VL6u1GQe2U(jOudTnA6 zO0KFAnqXG(E+wI=5p$>q)pCHaZtv?-W70@@Mv@<-E^}Zf#dP4YttMrD6|xcR_jic} zHVk!5b~vWhLk}PA;!6uFQoGj>%wW>)U=tdrk4Ac?0CV=~*2^-nEBlEHRH*xw)H3K8 zA$5hiC#sDs>7g>q0-&8%I`!D62vwA_Xxv|2T;G3Sy|}g79IuE&U&mgKJr>l~v52d; zp}i*9(x5;3`h@15pF<~$&0K75+fe%ARP+a6VEWxPW!C3?_f1K+&Oz?hL-OTX+RQ%O zmFoPd>gD&xfV2QvcvHbyQSQP{LScfiGh3g-PU~e$gKv-A3&QZqZJ@x=i?>%tWLA|{ z#NqS>8=K_*#?7ucXQV_-fa#WG_y8o>ttqO!knknOJ(1G07ml_oDGIeFqyNS|9Nt`9 zuF(eqRj8EvN!ob7h(enB>jPEkq>YK(0N;Zb088C3SJh9M z8=u>=Z<<;y<&86Gm)~?OaRXJ#gc7#P0cd3CFRpA-T%mRjGWNfle8N>So*7CixRzE< zvxp+{b^y4F{s`gh@1~|Yc5NSW9UAhugUfEwpoi|}9U1SbPHF<=bGK;iremXc@4j2X zCpjs5VrOM-7%&>_eal*f5!4KVJ%S|j2zlx=$A-;~hm6m7V|GdSbwTt(pxpY4>+-Y` zAZxDna)VA#mTjo5=&JZ;404iu8aGE7L+bVyDF+)z06MWbqpl?$B?$YvM@grWifcsY zICJQvcx-+UU2?TbI|miJ^UUz>F5S6@#lO6KT`%hFHpAntW)`2(-M62M%lLq+u1u1R z)H(h63fk7_;(JxuD#6ri2CKU*a|bTGgv96ot0Q4pG?sFMlj~^ho~bd})XK=>rQ1O?Pq#JBo=A2} zs67t?*ake@O1dUQC9~SJeG2NCwja5aBm$mNW3jxK@-g+?1)Z(e6}SB~7*cjy<3#F| z&TaK$c<&Fhrp{X^9P4HG*77JyWkV$OXw{E6Nwm^3x9>z|Pzeq;S@W7vKP3OrMkl({(@k~E|=rHW{ zT$EAH5wxEJDxEl^jbmiYx*!4p{JPEhYZV;kLHr6I$?B%WP6@uIflHEJ$6V^hs zY1ouF+{-G>Omrg^uYSCsQzxK5pa4OKhlNqNm?vj(RiUIB_X(3jY)f2A0=$F4N=j9_f>FHvNpArdow+(?rJ#hF6`3|)oH;zo ziENA>`9WY+h;%%l-etT8YTDP(SF6dG8(v)%eD&SE$+yArP=rghW}uEI`A$OQd%%^2 z5!`4&`%NILHy)QzmLAJfjQpgoueZxIq-|6aY5@tKO!f4s21xeub2GIY#{Bm#8rEh> zSX7C$rD(+~CsD!V#oVjFFC-GBY&aP&?iBN}e^le`PDdo{_k7e!a z#2gBlx?xcF?JpK~0el?2?{8%jLs-c1Swa>LBes#BV;2gnqYa5vWMOU$f`?UfhI)#~+yAzSHg zgOdP~r?*vyEWE4uxwxye8*#WZd3f9%-L^7j@EnQQb^uFuyZp^~baTOIJHoQ3OzffV z5{Z~3#D|cwy<*r|-DU79HF02DK3$D)dEy?2_h;>fhRuEp6w8^G#C`bfRht{M{;)cH zFnX6>&uC`UTxJDx`Bu@){e5gu{l)qHom^sPfVTWiAhpqCY<`dE9vx)~&l`3!i_N=( z#BYu3Ivd%7zW_thUSF-9H^a%wA!jWFUC-*o69R7s8b*FC8V|agVdw;$kR~6l7Fxa; z=r6G}d7NVt^25(b#%Sji=6bzm6W=O2xTi^CT{nPslk))u^2OTY_$zBv_iQ9M9u7Uw zpSd65qXEwGsnw=5ds4ezt;YJr)Alrt<~b5uu{W>yllq(Z4}^e-Cv4%Q=U-b_>jKLk zaiGetzwdQ#TCNXQxZ?wICE$H~kAy0%%t|}{7V9i7jy$`1 zq?p&Ml(KF=mPOMR6FI=>b;*TH;TfIklS^9h4)JUav&>Gs=*h$=NIr3$A7-UNPLp8G z(h1c#w^Z}r^_;z@o|0s_#R4(g=+zt8!Tug2HC!N`7e?GbQH{8!z&1 zqH56g2*z&aIn|sPAc~b{ziu8@!!BqX!69V_dCu4;a zz|~&NHkR+lUmJ}Bm<>GQDx`zE3S#sHsOC{7xU3XK48cA0zIF9mH=qvmJFh!>m&!%xwlUi}Q$`+jr?^#L#x;~pr zD(maH%01lYO#A-(cxz=I$IZfu6lN{g>z?@nU|zBAMw9`!jzK$#ryX+HDT3PF_q!C1{*#lCyd!#_u5`)jRiV6BW zhI&QZy%)`~EAe**2|162$lJV!EL;b{>s#ZhMC$zY+%MQKdck&-KxT%JHS{|I#7(MyCB$jhcrSDTJX91X=SrquZ@4B&Cu`TF8 zRC@O6;<}!zx(Xlq<)e`%va2Fk7_sl~hB^*f9z6E_r?=q?pkzfdxe01`3^DjH&6 z2=8lg@h-zhB}DLB{}r;U=`5anJ?tv~JyIVP%8QQMlma6TUnA0)j%q zBBDS{TtZSxT1Hk5-T^2o!JBhcHFXV5E$#a{x)0#f218(EY+`EmYv%|b8aX&Vgb#|~ zLn3z%#FpLL#~1kd2LuKMhdh1uJT&YDya|JMm9cU0@L@`FN^086^bC**Z+)}h*DkRe7^X4 z0V?2q2MC{skPw-8#LW%Dm_LRPDbI`X3&1{q1qs5M&xAIQ^ZsJs|JsTCeWDWyKG*n1 zQ9cC8{8RQHMgLQ(^WyrC`9sA2fdBQw*iEKiAI1*B&l?bozi9u9#{cod*h3U4yeFay z8smfHTZ2Q7Z}L9qv@3BU-ZaF&E=+k5DFfa=*r}NJAm}acAo3ded}k_nA3$B%fN zrc|LJ!8HgBidy(Tu(Pp#KLqBYtykAaoh7|*iwL)6Ed)~C(#=2zV$oNwPzX4g-|{S^ z;cT5?(|th0vO_EJH2hn$0_F5w0x*uTqQ_+YqVeNptnD!;ay@;iS_gGz2QC*qO1|yO zk~cw*n}!qTosTPlSu6o64?GgRi|)PeW=yb9tsQxmwwmDz%tq?oSqk9ghO>N6ZE(w) zEm|m669mRle6Qb~;gB^Cfjz~#`1Aq7Z@6#L>HCe}g%2un*o7r6-2+$n zF?3vXcpEb8ueRJ>ME6@!=0;{LC$5ecVykn`qt|W} zuiqi}7VpftJNXC$Gz>5fyxYj3aXX1ll@sc~Z_;0+Kaa225O2CMymr#<0^C^K@NMvO zErhNf#+VNmQa327SfRG$T0HKy4lc&Hy9Qp=+SJ#vVG-n!|6s)k9K0Jx(c+`>{-dPe z$_e)jWtkB8QT!m`)q?1m>kj8U@BXrI>n=w}Vt{oCzl&SeQVAm(Xq>|q(8&}RYb;4& zxB7(6!+F`6%|?0qnP3EgPfq@gc#v3`!R3-}bdBrzDLFUm6v^f14Bn$U3iGK+Y0+i4 zGuS8?9z|Pq(FApBkFJ??LlP!s^dZ}G$5&y zInRq^=OyT;O@;E3CT%+bM?48DOkt{bHHD=o?JkgV9rTE3jVzF6qm-mq)Ag9;mF@p= z9{;>5-u;?M3|&3I=~%N`nK>u1SBxWmN2?yRPS z`@ozY)z)Z8Gv$7&LbO79)>{hf`U4-yRZy?-niA`c!a|xtO;h|z6!- zVL`m{hG~FLwv)@a`#r~Iq$4Usx+5grQcZ`v*E?LgR+M-^`Y z7n)IC-$HwS&s#;?)W(}cwDlSnz|!UeRu1NLkW?5;Cj+Wg>}4`9)y{V#2Z3A3uY;G+ zoPaYKrPT{tdZ}#LAlBRT==yVgsZY}7M`Ao?OL#>NWZVJrj+W6d!?hNkoYPma=)MLA zrU~s?@yfovYjQhFfnRpOHv^@2@dYkqF4gA^G*3&{mYFyz!KXMd!jqc-z1%PiT0CDl`$zz&pc2*sIzM9Fc3k92JB2TznOC*^Tej?Ggc@TFM|DE>i#DB$+sX7F!9}%~{{fbt z2Wi&r4`(a#oO&&yhif@s%GWG>7~}ni8@gF=X z?Ey}X6{bbOXXGt8*sm>@A137ngF*RsZdSgbyyUmELt9R=#hGTrRukD*94wbA^*FxC zNdr#IR5T=w#$k~#+SBjeFUV)@_>rj_wOZq|4A~x1Ojv`|-TSXxMP1FKxf+YcK1%V? z`3B_H2v)oe!Krs?S~yDw*~Mk{UfZOVsL^|2hohf6BPM}MZ=DKD)8bv9l4T-QK%ClO zMQzHQa>gKN5Y_XTS5%lXpnvwcOii!?cv5Zr9SjEesI{915xEpNn z^OxOFP(!VpTr)OBrhmEa%Gtt$`sq?N5U%#EtlPtpUl&-9JfG}IRGM12=C zH$R_O8dS~}YO=@7>N2nS_8V^RE222pO&<;Tw6xY)CWZ_R(f~4YV`p`!)%(NeYN|MK zwz#X;tRlYWN4{M(SJm5G>zV^+xcAw38pz{VgNGOB-DBH|{Wb|XvJ2)PWA=15VU1e? zLq(;~hPJvVw*31KbAtByeZ{JgIw=J(_b8GgUHdI6flHyZ2SJ^v%iO`d2*KJi)7cuz z6^ol^SZmYC<%G5U@t|Ag#zVip@BBdpme+hDUiDOxnbfQC#>_8ek*8u9E*}C)DGgIL z@Vs(c$WmT)?G67B-Lc7-$jMDXW+XB54hekly4O{~`GA*;Xt0xfO*iwkY^f~Y?!8k_ z1?$4#e4ouYP<@}QU%oU^&j}s9&J@I8)6<_3d z5trE92UF8eJ#K!jl$bWF2|&U|MUMt==Jh+)sqg8Z=Nc3cPu+b53Ij-((mt2nSPVS% zJPN-Gkh1JJ)!a-N)_!O{{QPQ2wRoC`QtDPq`905db`|3OG_cK@R?ZNBbC>I*dU;sr zt-V(`nptTpH0=#nzTp%vF?ayUhegRIn6r;#9_ow>D@8mb_56S*PIpTA!-gV)P`W@D ztZ=+rreTn{EcE1aSZg;kQ-b?#=3=~Nr{&mm+6*TdOrR$F&dKMS(C#HO<+t^jXVPs? z$}6rz07Gj2%a<+U_*Q^AJTY-OGfr1#2jvotesgQpmn(cOlIq>~4QRfy>6ga9>b8iM zD@Wg{<#5@^l3r%gAP!S>YmAY{OZEcECd2$bkgPRLkN1NK>%l|En$vuW2f2>3NwF_w zEbrhb9i=yZhyWp?a*Dpk%w5t$UHlw01Ed?a=vpB?*Nh3#`Ata%R?)yw?#uftG;2<* zvrnm;F-OzPoLJ|Xv1>jubfB~zWIzhgb}+B)^Y z)YH}4tGFH6of_q7OWB8MoxQ#QC5iEr$n%N@dE55LAVZ_$9e4fa9Xvan9_u%X=`|0l zz=P^<<%VwVfjj6sD4#qCJ#U{B-`tjJ4|Y0QnY;2$8XH*38w|bD+C!a93t%7N=d9f_ z<0>(gL=(GBHH95dqRIs#w%-^%d$JXy=X$qS2I9q1+eV2AdZV|`eArn#%U87ln8YSU zxRZ#PQvvp>r5EnNeoFQx&1Twgsj$|#-xUL1_zNHtD+v)Dl`jjVRgVs?_ggLcXo=rOL^t{4FCNZNhPje?;{ex*dQElBFFGa zK8TUJzfsJ+G5%`t0^v&=nljB0DPeeM){{T|bh1FjQ-mrh8PM$xR zx+^jg zh)H%M)$ujkA2x09_5sUC9N+ikE!9-hz1!!_()xU!G0axzdy6jX9L9daj8F!F#FNa` zp8GkH-yNRJU!i5;RvRQWdzk#H^%Iiho6d;~fI&dPCveZmET!PXz#cBUkf`E)7r)4J zTpA<$*q6EQI>G3|78;dv$#tJsZ`vrRnRM*mQw!WT-LnnaX342kESU#fsW|7UcIHoc zWQ9VqA)}a%L;S1Py^slR8&@<0zO6n4Co~*tx_&_+2bY=HO2?+vHC?@Y`-T`e#C)E{ zrWH?Y1KcZ=95Ee}!O;n+3+tCi=y)W`XKg0&0)|W8&D=>?Ee4{LPUBP(25EkFWh zzJ1FlKBu>J^QdUOq+2fe9cqEN#{N@0|IDUdQOSE2j$IRT2N;A(_j9{epv&4XvNlfu z(RCVzTc!!uQ_4QJkIgKhU>TZu)zl5_eCIdlrPDzb0w3ROrBsgQjS<;%Cu7^#=l1KM zcm;ge`hK(OV~OSSEm^SR3K)HY^S<8}aLkxJ;t;lQk1A~&IY6eDF-gsR+t>oy0k6%| zuE?t1PunyfA*!1U%sls2*9< zDnBrdh{+p2L?)8Z8Jj@ICgpEKA@v8Lt-asQv5EBq67t@TOrB8*O9YH;mcPGH&`K>N zZag#q&jo4bj2sJ@vu7dIr-d!7yr!0``_A8Z#6p_e*yvgTX#P;fU9Z>!$hmO}%^i$2MsmgP8Q1fw@g$R$f6l1!c8}sQ9$phQ^_rjGPjlS(W3Wl0Zj4 zcl8h=7Y-;Om(_4^!K!ASI3=Ylq6+P#e;?~VH4AtwV{vZE+j^JsKABr&)LOeEW7j-u z*;JIr5R_*BvZ@pG3@uXM6xH286era;hy$$;e}7@N65|hwvqeFB1uiXgidC z=5;J{ivjii)9y7{#VaZ4I}ZLSb;1fZE}kV#nCx7B8nywWS{TMv<` zlI9k6uCrSf_skP1)r`$Axx`iiEFp2H@O%i_BrbL48iQeS_2QTB36~1#o*UIY)_L!` z56r)uQ{UpZfBdRs9huKFsI~KIZ`21F(_2PQORA29L==FdldE^^$2EFBX%p|D=P!#J zzT-Q_CYCjP9G#p#qrW2yj2yzEM?Y=s-Q<%Fsi>!tGDt6P85o`x*RyhVd%5=I7@+At ziQ2sWEIhlYjdPyV_3{LJxxo82A{#MvyQf3Q)G45a{>Fz9o}RuzB2JAkeTNT2N=p9A z&?Pceo7j@E?elv;?Sb{HoDCFBpXk@CKd#W)xI9iKrQ?%Qwav;ct8Wo91+R)*4^L@@ z#RFRV))?711PY34ns#}){X@%oXOZHs+yF}xt#*9ZX>^-WgHS0d_(a_3|Gk|*u^&Laxx_CF3xOF0kYZ=VAkGulCUgz}dCHB)Y zYMX+S>pH}o53~9n)bXf^&_z=UyM2|APA#e(Slkkp^vv!A8=tk^+l3@t%TNfY+3(*o zc6(aU)^~7*dQH~!Mc)=7DX?6JK|ZEo@U{Hm;uP`h*lU zFLFi}4bB~%pebsA$jlr$5C0dDl`Vs7Xy+`4Kd9;D)_XRCtDk+uVzKc}1F!m&KXA%s zi5Bn6E~=UU)r0l1vDXPZM(3zx1~LEo45qmnK&ix>KX(rouX@71DCDCg54wcGPMJ2 z$|{=|Pgr$c+>-wKNYUzXC8W@Bg$CSBX})yCA)zTGpyru~L?B;yl_mI7+?miMDc8r5 z*{us8EN1XHpuB0}I*XB4aC!GNA$Q-zoXX~tvujk`G8XpPAaC@$ka%=lAu29DorH<` zqo<*-J`6GP$f@6NIY4n<#s#}K82PkWvW6uSP6$hJeHf&geYy!$-SGXc2tTjIhyNQa zo!qqU_9VSP%`JPk+JQ0DYwj=3nc3Wfp1z3KR#Y{&1L1vV_hY7aaVT>jLQ+?scTC(; zb`R@zP2$nD^+O`wl!BYyp%tVIfg%RZsi$XJ+t10>{0cP(=RfZW&-2|a9Hs?%2gh!Q zwbJnF%q;MmI(mHxd=_2WeQ9P#INS$9#h$NSh`MpT`8fLfKw|avufxQ zwNAh)z`e>Y@*usixN2lqNYBPOu;#@8@R61@0)Pnb8>%g1+oK5;&K9-=42~P!) z@T(yWyjGPXGWwM@19FbHIO{;;=nRT3*FKt_D~V~N0IK0tywA8kpC9<4l3r{0Dk%8? z^lu8-cofep?n6!irBwcv)0dPjdiq#-wI2jzG_{Z31<|jpE?Q8R_*G%4yl_Kx_lsTY~u_;Cjyqu*e> zOHk~L_lc<{JOr~cpO$awZ+Vbll}ez13z4~n$n#y z_g(EUKCi#f7}$Hp!QY|u1>cs~!I^-+ok^K@pb|x}{jPfUITDeCo&|WEl8r1Tdq1PF ze`a$Z%_kK9`fYYaQ%9Gcg>80G>x3*&fJAzu?xSK}ua3n~z!VEAPNE)^QL#g-X5s!! zBxdLbP;KxnP7k`$u^na0d7f?}r|Nr=hlWy!-TH=g8-A9rKHh!I96?G#A4^e%q8o=TibnxijSIZyG=1 zu^7_o77oXVnD00Uxd*f8xFxs-3>wFS(=ah&dnd+oH&adQCze$%5Xi|I;9fF(DN{K@ zPVM(R4;0q59ysLQM5l}{tesM_T@x}1%rBgyGb`#H+$8{9BEZ!H9rgj9mI*SbHIH%$<7KYE^$3I7+TZD5k~s^-HsH4xK|D*H0CwoT1;OGZf}D4A0B zj*;c?-0sQ6OCH(#oVS6ChgZc8LTvBdhzpcmec$q4xuY3aGJ#MFR+60MWl4uNf6QBa zRh2hEFoVR@!7~Ii7y#*E5-e!S z;6tg48&k7J~Fm!+3~(46hs<9E76+qvIG>i9wYZ zSO!%Y5maT6l(GO0E^cF}D&cg#8KO$~JQ9qmL}pnC!Vh2gB}@sxMHPgi^vYG} zmp3{%Y*TKaWm zG29YC5H)y5y%|BExkT=w5pWM<)BadHO&xs$7cU<_KR@W0p}YKtG1Foob8v8QNC-4( z5HkO9mqo>B=q_OkrPFu_QU-67!>IyFJdC=8H!I;(m4%RF=w(A==fu?1*RS8ceVfig zX#c2Y$eQOd{t|(k)*r=;MΜju%3SS(#Lri$C_V89`{95j@D&AY6m*5(aXMic3gH zNjVT#evT|~Ae5JxnEIKj!;7(rYxt-8WHZg6sMMzB0Q z;hXRWb9;xSXJx~JC=(7%Wg*}NER4*=KxW9d-xOE8t#0Z5k7S0BndFaUhD;MvBe3yN z*msaIG=dAs+xTZ=1RH8oV+3z<^4quBx!`OBCpZTq&tpeorKX~y(O4uHshN?PnVFNDU(lA9fD8LoS9e}$upb5N zMzA9d4i0}B8{2|Dc|QxmJ%IHSE(+d19SWk|r&(CY5oY0zjS*ao)jTzuB*uoLjJR~% z+;AZAJSrE9hX<901N#(z+hc;um#<#Cg<;BQ1kuex{_&ddr6Kz80uRz&0|Ucp90V~! zBiP2q#_bzKa4^x_!ou3dE-(yL9-NOA8yg&j8<$vKQwz7JI#|IG^T=atNHtM0NV745 zw4f0tNU<>m_ZM(a(bMxC4g|tlgM)z^dA`AJC>H|QL4F-W^v{e&uvl2;5!YaMG!_Dy z5rjPpK{(*6K{z2L{)cUHadDY#U@0jD$Fx1odyI==o9d_lBG@_Z-Ph99Gxqk$LU1r1 z85IH>K?gr>hGerDK_yZL!=3>v6z&+{E&(d*r+EdaOstZUyySVTC{!pR2A@{LLCwjj zJdeCb$P5}GorMKmKkNb++TV<@V01q$jI~}`hP#1n5)A35qJ~97i!wMv4;Om~fSVmWbRlGzP+3`3?e1O3+MQ9>G?4H^gN!+L z0dw$zm$zSNTuOSd3X+RP^6nvdC@my^8upBr#s~vc`xwOehgrj+*^-j7KWcwuB={cv z7_9MexLHs9IamQ6ns9h@f{l$GECv}n8VT>mI&-G08zJcG$08!K?871<2`M5ZBO{}P zjGFrD^K%tDY4 z@IwIhP|R@g#KitS>H+f07{NL`L}{SJiT`u70W`d9Y{3{#%+rh@yl}b*pUKyZEMd(S z%p-!v2m(3viRD3@*vm<(Vs`u5TiU9LKDo#o@HQAcm%Y@MAN4i5rla9 z^cwt(ncjhzeEReXVlFs+dI9SR#q>7T1~z<<25S@R-1IQk78V?}Wx+zIr^Ega+-kw^ zlX!O=+plt)R^}HYd&C=6oPaR_UCNP}=nUWH-d4bF`p)yi_O`S3P2o`3dU@L!1b2f9tMn@^t{!LaM<(}!F2H#W+IO1q3za}P? zYa*{gD53prL|BvJWucMSToxspg^!8IKB#TB4xwT88uhHEy`LdV;Tr9F?Tv|0n-V$@ zJ*!iwlLGFv23)$biT2Q8O}RF#j6Iznx5IK}v!sJeQtK!na|H5O6V)4C($5AmQ?4pU zR?$9<+^D{JuY?T$gQ1Ly`UhqPu;-^0Tm6NU$|I$^uz{REYc~+9bFfPOmVgqzl?ILg z9w;C#XS65kNSuC&3&$@~@ogLZS%2@Ua4W5I4Qa4a(F45txd##)es5eq=k=DJ$*R}$ zSCMTR*f|%^wpPf8$65)}zHEG!U3!1Mec`*Z(NL|V!s!*Y;J|?;Flka!Lupr2YQXCXa94FYk_e(^z zs8mjHa;csj%gjo9TS2Qp6QvABWfP+ZNSF9*Xl^bibM;x5dy>$cq^?XaGZR##sI=LE zd$b!P&08U1ChODRgkxEKbziA7ZBZL(i1~%zid3}Y2bPh)wu+2~uV#+>={HG;Bk}Kg z%dKDJ)7R%Ms*B%p_W^2)%}8iynk_%osO!-s@ZH~%>bVJTtqZYQYzb`>n-BPP_T#ed zjm$ucqym)sDRchKeUvhxLIZ{Wg)8*&P>68|SESKesMa=KoZyFa*?d+a_v8HZ zlln`jq>^XmU}V0kZ*!5aT;$%+@w+Eqn=APiCJ*xm`bEEaN0WqKlmUJgowNZ{CYLu# z+it4Zm zo^KE{Y%C{Et@`57^1JAk>62DK(2;xd*$v;19^Ee@IJ@!Qz{AM=4KMn|x$A_Od|wlI z53U6~q-ZV~m=vSAM1ghrR7p;T`6TbmiaxXoq~Yfu41iWS{a-_UkCnWh-mR?-;wwty zt}2mY=F)$tGMs6*`85z^rh>89OT$epMQp0)UB^h9WsmoWKGX#UWV6?K($)rpiasx9 zaWedqm#pYEMNh)p!2%zY3kx$$-xZeQ=g^ZMgY2He3#t7ic-m@Yic#fE^(Vrrd&_Pu zqBy4c{+9K%1fW@qv4i`&&DsMELUD@sPtv(!_=eTVNg5KO=&u@mwfYU#a<6aI5x-lh zvQD{DCMrJ=su=Oym_f9YPi5Q`_hdR99MRk?Jes>!!)`bwF4613M&YrVG>zrlIB_G} z3y>c~0{H<$$3v?xg4G75KLyf*=~F!jIF@E>wR6E-_o-O-d7#*je>Gi|Cp^^z8;WX7LJ zI&X(OVe~}zK$D<6ATg%C>VWE%V`Mi zpRncb_Sw}vc`eT{92TB-&Xu5owjSKE#Qqo)Nri5Sky>u)GmWd<=HjGxkr+HbYPfVv z((w(z$%m2GSv9UP|pcQhk@pWcwI^+lI*IRUG z4668wTc4|uwri3ql885E0LeB_ML zcf2>(Kpm^?ILl2^@QjSwB}*csVli1;8tZ5LXNfQ1zH`p~yS(qzd5{CPI>9}~(H}E| z6B`?XhUZlBSUNa^LI2K|a<0P#kDK|a))N~~M!$W%&9kOtX3yyDx$cs4DHD7a_`zVT z*gbMKqs_*6#*|NW_&BRFL*TCc_6?lt7TJs-tt-B_Z{;8(a<1u;k<<<0S_8oVVh3BQ zE6k>Qw|!C&uteo6dG(FJbaimjuJdIE#&+!_Ya2CbwQauXA3b*)Y=A+j_5FScovZ3r z>P7|v3S07bvYFi7&(&wQF`_yzOdo-VSXpeMBTqPlaOp}?BieRf-mJ=F^TYM`xGY;h zmvch~IMN8|ll2KU`rZjNRpXUsDj&BLefyk(A7xykGyxBqRGkeDWwTr`s zPEx9(!lT&Px@w!nC($CBImY1r?ZtstzkMYOUJGCo#gHo1I8X@SL?vWw3|w=YK`iS z9*Y4=a3);hF!WO}j=P1Wq@_X-w)+LUs9{MZd~U`E z6u*C$TH>qoYt-M)>GyUUAQB5CAf3)Tzo22LUrH!o+j_7Tej_2{LW%qDL%gY>_vzt*pG`# zm&NMlr1ZjGEuPsLntieJdX*~tDkXD55rYa*DOi#Sn@*8ktIVj}>?1DqPVRN$YHsf{ zRzR)1JXz`7_63y`=YP~Qu<@asew0WL(?u0+J!2P*5#;v69~?N*r|bYXi;@&_wQoI+ zL~*lksgJ+9FX`0sx#~bi%}VA+K1tLma4$K^{?c`kwJMJ(>ALnk9*6BgRsM?_y0+M~ zsXrBMIe`I=_}WCJ;60qKb|q16Hz^aHC7rLh%}EbO*Si-cZn*(#r-E_Hj}#~RCdtdw z7I6y8j;=QuO0(F$cr)HKO?z4glz+4F?J>^WpsS2}J>=!`ym_f7+CL`syXjeV72@vd zRw7O16Uk5f6ixaf|0`}qnEs_3;gMheor?aIqTs(%(Z5m@{C6t)SImO{PG|Zep{yUldD`o-ad;9-MX8(#=@ZZVoUorc4r9j!L3}q|)<^kdA&MDhB_>~I^SGGB* zSlMzLIdx7JH4zjEsOOpJo>Mj`loIR ze`oiv2ToD{SI`x8N>upY+k5c8OV=^pf23;@ygrM-`tQ&C|CFv#(%-KK=5^~mkJ=bt zz0));74AoJQa~t?yreN7p#4%5thUn<%Z<+83*&Ur?aP_x{^?YueN2^+rY8CFUO-4$ zBDgrq79b|9x?9yANI3jC`!a|1hufSw-=AkcGDyo^xU>V-m0m5R-y(^4C-ku~XlrzC z`6GqxGriU=YP2^0n4{-9nC$XaPTST~jDKF}yQ8a!6UHKvx?P-EBNPyES8RBm34A+0 zf_~WZL?k9`<Y1_yVd=`hT)wv&(2Z6&Efja#U8_FU4o`FAVT3tQ(-QewCn0~FxGsTjVzEv zt+sXf>FNPX`H?ZLDNWRV3|kL((W*xaFL>8fvKp@*b4X`(#rx$IbNUYr;b|>cQMkj) zX(|HkXhkq?QB)e`phakfo5>e_sk(Tl*&~+`Nm=|IwvIQ~%KZ>zT{~leegxbTy2(9! zpS+{r_abggO5Sr(E~0!L)W`h{FjT*A#wK8~i+OA==JALRd3xbVzss4OR5we4xY68_ z7l4RPY>wIvuT!b+&S$}Gvf!tuSKU*`BHr}ch+c`&X%7L?rQF6YY5qQiLjeMjGuQaX zrg%Ta-0%Ln@&FtrO}d=C72s>lZVwV6l+138{eDhZoFo zx_J2$Z`Br1eF^R#Ri9DGzPUboTjRVKExQzF9yYKrMvc+FP$?X`&ug?MqB>+1_eRF? z;w}E_N!%AgGr9~QpemzOFNSkr{`XQy+UeBA2}6$pGmm-w__Ge&yQMu=fR8xpJ!=(} zqb9z<=Y{jG;aAo~!f9x4UOz26UVO1We+7<@XMjbkRQLuCgf0Bi}@weY9~fAb6#ti|#i0 zXRH-4bY=5xtLr8AD~;((iZ98d40;UcBJRQu=!&kwywd^$TzR3k# zqWO-JnbpsC#;&DryX~TrVr46R_I|Iy)X=68ifI*kp|YoZIPjN4+3 z?G@KcZs?Zn4UKEk1p^J-vv=!<>99Nf(}erbQ{7`R4{^sCbVS&khl#BZr3e6GWlx4< zR73gf&RAB?<4T7yr3X>8&dCydy;H3Z=(aS#)Cu2PwfnEyUd8%9$C-K^yC^5Szf@?) zF8Rjtn-G@bI?!!Fb$_(HKK6y_>g=Unv~(|VhDUccw+&g*&g(0so%^8Xj*cCx)rk3# z!d}`9JOx^t16M60Vq_W#2v(9HYgh&hx7zWoesAj2yt?7^qvGeu^^?$+)747|F_A8f zmHWABU|IFv+sFUcjSFC;l4_BWUqe8JWQ|eEUc>s6{rtBeXFwF)pc((bd+!EYe(tE+ z<@=-`*NuG(HRIBOYHfxm-2r&Smwzn0PNMjfZvandsPx`};lc{md9@3}ZwW8<9hKGr zelNO*-_k~kf218$D+v9j5DKLmJ7@diF--$e#C@TvJ>Wz-dKxo*_T%Wm?ab>|r^aog z6eKqtny9RPm^SOgo3DVIgnS2jC7vMzZ`{!=m$f@Cv+SGtzhl*Lu;CybX??Z{>NG`v za1>9>3p0zcwy;Gxc5>H@?&!ag{=!u5Z4Ol`Xo^M^#>_g%b<3A zZyoB*kSpC4;bySp6PE&V8yz|VP(%T=8g+-ZUM$yc)u?exJHC$*@@4~OI7b!4-`&Z% zSsm|MVsYRwQeCop;P2Q;_d7@EI*-O6pwBIc4|6z2Z2GB(-Gk;yn{-7_`{(MZ?;GX8 z6&dt|^Wa=#1w&}d@5}oVJdEMjyTCm?iY6an|84^n6SN_RLmdcCt`Uz+Ijt-Wedc)} z*Jyg?DEnAk?a{7TFj;c#6?zkpc!BP|N+9Q#P&-U99GzUXiAG36y5oIhh;LB zz@P^G!I4_?Hu=!E=?U3adw0NXi>!mo1@VQ6*dMO61%ff&c&EHt^N=Tx^?qx3;*cxK zHBVwwopFiU_0o;gDsl(4VcI++t*C0puHEa!l)2k!LkX9->(Jjt4P@;cZl9e7sWlNA zO+~}wbgnu)3AMz^Uv0SE&r?e7ggd&cC1lvB0#UEf^=}?)tup6`pFB$}N;ORqV9@zo zZyM0t^GQ~t>p7@#DbHffxccM`#eQUG(t)Bbbs*ODHylc53!f3XsNIzS+1Q`S?o+hn zba7KkL==RbNZU&g1PiPfj)a%{G|c1Q2ib33k!hp6 z%M_HhFaaK~u5RTsG>;B)xh(8U%vK&b*Yn<04z&8HYsc`>kxUe9b}_JBW@OT){Tj)7 z^=)D7b5{360O#-B<#dSkV_ zAs~+5K_|Tbi(NIz*5&Py=fHD)QU#S+@@bwjhW^(+L!_~aEuBU^^Rq}j$)JVF%yXbv zOy2c#Y&W0D;nA}@LcCq)-4|KVMw^lrROG_rfp!UiQPF`QY*ydmn2ruVo`Rk-xkWlE zT?T7cc?wEbJD z3P-7S)(;~6#BcoQ^MC2D8M)8s*5Cayt|b9TJWYIgKR)}X^~aRXhqoMiUUR>yI}gIz zMOy@OZtSm50k?t$lHH^CxE{KsPrHI`)U|O1F>T`QHNZ0X%KVHm(@Lqc zi-4PUGV3NuwmiP-cXr!_Zp~?jITgTAg3ewHzBJ@6<>MOD8hl-4?BZI0sx_$Xedz1UQYC#N7f!70sC>CC&{e_s6IXWxN|cdx>GUIQzhNq^4Y zmK-DYEw#tf8`dj#(CUplmC-z_3FoA8eQm&Rn;&i;%Y1U8d^{fVOa(SYH&Km;YH7u- z&Hg;G2zrnW5GH-10V#V@Hxe%Oq$hF>E&dJ=6?T;2894glO-d+&whDsGhBkgyGo0Cn zHKKRPLY{GQw%7EyD>u7%g$qfygLQXaF~ftegUH6g#V1y`xv2@g$bX)z+#Vz%Nu%T; zP6KxAZS1A$9zL39&hs7-%e(x#!1N&hL6%Z6?Q&MznJ=$^UDa19+S8I(3;~@HJ*9_X zjK8NiUrZW_D7fA4ny=)+WSeC^Ti@izUOG(r-AS6R>EY%#IQ3htozUb^-Fx0_1~_Fs z<~c^)ryK5hvW!i8<$--{Zr$`5Nh3?YXPM3Oe1gFG)9x`Yfrazy#X}rAAxHnRgG0OU zsLa>bVqaX~%l{$(gig-fZo1l2xuU4%oz2W6rd~lP^0K^U!Vu-#YwQx@3|fkGoFj%M z)t-+!zWX>aOL#%b!#jIuUQE*JQP!)%?l0h2)ZRHJC4c--P21WfpH$$6xOoOHfl$CR zLRvm8W3w*s`P*gD;OD#Njotm*2PetX)L%B3%nC@Jlay9?|3xZq2}t{*$J9+NE9_i( z=LmRGGV@#3@kg_U>6Bi5J0@T{9|Jly{3G(F`2>ZHoKtdZTfUSW^NO2=&@rpseNwCW z;Mr>cWA0R4ODk(9f1a=a6X%$T9bx@4Eq5kO*EF?tp3gUc*27TXIW308BY0Cjx2q3v zsO&hx4Je+%$NNB~=g~?63Wt_{cJUJI^I8*Jw=8d)Slm*xtLY^T!{T%f2#-mA0ZK<1 zI7GAppH(*>98s~{P!0%Uhn-ZgtC zJbYO2%Cf3!wdWqF#Ui>9F~xt-8)Vsu4oeZW=l#_+vHJtVFNDB zL$|IkMpwYK%7oIXq9F8cz{nKc@WR|}9Z&brisWhw`_R%R>03phvh9TL?k&Gp=WSgh zt9p5Es(VCr{={YT4U0=C>m9%)1lEo_{6gZ|4_=H-ZSP$YLB%8uQ*jGOplnhqXvd;YR4Fky#q3)sZG=9Gzq|L)-{~$19pAmu$rR_SH(jM zR)12udHKiW7nb!;)2V6#zqp_H?81^(85N^DSFg+4IKS@v&M)Sh);v06AMzym6`-}S z$}PB%P+Z$JaZXj&KZ@9N%W<>GZ!UrRD>`Cuj{w|xoX=`VBDITRp}z0jfK7lRS1stC zBD;JqrKN3wgz^%QzHj1^Qc$|7YU2@=O-Mp3q@ri*6P8z6wNFBEMal9JD1Y17&2Y{$ zCG%~~G>N87#;QW(r}0hW=Wn*^d+W5)NWjC9z>8+&7pl7kLx;bu{J5a&K6gW|K_z{a z!(u|<`X&L8v*oE;$uK>ABbAzwUD`ZxQ@F18%NCiGM?>E_0kyac(DaCoubF-%CAaYDG7GRd8N+Pt6IJh9a37rCn}?Ln~L`A#rW1U>ZYSO zEEko0L!PAOj$`S0C1#Q_0R9`!ai@}Q?{K_YyJlB@UKh!#`-Uyzj&ok_9oh7^nLyB? zdNXihc3(a0gj+AOZaiW0)dmiaRLHgSu37to<_(X?Cpj;A_#72gdC*tXat~j0JLY}-nf&#fmXJ+Q z43G?rd`{2dn=Bs4lQyulLD0(ba7nB}*F3qV@zcyN$=ks0k#|B;NB`$jcK&+?W|rYy z+ssNTR%u(m$yBrqt=)VF z#A6fcMP14@N-7c%&#RDe(h3T{Y#Uhkq`T}#RUY7Wzj`+|V`d9HYTCbR_B1`OfA|xZ zo%5T)c?qezPEXQ7+2o9*O2#gyoDWROE~y`!{Yk{D@z67?XJ5{-wr%1!;Pxq{E1D*K zCfay6-QYB_kjlP-MfAP%kf+uiV+xK;MPTOka!A=Lws2%@?TCX%Vhvx%#6Lc>ZEBw9 zhP=LI^8#QO_n(+$x_aZ5iJjAv7lm($IYmrRb}MRHF2VEbhj9Nub1wR>zT4wt>m_8_ zD+hSfdMGEAP9Y~}RIIF>B1(P(%dc0X2*lB&SQf=^tJ?{=&1^#=o7bP0_k5gQxpO>EC6sNCY)4frCB=BN2ZBc6j8yc<&{k>Qg>8b-&D>14xOA@P`!0iLOJNi8F2X{G0U-Kym&q1jXQS@hZZcuCU%+I z7>9pKhrj6Fr|bh*MEXS@ZH0wvW#k^f>-4bN1L9ggjsmJ{<{?$H9MaX3=Otu4LQX~Qng%FYzj$^2 z@oP>gdGQoLsarBQeEI3z9^+lED;mC)c!Z3cx1_zF=in778Fd}g0PL}8PWzDd*)#fu zwT%>P;xckt0Z~tTMkZ(1_K7J4t^rM*@i`_zAthb2ncbfTy`H z>PMFeF5S{~!66rr&jlo2C(&M3H7UirjclA7C7^ zLZFmHs$94Ky6-d42>(UKeT&R~Juu{u=)xVg3^B9-lfcj@<>djEJND>M@NRH+>xhiS zHT+53)-MVMP4uhwxwXR+971qW<$rXU#o$*1pU(=48oT-Aub$#x0&?mufhnI^rM08GzV2O84lMq>wTCZil9XRuS@VUM z(-L@v#Ff0QCg}Mob?-%W=YW8w!qRrz7rmc|q|?(fY9I~NwL_AsX)JweyC@mybH-<= zq}Opjbgr;J(4X9hDeRmFO#@40XQe%Zo+J$jt2(7+&GdLZyv(ZcnV?8S2wMH}Vo&i*aB2d-)P zy~GB!T9J#`q(Y#aT)DMJGb)#aGqd;g1d(fS@r{a*@(-piiFFfHR!)&%>yTK&(>pY$ zYm~(5Raf8S+Of2`c!oqv(cC0YOi?zUU~zPZk{Rht!O-U41f0i zcH9lKM=>MwWPCb$<^2mQo0P1vAfA+hUMr`p6K>{s!jduB1V$z{ekmP?2nCh$$ks(= zI(_>v3PpO^ex+DXh0&U6-O5iZtdWsX&5&lo>4`CdM5Ce1gtiZ6U&hA%kIaN|pODa% z*VMr*Kq$;$!8}0vW+*bDE^F`V>0g04dVgfu8pLH;7?O$nA(?nvnEC;Y-#;X?8Nq`n z6S7P+LU;f}4Y8P5SXtSi8pVK2SRAl8q08h#Bh=jIE?~lCVZ1D+V8kqp3WUw#tE+3k zx(nOHz_WYzF|*P{BeegM`w25Rj7?!ICUj_i{sCDCb0DUm&xCm!P@uuc4Gc@o!=!2y z6=xy%Fg_4Q&X!@CDaTw?G$XhWXuf?1@8Cg~24xylqnK#H8n^;lU>qyNnQ*{lZXS(L zVS)tzF=^1&ZDMj}DU1>5GvVvRh%Rbs8hDp>8p<)cECiuP!*id*YetAPAxLFr!7x+| z(!?lD8BE;lAEF6)rlJ~=0}Pmj3A6B2x~{N||8Pt^Ounv%=QM&Kk6~0Qxlk) zoWj&sOnsYPSjNV|!^0;aV8%pg{^OltatiIAWZD1F%o{?m(VK8l@or&C7~>a3rV#`Y zg`1NYro=HN0ZUR6MyDtlo1!qL@ekHyg}UuOS+jqFHve(Z_7LSgg%PZYu;nCU1WATd z3Y-HD%-2ktMi3+&2AM*+`NwW%X2P)D?Cjip40i15>hA7=^X-Mz2MZ$3{|VXsI5Il1 zwY`rqoIihJLmiApkVD8ef5SS0jpAYQHjhux2o4;~LEt)pp}SaE(C}e0cL|7y|D?iP zgvH0l$B!u%O!6$0(a=U?qIPfG5EfR`!LVpd>0#b`{VW7AFor3d@Y={2!Goj|X79pz z{X@gy4N{B@hlN=oFc78Bx*o*{6<6#mxn_G+# zq_r7A-oxuQV}ud|!#jKWhL)E9P)}$*gP&pj_z@g4kA&gv(=xz_n=Ax{5t;x#iye(& zp711roUqPjA-E8EVo*5gIZT~DfBwRS3l}e44t|3Jx#wL}G9gsZFkn{)_ATfWp@2r` z1z`mQ!g&Y5eiIBU1hd4USqKsaTfua-hzPigflRWr3>Ad(A*sUf?xt_kn3~B#aQ`?@ zxH#_k&^lxK6XyAg(4#IbLz1|%(mr`+eSLFlhn$isH~<^Q^q{PXFr1t74{er#bC!iO zlY={ve}bA}HZ>-k8X8adkWxq;K78RCw$3hjk5KM}ka+$Po?hN49lYR-EJ&H>5xrmo zxHewGRtnGv@+u3#di@&XV9786x_=UMOR6x68D$-8i!#Cb0`u00|L{(jvhy7#3Bmc` z!zZ3;Mqnl`CwUs~8C+a23*-Ad2^EP7 zMN;zuq5SlmN8AWY zk+CnRc;s*%c@i9qoTAVWFP|YGz5oM|Ul<0fG7bUO)>g0= z+@#z5U>m#eHYb8xFg&7xU+}VuN(2R>ZVXj_ z7Ggye?45;W#b`x?XrW6+V4Rf`!oi zp|AAQryNMp3g$2N$I}B?n2~#J1Yw>&y@?~+8cX7#S5ro>>{R#v z97r}x?OQI~>|ZfE(^zy5$or#jho)*=Yh}E4s7a>cH-KAL1rHH2c-Q|NvxmGp;lX693Ak&v1MUJPUyl%z|sid;~9NS7$!GmGRBm3yyua11(zl zGvyHnR z_5O>(RT+o)Mx8pb5rYW=)Ai!Giv*1V1+M;=NL|i>8I!V>zN5;lq`k%hD;J+P`4n>L zG)7B1L?vSv-$SIs5BRSg5{hU;4Ve0&cnZx^pgqNB_LeM7%rQ-AMp)BSci8JLO|pCk<|`^h{* zONH`z_5nNeWXOfR;#ZwVNdG#U0XZlbHE(tebJATiCoV_HJU%)SmX;m6e&jHC|DON5 zrOg@eyCq`wY9U*mqD+sy#yb}|-t;$%&ZP=Enu5i_hCQU~KuzQlk5$FFPmdx>&!n~* ze4tR3_-g)W;L)2M%d${A6g}v3OQMYGE3(}inj?%FR%10-+-{Q2Pvtqr&xw6KeiH{Q zXY)UnKE2K*NX?4gj%1qcLUnjyG3(7rFA4ZKzfkE1%v;{1u2%C=uWD8U{_qcO2@ zLL@<|=NRjo_csDKT_Ul0Te^1UBA<6=&ai`!M)p?g8t=6^&(wrhvS;?fRnhjY#Tg&vli#Kn&MmC$M@p4l0#CGTb*_-9KP5iT z)}dZ3*~5ZkNFk?k*)McY-dj3W>^h+EDjc#i^Xt!aKBuJ{nR!eUkQ*T9^eTD#SJ=@< zt2{%nX2M6TL=i#WQgeq8N8@fV31-|!p{sqOt6O;myBoh)ATFR?|*9s+;-^q)AEtG zEeWT6HkMijHnma$x$MlDww2=oT8}@Ayv~ea-+lXbm`d~4btCP55#Yg;aK7V?YsU7y z#>x3I;V;F};cfXS2QAG&hMjxO1zz9?olsub%_I7qPGpEqW_#E3Sal5)uPe#C4WKAQVo^TLM zJdh(S7D|2-v#HI2<@-aH{yu>Y3TLg+k!?iuO{?T{5PHpw|9V(#9XfD=rE4Fb4~sL| zh5XH8ah^0oh1;1QwV+92;$d4+xWok|_TFlX03~ukV7D`1|t4 zR5jr@3E_Ke(Ec^|ULb+ZxvU1$j^;LW5MVO9Z^nVP5V@Q}u(kuk3|* z6@%BzR)g?sstYGOwm;q1ZlRv0S7HRO&)r$QOBvx2W_nc~`-4m=UC59gH%I5STL!Gl z;xGO404VP~bfGuz{XSq6n7_$OpoPRex0PA2HE>k$X>YkP1TL>^t&};R`x>r3a1u>* zFbN%<6EAk$VC7Jn%Lmd=6mGAQKEKKZ=J95eXfH)sNK7OHAkqWv;Wl| ztca2>T0NGtk)6mSs~>uIZbP1N(^nMt!Yze!cw+Bqs{ju5N#wncn`|T2yCpvs-p5kJ zbxs(x(Bop3&2C?q?Q zKiePC@__GI%KP()sxSnKOPA%x1$@VWOCyPQ;R$29Bi1(v>s`~%dR)^|8&KC9X25qX zU*L|Flad|%aR*#iT6+4+t=!)5fh$W~#bni9YMjWs-<$hlbyI7cE`+IolKvA8&utQ% zqkHZm1L^(IOH*1oMC&{b_VewPZ$tX=Kt0>FO19z;VQ!A!QH7f^bbcmCFBQ2bL_;n%CYqu2J`Oy!PJgi3wW^E9{eXI@ zfvKw{xxlomwPv!0y?||zDL4hJFgFcV6Wn>_m6ej9G4l>*dy`!LsdstamX3*vIQ}IO za1pO=bK2*+pzVH+#-hAm;0Y0r;DL7K?tYw~xO1ITIWP`ai(3)+tS#fpL_`DQ2zGUA z>l>WT#sBbiIe0I$6AoS#^hKT@TX>?K!*0JoXf~`$$lByr1_rR_8;qn@4~yv&o=jO( z^e|o$^v0cW=(Hx@UfH`ubO~e}B>yaEO;Ws;loeqjD%=;<)#zkE%^|Clb1cXbFX|0n z1EZl+IlEC=KU2)*nfsni`mR3JkyJab;g@~3X6Y!w{-IV4lf*3Ik#a)q`Z0~^EPn57 ztWaDMuK1H!Y$1OkASAa3&-AR!a8a%9EOsbWbNnlUu8f?=BC0gsqbsB-&VcXdOu15b z;vWT?8jp;-*@yltFO;B+O-6$KhWzXeI|+f|?eXFe1^>`cK0+3J-E$FE90Z&m_yn;g zeWLV(#biFf>oHqELUl^#SW1nY^JclvMci{0-=gB_--8F{hr1#sAb8e%cwneO|Izze zautEBTPGug9GQgQZohEan$#%=%fPYb#j!(Y&3YeJ;Re+g>i37@ft0>_g(3^qYM&J1 zx8;BiL>@&Qtoo#G7tdh%uFTV->wahpuc|T-@=;xTy-lK=g;pfi(ug>-K zRQnVM{&)I+N(l@6J8t!_9sNs3!N22H|Ju>NbQJtMZuPGn{Yz-UzvEW_8rr{v7W_MI z^{=7*OK8Er<5vF~+P{Pr{5y8`uc7@*Xu-c@Xa5@7zl8ShI)T(R=afwz!dBKv%tZm_ z!t-?Cl+|dO9qZ>oCg%S6Q+QzA!ZaBcJh#(6g0NvO06gEs{~I3tpB4VUTz6qkP4o9J zumA5OTu(b8&V}Fi{~h2;bnze7y3PClkF`o2Bsr?%rhWP$pQ;{wGw$r;t4r3j%(@hM zR1R8?r^`Y8Rda!zjZc5f)<|9ar2$20Ep71+Ht_g9ftR?&iqD>FSYJ>M zmreJZgx)8*M#XfRvSNff)d`@y^0Gq|s&@LRZ?-i3LDm4)bMx^>>O3!miyUHGTMTc!cLmKkiO*j zxPF*PCN-x2bmoDZGI*IIyYX`!Reho&zb=|n&*)rrib}VrG96JGr?&brBM;7(3>i?` z*5>d()lSyEqesKjGSg)D;#R#_6Z>=WTAm2d(`Gg6yRW+A$GE6%oFT#MpTzAH@nR^F zOPf!QH&5#|@X4e5cBSj0*ID#c^|ib$fv3nq3%?bKHrYgt^li88s~}r2w4|bN+Bw7M z^TXoG`49Qt5=>G|;(4J#z7p}AVmx57J~if3DZ_bS&LUB zd04SPg0pVIeJuCFyckt}(=qF+Hyc^ltnQ+6&g8Gk=l#%~ASp#??}4vsSBP;vt#nC^ ze6uf~)WUXw6P<=fHB|=jS3tXR=Wh2U3kj)<@i|vt%U8;@*S<_;?i-`-du>Y^Q2rF; z-xHG0>2Se+z;KZJ&f%lrff7TiE3etriUa|B;$J`s=pE|_sR?lfeYx9FCKFl_bc3ss zK1$khU5(?+L{)T-2|xwZw3K`aePJH$bYA%yDiS-&>UQPlH=ETHSp^x_#&kdzF;M}7 zSgwk6-=Zm*f8ulL)|a1l@4Av~Cp6kAHU*)v zPg`Gx(&E%(gIW0&*-6G&hM7*&WMc=lK(mfaCm&wxvo|);mFJyhromDT>C`2~=MS$wpK2UKqwLo)f2>NwRIy&h5M^SFt?(@`L11=>rhqXDX!a$nrzW3rqWU z2JdXmVAR?0yYo@o5!xJyk{?bcX`1|hJrJ8~| z!1c+0?!6h6&vV5rcVaq|w~HM~OpjX%f?7#m7qG?*T?3O|qxO--Dq-v@J(NKffo8%T zjJBo%c)yf1?0?Z%P>utc{!b1aiQc|HBPmU+IU;LMGZd*GH~f^?=3HegXG_HcGv`@| z&!}5jztb)_WR0)v-pf_E=F_jAOT^)}mtZ@G0-|*T_^s)JR~Xd01Q{1@CF~H%#J7#6 zj(nw}kku^uOb@(R^m-XW4MZ003?^$g%%;{PoVddq+28gIQL{uiEWHJ}$CuAe?(1j# z5_rgnhC!oZn~Svk>rZrR0FU?cRhd%I5Gr1ZzPbDw$3K=Q<>=lg5|!Ks+-&!3!pIv2_bOcU-g2sB`nYK{o%IA62l=M+DHT(2!`~#yeK&MfgN! z`PvMUy~!RYn&TIlvGLWUxVZsy|A(HNrcI7wc7*ynQ#-nHxjHY2d*??}mbnxRcU!ta z&O6&L?aG(cj6YlWR3H9U@S8B?yZ!EJ*W9H+v#JBHT@Xf{rP-zvZP_S@+ma+C7;^?C zxG3?O#E<&G!tM5wo;zr0mrIjAsd#YfdF)HP8@|Vx%M2R^a`eK@%bY*r6oa-wDjV^Q z_?_!KR!cL&fre`XF@qo8sR~7)RP85eDkE5NK|Ariv>?VeQwt@e&nXLOP1| zOZ?x)0J(q{Yd!aW@&A-~Q9-|6<^A5MgYnB_f%$KV!6{5+_ohMCnAK&!xiy!{*q|2C zurK_nQA7cCq*pc0G42oJT!p7J5*Ap}6c>5v#-S#;A*S(gVxi>+cl9)BVE$l?U35$w zK5(w{J@ENP1h+1xJ9Rmdr$6;FYs)OxJRMgqzx>fPKn!qjK3Zp5xOg5WM=d-xf;6jh?uX35gYjzpq@m}JTO;#+yRPqv%(6i;|0~?In(=`XUh@DeqKDNNsC_4L z;XbP0N1a^5<$}(_MVqJGKMxZhYlsTj$*RrtM=hRfxDL*k=Tf*)@?;G$3N{_vhd%gd zz&aVS`*!qd^(oTSaKa9%TKsaWf4rt}ye{-C#_7q~UB+^L>-E8|y+OBRb(d3SaC~yq z#xqeEB-Bf7)M4`#`EX>_nu>{y*F(3TzRg= zUh|m8c|?7wsO;w0LGF8F%=_tl&G6oZrYEtg3(kk*npv9sv4{kOk*0z1?xpbMZcaH3J1Yhi&_n8Dg_7Zr|6L|$Y(Tb?vdn!1K3Tc|SIn+N; zmL?&K(I_XcV0!>YHe6%J6($BUIYqVpM4TtdbZdV&J}JD7&8Q)rTz2Iiprz8UCa|45 z;Qf8i>tG#so?3AfBTVnYSF--&0eAXbUEuPP@VPxT>I+kh=LFMjXBRs8$DEB{y58oA ztQP;I?0Es=^-QQj7-p!pS7MDT+8jEKZIy#<>qzf+7ToZqG*lV}$JF({B(qzCj7H9s zEe$zt&Fa)={qy3)6XJQ!_v(Eb!LmkM$NJ;Wl4jcv*{_?L2&#lBQ(t5jfhuv;-(eRf zWkIq3J@-ThkAfF&Bu_L$J`dQm^T)SfV5t#C6$@T_w;~R340|#9e*Nf{X2~2~B++D` z!fx^`o7onK#s8Mg=_7swrg`Z??mGXTq6C5W89v@!Rpj|*NU%9u9+1V}&z$`IDp0da z7>w||Jnplyvqtq)_oliDx0p5U zkOc!nGL_j2(uUVS&&JwK5l%_#x(3}m9RH?CQ_RzcLH(>^w%dnN(z|Vkz__mCgvh7$ z(GIAe(46v+B}K%sgv_3>_*|mTMxflRgL}x9$8imEQ)V$py+PM z>yzlx((P`lIK>?age1oqx(JP(Y`ANfQi4b80|3P=X!Rkw8X?W-9+@e2(v zzyZ{k?;4_8D)66g;a-<} zn2^&s#^Cifvt)E(_kc|qeB^NO@#`Aizj3Rgz3b~X9)pv6wO+{QZ$PGMSpaZ|cXsiDgMy zLl?Kn1De2$vUL(*VEn42y#Mp)3?rMIj-JQZ+93%Iw~ouj_^bwA1!a^ya1D5wShK#z z@FF~GWNzu#c1Ufv$BF1mW`-{AYb^s*Nuc2r^*k=WsQTj-mu%Be`vI%SR*lco^SYrU zzrq;!MNGj}trvaQ?`fob8sCt+6IV8R%TqKk?u%=~V7mmrRtO3L0R|8&zrM%0pZ^NNr5Wa2U z-M%bf=h@i(c@v?L+0zB)ZWYblW+AOz>mrJIg>4;VnmU$M^a0;$w@-+vI;d}fd;X*1 z{#cYUT^B_{?%HlYsT;Klsoi4b5h^26v3L742DZ*cCEtB)SSGw<;p`tW^M{%1nu1*< zHM_!t;Od5bVju8qfnP@5IDRmU-RA?Xv{u~XYu8Pl`1kRLfBJsIB_d`SoRCu9%*oe& zFn_m$`;{$|n%8wURf4x&TiBT-)I3rao*+Crv6O^D-XSJ&kC;sOu7-uJePG5Qv%2}K zpJY@<4g+8ZaN2n$XM8+5^A7Jl)wxikWO}BIiWB*r9E4N&^#mUz=5N}$r{Kos57XRs z^e*Fx8zkpE#JU5ZLuGRA=yP>vw9sA?V7bmuk=D_uFp6TRiw z^d{5mn1zT+NHMUo|N8|%#r3>-4g1?4jv!%4pAK=_4>bf5OINo=?)0Wt-elBw0rMMY zl#&hwxEGnl9@r#j)EvpE8y1ue&8%YGkTh`#RRYGQ-`9`GJc_Ee8961R-s_n-yh{QhC9(=n3T8g21dQksp;JW zlHsrE?4HLpjgj-pi!PWxY$dhWF}GJ(tR_^Ku!_Dw2lPgw+4L%$o%J%?DNMoBGFG;s5C{D@&o8C?DCHCOU8A__#&*EMX6^Jc zv8`)-X5O}V`8P4W87jN(6RGX|W<^~5J8>zH>WE`2QLuz?i@d$?i^S^q&6VZw$IG zQpT?G-D)1hAtZHpzk^ABMa?{na={6VD+~v!XyI7-{Ak8ePNx==Q;mDOdU%odZosQt zFG=Nh;6cWlh0BkBy>98)!jzP|;};zM{U_eNis9+`HBuS~2LVNWpRoMiiCsZ)Nex|( z`k`$^!>MDR?2@X^+lt0{4ke&r`Q&Ad7l*9Mh3Ab)i@$N!W80m(ar^MyBjb+p?pS&O z{}4$HOQ*meH>9S=mk;o1nT$+*0z)JFhem#HkV(kdfXC0mnpR9~bL)2sT1c2>gurK>XeMv2<_?u5P4nI2(){GRm zspyC&F%xKur9dqk3bG_r)D27>gJZt@__ehQIIg)p4PV{aJCk?x43A66{<``H^NQ#L z=(YWIhC?A}3!L3*KhK>K8lbETrZM<5gU_g((}w1kwxyqSpV4q-Q-MG6B?$u7_%W-B zM(^5qO+!epB%4=v1O>UCYaokqa zHFK*4{c|{1BulC~J}<7zc$M^yZeBqyv%ujgW-e8Aa|HCz$7GjzV4qencH;23YW(1V z$uLF9@0^OWRgy%x;$KHz^uVfQ?}ANeC#zou2abq9#ndLxG?c+aL?QoBouvU*{8aBPt(>tyOHlnY<5{qy+r-=4!?+)>TciA9>C3C4{79Ht$eqt zg_U+qJox#?bDS+qVv&+2j`BTxp+vxYPs7lqjNZEI?2%hYlCCQo-;*G-$Z@HgRx+U( z1zqFN5+Z%ixpNoes`}t}d13cU;sp=cJBm5p206amnn33Y<#Kr@;O z3W|zw@Tid3 z{Fm0ufv6^0Do6?ap^!{1F8)wf)!PfXv$3(UFR+bq*w$A#P0Ygk<&(1rf_(EwkneDs zf_y53G-u}){$N2&69<+&N84!$OcDBj)wD#!#OOkIkWQsR`)Sc4&2$KB!q1A&BL9js zn-NSX(u526KQK)+nyLg>(cIGVFP>>@2jepI5B?*nMc2JXSGJ3bd;PDe7VRK3MUc1X zR+F0{pb57EKm(d+yJk9!fAyi6nVH!+kkR~$XHq~@EsQ~E4n+fLqhBUAjv!oke0+S8 zjv%KH68i_pM9%#YLf9~Z{DWkgA{QZ&iC$rRd{Q#5zceP=REx$jVX60Y1YcNKTtf9e zq%fNygz5i(%$l$TlV*tN{lzfN%*@Qu`^usj0e>k>D=S<3FzBd^h=dUZ%cG-7Xy~Vf z5HwXhnnr#7`pw(qn!3M+S~L*tk04DD^ZN^9&ce1^;V;^nA&u#e(EZi)e(HieYEMsJ z|7Ua=J~R=%wGEx7JLrHgG=$dSJMldK@*zc;gONCWiViwK^W*_@PEs}qrqniG_(1!sq@RXS%^Nvfb{b$ zf*=e22!iG{aUcV|w6qLc{nd<+!EF0mRvq$su;L&tF-5yOLLFq=Zi&#Nj0hq3jCS!d zv#{OD(hkx^97k4PD-$aJCMIrRt|DR?!h-y;BJBy;=~GDVcy%afWC!N*$#F8?i^} zreh!uaD$<&DgPxoU0mGUJiMQxEze1BQQDN~TtRcpMMZxhW_Z~2^v)t^sWTRW^uv!L z18}Vd;o^LTKVZXz85%Z4i2q7RF^(;FK8u{F1~|AV1+qvP}XI>Gw-`o;$O_}_x3 z!=QV}_B@uFKL*iXaPu#YeRO1*h<|*Bfq_STnTaV#HAo4Ag(XNDB}s%n$a#7BV4+P7 zxCRKK)r=t8vj`;r;R{{#i~BGw{y{kX5z@cpwV|OYM9iQ12LuLLVFm>SWf{<;*?%;T z92OQ95fhh>=Kf33hkRMNKY~=CH*O_tugVk&a`MMOYT+mZh4iLuyuQ9&$l2y zWTD*}5AC3Zi1p4gR$o_Vka3nN1}$w@4?>5sn}wzx2DnftFKl=rxpe7y86h*wT-be! zwlD`dV<;)19tK&G{xiA(J+Q(4k(--a-WcLx3NISzNJt;b3caJAz?UO7V~9`J1ct9~ z&`Sth2L^^L;Dx--$j;8q&CT-0gmnKrrW4AA5WOGHz?X>63fBSk#Uv)fnCbyyy!mVb4mnuDxZ@f z2-EC29vU!*9n4o|&-swhz`sZ=%j_9~u+E-KA_#1}fuqix_Ms8y#{EE> zB@a4k3FmJwd(D&uK1*0&HHY^bHi6;nM_GG240h|g5-C2fX41^9%k&%i!Wte9EMD-1 zv<0|lIZl^e%=p05q|jOx|GvQX^$Y>qgR1xpS3{@spY!hkb>@1$s0v-*p6>xg=h|=X zDjCYJ?DaYK{dP+;7!4Jkf#Uc>+y@YumdN9bc=2oIXj?*{+LTNG_S4R}ttJQUW^mnL zvcsd_Lo06M>jCxTrBSc(c_r10lzd_pHu-B;eC~ioeEsmxPxZeid4;=bv#9UVm9^^y zcee03h|KW(+Wr#*KGhyP-q9hV2;8aI#5$DKJH_1m5ahk8Z(q0&+(P%~4`9|frS!^9 zl#W^{YyA1HmZkou+XjZ~9+7pCxh?+PEPSA+mr&0#$wMmFr#Nb9I$82(IO&i5o@Gla z&pKU+H=PVP9*rrK(wbt)<8ZYLw|py2XR?*B%lTtc4O$3)IgO^qlD1|FGT3 znyvx;1T~J#esO)y-S=%6^7dZN*;=oMQY`*S*(smA_*ym>cv9?AVa8OqFN>(t^U%G1 zDd@o1aQm87Cx;ommM;$RGDsA!NVxD)D2rwCqp(-8bZ-&gcP?Fg8zI?uMHvd%g}i{% zX{x@xQ&onY_Vv0znv77SYHEWJ81ioX-6H!wf&vd1uj6cfxE$`Dl7d-bpFE02<+V*+bm4P45@X)kl%HqJjbYE^?^6PzSNqCJr$4(k-rw4uE~#Sn32WLlzs$-L z)BZUTmWf{kQ;4^pqS&E{+atI5)suyn@y+Si3p4IV=gcSZ(;rFJgG@SAcL^c3H#Yjm zg)wJ!RK?m8^)|(N`Pb9@H&UNfl!1(jk_T@D$hs&rVHx)$JSKuRefYZn#Pskt7F}o9#A5Q zO=Jsk?Cf@+{UutD?@F41i@MR`ydk_5v0_@f{snOQYZSk$yXHG|6>pdQ{1Rzf93zLC z=WG?jRe4N;1VJ~j(yAd-*R?@xQ@z9#Y?XNKF1BQ-ZeuD;iafPRHM^+%PM1WZhgECS~+&s}6qT^lTEd8I%Cr60|yOw-2Hdi(vL8_$9|E@PTE;jeXM8+*nX-O!*JJF zaUN9L>!=i#dw96ZjcDB>yQkr@!~N2vF*M61IN9tN-^UKbeI)MBmwCV75wNc#Z*y(W zPGG82LPkB38e6j~S$hKqP&r#)dT4N3x3to1IzT7V7svThy~B}vK`f)zy`_`j9%#NX z;h;bL?(4e|^R@Z1@%Q=aqZRFR#&5)`I($CxP76}0aOeed^9!2l4HDS7dW$@l{vJsIP!K?8fih1u5pg#2@N0K4)4GsC_v@c%`VEBG&I*mRojIEkkz<(^a+PY?<64vLx;aJQ5RKmwwDi3kjGeAhTWVaR30ki~o^P94 zZ}rE9lfTAWp&^+cmkQvy4PF*Hn01%qi1mDbB_VnGqoS>OlpH;zQ9dW7oogFj5F=nkEj?Y7w4?99y?vCIW z=~EGL=U6CYgvD%-=BzVkHNLyV93yuZ-c4h*(Tum7-*3C?yCQ_=l&d$;@Aufpd_{Np za1c+=z#s*TE-O5H)%0d@3A@v?sJ&(-ON1xANkG3T0Xv&Zwv_TB$ehq~9nManZ+~D% zdG$+w%JiMuKwJ#T%8MP5r_QIe%fQL>`j*Dw$zhSYsBV-mF{z4D)#TN3!XCwOX;;J& zIR}Z)7w7mk#BBKr$to!%1Xc1)CGKM{49M(QRs^hAYx9BvfEVd*l5ea{I~FAb~gGaI6N#4CIB_=~=h)}zn63(Ha7gjD(N zz7}};HpwK|CYF6fz?OgD8By!rT^tgfwav3 z29*Ans|6;e{~K8QU#=FwQF4KBST!6|4Zl-`M?^-!8ztzyyBGD?R@OYyZpDf|=R>4XphySNq?MQgDs{h`2KPp6{JAI$$z0Ux9Ed z`WM3Jhs)>(+Hm_!9gs}T-7eIg`=QUjw471a`yTi33!QTts`80AX zx+q_pu6`iTLV^!&U_WZXe52eneGI07CzIwp~6 zvqj=zcxlx^<+gq_+xR8%_8Mvo9}O3`n?N}uUB$GVDj(-dOQ zKRxuHW#ocOzJaPkZd31WYkZ>({*d$j-hM#oPx~K%E;qrNda{57z#JwvD_$P0#KXyA z$3Jn;rC{;+B1`Q+oW16O_bZx(7bM;FTF9xiw!hp-7Vh+6>)In`8j@v0Iep<+O@dW6 z6dRCz>5^Hl+&+MPdV#F5?u}5XfuK|XdlX{)h-{m*d>{dM4OhN6FB+_5VvxKwxaitF zz1nc_E!%Q>%e>>0+wxgAn0_8erPRmqo70c=(IQHu5icvkBfRwyzOKdd%b0$_Ctza} z8@n%Pn)^(hNG*sTr;YNidXZ|x1+hKb!s|L$=>C9xGY#^PR|iWiC-DCM?(HjP+V~a3 zL8bQMRSA8P3?z-Zhk4STPp$DEvBpGP+%BiNy$>mc_i=cnJummc)3`r>q*>aQm#l%cOtFZ_McZmxq0{ zK|Ia=^7nXw(aGg@KPe^2N;cK;gRMS(j`~C z%bSzrVY`Q=cmsps1yO6jA*f+LS!;=l@Ta-)R=oE@T2L=LA*w%6s__XY&lPZ{n|BhqPUm3gYWiwsL=66@+73)#7ku+;5 zvDwn5B&_wdJoYeM&yN^Z}Gow-J+fQ-=4SqOG+s;Y8lOycE zK8gZAwSxTp*P5_id4$wm4q^@;5-Q6OBC&=;LDWS@9TFmtRn;T8>x&_LU$%qpInyGE zVsGv_)yd8ahm&IS4|q>kz|P6ljAPu{>k|lD#)YGiEbR@JRGf_0>96YgMuusgxP#oL zKG)50Jv%d1+=(Feo=LOU1fzy8hC-gLl@5JK2yF(Kg2v{F!Z-N@du7*_$7-8yT{Fp6 z{$|~BK71Nk_1yQ5wPzU@(EMHM+CMk+P~FI7LPNcVRdfYz)K5&m#EW|rxr87+)a z7RG`EpL~-~@7U>jdqmP*3tn&5tf&5Fyj5}g3C@HfiRubd2|h~iPWR^m^4HrL%@@F{ zkyWDno?GuMX$p>fBfSx~Y>f5qv1%P=T)~56`zo=b7Ur06LNUB<+K$Z{Eh2!=H7OOqpKlx4SKRsP)4`=OxqV^$yVVZ1yPr zIHdeh9-=zJrOZmvm%)|oKD?&A+*|527H$HJw&ZaLa~B-&>eoM7_3+$}Qr;DGiryDb zl6JnE)7FLsJ}0cWarOw=j=UdJWF_bsB>Hq_LRsHi<`g#GZy$kc2!j0crc3rBR8@PC z_C{Pv?Ew_k&ktJ8wqv?-TDQh+E`p-sMZM>wi`Er`_xIo13tNs)^-m(Qi%&?4IGSm5 zjVHlN7KN`O37K23|J=Wp`g*rM+V$}_+EB_spSe`#;Ey+}Kw*<06{Bn;@g)up_Z=g) z97V+!?KAwtC+gO5awenNN#OpQsz~Xo!5`#VMw)zI!|&G9uzMcH2Ae7QdRjG#6IFsD zoV0{?!`sy%hp&HS#p~RA($7_I;aDTOuvU)wWv6BhT)mbbB82fK*rt)Gkml_J3p}5f zm#qLsc%5?eL~%-M7vR&ld3$SL^VzG16UE!nG8bioJ(9_;S;(^y`_%y)CL2ET3Y%4Ra!^zM+ zsPxx0R-sN?@q*nG4)QxH4NkAYlJq^IOsgB$?5<}YSMgBQ2vsO-NlX|wI`eE-&FomT z0It!LS3f;g6_ebb_0ae_$b9nAZ{w=$H+sBxYX3GpZv%Mq*E=2FzvMp`lkl8R1A}w| z#iP=&zp!P1)RbKKf zYJW`h+s&XDDZu#Z>b-s?m0=yx3Sy3IRc{wME`w+5L#s{ZcjVI(2Wh~Mo0LW}?6WaX zjYsi!9|lo5JS%@dde1|Bkf58{S#I$u*ford>#TCAH`UubaTj%x>XWZMpLW?MQ-!4HSdYN2UjX3&*Yz-OKdeKNB;hIto#FDcoNogKE zO+Lk=y2x(x8K~+R!D6z>2zg-T>^3eTp!W285#FuV@qEa&|>YB#ENpj90R6%ko?hsxaC8SezbaVZ>v*4DSB!;QhI`!cq z5RIU-_Rp)HnBK&oQ2M-!eZk7ryN}$kMjXrZ!hr48AZWDUjN8!*O{-II9NxI;@pSPD zn~<#4!?23_-sMeta23dTMtvBYRL~2HNgm_S4o)AN+1#O%(hiT#XSl?sVNwVtjV!Cl zhYuxWRPU+jv%yLU8!qD7dV%l1c|c7SW(USu?vNASx7jUjAx+qXg%(F6T!@;{Hn z9bObSf5oJ{0S9EL+}AgA@On8;%`Ky9A0GQ@YLD$Vh zrjH9-h`4z8HLQapN+j>6wSN`7ZQu?9d?mU%gdFMC6zzl7c#NC+wz2Qc?6qB1imhib zp2WWdxE`mZ%dtOd*0yyiSu(MP#>|OEz1fmd-aNxd8NZvuy zd|sELb7f7#4@CEQdTp1MQAFytVuU)^$LuShv+T{p0=bl~Rb15wDf9Q6hCX2p!{bcs zimJ8aGpihYK-$9AqiKuLFC;UEuXhNaR6c5W`OrV5v9oUtlR*nQuRn*1a6eg<#F-`qL|6_4tRmnF5I z7dCbQ;}v}q3&+Przi}?{3Tg(&;nCj+O`rNh!~X!ENXe%z0R-QDRrOTs{-J+%VHcTy zQhuqa@5{6WVtuoaFQ=EYZ}@}4GT9OZpC39jJi%F&ZE9_uXF5-VLlWvJXxS}VSK)0? zl%r?hiy`rkM<+O!xfSfortoM1d(Dch<)c$(j;bXpz@Xp#2Bbg z>=8`RcOAuf>+M@bZun_~AJY%<%>a}(dN(S0gLUVgo*x@|zu3$+w+ z18iav53JmL(yM>$5vV>ewQ+kK@f(wYL-aN%+$9iFaPiD6Z&*9#@qD>YbFFF+-?gI` zN63YOr~jflSoXT98`3YFUDie}(ISjRRA)l=TuArHY3GBrGn(L#kD!%~TU58Kwd3TG zXJGtiQjzPvp|SNl2h;+lc792DXXijjOwP#4Gk)az>;fJ+V3F4ii>du`ThlYQqHA4I zHSry&71y$)7t(X~N}Rr}XmX1G;btqgGR4!^r=ElJn-nf-fR*R2KI+M7xVe879&HmR z(=!FTi{Z|1zA2gpL>GRTrw1C&fr&p)?rQ6WXBG^OOwkGmD?cv$I5~67Hhp-)uWk$+ zF3Xx&#V6PIs(8gT%q=^xh!vg#@?64$aZD3to)--1Nw!U@lE)F~pgEC4h4->LP-M!xx6xTsTCZG}2bt~=r zuIy4XJcXgG`2{Pg#`m3j=O1teUr<+@mnoUlp!-EW?+(3N)Kc|f15p(G7T)|7nW5n3Fj2*aq$+<>Nw_F+rsyHR>lQ9 zp0u$_-KyGW4C6I&iI*AiGW@O*h4ULY^EaK2#GO|rbD{23{ zgF$w0aFt9+^zDc10xM zAo9M?3HG(xfjJVgyXjk6f$x^;C>f%LFSz~&rP@A4>%_sym1{XQGrK2rH+8%NK7N^+ z#kuPfo{|m^7&}L|;Pi~V;%|4Xypuk5eZv+O4@>&|W%ZCv)iAW0^Cr+wo5v%z%;qVC z8~5Sqp8=AGb&9=*SIzCXA5^nxlQ4nE^g6Z4^|M?mh47Z2=d6}~RZMS4vH48zc8~7K zhG#B;wR0jSQ3KmSqMO!!v2)yfH|`reN%*yne?zKhVgnCI17pHCUBzW`RG?u*@CVlCXqRiuA;aG51I?xLp68(exrbq|WG4&i@* znRBCdyh=wtt*u|dFs)_R;$^W%qlesl(w5EN7dPQiEu+ys3H{=cZ$4gD_kG^nJ$@i0 zdF!5YTAP&HL1b%JACgGD-QgvV0IR-S+@=9v90ad^LkWmUdCFPgZVGD_fDQVpI z2zdS~zi}4eU*wW8iG5dqPj}mY{^YKjVNmAaedC}5QLQa$>nJ=OV6-@^)iW+J@pFOs z@v3)m=Q!>r?+u@h$rW;M%j#xzROgsLgwFo(h60AK^%_KO?rvWQ+)GPx-8t^!{ZY00Qfg0;4p&baTwncbke&6i!Ha@@3$Q&V` z(e z2G*&~N6PI}t2@$u(WR5Sqz);~8;mZQkJ3w~FMSy9p#x$mNo@r!_WYZja;gKvQl2j; z6f+BIDAM*0WUq;o&(>IsQ$R)7~S1PZ8hWW{}7ohlKGlIwXrhRD4$in00h1(?#GhYhZ z4|lXU<+YtZ8N`F5g!Gl87Lv3-@dZ(3@5ln=atD>sB2nlOb&HC5{hBlp-kDE&i;iR% zDG=*Pg;N^DdeY(ZyIBbCpzFIslPDxpv$7$62Jg!MX)g#u(0(aKCnXkw!oO*EE@i$@667vvNi=>7-{et~oZKrkM9 zGyg(pBCy1}nAnXQ64Ekqx@eCex(Yg;v9U2k(M(Ly?44ONf|#2^R5Km2Rq)voQfF3w z9iso}L+$JxA+;Ixm)V5w+E-|8Elpe;gf=0z`4>-n3k^2VUfa~v3|YYz7_G3$wpj$i znzJ|v(t$?6I-3#j*Pr+oT$L4;FV8_jQ` z;m!McdT4>Dfg#G<4-IhQ|EsZm7UT~JPB;a_IlzMIrU()`iy+8LI4c0jHb`p#ZJEis<;O;6(2*4YHMznpl0C=CPS4R}nVOR~e7?9xzP z3vIo(t*tFcvC%Lz)O6z5qvH{5#Q{!^=q8MBQ8Gi4OD(2 zsq=^UyEv6jI=0U(f*y%ui1r z9-;i;_CSrG4Ml$<+g`cYZvNiAu*nyiJj;NB$UyuRnqVg`_YsOp)h>WI;i} z=+%P51>ksr0;qOI*-*a!i!_&(mP2ZLa1`2HzkZ!SnZh={%_4A&Ksp>FfZl-9@WqTN z?B|60_{Wc|Si(7&`zycKv7yo#6^aE12@tnGCWc%yIRzyR?L|J3>(^0c*pe`A&LRjR zg>FL{wjl$jTTnGD3**1TA{10qY*A5JFEAV&vV4Oc+f1Vz@!UPo`R(l+GPR7%Vx**? zoc%FE7H#H{^krlo^()FN`h|bo(nm+| z0tv5-7ujY#5rlpATnyded`^n)afa)_g~nkK?%DGz2*NXa3<2%ga}Fe8efFFH13Grk z`JrTY7xUqNdX`~Fb2B4r$d4SR+Xb$m? z{~_~1n}u^DI;KzbJeE zI>$w@p^&0Sbbdclpz#8cQ+(5^ql)b`xUA&0!Xwc~Vt8sFr5f|`H6rMiP}0E_(*FFqa=(Z_Yk_Iv2I z6vKQ_fjgg5N$OGNM=ah)epp0KfcwGRFocY|K<7NB`sV=5|7#^(^iYz zfRITQBX(TVrsg@Sd_vNtnL<4^gvB`?Wn=rc^6amAu)ID}OHj<~YweEo@#0a~Nj~lL&ha4jCQl zJ5@{F7ijPNu8Fw05^3e$C>{7L2m7jj@!fAWzd?li)jL1$jq_s``+A0GYDCnS*ruDZ zKAw%a;T}falpqa?=C$>W^xZ^FtYk@Tu%b&_JI-}KeG zPfZfLD=aiU%bmM`s^M$!taRLs9U$!Rr`6N;IOI6bj=ah$8tDR#;^&!`|2W>`Wzm{V zfB22SrOwaEKS@Nj)8bC=fRnxtc+L}NT9?tK_c&o&IB4ZsGERaIR+0A=g}H}b#Rmgk zPl3kiTmI@06Gi57pN>4*AwJwr%4(D!d9pZ?u@2-1BEA_ zSN(R*);^|T-Wsr0#~2nwX+#=JR?=V)eG;Zz{tQO*ggn=+97ZDXMGkmBzVW}dNR;%W z0hgJ-=N>Pne^VrQqCr}tpiW{*zAI_trv0>e;@R8frqFVu$X7WY9nVi1z z$m{3@3oK%#_mKi)nWi~8{ECFVKugr}i-D_M4|m)x74v(EgMfeL7>B>7Si#n=A0-(gP4(>cAgNdvqySy!F6SZrVd-`W!LqrSp9BAdR4+i9-AOIeRQ0Ljm(PtRXaG|G6)veR`yHx+SIYjRH)+~ zf6?ML#0sP=HtiqHHDozu?W^zcnYYBh_xOQ8rJs!u(99s! zHO$_*^^whX(tR*Kpyc|8YI9zJ%6Nq|s?z2lMj$UC%|aeMH--H@48Qpg*8`Pyl~)hx znuZSO^n|XwVt)+UK0J`=DeY%HiF|!x(Lunn`|;4|?nm68!Zxdz`3c*@fch+%?uVpD z1j51W^3C~I`){ujdsd#(jV5yK&|5xqd4T1i(iiX*#JgQEpQYql<**{EI@}^TxRVK*~hRxuA5F`Mi0c z+Q#K(_=8&ebeADf(!%EIBoX?#7%(0^Dgc1YJ!}F=H_`ymFpx}#ktt4^ zL9=I{ZWyV<=W5i!g;xMhMzm*it@3hLx1^cEDa~0Mo^U)t8Kp_CBzG9)c>mc1AJ+N1 zuh;bip9$y^Pswf&%2|C)6_)oLv%A$VwCAvafRB^YuTptbFbwtR{MXtXQ<-`fK3k3* zZuGMK`f!D4rU76msBz%2@8WppGN0#02~29ZV}xnT6u2ytC$KA4vONLws@qP1a^7XL zCUH4MLAYffJN(3kMdNw{URa5Y66HmLSv5T3`<+>`oDp$WBJKl$BM%=lD~AlrorDGw z&zg6;f_kxO+~+LQf={Q%vw86u?a4F<>3say{Zx9m_NH7_KY~ZUef&vlpT(3}gx-j! zHYhElu~->iN?c`c+vQK?z9|bvh?sX3oS~s(pQc>=qfe=rI`5ScndTr>k7#|M z`@K7Q$jdM4rT90E)O5i+F(?#6hwR?IO)9_e@BHsFKr<|K$vz?LyJUZ7l2Nx}cQRaLrNU7G}yALhJj$SB^%w0cUHGIO5;l~XbGgEw{m zd-_Ox^4WE0@C<5wV~V=d`n9)cL&MwAg3*~?pkq;Bw)m!L6^aJpr4I3&+n;{V^T(ycDaszSHhQYOF88>g6srW_6c+>aPOT zw(JS;!s_eMybGLA8DIjcBSD;Pc>5(1jo~`9kRl<<_BN_@v`1F(H z52e+f_M3P;I6id>pp5$eSbGnkD4KQwcgaz*B*B)X0+NG(2qHO(U?Arl1SIF2a}G)d zNdh8Dlnf%0kqnX~gJh5(aC`l}b3CW+Uv=tM-M4ConRT~!dUkr;>3;fo&(}#cL>Es_ z0$gXxKugWzhdhx~fiz!zvGa`O`$-HF(InQQqc-IT;@(N$Jp-f$FHvtL$=6?Zxla}n zo!#Q2brll!(g-L-((R+cstnEv83 zvF)}Xb&b+h{pOL$NjHeg$sK_uS3!p9G_+Kh0}w8Eoo5?|XadtTSG0uXbfUQLuckeZ z>aN<^INX;^G6%}0AqH>aNgj`O_p-T89=Z;zmaY#-Ma`{dj!?a8njb+$gr<;g zVp7M4#V^U4?-tfqfzx;Rw|bcXCZ7K2!2R~;NjXP;o=6@3cq;9 z!6TDO`@fl3WCn%*j$#BTLZZv-zIW~?k=3R`j;^M z3)%u4Wd9YxpZg=&8A3q`^N=8OO~9Gr41Yjd@SjQBzo0FUh4*}lu*c^y?CJSS+WrM? zfw{$Bh3#L`_Ah7)=j#3^()KTC`}d$gA*|9RjR3sK_`|_oV}th?f7Yn?Aq-1BkKlxx zvzM$>bK58gZn%!%K`wZa3%)s7#Q#Si_Adqtzm?+ue@R$u)_{}?-_?1!Mt@WHt^de zMVW9y09&xIp4 z*;julD)8Xw)pUA*^~i&~oz%Y1(s-dy<7uHB!~!ep7jH@RaGjkJg`Fi+$GOw{-2XVT^0J$04s$t=PTF48-hKYKll$i9E5lCopU`3GSkLauCmrVw+6K*8_hdV{bzw?UbxH+c2>Eai|uUxIsMFg z0hTU=OqnC4(Z`Q&XnrXN{hA?$S_RYZ6;o0TD%Wi6RO9sS%M&K{V$Hqkd`~FK1**~a zY&yl=3g4)UJ*c3loaLrm%5AN~=wPl8H$6-gRRP&I(dBje*F_AnWX-H+y7BPGpUh!n z8dN`-C3st5j7I=Rl30VP1cEq+&;+#acWLwHo^DsNUtWFW|7}9*`qeiS;Iz(A+2hG? zQt`*77fG*W7sedKHb>D6g?4A#-G6- zvIFD`%+pnhSu-IiMo|jJWYgkOZZpi5VjUzW+1a1+tTw=C)_Zkfv)Qkyc;9Xi>07k0e)dcUaYoi5I;k*Pto!s&ZntDWXTr(oG% zoQ0!|2BlRbU{vV_TjaHmTa6qGeUW}W@%a?&6`Y{b&xl%OH-5*`d{LTBCS{c>JjU&g zKpl61Hv31mZ=JzF{6uH3ceDQfTiFR-!Z`1*{REDKF&`dZDB9GsD9Z$>18UL$4T3LA zM3`m4<9!o1=-2}dKMgh24r65?a$tXD>VZ+kyP`&|Z*gjgeM?pKfCIOI;+|^$ zl^OTU`YrO^bnS4#F)>@dop_NNs^2lWKY+d%TTS8jCuEcMZQtLR@rLUP;uWG$t7gUl z+kfLK4jkwx+oFk^P{hNENm`}q%$7R{u1`i=YR!KLR zFR2(WMRLySV&=Q9Hu2^?Rwg-508hTU@*eR;LHmQpGaQ#?ew8~^_ z<)Ddwi^Spm6+}M2k4$(LkJsc-z(u=$(rp9Z`f`-Q(0&k*VuiJ|VIQ)fIb-;+mvewW zxHg5vgnwUlrfrb%t%5y}{#j-ug^^qqZldxo#wvE=!Tpn?3)(O%@8213Ted!b0`@cy z4;P!a_`V6vKR0IZiWXeNU#`BmT-rYt>7F!>iU-^=>*goF>wlCHxn2<@G?{U3?e^1A z9LO!)=l9fj`k)E3x=r%IN`rTXTiflaxdg!;!|7PtU4^ok zFpvAuzs!Jsq3`rL{ce#@q%D_RH|180#90JEkM`DnLw;d6ouwgo}fV!xZAO#2oKo3gWVkzOJI=?KA|4uXA zZSiY#xuNL>$J}&=RcPQ%>05HoJ^um+6yIB-7aXcw;l^(t7%;5arP~s}C#iD-_xe?2 zBX3FsDOGvFUq7+)Z8}%;ML3ITRul^>wmjn^fOkUj*X7ZJk5_s~=8i(s7mhL}jE*_` zoc3ix=x&JbW&ri~=2ITNX6BT=g(HXe*y`3}_kZ0zs8w0+VNBHJBOC#D(wP}v&N@Vj z{Bq(Kf4w5kCgS5lz0Ma($5;oXXt}Ea@m-ApvfwwI3LpHM^UsD&LEEta`H#pGj~JFo zHReyQpf0|Us4B?8n-tqWkYu;k8sG3q8WxrpE?Xk1XayH(1JEtlvT3{*lI*u6S~$q$ zA+9xQm!TsVUc$%7_m+H0xgMBqQPt`$U^_Le%G?8?!Fe6g?^*RWu?(8+_hkmB_r#2)cq z6;};xi#o&mh`O7(frW{Z#~Go`w2zNS=1}CirpFe3sBP*hYCu?oaKN>XyC7$Ne{Zvg z>zWcNcjHKLhKx&>?(h1;%SUiP_mk5HAPWTL#WkpKHA!-Ib;?8<*yFsb`)tB=Ovl9y z^LG^+TQ`8PZjnsi91B)x@XTRU0tenM_M_-lbH`FHZ;uEh$20TmP$$;^+nYj-zu z)KTEzwb8AoEeLF`uJKO6G&zhF;1ajKPTH?5diD4~lw?9Elgs)G-q?#pu{SMb!{iq- zI>5}5uk?*x@3`8cDZ<)8zKA-u|1RUH`O7rCVtx$6<0s%6jV?NLQe)I9^sTxm@w>OaK!FyF|FAzg_eK-uS{mE|&qp;{l zq#qNlEk-?T@2M03zlk+;?e1IH6=@>)Lzx}y#SN=%(JF8AS+1j;(jHXofE!)h^>ORuCnEP0M`2q3axaPC02K`S7*qE1y{rFhMWHd-=b1*G?D5L}$JA>l zp}Q!V#yd7ZSndIqYq1y_OJ>MYMmm09J5_n08+YXM9*@rT4th8{+oRJ<>ujX1*8hvB zpU_XLWNfn2T7q`{R~G9RCgvGq*x+GvW9G@6p`_BN_tzsOwwFJ5I&tb3y&iGmcNKY? zFvWu=OQP3P%J_Vihmr0*>B1kLTHGh!i*k7BVRpEgD*Flc3YI^7!K0t{n>w|TD1u5k z$y4*FOf%IzI#75P&pdv(0uZt2Wq1zNP7M(BTb`LDe;OgyaEM}1F)($_Zu`EpiUS0m zIlrl>>i)iZhWh#w6_2x9L`OF&0hz7e0Xf}$NuNlN+}XRt6`ZnqSH{xKyNd#trgf$l zuNQTWC$@$MY#fe&CwdV@AGZ&b;`FRbk4SHwX_|$!h#8nT{}zsW`c0IM14I?PzchdM z1CPV^+B%`(^6VKWS5nm)`OT~#_2~JB=V9%X_ezAL zW5LU%0U?nP(G(^Tqga7gFAKHflc&U5jY{t-XZMXf`QZ<)yzo2|8yA&r+`0X2_EO%n znn*&~BDHcfW8G1VNq$Iq3xL7+Jhw`rmX7V`lgovuu7_%2Zc5c zEZkt_Ru3rzUls))SU8Z$ye)5N+k0YS5&p3o9hXiZ8}G}UHzzS`QR3ZoL1SiTinJr7%4Sd zesF1h$1Ak@kU^}L-+2x19#C)#NoDtcwHQ0Y5mzxIA{QL}Dznijw6s<+zN~F{j|i}G ziaAB+R6^+WzSJ{wYtOK@$qSw*nlJ34TM%@&?}`GGjKZeNLJSJ#;ED-!Od2Vd**nT# zNf|YQG8N9mba`6=gto_5$LBYK*QxGsx~10NFfRM{*fM5Srl9x>iKMk7@Vj;^VdX{I zFP>p+D$(~HOEeD?vpc>oA6(@Zl78lpmIDd~#?c5kHO=FaYQLP}kmy_6y(-1PVe&~x z$^W(^G)@8N<&#nh%HDM`-c}BLyH3iflJbsG+P|Q3agXt)WAm~Mpx~yYm;93BzqE-f zuj=5vMg2OH%`|_5(a29=cvfmv6wte;U-H>__$GYkc&usIbw)tUC#KrX_2_AN-`vk5 z-seF7Rd(e$34LtlC_b;QZ}uF$N!i@8vPRC^wqv{-ipe{m!(gMuM1D@%2_oX32Hd?e zUMzq5!Y8hr-F>Or)cTtO+}USRNUKuw2t?x(DHz(ul`fpvmQ)IQ{k~(E)HyZ(0MOrk z=pFubY+-GOfR0mGP0uK%zPp#jEV8_AbLRv>N(-2?ipyF$57F4wJZSEzo9$!W5l_B; zUlH?;a`h1Xr}kkmM#}#(F5}HCdPu>?ue9_`|6{CkSpu2J7fqz%FomeFtsuHKNh zaSy7fLB$1Ee7UU#uL!9d?mH9v9&k+Dwh*<;W)1D9SU$R9SxH0=?sjhxFH<>ap)TRA5P(wodD;GXJs`u2_2KY(;3k+`mnxmIBju5x2v;meGdF_~M8Z0dl>fA9RcapO6PF0rpkz(d_m)q^t{ zSuNefrnPf&nujXD-77Y)t#5St2MV=;cgpzu?r&6D4Rbe-@T5HwMlSxRz#_2ev(Q5u zx7hUeRck*r>X$b5$*)7bAeXp|$?KfqU*JMelY;uXg6)1K0sgoLt8$^3^eKDVmQ2`( zP6`&D_c%bzpz`r{es?c}8)q)2RW6gBd2Yk_tgBb{`|5sTzTtIn4lhKK%KESJnmTBh zBov*a3j3B1QPgeSz2A&Z&+R?{3UfayIxm?XnwIp4*t}_)#vI-Hn!sSUdOwO@!utzb zFi7~=`hBnF)Ad(G4^fIo7kNW6zb@>dllxb+%`P6ETwnnrdQ~TP|ETnwvZ@hc?t41! zF=_dTiZ9hdzg0a{W40S1s}E_+LyUhDXBY16-$5k47_!1 zH-pFw^+;DbZmM?T*yYq78CDEz;mA8QtdrAl!1-aLlPjdsX3nvR?daqXxPR&Yp@#l8 zubTZGo0ycUH9`=Vad6!;qV*6xp?(uZc4%9&z@eyX>g%+mbp18Q}f;+Qp|;d~9Is-F);L1DECw7jN~q zQ!YVKQ(%=ay?2aHCDt}_Lq^UdGP#S?(mNnAyMj^F)^$qN+y{ga7B8jj2i=J~A3P(Z z<5d3k>$l1)TGwVk=SJFy@x%tiJ|h|lUZpeox%r;|#0+`re7Y1AvIb3EoR9Di(f0ude~G3pX@B ze#0YmTreD;W%b%u9RhY=<|TX+8pdfJ3ny1&P<!vK`0`nY5fbOGv1}T$?AyW zY2D!J1>@uQpFoe0UTl5Kz&<)H2d|5F($MTJ@AU18o}rv^3gui9%6L$@oi#k)KkoAJ z@Ju!IwX~6iTimt5U;`mwHn?$~kfzbtrThwAK+Svj)Y|Wru$FD?q@=nT3t$tm_9Y@2`zh^IO};^C zU>QEPE9T!ah;3{UU-_}-m=UlGsAs;PJ;fvb9i-g+Wo7&>$Ueka`g(6?=Mh0fmkh;B%z@Vx|O z!%ZIrok1SsxSB2UTj0)%MQRpqWy|FDA8QwUk~$VOof9;4NTn-uxo2&Tl@oLLX;BHb< zNTuSmwSAsmfJ_z=$i#t0&6QPTtRYd#bqE@6AY=0nlL=jl|0Pr0-Q7R>g@Z&hDPd4i zQBz+7e-xV#JVOTL&gh_R^A^k9Kib+qcqY=aiG~Ew^dOws3_Y8$bm$?30}~Kcdkh1* zM4zdvJ0c;?fA~yy2x#JXBEt)YH>5S8RWvX(?p0m^1ks9NIVJFDBHsES&`V*IAvell z$&k-PRAeE*Kbpl#NT}7;H+N4=O#Lg|gqS9b*;zs;CtO@yT3O#bM}w3m4laBj9(>@> zA^t@)kt>9HNKqkDyXk|#Kr)&nq|FG*e)z<}mjsIG-!hh*Ab`XCVY@UTJ$qay@UkqDlPizoD#AhoqfX)WZTA)}UmH9!B& zn}WC9JrIdTW>QBwYatbl+_AxC1Y!tT=Wp=)9)>Xj%N&Jejv-?l#`G_wkrSG0kz6#= zF^a_3a0szTZ?QqMB|C@Szgg8`LENx%NN$sdHw%u$K;-)mx(VS;cv=d=K!T_bHFf{h z*BU^>sUeI%9H%kl+OC?yFhdG^Ei54njXdXI#K8}Uhvg+8{h5hA2t?8x+@0hU_;jis zf-)`bzp(K1^vq01f48>&lYU(fLH!xVA0is*u|+~}ka+9v>0jU2-GlGm&w`LAvg(Jh zK*W&`0`aREfqHy=(u_cy!tV=-iJ$%UL0o~9I6MR>r~u-gj7&z}$fkEYz~#yx7MhKn zn;&VgeWC|JPd!BY3m=Gh!s7s&nz}k{wWlnRJ<>LE}e^K4{+sLp$VQd2vJfN&>r|LU_4h)-~f62hIZy}^JWI0RUmVMW{8 zek?C92gK(kBGzGv{zg7%Byfb!2fiBF`l$3E)d}BjUZl9ZH{RV}RoJTd+N&Tawl8iC^JndiTV2txaZ9L2sA^Y8A`9oC@6%C)ZwAMk30)Rnjz2GjL369 zeeghR4uQacJm1OB8hHzxsZaKs=R`+6>K!Nb^#tJ_Vz~3C=QMRt{83}Y3b=1 z@WV6VcY`!LXJx|@5IM+2E?l7G-@v27L}I6CS#ONFgSz^qW+5># zZFoYMpy=thoBcCAzz0qbXhuNP8V%VNj9f@yYk*-116~DS!w-v&4R8;z!um5Y04kl0 zwD2MBnUPulXIemOtC6N23Y--HXBwEIbaVt*>Y<=?cIMgTIbi;aeHyuA!)w6c&=zz! zFQV!oj*Zl?Pfvd%XG5T2Lhou}kCDtO+E>Kwkgo`qW&{c=>zA*D$fl0;Qwu=K{~4tG zja2d!3%f9M1CX(b-hl|odx!`vY{)_>Y=fT|5wVKs!uZ24N9!T5V`89by8;ew>mg8T zY8FxS777ke{7Jf%r-zc0y-$X*oA#-L6i0zPN zETn*UA5L9pSAkE^7|EajkSBscM@PpXEd$Gyl{FHBZ=OfUuc9s*J%i_pk{$x_Xce)J zP%d1@c&@Gi|3e7SLGkbinM3&GDPj5h$E4@KDJm|UG8;ye!UI+~-l_5jW96FLLxets zI#?7qvV8drQPMTHgVNJ7cL~+D{BtJ=#ND||Mug45+$AjvG?8Z@{t95R5r}-`&}|9= zBvz0~a38$i)#v)gF2wh-!c&=U@Kr`dZ+tUN#*X*#7+^rKQ$=~19xHW9OV@uGdYDr6 z;Z}U;KB=+N_Oh}hu{RRP0BF9yo9RstXEipR>D$%{q)Pwyd?dmD_w^_P#d zhIT_z-bwtvoR*nr;fQ!?>fiqUtzj3XIEyo-J{Zs587Pp77iCKOc+0Ogve)#*D3(F0 zJue1xgw`wn0#u;K7JkKG>(@xy?aM5Slr(bw1Qyu;g{OX8Z!Wg&rr`-_OkQT!OW^kl z7A}v zCI3?h|K6VW!$vGelGOE=*F|fAhz$F}Oo|XeUu-Q3-xNyu1J#F<6<(ihy_&nK=Gc~? z2u6J6fpYmXN~dlNAh=bz$$5R$n(MQLMa7I%6VAIjG*F{JSyjtR-IH-}Jbut3`1Zzf zfG`84pV=y^M7?D|AqhChRkH&w$jQaQK2#Q97qI9KQe~}bWRL+r+ z|08t-|IQCL`!x$So@>=2ImNE+Vw{_K@!m;QfSihNMO$8uU+kxIY^Kf;PK)MGg)Ba9 zvWo^o&dL!lXCRsE`*vC*`k8Qiw&tCh(o*$=$0Fh%%v0mpiDqi9zE}bFtyu3qsT|sv zc2Tfyh%QbVq~OQiR;Zp+39F%Ad-)6>j8?74rxSL47R*lYh@)9qTaemh?^mQB-lSaT zD*eso1Y)+TAD}*zc>R@lUNe6IC0a$n6UW@-B#DJkm^lF_$sZDd(`@<FQwiOKC*ck}_-BWXIYqToBE9hq5G574vH1qm;}a{`MT! zAu;c8q8%8Nc|1FE52gSIndU8~1HrG-=Z0mj!(&6}QuEhM7ICl({X^V61>1`OD^@sz ztIB!{g5{0JfMOY0SLMm+0uCso1T4vPjyw@ zpO*A)tGrmGIgm_azxiZY?PC+Zgf!L;pr*}M6ZKpb`)wn8DWEF=beC48<{S#L>iPWM%vD>hy8=ZKhWhrvQg1q@(48uYCE?SlRDU zAy7R6j|TJ(Pij%bFg|Zd{^`>{OfX!nX69D0E5GA;JCZXzUU;FY2}Mf6;0s0wp&#V~IPruj0GEC*^W{gEO!F zbK9v@;q%96U(MLT0&Ji(>+;|M-Oc3*jxFVA8J#$IO>4-t) z(uR+iPnzkp2faF3&ULj87k0x9`N4{BqD6`Ts3dz)&c^NL79-Lw5u1zV?*emaVl3J8 zJdB+GtvMwr0&l7;W){75WauR%%p$*Ph!kIPjs7GRE4p4jt}klTmH|T9-{se3@vfz` z9#3EmR&w6WrF}89=v;+btVJu8YDo&bOVX5`bto@HTo_jd(Y^4}i8x3{caI%E$S596 zV>=oEH7YdqM6dYnoFUD)qro?MS97d(Se$wsNnCp??;9?)fR5Y@aw9U|1;3Puxd%&k z6RzOs<}3V6S|Q#ND8BrHC<4!xpOyvjJY2-S6MBchb$KSd@b@rH)*HT`X*}nKI_eL> zJNL9_Y5w)8BCl@rEy|v(f3-b{j`tybT4x-kb^I#g3E(xQveEq(6L^OLwbG3@w&LQ6 zT5Ll~g)7f!tO&Mcm=s{@zqWs&%FLWRbL@QaJ}ke3@g7BL;Hc=>gD87Cn{h=TSaxI1 z(bVD+H!G9!$mfSgf{}}FJ73`&r(@A#_5=PZa5k)gVstV|a@$^OYQ3y&p9N<>j#UGxkIwr8 zR}eRE&t}g(yQ7mN=NZOrYx_mE?r9Me16wv*xveEX`gn+0Sl#2v8}%zgBgJ0jn4FXj zWBf@FpzyLtD)x29V)oZ)-@w8ro^`V7etaSKo!t1L)O-CGli)XXf;67Qm{fQ40$yT8 zv0M;EcJ-m4zF5O4&DG}#kKcg8>5j)oJlfXH72B^dHpF^|5HlS2PJ}S52v;aD1he=+ zn&0C$W;aFZ6wbFBN)kTaS!W5-n80S)+^`wGq__pU@pwNHV!n*gjC&mt|9Khn?DT$` zpI7wI4bx8hg_Cr1I(g8b^N~6xLCe=hfn*{S&6P1cV@Pf{sj3h3#7_@Z9<5y&HwbHc92l84nDbxvUCihOZOY z9G#mov?lm5HG_*1$|>3Qh;DTirWp@z`Qjp$x&B-ZziquSs%t%G3J5^Ow=k8TZv2`5 zhom1V7P_07q#|xnTPSsqEq)WqD!Usf{FK?6Au`rPZ(Xs19;D5#ka3)!iY5NgM$6SC zg%u{JuFOKaC}Nt7wfx%kpnSK~uUWt6$?vF(SK)aYo^>n7_?x3LA~c1EpK#~oI!>mC+p}>O?Cq7A5`BrKL~|&-~UPi zNK#*$2sM=$eV<9JVe+}k_B6%_eQ~`T*N99>Rz|?_7+gc)xS^rFBc3nKkl}MRVP@pK z=F$Ctl1j2-JjE>K(p9j}ew*}|{?1jx-RQ_%`$VD-b@89BrnL-lNV*;P#nK1>y^76& zxanKPhh<3t`35!Y=-Z~3R;v%1UrlCqu}fG*rK^5D*(U*}CaH_?vT8AvtiJvK)M z&d>&`cL;Et>%jmq0})$Mcai6eLBp*x@|sQSzWblz)VH$^HBBQT`y;^scVIx?gwKx^ z?b0b1eO?s0-)}$5(EPlCBZ-~j%Q^iV^hta1G_%X<%KDY+V8`I#uSDdZzZVz}+a;Yq zZ_LDC1^E+q-(}Xnm+jg48C{t|Ynw-9ds1k^pUQ|Qm^IpF{|fxld4uoz`oeZA$I38@ z>d{uhb)qk;;9nR!$&_c3RML~BQ(>yB6!P)#$4!wN6}Z_B z0LGD$jbMAvF?F`-tvc^~GP?opc0#2Ww-Pt6S)1;5gCWeD0%=?Y;tHgJ+M6!BUqc*M zN8@ccFubsr?%3Q^b}FPbfT%D_{y=@L}( zpOQ>9A>7iQ+8$**Oa_ zQ&jTLq>f%dz8GHndrmYcBes(vH_G~!)k5_;zh-#ju-ZOGO=f@yXy;hg6&zOdV0E$) zQg_r<4yFl;qli!;)$8$<*+S8J2X;!R<9L5kJim4p{Z(goD9@QCFN7WCLAAS43D+;S zCs?>pSDo)ek0vpg@xP(hOT;B%*8gA{p$PUrgQR~sQeY2zHJo8Ljyuek@)soi%aMZr zsciiVlK$ms0qn1WbBJr}>f!9^zd-F@o)#eI>Wz(0z$_}?VJ4#gx3LxcXQ1{kPYX!@ zBT)O7rv*GPanW4?L7{ud-jDxauKjyZAorSgNv(@yT#^1)s&nL`2i{;D!Bj`c#XaOg z7%nc+E?Ir%Hc)0S@7O~KcJ`9ZVr~=V66KQZ&&|i5+tUAg(eytIu<+H8I+gyfo%wqk z^M4of->+!81baA${|;0XV#*!Zb%cEEvHZiqPQt$o$XEQ=2mSY(|E&C<94z+vwc>Mv zUz&$+&7YbH5^Me--HNflf1D9X5vdWHhE@%d=MiKI7sJtB5|gNEkLjivc{qnU&=wqC zf7aO>R*ne)?m1sLv8zWKjcQc#Ll$MDqz~;oTUu>bFdaf7vl{Qw0VRd8n@eTNL#^NF ziKm?9PSAdFL|d27{h05pZ=SmF3ebTLFtE^jKAtAgukn#$3N$(DKI~%EPrCp4ik%F zwr)8dTE@2|RU4h5-)Gr>B4D2L3~~9i(Gg3?@8O3xz|!;4TP2g{SKNqMZFVQZ%=x*^ z>S-2Cl)6;u_8wo{X8<3gN*7b=O+w?hr#@}H347QT@Jw~sBf3J{RmTZ@nxLZPH8iwg6{UT-%RHSSX2ARwVN{ zCgb%-Hzn(G&hJEu(#LNn=Ocig244Xl%l3Xil9oz6p_d4GCHrUjjW4kuNMyf7w%n-& z0*@jm2lxiNs8=G&e>)qf7{AkB;ly_RMoRu;@NOYA7Aa(-K}UAn07 zGGXmk6%jVJcI>YiTjRmiR^##U-x7WsljH&V`T6W?gAV?54?AAU=_ie!ylY|tE0o+C zVGSDQYU4&tyd82u%47Hr?+$HflWr0_wOKn{2ZrwqH`^XGA6*d;8_&(#!E~Fv{z6<- zdkS>Fx^8B#aUZC?3)`ano|B8RL=eECvhTWW=2&5!VV#LCU~OJyJv;y`3elyXT8}ez zKV+As2}4g*a4xa2*eq;7`{lDb66rVrSnwa$4@+3Bf7+HET2aObi<7f9jF1S$A0xep zSrDw*0JI|CKB`d}^xEeht2Xcu%nq0<+SVTv3i)A0Qbx`AFTNrmIWx&o@2JD z7TDBVMzpoXaVTqy-Ft8Sz*vqT3Q^q_H)zmGkEd4qDIx zVJ-YNRYbl#ML4TaO9jcOHJp(*C8)iATKoRl;2=pN2z}qXMfR}o=N*&#^xLHq zD4wjwmSt1F^r&Z2O+oSG3t2qfWL;U|fx6QQ-Rwtw5^pe_v=SDQy#&%lQ9pqIX~E8H zNnCyjYGvDyO>&CXjZVVv+j%$f&?xJiXjsC4J@uw9#~16{&s%(cz8-!4`TbLb$DnG6 z*QbvXf_)KY%Rt-T`kfd>T41ILVOC#t;W*96$nRn0t)jVho`(ikn@WNH=6;*X#a&Jj z`gJE6z5$xl3bq)D8G2h3->gz)tgr=u&gdstV|H+JS5Bg+Mz?dWA!BEvPbbkw;!-tC z39SkPB&pm8i?G|6wJzKoBe!}T(>8)S^uV5qvAxvAy)a*C9q5rT+w6T8Nak?p^DYq; zY6~#njegSNV=(4rk(>B_mkb2lZz=3|<>(QAd+isZYJtDGG;?h3g;ne)NTYOJZUSTf&uujo8 zD9MLa{-Q{Zre{zu4LA_~vKZLulfTX5Meg;rzt5=|k0rD}pR`~~W}M2Y#1)J^rr7&l zn(;_x^RDYV=H3u|7erTiA`1!mPF0_Sm-{Rr2)2`g7qPk*%re3&JibGCKQ0R0SB=X8 zAEeHw=#0k!m0P~{Zbn*_B6erGiDR>l3bnR%xcDzG?AaEeXQ3TQCj z9eE*2pvK%pIfqXwAU5%pb`4tt^%#s|6sFR7h28uxUH4A?r2{GbMugipiojH!*RRrC zCZc}=W;LVZ71Wf~TnRHNvYUa=Ni>TV4v5CRlo~r3JKcuo!K`KJRXdK-U)se^-L3AO zb~F83ez?kg-D+z0yno3>bpbZ>Yum*&^i#sh{o2>$7Uj^7TSme)U84lOqgK4@wGKf| z!t4Dy<)ck>*y|A9@TCfs6%CvI{T%DAhoJcUecVUjqi#&2pDCdpCi~4se{BMLbG(Y} zPZdp%So`1Qn$Vut0LIU4Im?SoN-xrQ9Dy2&{19u8$(mVwTTz6ds5rCNF*uKKRE@2y zl)2yWj;A={8l>SZdo|= z=5JbUSXcK1bf<4sd>=(&KYNoCmG_j5h)7DDtGDP`lZNozmiAXdE3j6|CtRaDU? zVD_kCc)7uid?1VLYT&l=Dc}n3^3dbL19e*SUQ@zY%2ko??Yu%rm%0*{&X0l~>VX}+ z0Uv>65k*uo^e1ew0ba+(pR#m*d>pjuDOeFzpG^jV0cMoK)q?6O?xHi^7bM$71Hxf* zG-z~R&L!Q>DiWwb(HbF)?eQo<9o@ zK$!S-)^C3ar%j9@sri;ewsqyx=k8zDABV|-PQm2SEcm1s;9{;bZpKBgFUR7pAN^Y0 zVQtSi)7Abaf3oZ;3p$t!qW991kDO23d%4efDcaqpB>Dax*IAbL%lzrj`5uWtv(E^l zU%FiH8pbz+j7jb|kvF#-aK}+=pQ%4yQ{6zz0*C%QHzhCXJ*>(21U7`+-ygRTIIf*p z-Fd>p>L|Rl-4B3a)>ojJy z=|Q**wzN#tSl0EQtoc2eR{6q2c;Rr(kv~0_*ST_ZjF6E{3g9nPmxu3^oXGeR8Nbu5 z9Iqi*(@YVvANqN+L)rTMXabN|rJZ_^ln2s~NEVca@{Hm&^BCm5DIcSvMy13F-S-27 z&#sQ>2(8$6n%!ME#X?yzCFakjIISz8^k;0Rd;1Lwkm|aRKMmMr=@2U;4XRSxV%17v z7{_%EH@~vK;w7tM298JujSaavL)W7t^^|%`RW~(ejuL z9UTLFx9>b3pJkI%HBFfOiBKON<-6w&6D5Nyq}NPsojSJ|<5AFvd|kZaI=sp_l6Y+F zoud@>%@WQ4u8O8tLCI)tQrhQhNo#~uH0J53R$rv7S4_%SbWH-IKxz)F7#0B~jjPxG_%sUeTX@2=4gI51(~G`- z-{z823XRGr{rqiu`BKjo_=fk5pAd0cSjA>FeP`nr5_{9sJ$tUI=UF^BeUA0m1iXl- z`$0y1o#9DHVouSd<1n{;PRklQ?|t>gr`O9ld)R^8t~;LtK4WI6xW(e zK76MW@tha1AKwT887oH*4H6atGQX@5(r{(x*4B6R%%V`) zUp$0qOVqscDu3=#Fo`Ogy)GW3E>{HLvD!_3G6vlZCTl$X*?km3#laiQ;+jEW$-@X-{>Po5djjval9reMyZ#Mm zx->NZ(5I{wRy(}DdvJ7yihtJ_BvlPiGqMVLBsGq3guu%pS4Ay@v`6ltrUDk(Yt+aD zfQ7qFz@$@+Qrztxn?uQ)S~@iQOG+;l2Q=t2J!70?0NtCYl&mgM$t9m}@e3su)pgHq z+*Z-ItoYD8{0ot_b4T~)0X+A{ zUZtRl!71|Vd`6}ubWY9nQjg?qQ$gn~w}OgR-VO8!yxUwJF_m9=Tg-P~R5uTQzpW;h zdhryXVbd8$@9uL7%PB`Dwa@Kg5U84$OiD^SbfbCtye?h=m*h&1{XYzjpZO+blu%yd zQ|uaBck!ZQ=a+Qv_;P&1229-yjVe)j@w~b4MnQ*K@mEy7hxl49twTRQ@@}1oKDGdB zJ%NZ%=(qht3MDj5Tc#XZCn;(9^=w?jlM6p|_wv^Q3Jagi&zrx+R0Ae{GYCs4=?VB0 zHFxt{4NfHE+`cbW2?ohYU3!C6^j4qPdpI0Z+*S--Klhl%kkE5aC8Nc8nF#Lrkr^gb z*35~?dB#Ji5078?p>9BY-8eRjg-3bC;3^=cxXvi0pIWm;32v$B)LvEeTR=R;SJZP@ z%O-!R?KA=ip0p0lQ#?F$;8*HHrM+jD^7)~3KhqxgR=>Gh!hNLyy!C{?8B{bZ<36nu z8+ry6eQN9Hkno93{n+?z2_dEZ35-phUAl%goLykwG;$3tuWQ~Ae&Xqyn7NHX$=x=6 zC8(RvgO5`Oc!VSjEc_O$hso_I5(-8iofr18zseh5S!mXKGX?ro z=Y`}2#Z5E%VyL)eeX|Rzhs0~w(A`-AhtVbCvn7GsRWlSc56j^~d-qGr{UV83Q z+P?Px!UZ=Cj9qd-{=j9+F#0V~^@;&&zo;K8WG@55OFFu@4l!ASySo*#6^Wi&MM0u49S)b(B#$g>RTU_#1_N$U_xHLfbCr>~UIdv15khbNk@r-q)4NKwBkp zcO+@jriFkPaYJ=f-#M;hv5kuz34NuZ!Id2)frsO8}ue~Mt!^$rN?TwFhO zeN|rBJQxz0@)`_G{d_o_Sw|s|)z2WCabZd~+aq~SDOS^SMaSxvc~Uc&hdj1sr^6ST zz{x|$Eczd5ucJkI=1zfu3+0E%A8P09HZG4q2h6L4Sowgt!?fR5VP(77I5+Bm-Yz$Ed=uC(Wzdf6{cE;{SDb%H`#({>W8 z9t_R1%RD3fxIju8k}dooy?g7%HafmiKQ1x#bsf8ef^ty*b$o^XmTFvT&YSXUiZ2Vx z*V(z0U13({aeN*f3)fOmy|BTe>IIv(ZDu|p%agLsJw9lI<`62^fBK+knad7D2rvtZ z5neOSE#!8yAe3-muMwVNR@IOEzDB{sabH3n6gSH}dXn&I`hbLA-N-)Rb=ScB*;VzI zkugPY_l~bKn1aI#+Nbt!hkl)7GIIpRe%d_4ODXMG5=Vb-N_*7xoALwb^}PE)H}^zf zV4ts-gqla^-D8eGDT^qKq|Zwc&pqn~0nKC0*Zl~7Q@`-9OQ_iTt}*#dV?VK}WFHyX zg(ugI%>z>S3*5jcG$L)`@Cuxs@<_dYaQw#=^30B1w5vQpWIW(ORO2R>Lu@0SoglhY zCC2og>4ijzP4D2&)Abt`-x7Tv(Z!UfRe6X z;BIdB;NqTusJ>_B^!k+tS(UY)Q3xpMS#6Ws`}7MQgo4KL9SbWD%uV|6@ zbb3dU&Z!6EyJY^0?Wo{N=+7(cVyT&}OzbM=sp%gYzO3qar4@G!J&-W<$oL99$NQ)F zlsAN&KWZmVR@IE0P%v|v!buzB3fK9Cp4%{R0^w(~znENzchjIR5|GaBjA;HQmwDWJ zsABhd__k+qIas*ET`M9HSFOtPl+q^cJEM?S_2x~7)Qsh8H+g0AO3&d$L4Cu#%ebu0 zzSW&ma$w++QQ7#9IMWA#{zsgthd@+9P!j1ygh*;_ZCykAKiSY>I&>KSf@X81^Z&{; zAzubjxgAs_U*>~Ay>f*B$xsr`!QN;hxCW50*&lx<1kPZPAp>biMA}0kph^vBXn^p) zh$eJtK1AlkhO8M9&H9JWY(`o%A#`W!10|YS5YL29*u!-P_;8$q?AhG0AmooA29^E!f(hJZbl$RvLL7l z>;K=NCK9)sMAm)g;26I653vbZG%ARrL5b}j;~)+m-W?=@#>x3dUkgj%@j;3+P!Je= z$l#xYZ@dei5`YCmU=tcd|8F5Z$4Ce_(tHrtk@{YkUJn@=NKCUGMhEn7{=;c@K^Z2r62dz74M1b<{yyZ> z4&lBWH6zfFY1ZLhB2Ka(n~7XGYes6jXF<2B@xOf_x@~qi>{CIWHV^f<-=qJM>%+f&PbyhT9rh2=qUgCOj`7qX{do3)Q_aV4V$3%q@{# zPMCuo>MN0CH1u*tlR-T7E%LlW#y^%?cm~369~Fu?eGmYNYW`(4k+3H8jUuD#>m01^c5~N5AUt3hp0EtBEX2P;PPpOggFyJ{ zArSt02(*BJz(8LblIWs;L^SUzki%x58u}-u7BLjEP>fU&e`w8S1lb={6Y6(iTY`;g zWn~>|XYdvg(DT}^Xe5Oo4G=TBf92XWh;H)>2tfTNY%W=l)trNDBt$j!{)^OXMj#}z z{vXob0;;O!fA~Fz?hd6!5Tv_1q(LMFl#-SfNdf7QZjkPf?iA@12|+|ekd{tqxcm70 z*8BYLUBCO@wca~x&75=K>^Zadnb~LUJ)ik}VdfTi2%)GVv=fkAM$^v0(OeJqvOpE0 zHG38DA?d7}n`dGw)K?&yIXlM>(mX@kiE4-P|tMU1D6FKZ8I&Mm+1z3g27_5bTUq(RVAhBi zFHB%C8$VcK4hBS>Iy$?!`o#rl!jcLU%^xE4)qnlf5Tl&i{-I|D#s=#P;(`ry!eE1b zFmq9Jaa5@5LvS+mE&fAjLyR`0t^P6tjRHv6Tp(>Oh>H+cBYHeEpN4wxK@KG6^!v;I z2i@z0!&so_tWb4qCXkX7`aU3B6XG?YF3-)wDz zSeQ9E>}?Pw%3t1p5e9=r`oUmPCNL_9NJcRKF|n}-1Uo)HJ^>O@ze{U|x_Db#5F@O^ z1ZI98tFyaj1X_oSApM~a-GnMYf;j&I4rD}^h6X9ke_($^--f)p2o3eo@#*>HUlB7T zAPVBb`;T4r9}N+kg!w&mi0wxtRj4-DBd9PJFoB~!MkIAV7)-+ih5^~8A>JAyuKi%> zPY_tAmzP(N9MT&4RucAsz0(*w~<-wHGqt>~8HCW(S*r_Vydp%GpjB9@Kpi z>Boh1gmYll7I0B9XuX5D zxTKVfjEtZt~hUbtNYuhk8cv4a?RRIkI)%(Fpp68e@io>A^ zXN1aCbn#XSW=6O86+vEKz}~wrp2D|aZi}~+aG2EMEjG;l{o*_vCbM{p4;#x{d8xFEsHQ+eDewV zlEAyi11a9&V{}-|m=`jQ^nXMvoBKmPtcvV6agIo(ho%A}iA^FTld}sk2TJ~MNwJHx zTEX{CL@wAOj=!^RprdJ}TDZTU@rl#%-!2RvU zTu2PzmmklQSO!=x>m29=l-xF3q{2AbMZZdT3q(=c~7@Fv<{=_`NH&BHo=)tG29Y`DogUUl=DYu?7k zC4M&#b-=1+M;H1@v+0{W6i@R+er1@+v&F1C7WwtS0gSUs^<|!yr#3EfzgNXjIGq1k?+tyN^}uJ>$nP%@<-CU01M@w6iYrw8=%>0z@ndqD&Xfv`L~f>VV)eZ-(jBwbHE4un4E(eg4L+P z^4K4{JI8TyiAoLsP7NjDq}Et>wo*qo zms^5C`6Lnb9oM_N^NWi0I<^dvE6s*#lg{tmaw7JNguHZDa!=|Mv54RU^K%xAbNe>Eq^|bo@LB*mA$sMdf zE)`RB_4iCgYxj}AZ~@Oq7KiZ@Vrxmc75UeaDg9HYPM>8Ls|hiy99#72U2njh3=@wK z=eW7W`yDY%JXNhMf@W+AL^b0S9lYzLJD>LfRnhql{L_!6ou4Pzs!ON^&%&;FbEuLz`dPn)PZ=V+~iDMExHfUGY*Y} zMnJz2t!3Yt{M~bs*`I?ZDUEbu^-aEgPl_K3&(TC%;nM*rGBa4o(Cgs}_^h*eVqY44 z$WMc7_OH<7TArB0yL{(OH5qb&_c`V-ygf=4g@{Ak^3(-T&g>sX24o6+?6lGaU1!Vw7wiJvpWzKgxb5eXJC z{>E-t)KIA3$NtMwW{J-~A%ADYG_Kbb5BS z>@o2{hXTiOl07-yim1L{y z6^ns5!Qy3!4}eW;d~d`bUKKE!O)yRMsl=B&A$T_aEiC_ChiV;()(vQQ=pA_55Y+dE zyqFnBx_PIlI-8o{bCJa47+-rMMd3Dh1!r%>n8RaI{?=kEPNI#kv5e#{qi~Q4tBk8D z6IXfzW*!>|g7I)G)5`b@F1xi=mYVd3$2K0%nyRh9Tj!a8tN zc30Tpm;dD8za&e9@8AB`BU}yBDGtfx{NzaiQs+G2XU8f`va?Eh_+apP-6KNC4wF z*r%>@TxEjNq$C}tiT#zhj1zv(8Vz$XQYzM+0oImi$p#b9NsaV`;(TtCN_2e@ zWk+Onw!>2`&4;4s=eTZQVAB#IIH~$=xqd0oMrQiwowVl1saPk*%fzo@u6xez0q+v= zXTTKm*y>Vga`0UXBfWqf!}vj0^|5$vpDR;?#;)!^Z8gB*+2WF7#qI$KXK!R1P*sBR z&An<_vp9s`K?sjo=$dgL zjav>YMW$?EvVaF{o0Nq6e?KVMDpxsXZ&J^BH#^#iGl4wkF}OCF^9M zv+!VZfstg&Chod5NuPi@>Qy#i5dKCpfxp$mPCe{>cq2(sKhXKAzOD@3-@yCCb`9ZIYFeQ&hMJi2_3WecD;Q-~!tx9>6H{X)GF zBLT=M!7mc&E96R68|m>|(CS;avyUoqhy;J|D`}ita(4ixTDet6Ef}R2EQ2#Sd+;6W z<3-^?oEDL7FRALtQ^Hx0dJpEvjo!J3*=PML&(V!j6FYImhfw~gokFf54;`}$>yu2S z6Stk8t@`i(I|TdxG{0Wo{Of4_7b*RhCOT(52%4Bwi0#{vlpxp~w4B)BaD1*BmZdj3~dME_4Ut zCr5eLrh^Q6L)ZOnXakj*sKUOGsf%SRRaay#c$(A3>fImD)CWgwNB$NOmWgNhf`=wX zd{2N?EVa`SKfNBZ{SafPKi2#2;8zcf<6LdWY^*14+dvW!w(WjjwxnIIWX5$kb*Niw zeP-Qzfe}=K-gRhnS7~qtkij=?s{Gi^Y8Rt70{oh2ZD1QVByZleW*9yd7ts+C05B5K z)+w()m4Xv0Em{gj7b0OXy6($8kb{-D%e<|V7KB#$zG_K2`C3n3EVOuO(1)!bf=Pq( z;R(_fcfp{(3On!%`1$+CD%0}*fgfw3<8F&v?g5o`Zdz1DIX&(XOL!Hyy1qDw8{hK1 zfamC;_`EL2mCmp>5EUyx{rI`)`dxlLm|%OgpDMOx5A~Q zuGz6#3_9%Inz4LI2-I;^={EyZz8Z6l!OUj3i^S??Wj}Dc6aZQ7Z~z0W+47xM{~`$! z$pap5gTOlN+;W+buOD|}9M!=&%lLwt-f3qJaubEPL2>rd*LnlSv`0R$pGnvrl`Lrw>(D`dAv~H@H_NEuR>~2?dLaDOK zX6$;I8{}^enxvak12;deg+8iutV~t}%x?%5_xI|f3hH8|FrJ^$`GYh^jF9>EULw=jFsBQj85O=^0So$^l zLSTGr*g$0l_y})5XnbhPJaOrjW*xoCXn~rkqgP3o2)~-Tdj1SU0yHaqPS?nd9kujR zsq7cIAv}I6eD9OZD#cJ_UDSf_b9P|8#$TWACoC+BVnU*m2Z7VJ!cwOin6FE>jfdku zHkW}Sa{R!7F?a0g0z4nFvpNpN@ywX)oCfx)Kbqc4=C`$=zewu`e95Vmr>LeynuVsm z+`e^HF34$jM!x1*Nd+1VRN*v7+Y_HJc93#>NBY%vPJX?A%THGp&gF&_I?|ID1Y$o> zWs;{hpBAPWwe_BkVVo@1X~yg(tv0R;$M6ezlPuDc9hyu4T!V7P>gD~ zv6LuzlY#X>srDMA>4ofPCOOiLY6-kLx=}+H=6rTXi2OvIE&qV1=4BB!pzm&UNSE>7 zz5Ck7tcA-c_|=R4Cs@<`m}peJ4j+P=v%%c>67hUq!{w;B)k{s6o0@lGbrUL#DqHR9 z-)-FRosR)WjU}}x_5Ldyu|7F;lapHA*qAX!!rbq_Sdy#Eo<5TVt7iI07%qf(KfPB) zg)Y8G_cuYYE1Az7woGx5_x|jvfaOoJ-X^Sb^~7#N3p6&-kwIdEg8NqwBYC=oD+OC# ze+S8)@g%qr>Ptm4By%jR>m@N^Bf=~JZLgn)RxVK7N!bGqm(DgPnpPJsJt<=wI_Zhz zMIQHd#urtO9=f2vrY#!;_!KllTe5x5frJ=8-?*5Yv%BG+C;wqCvq@5KS~hB*2FTI@ zj_=Vg##c5!z$J32vP-aoQwjF?#M6vSY#ghYMZleo^uUo{IgRCIgg1}!n_gq$kGHBeqb_PNc{`)vu04RX{K5WT?QtvISAoIlQNf0nENX}6?DBJC}UbXT?s5Y`S+5#y5R5Wq(dHDE$hrd;+7v@`PD(oY>DlU*AyNiX*YY$9}aed zrzox~(inqedx*Hr55Jy+pW7`O(ZsJSho^-to?jqI7AU4ea8E9J+=ce^K;@s%M)Rdxrx_nxl%VCQ_Y~G7EqTO&+seo? z=^{FnqF~2UKlJbcyAn!t0vx-TY_+L28Fi~oA)qQsnWr=c!ZAw7vA9o)&xVA^r?B9w z=F|%6ipOhj6t$6da_a2$q4nAY&)lzX2IDH;h+gkIXE4p)6w7tIMO{-^C1mu-2?(^IzL3 zT%JbGT{QIX|BRk?w}L5+5w6}N^qVzaYs&I+b*WNdw&=r{deQSvS@fR#$7S%$fHpUa zPU!K$aWKv&{!_QK?9H9N^U1hFA+4molAt`GN=d``(TxDlB)?^-RE7J)titDvxF=Tc zGhE-?vwK{$0+_shD1}BCMrW|`jYhsf-4S zq-Z{wyn-6l){%$BZP-b)wZ40eZ_5BFSDyWtTy4Ks=0_#71bx5xFBNI7v93#Dargv$ zuigE??7Dk%ZLxw-c%LeHS>N{CMwfXEFUsXfkIXRC^#+d95~|?v3kexR(-P( z4c(7?61PAuul!I9x3i-SALI?VXYh~awnWHTat^GVzOyyrDLPT{a#DERsXi37cMX0` zNM?-oYvHN(I8@I3+A5nNcs-P%l~E*-H(;6acq1OLrdb5e=y+b^nH{b8&-BI5O z(H9-KJeUEkI9p1{%VMnW(WXoGBBx0FH^=ei4 zs6XBeOm|^LTJ~Pb8r<@xuvK!k0FpZ8?@{n7(bw7+4`#hlDTB#RGJ2gBOfAdQ-WVGQ z1_I7nPr~)69wM2^_n)YjeeJ9HTq&^p39B<6(Rv;~7o7mH(TR=joavu=n=C6Ei%Hy1 z%wLp`=ShF(Fz5T(FdPB{0)A2>y509FxNLP7fDpiJ+t^M)sUSDR(xKwj92 zBG8qWOOtdl9Ir$En;%}&EU}@Ojr~Q8b(9urC)l-}uwso};!~$3*ILHDTs*9PN3Drv z%iFvXE_3hFeG=T+-Q&*-Q2iL@6W193^C%)VjYd_;a269>h9x-ZQ=|#vbUV! zwrUw&0F=GZsN9VzEKTO->#q`|>j_T~`}nw`D+--{sGpEP4s^1=O0YUZn)8=Z9`dib zw*8q9s2coM*em$nB0b=OTTmhC>u1EYEc+@T9pQzXZUw$rSAXMArKp=k!)FI3 z29_mR>LNK^$7RQP2F2}{Lvf;f6rWECacuHLm29>FMSrykA!OqQle`f|X<1Y2(1>?+ zErSz3P|2AjAQM+7FtGLx`+mQYboXdL)?fI=?a z_!9@;%DWj%{9w`6H+mbLP||Zmz@%BGX132M;+ItWI-zzbG@`Ep5ORrKnyWm)r^ceU z+@#KGl-6*o{&?khj>V<&x@G?w;&zpvRm`9(sA?H`_~$KRap*j4{JL~Vbcc<{GBP8l zZxi5Cis%|Rd9}_j{XS%ub#e{H6_AWCsp|ZEfblG&3#Azxlh+*g;M)s18O&^a+Sz(b ztf1D9PA>4adtRPYen*257)MOH|1t=jr;yVBwoA#xX6+GJ+Vth}hEvMY!)xM~KCrZR zj;-rK;m~#t{C>%+=O5Mkef_d-5S`L1));}ldG6pL`8pHn(E}St22?KKcegJ$cbOen(i^>^m}%ynk-h@Z>QetA>`YsbxXk;=wg9c7ppW)5ehv6xP^^!5^3G zYD1&rOL&a#QFXm&B=?#4w7ddxK+PpBi?ED>or9}SRo5C4qollhCLURA!SK$pcgv;v zG*GW;PAS{Sbsa*E8lH?zu91lPvdbo{m@#n8ryt&l^$dI!6nlG#LdIW8Oi@uV>%01r z(X<{-!?t<-5`%(8KpPU&%?cSq&dSBrEiFA$r&rx8TrU8#+%fw1b|;Q$XO%`_G#!3jP1gc3hNs;WV1KeB-M0{&1;)SF&Ti6dq{Xf&Cu61 zLT>37Vm9F^C3W4j^pD>EkhkzbmkL_|$Qg`6>8{JBXDnZF9p{!mE(r*0dX_!N{jnw@ zqnUSfA85a<>RCC&!l9&QRDa->HEKv~1NX!G=f!zpZ9S}mffYn@BL64+(Tp>TX!7ubnF?qQ1-Rnk@&5806GX zg5P!X8`ya4D+PxqHmu&IvvUiJ%>D5jj?ZieqCOtriNCC$SRlR|wtuX#B%r&zjlF7! z?G;8TF*d~30KVLiszD2g1T+V~?od29v%n0g7^L+pq^$mG8|Qi>s0|q3eAP4bs}K$; z?HN(E4vI@2Up|t4YFg2;@06Tb-7^Q!xWx;bwrE8k+Pw6ICL zXX{@DNRM?22bB^zyefJ<{o+im!baz1z1U^bt3%=3!{ePTpd#e3sIsY(K~>k}-AZli z8VaAy>*-aC@QU`MGg_4=&wzuwUsCCZ1p+p46&+*$$bym8bqrQMDP2?FPlJ~ziG=`@ zjM>QCu~JMzCvu66+EIn60$stQbKkg+#OqLng5_7PX&55A~}W?s^>@XteOED`e~iTq-h}?XVdK{J$ZhNR zeS*)-uWVe>b3)0)CH6X+)_n=}4xskSPx|xXPHu5lo_Hds^6Mx~*SfD{uW~j8L$97u zvA+OzXxb!E@9*L3-Qj-L+%_sDr?|w$%P(F#EI2qZ^#h9-gydE>kMCchVY9M}o7%nJ zl2_CN&xThxEs{+*%OZ&FL%;~$j58rfqeI zs*we7$9Df1WEUf4_aQ9$U0D-VR6}Q2{O4_1+uWs12AiZZ&}xEq*Vz1zGqe3`rQPne zGPEUC|CY5scmjp3tC!?#LO{d7Bq`(b;T1NgOX%CCu1jn()<-Y>0$Y1boqf_ion8PG zji;8u;mwz?I*m z^{o;WE}Q^DDt2LUsld;x#7r#g{1V1C4sJe4)kDPh#AIBevdj9w^bPp~C`5GcIIXHj zTv|@g@@ZNZ5gD7LmTem=$D`+F;8oid8GVTqzo{k9`(d(MHgzEQae+nnp)&O=IXlm? z3^0Y%GaQ&OWaQ}V9^SHZAgpEO5t@&~^(rR5y?g5rgB^I4mbDL^;gLvMjxHTsO4g&1 zQ)-x5x9!9A%)GKDXHkK!W3h^+u1!3Q#-#s%B|>-n>pl#*aCT#B_uzTkEQT`hWBa+a zvwn5&Y2f_EF(Ea3XhiDX4X&v4E04LY z{lh;_l^?b^Jiyzu;awy`IXj0{RHCHrJ}~qPg(=%fs0}%~bONmoihk3L#qdzsxSd1b zo5c4!44fy*3r8ihNkze_b3<*-lZYDg6TOh z)C@$*gb+MP?up>xvUBqC3n6+EA-EhIGJ)NN__2TdmVYImpT{OnAZ`reCjSFYLTC`` z1tMMg!C+U=EASt3^6$NE=>9YWj6$F&10y37Gc$xtva;f^{v-J0{9j;4d%<5tESMvKQLNp>>FtgyfJ2vg|J{i4P%V z2uAee>>LuuLh9H~h?j(#1EV&9fJwwb1JGaY`N5EAY3cl6;IBKE9s!3kJYZsGVOM|< zGgXM2goKv}v`qajL{1{)v54SyhA&@3NSU{{_dnWLKN!pxs?-n4{s`NbvG5QGdN z$p2xGb08cOsuLPcuwf{VK>1VW{~$8|VX?<2C%>MUE164~3&XC=A7Db>U+TZiF(bs% zGC|NWv>6MOSrNU34bfZJp#l6C$K>*Z-Qnhe5-+rW;^O~EnV&*_ScDq3;666Ai{@5# z(Bcbd^q9bqpaHZ9`wy0hs4Nd=nI|w5) zLaB*>jeB|q5FH0f5SSSxfCP;mXv4LQk}yMnlGF zi)2&-L&W&$eT3xe=hv$mB##vo6a*<_!y=;d%Y)d0IN?>*Ignu)adc|^Ad(-!;@3l8 zYy&hz8k;QAFcGk6YkM~&<_QufT85Zo1iilw?aV$NK9mSdU@*c?SP%=;1QMtMQm8gE zKN!wGHt9QeDXD4b=z^r-G7lk1@Ly2>Kd3R(|23>2i}Fh-Id?*YK9pUc>vY)g20YbV6B%m%jJXQztUwLv z{QLsKMq@T$QLwA9SU))Y=B6YS7xtf}1gIETP~Q$qfw2#!ph2BjNJQ(&U@ZLU(+#Az z8%UurgOYGRcu5S*xD#d_j{^M+JU7jOVIy#93xvwn)-k}`t|SKo;dF+Y+0tSTG1wa@ z(HrQoCY-XPpK(E z>$$*O(w}5^kv^dfZOcA@B#?u{osGmE{l_J{DpojwO7N#D{)**t4H|y&73ydW$h;z_ z8Tf9;MLm@E3HwJYI>pq)J(FxSGDByE;QOKLIbh8|o=8J1JgjS5a96&yhz@VQ^kbvE zs6CF69J;>z+8@x{{a$V_$hz2EuW#X(fTge8Y1&q_npYZ~%+=HBGjV^ghLLt-7&t9G zcRnE=T9ta4c!r`kkMh7aNKwyKoPeqf=!ep7Z7quq;G;}^e&R>+21MDb@zg&P~>)GoEqG=0uyJok{ql7vHNk3XfAH>PBDFKN}$Kn)XQbM6Vf*Fz@ zblZ2OGeXg292aC3Z3FY08*{S3+fyfEPxu=N?>J}pG`gV1t$>k{s1BV{BSi@%ayXkItTk09DzAhBmfCyhENJ#P#0@NSG6v zKMfsxITyfen0Z4E-E*4#L)*?}+;khGDk-{onkjM0AVcx9fjdUb&`SmHK63#`hhj)q z3&)z=b)<3~tZh%RfZ0~I(zG?Ae8D*=lS)|vt&z{({1SaC1GpZNO0t|R(~VvQKfoey zd|S{WaQ)#fKNy%h{`6fkjsEy4X-spD24H=p92qGhgL=)w zN;8`2(dOYA55*V+93hoW}9`6wCsPvLEbbl2F361@%jpZMtoXoQWp3DlQ z%zq24=^b}+tc(PYunk)YKw7%oV;J2EHA5a6><~BBNIj6q$<=BsyCm|2cg;O{1q^7+ zB{>MeW|LgwFz9bY_xc?c`(FcA(uGXY7FJOTH8Au-HKcWZy2KMR;w(NZIqqlAs)54X zWs;KSmY>Vh`f!j(!M68=?tmH%UU(#A{J~f4dd}5=I3?m!z7j^-KBEo5`IYzpMN&yW(C$&|&*Vv4zd zw6UJSIQ15Vc33|5|>WHo4r86;GjRq;*{4 zjP?#c!%b~gE!JXh2eg8b6vva`BaV?oMfWdz8U3D^(P^KN&)QO)8fEk__6bmim9fqF z$eUJp_eXS$x|vpBded%h_BK1V*N=Xo$Y+5-@`SAQUKRC<3Won--nntx2(RbrP>0nU zjN)~Y?y6HVFrBHuEseBZq{)07)H!~zgK;Wd(g$51H>vL;1&C_IR%~IZ@3rz^kUl4l_*-YaWd56f)+04J%Z2& z?InPpX_cxtCI*4Jh>4efem&>Yh0vvB)5=?=O4q47Dh!W+1z$2)C=ThW-f+BeNukL% zCD>In5je+gwz}V%RPwPB7~Vg23hctYu2Ry}^}3(0s@|sO8d)rxtOpy3q<`q72sr3Q zrQM`+HoYw!6{TUPx;azK@fd&TPOi#4sJ;oxWP$lUcJnT6kro>Zfg_B?(S}XeSJb%9 zLX#oiq|Yqk-G;%%?lhm(gZp2}9z?g|zPgDCFs5|1#qND(#71>(LI|DAqtvfpaoyC% z>1uQPd)~|VphYPou8QyF1B|uFb6az3aAJnb-}KyEg)XJ=p5t1Tjef%7?vUG`ndM*= zCEkoL=b-jyOk6bDgZeOWUx{fCh znev;u_kIe6_MmjOO#QM}=Mn=5HhI<~olm4G7DPA7tNe1A5+|UniXu2M*oI8Hb*Xa& zBo(z3s4_NAe|#nW#8Ob19DhOOjFLrOA5WHqk1!})6u4}{+6f|F!S%zr|L}Gsy42ju za1@fL#w@dCz&q@1!32Xn_l|5j=k^D?pJBnhl)M{<7Im|7r?nsW%`gWY1eO5<-fqXD z&lfJm4;@z-3X zT4s?5^5Ff_U^!@3?j04C`coCF8Pe=rwL{g?wTl$&KUoHJ8IA*3L_qsccv4TIKPHR! zC%>}g^k<|N*_Dv~A6P;=bmilgWuV$q|CX40Jv8pNF!F1xPpmHk zf0^L{L%#6p+L*b7b$PT+827Q=X?=ppPFyvkqU*aw<M*Hi8zplt*MMJmgAMQFVbcJzkaSBjGL3B$YDuQ|oXnmVgykh~kK{n$ zD!xbM3!-ACpz1v?A+)JC3c++6BL4Bip4SaB$#Z8?C(lIsKvZ5$)Y4cm+Cgt@XYYZ z6{z0NX!3fTqhio5U#y-7tlat>&3o%U?scfCxwnPY*c?zBrJKoU8P&6OspXDdf~SHH z=z`(>;ta;biN6i(gdH24^mUipu+y0%65c<4FAjQ1kWky5*r*6G>GbS)N^QTX2H-KC z+TNwGi z2g_Lnz3FO~sOfsxY+JYhS|KfX;@h z@%w8T$8k^~1#4$nr`1@zXycHT<6gk}gzADr#mqEqKuNy#@cmsdm0#mVN@3Er?s5@x zznF!Slw))GQ5`YM-X(inF*Pv{FwdPq<#z7>Of?iK@4(ttYWjumC<$MwNjPijV%*b_ z8%S)TcDA4km$JC%%k3JoP11YdO?6h$3VB9X9k%SdegHgb8RGu=uHIYGn-<0OeFVb9 z%+I?!{P!H|jnQ*ZzIcE|4_@XE-|xyFtzqx~-sAnq@SKo6mP5=uB5!I%qnMP+3%RiJk$8n@*>tq6`Yo_G~JUnC<*y#u|hQF=PcD`?C-d= zt1*@R0C-K(31W?)q@a#-mIh7`{qI@VVnnPE=w<bG|pAjtKO8FWqY2eI4{D%23gV??126_7TU<=f&eeBqY$GbDP2X(9fh zjVN>$6=45wQ0afgRR{k!z-#`kj(q3;PvDh?^&j9B4SKu}HSPZtcx5%Y{+wb{p59=? zxVrnbHF4;(;MqvCiF?}T&5Oq9ej%Wj0;4R%giWqS`s>(X6bi+O^zOI0omOVt!8TbJ zkI)CB$}MJsQ$x1f^@n3+>k@BC*cpCVDzkqp;=}XUckiYJ_`7_0F(Jz5-jn$ZHmDI6 zwoH?5_$0RWkhE^?_u3#Iu$+47GvXol;f;KrN;H~vu{|~Ub^ZgTQBD)Bd$qR})*w~Q z;!L~j$aheMSXw6_mm?WZX-0^xcCgr}Pt@tiTN?=G2oVRIo@a?|$jVswEB^f5fkN3! zv*ur;{K^#ENmc>Y3DZ7;KD&zVd={<*&qGCvca=@euGgz7Yh^aWm)rON$04JAA%(? z)VkS;+_xgny^wWQb~LqU;&)Gg67%8>Hpl(^+ljRhsX>S7mnF6J*?X$O%bc^;I13Q5JyvkYaAUWi>cDljhtJq*C8+5jFRM&Xh5tjIw*+OqXzW>#y znIsKobh;fU^Oe9uF$-$>u!|+;YW6!<7^mFAfPY{MeQ%Ufg0XFgBe80XX+-Riwk^>s zk-H-lt6z(Un1MH^snP{Z&W%VSoj0f{r)$Q9In@RcQbIWm65(KAcpw=PW(n$N3^+rpan@y@6oc!gUYLxXY0V7v)l{pQOI z@iPYg=W}-*J-1Uo#E&yJd;`3FHRu}Qf!1Ydxc60VGji8!Ja5vE{eSU~z|mm}yP@E& zSm^}g7LrOw_jB@`gYTFd9meAA7Fn-ZxzX5Z#_TM?gO=HXJ*pd$1WCSk%a0i@+Kv;|_2NzgJaD^*>v6e8u{Q3!@q*sp&b(J?e`0Kx#7=WqAn2i}!@{aW`8# zW}q#(18Q5=Qv%^U;&#$&da!91;TENLU=jXkH`Aqepm3X*tDuFxW60fsc=tzS?hq)w z*b&4zOESVty57+>=4V%aMH7KvBGt0NtnX^ z5;sm6dJIdxA9rsK$lc2a9{%rFyc6cL-r6jGvL%~-O-enqeEWTK_SqL)TR@>D%ecFI z?4cDZSeaHm5JDC*DM;4#jFOflhv$glmKwx~hAOYHW#^eO7A~mAI`qvJR?*|`HQ5(@ zPB&j~${zvdoOvf{v_T#!QodGj@onjjpAE`BG;IQD-y7yrq8D0#Jo`IbiIEM?Q)VBH z{K9y&#V0klO*7dloF^YxgzvW&0xl06*t0sJpu_Pq#y4~zOFiAq+fPQSLWTILOS^0W z6?k6Iqg}dJ>)ZA&d9KMxFni}M`woNj(}%5RqP(>wybfT9l+4VlPr?K(o0ZDSQXI3y z5f=0&&7=s4UZ_}nVzVAFapS(8Mb}EOL@_2(v0O}P;B@n*p)EUzR3=4Fu<{K9Mh7Um z1`m|K2@*Q7S#$q(?dHIYa!RcJ^C;6sRp3)RC(!PG#-S#Qf=)VK{Q*7ICNOB=6t8oQ z300-{y1lz=Nd(X__)_a+KE#%K3P0D!jWtkkbmEh)7#pr>VH?bP#3KzpI%@XBvAU*V zN20^vldW5>F#+geN3WzsUNM%6wv@^MT1+is`>IMMI$WDll(wVm(y{zURFR=8HNn(l zcJ2DM;G2{E70^Ie*cp0oTmDTHG_PR|^vjIBlrN#2gVQCy1Vq6TAKLXFXuO=O<t&*3>+xBrWRf8yobGd>kBXg?;)irJkPoj?!Ci5MP^VL+YM*Geed!i&tG9KK)q$ zMU8s?a)rs8&%lC>9_(9tO}R{1oSZT0W{IBQuL_SftHK`zDDW3Wci3v0zXQ*GU`Vma zl{xTvtrX`HZ|0^^Z|(y{LL2Q{6-|sp=^Mc6#$klnwbe?UB82lATIHB;q8X{j%V9oB z^y(tO>ux8IZ86&N*s@9?8jz7QX)32x4A%C+)y>rmG7qqcXJIuW|zyH#$@7?L+IcEV0UQM;g<V7=Xw{1X&O=HSZMcKrZIrIx0bb&>#lzDZA<-k?~)eY zjI2F2Zp4$m+_&3X&hv@D?;TC17k@~D&0_pOrtZMDlHX|x4?+DeotVPl>9Dm};FZ>} z3d7<{qm&S)e6TiAcJg$_F@bCFS*S;CwH@2U4e-!odv8>__x6{iuux;c#nB#CeT5_8 znJ==ZAyJ<8hnGMDhcfH2w8)-?VriH{+J?L1_qGmKB;Fcz>hsDX?*t^UUs}G)!=&eT z`oUW1;n0c^cLLeq=SZYyhOEa{tF|vx0e$6;iSDiVnxW>m;jb40M}F$|+*-a3n^9Bg z{gyS^zMwp-;Q?INE7$j@j)bGOh{IacdR+e7>8^TQHq8Fx1`?2XT~9`lIGCZK0N1c- zEq3Euvz6VO?ik_EQDSHEVTuMb-&pY_P3bV@Y#$rIpByv2yb!D}37fv^#XDLk;fw7A zb;DwxjqkdAQj_O9{`sBbTV+djBsUW?PmPJ|CsZZ3=b-V$^sEJQuEUn|#FP6Z`=z}r zahJ=lFh7n21}(IWLqE;CxC#4T=hWRk5Ku>8I);*av?|?@wqCNj@R)ex_%9QK-QN82 z-y;>VLOZ@B4aid#Nz5zGw#T*3sQ2rr9ZI4k0h{da?A-9|dHH;?LUe0AvjVdR={BTV zaeZ$^EdA=~?SQxST6Bbn02*xu*WudJjbyZ8+|SZR9Go^vo6O(HLT^DtJeEU{m#ONw z?IsV2H|8O_H$mpG<;x!*_mC;^Fj4OTF`tLj!6B^3pQ)&}nOd1&P8j6)M{evm>~^1N4feE3eHVlp+*GB$F5HEafoVLqCBx`f(G7YTBH`cX7phLzS%H!c`o ziSsdV)(&4fe!JeOF-nWCm(>TywdRXSl-vF2_wI737kVld=Q~Akr%-S;-1v@s*{2Qz z^t>UoUG_Ag&Si6o_cB821Tv+xYdh`G3bkX(4&=6_LBO!~I-f-_pW&&ecYQO2GRP=4 zkDXo-0&YH=986#XYPx%O{IcfG0IRfSSW27Zi+t`ZhvXxcSzjre7(z2^5Y`ZN<}zn+X=75v=v8Rrn!i%;AlP}4HWE2?ho_`O4J6+E}1 zWMUVSJ_zXeH1!Na^D2HJ-!E&JM!C=P$kfLE<@AA=cWU_voo+bzHF{VR(9t}#@L?nN z54q=?J8nm}2I-jqRD4y(br*J2bPv zp$CHVKY!moj>*VvpNFICdiwb0NIn_B({PV3_>6_8+wckuol^H*_T9OSoO%6qIjwVT zgS~7zA+S~eKU~YDK{;X!^2ot+yZh!g=oL$^@X6)Ww2W&<%ow)IvlMq@<)IrBMlKkO4+%rMpzRQIt*rX=w~fKtwtvL|Q;P z6hTz(8vLH)?~m_2_qoscJkQ=R$Sn8FtUbfbdad`Oihi`b7C-Vc?+%H<0#Ii+pAZnbl-p^Jm-NJSa_eB)}J!GLs z6Wt|QMiu9RmsE|{z~T=nyOu%Y&VZu6PceNH^DDTXGs`Fn$&43sU)IiuN&ss+pV(HO zOEz9{xx*jVNU3zq(z^Dj=$WG1OdS29o7TbU@a){us#W4P?ZfB;yncfZ*I4q$=Zj5j z!xPeqxwyE1C!C+sFB`H;tAY8ne^lzJVPbB3?s4dx0*BR%2V8pnLRVeVmMvW45{pSro3ymzgnE2Bm4pv$U+>ahbW5pJ40taoe=Q;V)z}f! z4NTc58cu$3nL7?mpz{~*nX9(NT?;>>3+S2IMI3{3YB+clEN+Jk<8sb;r-40ksyi;> z2^mL!9((-qF7RtSs#T6jeKYUezQDI44W~ab@Vbembzd4kj(dH@C|6wG`ToPErGsNl ztH6`(<b3y;qSx@+&7c*Ue4^%Tyrk1n`30Tm!7lmmF21>jDUVL^HV@hQ6(ti$;+b){2|3N zf5^EKw7N!E?&c_HJyiwT3C(cHDvDG0Uv-b6K8(?eyXQVWaA-!DB^5Mpbcv_Jalem0 zFA#K(e&f@1IYRM>hotmek~Fgnfq__+?qwT~kWAR)j zabjk9)qea_JZD&poZ54fiO;}FWR)!c2DxLy;6>SM0S$x0%c~?z7bLIfp#6(psOgyi zy9ddglZM&(Wv@PvQ!>Rry&Qbm+ApTQadG#uS;>n5R-kOGaM~)NtENfgx;aTD^#wh4 zzwD`n(3on#vvEi%dIQ8c`L6RX#QNjj%oKBas9W`x^sKpSR@2nOh|K=s&&Py-Szb|D zQ@5z(=P@IYaF1L0b4p(Cetcfbim#+NGOFJVF=Fb{N|p#U+MG`#|6dc)~VxI-dQdMgOQ{6 z90G;QG(g?ya>t_A&rl)N&sVN_#b(e&n?}UHRK<5Cp=m>{%NT)CDj@GeM8lTQ_eoGp z#nL1GNd_&alZWrCubX)EY*);{quMv0$>`2qT*qPLvw$heJi5k7RaJVP`VQg*VieE@l?jVZ9Wq^b^E%RCR%j;peV2_Ts zn^Hu(^0i>U=tC)X5t)wDe5Dah-_N#B#Ia$f0$X>XJor! zrW&7E@kXrFA@oUV?b`e2?C!r=o=JcPm29IOQMka~4 z6g|==xq+~{satXLDs}NP9=GHTUDuNC>3tSCw0*+!SB;}Hgz#li@68X5d%MqjzI=BK zc)l&}^Hj@Ip|zQu%KYJ@sJKmf5V4ct>ag%X)TA ziGg2W(?*UGKnW;&UQ){zVmCmrOxm&F~(Xx^Yl?=LF5U3xX!08MWhV zH%(?2_O9tn7LsU!w2ub`0_kM>9)XWO@rLGnA!a;VDW6Z_F|~JIUBbLT4ybB9h%TBT z6}ibGrR1H^Jwr%(Sxe6)^WDrU3C+37nvNhTyJ2@|ktMj+=VAWarPB;d9iJ}nU+6J<~mVBlFC^G0azP{#c^w!Tf3B}{)efAjtnMoGM-{&gvf|ZO6mkw zD!@{NZCuTUt}+NFQ9#$Hf-Th`1Q(md2@muny%0-Fufe6%Au+?s%9G$%FBtmjmz++R ziA{Sw$$Q0vd9Qi-SngGTPI8=Cvmq}RW~y7Y4_j&qO>Dezkb8T;{RX^j&4AahJ!~2|HOn=nVSbTauII4vj~)y zK?uT+Mi2q`RS<5M;BAK564r^c6N8bqH}y_Nbxchmy1aGkmbp2@N!aTNSc6y%V}H*N zE00gPk^mMcL`wDEv%;ymZoN8zlIA8HZ@}z8!U(~A-|;i z3ozUNI<^mkaBX|6Uxok^ADfH0xiyPGf|-rrK-31C-wQ$rzCqds)iylj-^a%=Ad>}- zUBR45wzFqp>MIn?kT0JE+j3pN6T$W`_~1gk{GVh@?8B{-jSyj@F|iS_dhqel$1c@w zXavjH(h|Pi3JSV^|2{mwf+1QCk0>rjUMwPfIF*%E@Bpe?Ai#uMEgC`UusyLJe)AIE z1An158o__l__noe24eviZ_m3KtQD+Dw7O1?4NX2p4X;N7fBkgOe;_8jOpiuzv2nH- zOOg{&3bEc<1x1WLq7B!JhKtR3%1}Lgdjda z2+G$Fjgb5M2L!;-%!i?2>A5ic)el137jS$FTOJ4rI6rr-Rs+t)*SuiFzFlHzC2FlS9qf8D@ ze^=kwzx+5kCFmpt8A-!FQt9wQMmBIlpDF$SpLf8cK%!|(ACY@vb>1SjMfB|ae$5fK?V zIn@d0=jF>YpulE7U%CWO1<}jb;YrXgg)%e4$f8k*c{YNeVRyow1QwRC0V|kBjg7g* z+`bK;17JDcb&rI}$Jkg{m)M+<_B zHT&q3v|Adiu7;Bn`1l1NK*j2PI8xiLc^cc{v6B=Guw6YMFc5b1p!=9B$b%L9xbP@c zNJw~G5XvTz7W!jsi1SH`Gj@+{qopgVs3rezfSA~FxF zFbi1-&&^XpmfBTupvKSB2#+IKU*Fu?+53i#3h#W3(1j2ab$>2 zp7ND3ckb9kBDV05aU*Pk;h1zh@?K_k5(USkkNL2J($K`NqFiQYQ60^e! zW76gEv&Xn7M1S@ZOxK=`LB8P#{u}N-&PD;*KL3Oc2F;5Bltuoz`J|Q`>CKbe6Fsk) zlgLk{M#p~upGx}`L;ieWu+#b(czr2o@*4xXPa(T4-fB^9pwUHT9o#*&d!@q&{~`uW zQZG^$i>K-0v71I8Fc^t`+}Hh{=o_fdG^Q=iK6j|faoLO7LBUtF59GAo5OeNdR!iLp zA5{YdntLuXy>3p4>6EewF$+yW&W#V`(!SZgo#*ReuLxQKvq}DadUEnFreqYi{mJ(7 zA4RO!4O`Lnw&VthOp06H0u^H)+bdp|2-gA?TrqZ za)^|bd@%I-)ce#6>K;F*vVIkkD}U>->&X%Z_8M>8-v$@BD!M;RG8?#v^Xu#WxnC+O zj%qIKf=MKCf#4VJe3DY4xJ2R4tf#|saSj`!v^QSAzJ()JdDPuhP-Fn2-noxHq;eq1 z=_KeoPJWt|W7Kdd=&RGmUs*f2Ar{x~gNr4PEJyIkjJn3sk&;@6iN_Kv#)O7lZ^zQ@ z-!TR>IsmO7tt6SdSx<3|dDKP~=H13P>&$muAJB7OB&MnU>0=F=dmi}?qUmn9JiKP@ zapke(4ZGmXk2FMwU3Z7Ihgw$t0J{dcnmRA;ytb={2`06zUsQ}jETvB?un~%$2}>^g z_6O(|v#qdA*+nxy6Tf`Jl{SBVjU%=srJ_jAo|+Nl)b@hthTE=JId``bk8r9M)l}`h ziWx%*=Q8bb^n+cZg00lRKr+A$!!P73zjZ(mV{vObP*9b9|C)S(Zi=V-C6#6!pmNv! z%O6dql%Vv$KF6hJ+#3cZ!TB8u7LKbI*~@zT76Es#MNvxk#GStSy`HC++C{ltTz<%` z7k@RHb!Qx?d$0@?-3|-!+2yAMK%k6#trcF&0ZxLb(Z->#=*HX87s(A^;||_V<5gy% zESl}s4_^V^TtSJOhC{(=zP)s}f(qSy5c~5tx?Sg~wOotZPo)6EfK!WS*!$j-@|vjU z>DBR#1OQ8a8s)cs?JZQfr*(xU%$QnA%k9#gEhmG+9-9QYd|Uy=YGM3^5ufKxOZE1j zMqX47#IOxK-J`U5olR3u`rE=7pn3Z@``e{d?`6mBSJ=I8iO%mFoY4sQbkT{QG5;?5U6tCbP^wvB#gdr82I)a~0L&pqJ3m=oC+|6aZIg7-%Kxp#g$ zVdB}ZHb$mHTs9Ywj$e+T;8UH>hpy%?)WeZBg^n8Ctto-FCI>;?b)Gkb+}=K02b9#K z-hx}(mbRd9dOTXm>AjR()WB>f+PQg$ejxZh6Bs#*QkU7cl#}vmdr4$?gHTE7Wv0!}GhYt2%=IeVeDIhJF- zIQ4lX6RuWU`^18Hl{QB zp!iK$tz1!P5c`kIBtf8a!ea1}`&(dj0pM2x;^sdNMUxS?pe zTEq2@|1e&rqN}mSW!u5|?i!^C-MpkE)r3qc*bB}UxO6vSB(GXUyENM2Xr_6|B9^5u zl~`-O#_21W7UFm%dw{|bjf|~ji@dByIG$w^lpun5lRV+ z^?BTQ_oJi31(niaJf+w;{fM$CnYrO>&Y}o69*F!7Sc6vX>h9;S{FI^TISviGqsq;G zH|O9;#Iw+a#w;M64>aNL@YmiRK0CiB+#xro`B{=6-(Qsd5tVn2@;<}(Eer^HtY5^k zf;=e*N}Be1(X*lb&Ofw+zXk90O~b?Gjqiqln#2j%H(@d=5{-U`_n9$!KF_|B=;9jHYO&$rwAk`KULdH-X0vTj8)ZXN7n~RMh>uBc8yxw5I6E7gG_~u@ zQ^+)Ljd~5Iyc~^fbD~QXNrrWQCj*7F#HgchSGhtPKUtqKD*P-vKyUa#GEwsZCC@q9 z3-~iYeIr9~TKY{pbv?8HNV6%afKs2H#hJ4V=I< zpK9r&-;3hrg)|P->PL-_2>?eKMReM*u-cdH=l3^@t3}xJqxdKvnP)}m$-O?8cg6>7 zhuyK_Tevi5@$=mh{z~`vz|zvUe=@nqNba{aap2FEfWyY*XMTGn<4*=fyLPToTuOXx z=r0f|n0WW={w+QbpaHrM6Ya$OGD4Xq*{dJamZ`4yzN@BOzK!c%I%p=K^bOJGt^F^&!J}-&BTBMq{w89Aoz4K~kqHx<0{Dj{h)2}mdw=)>pz1y64 zqey5+Pi-9b2jCbeW_7I56@~T_4mEOptgT=bPYt4#M5eq5qLYkO*ueO$s=*-tnBxb@BM@ zZ`E}`J3cV>xKe4o^;vLA+pV+F&z)bc3$6O1ZUf|zz z;8cx&|5Mc}JWks>{akWy{zkp-QU4mvuj!y`o_NtaAYs)D&ky%^nkXldD06de&G^4Fq@$@z5U#T1wE2hxWfRjvEsA{%snI=TpvmCL|ALy>19D3;~I=0mgBb1GR8>*VTddzljp6|#$YPFleJ1<28YJ&d0V?d zfSOw^1*brDcR<+jb8>Qw6)rG8Wjy61cQc}Be6xEp0O=_gUsm32rs{J@YgXnP_w51t zyzv`bw%K)@SFX;(zcG1Jf)))~zuZi4(k>C(bu(Kh;h?|Ktmp1^-LdZf3}pYiIQ<7s z0emkBKT7h1%ewgb{S&AEz$y6e;`AT9#ja9-UD@R&eA@;;uYj{eTTdoe|8IB;{=2;W z2XDcDm$(1mEr1`Pou-8|lFu;0&o5Zv8X13=_W0lM7W{X4`w!m!d&dBQY{4=8#PO$N zdcRp3)N#x)ebTH23Ngg;S0n7s7`rpU?o6>eGwkjbc4t1jgZ#e%)c@Z&Hv3plaTe$Q zQpcj8iaiaF=_}9wosONjRQto>`{l?CvHPetJIOzM+K=a^NPdhC<+_ajFqPW|v%R~W z9+#c+7P;9wshPF#Sb|%E>eg$$q%lIfBSW2cL5;{#EM=ImHD<5NR%=zII?1SV+--6* zu~Yz)nZ{k(e}PW zbf!2QxQEsJN$z8R8W%yQ^TytX?ZLw+*^Hz~l89O4FuQ9P41QW^JH^m-MrRx;c;E$@0H&x^k%&qZzMAT zk%W+{tGSdYk8f%PKfxX9mAK40<=EDuCqwuIS7>GOK_|VUPC?e1$9bzz9)B}y-LPJFJUa9x$gt1E>I=WLlI z42d50g)4}i0i01Bfn7rb)vF{c6CKlv^uj@J@mIr{w><-^%h?Mkd@@C=b-_w-uB+eSD$|vlCQ5pjo ze9t-XIXQN8#-0AqX8G}OH39K|0M-ZIPTnA+G=_MhGhTnn>BotYU<1iji_t9PvyQc zmmc0CKuyQv-FiJp8E7>ceIm6OdFr~%R#mO!*wJ2hDfLInlmPWZbj*uwoDEQ^`RR-6 ztNR{hRM&JjZxEho!CJUAjN6-jl~yfm^bb?>UIVXD z#A2_={Td|uIqIwDzM^}r9b~`}ctg-+o20C>hGloy9M`2@4x}q^zxXIZDC+2bjoT>$ zk>>72muc``_wC?_t;ZmX(rG5nVf5<02?rtP-!AH4w{z12jJ;7O6&kls?`K3T*_ zoyqg1=m~A>I)l`8oO`n6Da`lbtL``6vGVAZvuW>JAC7vzN;imxbG<1pdz+o|*<>)h zLjz_#4eX4s`^XVnmd|mKx2HNxL>1DU-tIfYi4o{v{(1!r(uzA2wT1prk9n;i9r~j6 z{%1$M_Uws<1F0AN<9EUl@VquMdEw?JMOVcWzYkaWO5`%jUUs`3m{H6`jzwO5T><{E zp*V6}UWNwmv@*Z2yME=(YNvo5Fpk~@>5Lk8e?$Wo-=EG3u8hj3O$kDhsV{%{N$XJ( z{JrhiMD}Xc^n>&`5F2{fcPla5;C{H9jWGXfv_jr0!A7wGfWtpCTNqAdVh1# zGB26f$FT#%^?>Dr1IcsvTI6WG-Bk2_b;PuSlF%S!$D)>ix#j{m73}fMdr0P$-4j%O zs)n-wA!(_XfyVPNeLhvcOu5&wz)Z6DhiZEyW47?ak-(L*n-o76-lJ%nu@ z)_`3kSE#~LR7rCuV#{luLuUVn#E&PwLk|k}X;nH1ok>B{;3m==*|H>f1GUEa6rf-Zb8043%D`A^5=G{iDDCYgiLkNtvw^>ZCI^^l`4Mm zI?PLHWC4p3yr=el(JPq#uerD9kH}rr60g%U_S-z<-Q#$ZyPFD7gA3v}SE{9UWS$_L z3LmpcIJ+%Q%XevTpY0-9d8r=`zGZaVc|L z3+P%roAZPNQ;O0pENnK_{_{O}ah*T!g2mHGrJ$p?wO_z&PyP9Wf+kwq$9Z`1S^9Oh zW~Bb;)|pCVU~}n)fO9QSeH@&q>YJKWru<@u-c^_X#&OCYpYXynTf3~CceC4aLGY`i z(VncI>rW4)9^vjax>II{ZS+jB>YtObEe=u<2m`ZbR*m*SV;qrL-Ts5Dtp?MdVkgn> zBW-|RwD?;)_9ZYN)?_Kxrgy&RS(GGUDJkol=zdg2aZXB%1gWak=$}X6koNhV)x-2a zGpQa9j8{?NV3K)qVKk9!ehQB2F3x2&aOF5&Pxzu4=~)vJ)jF|+x8pqFQppdkdL+_( z^{Bp3@_;4hFf(_tL`3-bN(st)|Cq?a!~LB70Mh`IUp~(#4%d{ph|f1R zD1V_ZiUSmh@+`b{V?Lz7MK6LQh8O)-9&NApykGh~y+Gm1zI3+iOUlgL5@FShW7o)c zAes37!C{O&C3RqdUw-aOJRA;9qG1YQ4(G~yue>&~_hZs(aLKA< zi^n@ED=#lzSY*s#Ibr&{8DVL|x$c*RqjUOEtRS!X)fKAtu$M^uJ8cn9`g69aYjvl0mwc ziNE37r7b%W&@{^wO%&rKiFx`RR~^R(9Ji({>b7SWIp7S;ky2)broAU;o{}&xcHM8H z4)EXd1#hgrBodtUa%vi7eba6<5V5XiR2tv%Y%JL*v^G6+KNAFQK2YF4eaA`B;Voj= zT#2vo@HT4;KTD9(2uzEr78M1qF72WDwsxwz9c1C(igVEBj6_Sdov(9UG<(_!61Av7 z;ZDiNh<0yut0NVr~8G-{M*W9K##Ox@G3RU#}Ue0;iZz_LnTL-QSYY=Mb$IOsGYg zs(;>n68}5EDbMJ&WgZw}wIeBy#MyX1{-UYtSU^E1Fe0;P*(fP3@BM+8X>cYGky2Jq zog`N>&3w^4v&tlhmY%nnjau*0UMAu2DsH|3YNw=JE1#s6bMRbt=h~&=Pf;$=zc{UI z?6k$J8-^2>1H@GfZ`=5W=D+D3_{gZNfpO3KIHheGTG`$|IQtz3j|A{)z(v{L((ssC z1YDH9s^Sq^MQYtRhmPSixApJ3GE4yOzrlQXzU}h;g0U~SqonyvSmU4tA*T`diR+yS z5Y1=<6B|Dm&WfZeJ&Y{4DCH2C)wuQj$1y1lgS?v4YuG8VO9F&mr8O&0j=W`p{rn{hV%|AG$sIF^x zdWqiFDWY+LTTsuzwHF*=9@Gz$o|lwUxM9&CaNX2t4W++N!qxONSNB zUH{F+?tZ|w_eje^VgRyWfc`F94f zer66y;1crmRrmVVX%OAmI=TFvmPN}ttzc^P+mBx;{EH&eXy6-MQv0^OYkHI4x@2l& zA0-+mtox*}eq?Ib!l(8%?Ku$8@NsFgg@%^xyRNYpu(+q4zedEZQ#QrUdB@?^jc3$A zBmbPj`A}+>rMqk~TaQ{M7xeEo4lM4FiYQ_Hlgk^%z%GTDepGyJ?TCV@i*FY~7DyG~vwC9ZJ zegBt7>QlmFOShX!PH`uzFI~dD@6`jOV#lVp1^buic!k9E%wwN)em`?X1?~0tY5zF; zc_sU7=&gCg)X)iQRJ5!j4>L~Ry!9w0Ycjs7xt-_&|0eHsUEnF?R~=T>Ju2nh9~csb!T2Uc8z5e#O*2tayMaW&EdXnmpe- zRyxsfc9Y_nSryCV(}1PGwz9UI)}r&MC!g6W>cf|f?{a=0CZ_fYStM_JZ{h)%g&Pvl z-n)fQcJ6|`PjczxE*XciNqib9Gq>A|{aC4NWcl#LN8d zvFGNfx)J{Q7ht{+mYDFR{?@s{Ex(t)*v=!NTr4tNtqg&A<#LMtA6EgFctmn$dB>iB zrQ;eYgOpip#`sqf^2@5)dgkx(c~x$}56nD#@?N)hPT*21*yXQm9hrp3k*V0nRyMcy z3me6a1CPO#uEuJ<^s#+B4u`<0HIL=1CSJ)I>RKjAhu~iL5}2b%(lxJZ?HyP=;ztKX6Iex($W$`Lr|tq1 zAL4?BsKh$O$XER36)60e{F${aS)10Il~X3Pf*MbNXa=t0EG?r?!yz#j@7eui4lr`@?NtqIsypfsT!vB<8(cbmJrE5Vg1H?cBId2j+ z>xH>=$thuis)qv)hEMto3{CvTCwbJ^5_}0AjZnpwO4%`>n2Ckk)~xckk@G!AV2(A8hH2KN3{TQW+`G^cGp z9qg4gv-32@P+l@?iJ$tFvHjyY853ZYHAwB}8k%oqVO3}`56*~4sh|HQqxRrQ*~ir% zT-tCBz^m4t;R8yhvjTEgZ<>TI{$!B6ZIiY36Gcb|^xVQCLEN*?wC66#I!t_F7SRm~ zOU){4pe=jZNyux4aZ4R%xBx8eAE%X#wGao*qwqi{PO7gp9z?SB-J&IBl6p(=eAEXf#}L9`VJCm4k2ZIpOE|)gVU_= z^(uAYY{V!*=+iWV46s8WX4^IEh0+bP=3l!T$-#S#!EEOByBq1D;kkt)n+qT`bs^=K zIK#K=GYmrVfqhJ}*PVPHX6F@FkBl8%xN^r4c;3&buHD9A&~}+T&!y@ZRn#VHbuXc` zcS1fOyJ18>4ZI&@FbO**Vv})ulvujQp<(N`+i-WPj_*d0)Qt$_R3ym5KfOZ6WV?-i zPM^Vkm5izWhi6aD>seNIX-yNf=kz8(X&aiF`veWF!$lmPcC1O5T012bwDL537{GlL{3Z4_hlyR(bCwK>Be4N#+ zTSl2Wgp~{1m6J4Ohe_$AQ3INXrz9!lRV}Q`Cl)yrZo1u%PE0xyeDrfVAc1n*3h5bhoJXuJc)XZ?1y#Bn3wy)b&Pfua1< zqaB<*QmZE@mVlJX*KL0!t?s!+B{h>MfS{bh%AxO0<-31m3_Pk@x|Y|N6mQ;|IXL3K zqHJaVC=V2V-4@d@38YjECo1jvLF};Uep=;2ZQFf3INSNMz1%~z8`?#E?g22Q>fLneIAC#^lg)|%cR)PKJ7_Qv5y?^+NjYmU!6L*_d4j-9Q$##I{n2jKJp{+V$ zmuLiWhR)9g5;`|8f0%BGRhK8|@~^r)ab-C<)L3u&EU&2J1YUMwb7Fh2?6eoQ)z<=f z8KhrWb~!K@ga9nO#6r_CEHnM|=?BziaMAG-c!@w=hQdMN;G&UJc*IzxJ!sG%}?@TVLl^Nmsn|LZ5b4 zvFNm`8^*?BgJUY_}F(sB1aF znZz(e_dhAR@E&=CElBa2np@vZEG)wGSZrSA5;i{;o1D8w1?lPj4;UQ_#Tqs__B3q} z49vtHB|RF!hfotzP52W=xG}+v8FmD$KV^gNl=Fhj)vHQMO4tn9|Ac6&!;qBgR8ZgD zw6{N@n}6}B!)#oN{Yps zSmX>NLaA^V#UY={Sf{< zM;vH1G5Qz{67iFCSV)y`nP(#i8s2z}AsU5P!WOKcmp(C?|52Lo=waE~IXJpRV_cB< zb{o7$upVQKFgFR3;jKtZZ@_5h=@R@^%B`?16pY)n#DPi~dkUV$`fO|tPY(=`{)$c7 zfwhOxM19}SlO==_8-)i)^)M1R@O*&B!rlT$vtSztM-YOX$9}^Jzu|&Ey%2l{;lBNv z45sbyLv<>A9UHG4Y>um^r)Pjs!N?Pum}1;ve+a}}36`6McR_G4MjZ*oK5>Mh5yJoF zG%?21kYU3ogt&x9iIos(p2WijOH#s~kCmrQ?;-Jry?qfQ02@J;W)TE`8CxsxIKBoU z_*mY*x`xp~)^Fz{8~H`}yWe2%r^Tq|U8Ok5jXoKsJSQq6ub9UlghEtc3pg+(86%U8 zLeyacSkAAZ32`ggNZ!}fS6-k}Ti$&<%Ok_6s=7?GNplV4EqJR36p zmJ`Llh*HKYfmMpVZ_41Uqdq~LC)v>O4Mi09@P z7U2y!CLqM9p@>P~aUrDGR>)w>x-)P*A+8tcr$x!qzVMI%1E}L8A)$a9}=icrS8q1sOz~3I_?XQMlOGkdPuGXs}`E ztzSS2#t)UA-uW2^uKpQpgqK%P{H`l}6t5RnA3Tf|ysj0b2Q@g9jUdDDZoKEyBxKkjv6Bz56A$3I_#ha*EEKjEzC)uHanUU(FB^tIp|%0F9L-<$aZI2`#sd&w;-r;TUL-) zRDVe|G}UmH0fNG1Gnh?5ig0I-#ZYjV`2ew(nLVaL!ExqeQtUwUPwYVRF*|mo`4~PN z=Cj9~$R?_7_V^U)B=k1_-v~BbRTLcja;(JM>tM9a$Jys6X3hy%RsLsPE1d@Qr+gz_?4Zm{=aFneV5GlSxP6Sx9p+nooA}Gd_p%vKvaOUlR)WAN zKYo(W>3Xla9H9)S@uv*6TI+~H!umuxmUB8>a+YJDSw+I|o=a_4VF5Ks@|C^;y5j+< z8CBzL2?G3xo?W^z;8UTMhHhr249JQVN890?vj1~+ON8sJi;u2{;@e2iQjqts>6aEU zAYK?EXgI8lVpGhi5!94eyc$yOc5RaRBMJEJzHI0_3%JGXC`ODc^&e)BDsl9>uiobKW)D$v-s|%++0H%&OwcapOrm z`|v@PXur#8x1v666H{dZVK+818-O-FyAVB~wx5mWp+0AUp-3(_-P1o37xKkBPelh~ zrGXdq;RvQzV}rS8I-X)ok<{Ty$RgVx{hnSt2Zi4I_*CG#aVD>$P&_@8H1m~5S#PpG}XAMUwoD#vT3rhaJ^}V)J5qf7f{}` z)#%)kzEOJ0`IgF8m9;-}Do(0;af6)xLNeO%8g)Q&aPw~JJ(+7{gHNW_{F7Q7RyJn( ze{C|<+lar5wk!V$?$5>9l?9gm9xO|bb`yK8C<%mhu)_B ze7u?GGP&z@5tU_3IjdgwTu5^^dA)qS{0^x0zNs@ME8{LcX_EE!*_(`dz*hPwGDtm5Dt72? z65(^Vqp`5XJ(I!VB8uk}D3VLMnXEA<`=Q2lbn8x8I+U7^oRpOw?^%bp6%$19>OUOLU}VBo{KkHW42B|%SAdG9%8 ze*8U4bKQNGmAu_ldZfOSraiT`oF0VK^&qT*txh@RqNseq_wYp6h48 zc0rB4$=hca)G3uZ&R5DQB*dz_G(^8h-gK5V^so@z1Ru$Ax0ogvBIaTr?5@5dZldvC z%GBMWcXZr0d)9aayIiy%;nHXgGY!S^y2yrW-o49wXDGt=#%^W&qqrR?v zbrkVH^QZj|`!u21bYM9XLH9@h`gICa6v>ltM?QTR%Hx)*`A!4hvIb#0esXwI;m9AJD`If>5&6)0J4RYA;M zZVm$KEAnd^8e8v7Dn%?vGeNT~-goP@=-tr3I~N`+3^IrG`40-b6ZXkS4q77j@gBKA@=in7q#-T=p?+xSR6 zt?{fs8>L2cJ?mb8uY>t0j>X`GHx-}4m%e}(Ze)XeS~0m)^ZvI9)PiL`MA(1f*Zts~ zRLj-Ea*c5a6!aXK;xo=X*Zf6k+Q1g>c#&qIhNPJF4t29SJ5TOw40xzWad`GBL#BpC zs{P0FjXU&Vsj{YlDpj(tjZY_^wypx{$;XLNI#aK|b!sm2JSG`AWy(JEr(1^LvUGzR zy(!Zd5cWmyUL$eED(5(!G(|N@OVHZqxwBT z-{$9%1p4 z!7JSx;JV@0w;__t)%3(-OSkK^9@;gRmR|UvWzkhaI(ul#69%>{t?f5=`1@jy*%`H2 z7AZ~i9cK$lcwC1~we^{$Wh%iYzt$rOL&J}E+HlSUvtD*%+%k!*I9K0y)kxY*{uCD* zSpUX5ZPs^H=39YxS2|Pb3`4lsmgO;(4DyYOair_{0MPJLoVX=?W-~F-GUIe3Cs8|+ z*^-cFB{GhpyS~(F{781U)X+-93?0T&c}}XV20j2o>XpI0 zkJ29y%9?5pH6&*o1}fN&pXxZ6S;{SFuiv{1rX;nsK3rg`4UT;Mr$Soj`KxmLB(nZl zyw@~Ior!bY^xy^}=4?<%V;DUu#;GXm<<~IuDpVDd^h_pwm%i^kTncd^P|1_GugZv` zO3-6J{#0$0)DzOd67rtX8-}wx91QVbIsY+Trn3M2KT1l>jh6gz3b)(wgE{eb!oFVU zSFz9I09*I#3TS_uH~I@&T-TfUJ+xl_dTjj8a+%*M$7klVrQbkE`;@6^Jz0tJ%WP6?9GTM3(!VDjFy z>_NqQqcc$w3ReWduPb7$Wc#x3H18}5U`nf-2(ta$D{iP=mKvII{Psj49bB)#cbL2V zwrhGU;J10sBg0T#mPzfDtUk$8248u@{XM}{;kv0w#E>5qZeiUZ=nS^Cm6oAX7XG%rsvcD!P%loC5~&!1+~^CE4tsNBHY z8IRIISuP6**N*6xgE^XWm3t3mWbfyFejL#0Ys9VXBI@rCF4L*y`0UZlC_Xj)q;Y0* zwu<)S6Ti4ek7%%YlfVA&;`Hu3Gy z{bxwQe@CSM3@MO;bCqS~;B33AO3MEb=|4*gm-+n{-}(>J{>4KjFzr7}`|lk?@i9L3V=;3yRH0r^*oZpbKR&Jb?;0ilQ@Iu&>s>?g z|L5=je|+C~47TB0q<@8jI>oRJ-veSd!i&fWfZh7P1hC!gw0ws+M=KWK*{1IZ-BU$-#*8x+kGtQ|yOD0Cd@d&z)9G8Waj{)|4Lk_U zbU&(e1e%@IcwV$l|Ml}nX(oXMdb%ecQTju8@0Njv8|w1QIc`N?Us2ww-LLVU+C*ku z2hJ`AgT9vTfc+^DSKMW~9)b24;%{EJqD}!RYhyINJ1mWIQ7SK0^Swj>pY)RJ#p0*C zWs1o`KHu+`Xr~)Js+DEwtt6xLOM0O_0Jc=F?|^b`WsgMzD~zE<_S`ozHsgX{?}SXx zdVKseoe5ZR400JpVkSb^;?h&!sjPbAfkGeRFRC7$8pXBg;N|~A+MhsU)rF7W_%X{& zhGf{tEM=Za3Wbz;o^NBuQ094_2@x{SQ<5=p%Pb-DlvF|_Q-sL7@1E!PeZK3ze(S&9 zwccy3bBy=C&#?D7oc*~z7btwS79Fg0r#eM5cXs*1tzMOR&nQM&oUmJ6Q>WOIN2K5- z@57eXFC#P$;~$xet4&^ua_P#KI+?V1 z{O>=l$>3Rt-E4og3>qJdEfY}mI@959SCM<6UiY6`hRf@@i}5QGtW%mhF@eX&9lUH; zCHa^6z3GeA9$49pUSp$)#c^K8}n&XeN&G+2AoTOTvT~K2IiEFw#nzOPX^qT zP_inzpsPT93(MrzkwHGFE4--th!d#q7;--T%+G|L`;hac>80oos;`Lw4;1bZxrpvV z2WAowo6w#vi6Zt8(vy#{dRdlKUaxnz+)7C_j&4(zIQRN}fS;Q6z+*%`l(fF(fr#~M zJ_3;%64h!mrFe$?*GrzCPeFsZ+Lxfwji#Cq@H!Emy z+2H<9Me3h(g5L#7y}nDnvE4m!m!YsReAUGU+K0YptD$AJxCf5<9ha!7eJMzN!wmM= zt5WXeIKTF80auSCHhhZ$rV}jQUxt8UA@a^zpkVCcjEektMl%_wKl_Wt^O!)({p^Pc}vn~(XQ1#3` zg%cYTNEz{cgc_ffutO=G3){E)t}NUcJFB|<^Scp|OWeM+A<*s^ej+sU{a$6RhYKZI z?oYewA3G7OXHPUOe{g&~jDu;452JF$97^Iy%*I&d-3dPkk6lx~;^r&S8_qr_EmqLbQrwzDMe|F7A#-k)$Vd8lq-^sO`6Y>uw76~^Ky-h z4~;QO2~_%>G6_)DCPfv#&->pRBt!@2_=-E;HRP;@_gaY|FSfoIU3WlHU!h2+UVCRR z<_5(qY5hxU@zU(IDcK1F!dpds^I2B`gC6>2u2bF05I1Ur>dgBTo3%7{zV*ei_#4%q zEQBGrprb!)^WZ^b!LmQ`Cu=yf4OFLZ>*^^J_r}_Com6_>0CA>-KY6NB*C^z0@2&*a zv$ZYVH!mG2LVH>N5EcPgRscx3C^8yqdVgVX{Q9#YhlcD;N9$k!v3>zMp`tbT`ww8? ztEBc?f}MD(Vagl>9OVLS7etTE~!l&wQ%Yv(u!V`@<^4 zlS52v(D7y01(H!KKQK8caua7n4|S#NjdF=;pEv(EKD1#mtj{C3N_183*BWpf->!Os zHk1r}{kbbKCfl;_(X(qIMWho1A$y(z$Lf|qp_19b!Hi#8rA(*6$U1{*si<76K-PF~ z)y*?MmB_^uXx$>TH43=E;p#d_ymhwGV#r|?^ct%*nPgz(lQI1y8VuY!|I>DYZu0H* zW|xc(PX?oRBsQAw+^f%Nv5nZI@7xAny8XF!)|dDNx|be)$1^IfZvVItz^m6s#z^)a zyTexkY#E3geREWPU%%h3j})br%BoHASsHwrm=mydQ0uLo1@2h4F4)1G0pWzP8!Qh^ z`A^c9Mz^tt(T~hC>n^+a;R0?lidTCXg-36U>XSa2J*g;vv-&+&dwe_T=>qeSj<_n& zQdB|P4btz(D5&C$FIM>H)h~I*X+#*0E#gQeT#p(7>)}>C`B%=vUqt4nnszg#_v8T*d?i=@{m167jX*6_G!1{`VRE4}P` zmOFB(C&D)3As9B@OSq)8q`{D>+3;d-KN0w*xOSG9PgA}^A76clkGA^Y`wq{;Tja+3 zq}?J^WgP;V&PN{jP5yc3O;vKu9K5b>a6iJ(WEaOrx0Q~_43pj==Pc&c7_ z;1r8{pO-Ag{=)cBc;P}DF;1byYqVu#at?AqH|jJKrkO%YkBDDt+1*Hld1x@djZL zy(0Z>kf89c`@lz(#WE}B$p)2@zvrbIQ%MRfwQY0R)TlylDKIhNnxMoz?Apj3>eqaB zvj?r&^7eDFV#-QN%Sc@Ik`|x3cExuW?+EHZv1*!l@hU)}1Z1KVi}3w@%63 za}V8*2Tm)D6xuSIrSd6qZ5>x5ZEL7ji0F<1U1x%8WocQ_k92ujX~nR;v{TVbs$w!1 zOh}iX&McJXfi-tStUX2ect|a}o-e#F03FZjk{}S<5HB90ltNDP2Q&!jw7ZjTKg9R! z@WUe;ilA0}|Amr&T;;C2E#=(@Y^=am>p;tRpL#TU^+7OR$#^XHwzfjiq1*gMOtbov zIG0u+j+_6ov1z>6++U#E5gP|@`m}4bTFkZRzMx!(7cc%@uxVsj8D0KU=Yu)Hx-R9o zi%*h_1=B^+cf9Dc9}^!I0|8$}_{1fRNtztr%+y{=BL2(tU$*8ySdj{^D{RJ>aK8n5 zEVLZ$HqGH*GKu2R^rxdQFM!8rSt3~leL4y@R6_%ZNbinX*syMq4Iw7uGkLM8I-K<- zfVKZ~Ks>=U(tw_LP%Py?+U>sl*4SPd5!Fn^*0HkkshyXPUAmUEaKkpB3KYi(h>Ghq za^8CTe&L5pH?$>f%9QRa#`&;R(=6dz53TP^9LdZ z)*iuc=5LsQ#&H5+eYcoj#KKJjM1@_Gdy0Q*GTy(Jzo}*FTD&YP0Zh`)QBZSRe8c5! zWD|Y#diB$-uWu0w&A1IB%9xyQTZaH)*K6uII6cQLre+aS+|c#zim;ZW*Xws5kIxbF z1;3a9c*>@hSa`%7Vh)e|(-#lfMeme;IaIzE{t+n5UW|SA2^xxEh`GXpdf;Vv(l}#LjQ~QO% zU6AUGJ?w+dUmy3!L*RkG;6t4IkDzvcAlUq76p&w zZ+`Km{b!C#clLtOkHK}rr|&25C@*ND+ybLpC}|}P>w9NbNEo#5ha^1tvG*IChw%NI zKO9#C71iC&?)mr^)%Fq7U-ElaHV5s4`bOp;u=T?>#buS)T=c*@mQgcD!^q;0&tx*D z7V+0Vidj4g2L4C5;@Xy_?K@X)T8`fdJ&A15%(RV2BIMDnte*LOGZ3Wbygwzl;r1{n zy7Kvuc2st6L<@J<_UM zrsvOff^Hvm*+yG8vAWMn;}?B8_qk1A8qcd{Y{I@SWDoK41v+Ah?Z32g@(DCtQYt%i z0^&0p>3J0FhY+%WgtT*)#K5(@>i#W)bB?Zo4Li7(jGaBA9@o6k`moB?6m=xw8cPns zCn9pT=;A`iB z^Y~MjG2GH;69!gqw+UG;@n~%eiUyxj(1M#@tph9yInTqZj1#yV(i%iJnaiqs)%7 zAY@=wxTzl0J$*H??tqYzmcD?R2Q1-SDUtfXz7?l%rwzH|`uNEgxBP+nh4nuK@hzR- z1*Jjt<_@)o-0sgNmb9HWUD20>MeLH&+J;W0{DwsI+%S10&07>YGk(=-Wr8 zmDSCO%D?`}Z}2$1u4P~!7m(gocl3Dttc=(yI5Ii2rA^E%r>N62x4-rS;UqFSd>IIA z^EVy}xn(rs(NMGMxck{Bd@w)Zv$lIO)6050sZJ8;8HeY04}aT~3#?f^rR8+=u0u~q z=ovZqpgANotX)GvRQfv>)qCOdM68No4ISj7@_mDA1S;zL;`hu$nRKV-z#^&JBZ}NV z`u75hB5)scsQ&tm!x!D)*tg3~?;jLJb{Xs@zB*+b{cxlF&8(oM|KoDI!q+SAJ}>(Q zzf)O6KglWtpK%yj0>+RnA`xxRrMxBD7v`r>rMOJ@a0<>9={3nuiMQmeZQnz z*gEtTd^-z`&Fvrk`knS75Uu%q!m4ZhIb;TP2%|EB(>vzxbS zDXDoRZ=m24C@CwSgv$_(3 zef#;Fj`gyrvAK;;K6o=he6Mxl9F>Tkb42>frdb6=v$*DI?`VZ5#`d{ODyG2b4c|p5 zQs$5yqZ9OMkm8V18MCd}<@^=icY3ezqRA`xPb>a?C%1 z4xZUmx`vs}vtRa0R%s+}Z5@*sIs1bb8wEv(`)<-H0u@2kB4C(F2Sqn`F{U zSFV`?=lHDB!R<4eOH#LM&|_a{x%;OU6x3ak3mS$#Js(_yPcpkA%H7uUig#rmZ7<3o z+Rmpo_Ixis>fUU9Pm?t!at-_tcM`p}uzXLeO+j(%X5StW9}TNcNNnNY#4(egL})C? ztiw}qE^6QD{fx_X#b#mah?!UF+Fh^2R|qx@hwx2*P}|x?rCV6v#>|(vaL%V2PuvpK zHT+RtIr(>iM}%ZbAh;O(i%6)J0yS_|s=NQ&<^j+BZKLiB;`5ST3FO05fz!aAO74!q z28mr_N?Fs^J~kbX(5s;ZI!VXF6H%Glww^&C_4V|^zP#$A=yywNTjvcb+D6Cc+|*-| zKm8V@mM{;D0Qmba!t;Hq-VDrBP&XVudcBXvbIIgB#V`&s4S z(Vsh3Ah@QEHlI{f+bw?SfKsPocpks~^R`+}d|so%FFH{$y8kdVsoXy@Z&YXw@OW5#rsfQ%3Rz(cmavP=%&c@h zH9kQ0ahy9=86POQfRv!TR@3@vGCqmhWG^~LzObe>zvC2_vU7P@*WCG?&lGr-wx39N zhxZIGtRCMrJoCho3G&^sd)6al#=$_pe7{UDRJ=^Q0e zG>F0_bb9b8DlVgQnvGCcOZCmd%CBKSRNR2Wvy_qbGP~*fW>DTV)3uaibD^r=Qhp&v z=$yA7!DjUuN8P|E8ON3DYwM&O3d(v9vulPo5j?A0(dL+ zNpW_cbN*6m0Kspc*bQPy^ZQwCUrB|prF3-leLD0G22t%_P9(0IJ2-N!x0;TOKJ zct%3UC#hxclhd=l7Yv%_Pv}Jr99~YJk0hp>{mr6d%ZJ%{^+U6Z7nlqlG*a`# zuKpshp<}sU{TigHJ4QXnnFNennvKiQMi&@|CZM1eRWo(1^nHRpuM6x~N%Ts3t(wJ| zo>$#|O@GzgBeHdXPr#`3r<6iuR{2ZN+B3Ez7~a&@LocDEZ*jk#+ScuaQ_=WIQOVH9 z!wb<_p!MK9y?^ru#Na{xi=$>%TU5xX*Fn{>yl&Pj3cWk8qCmpJcS`EOMWWDh!EkPD zTfeYx2ghVWDv|8+X30+)ap0c^g8GlM-n?HRrxUdHuV~rZKNOe3Fqr?CFxlBD|8-&h zbpk>L6{-LsBdCc9i~$o3`O6@PU&2KX!%gW2{VxF12$o!jVQOY&8xZ^tgBczk5g8fz zj~tK^BmabvlwO5lv|zl1%{0KJaS4I&B^sJB%@N!d7_FGLUqK$W4F<-8h>4D_o-bcl zAoz$u(V#ML4KkP*2or;#ZtW5g{pBxdXlU~gTsjyR@(_gH{4ab7peytLRJLaN*NXTL zetL=P?+gb$Ml-7d>#)PqhOx{-B<-#y6wE@!EZizD*pl}W@;~Gy;%AN^{_vXtXoMMh z5d#DN6@W(P=0kZVCZIhN1E^J12O(roG5D`z5F%VNvqAsjmuQGz!rlCrOT<%2{TbjwOfNt+|&P%jGwv;R0W zF`8M}l00k)VwgYyL#rt&!5vgngA}I2eMmdQz({6YU17m?5)7pV0X0ugU;n3B@MQl( zI_Km(gAL@OA&qGcVNAFbz|AVe1V*r1RE&v|61b-o6*ZlMu*Tr<$jAuR$f!92VEOic z>bFDW8M3F-^Yb4*d^Y8Hgxn46nhz~(IBVg>sF zgxyfq@N*k9oZ5k#kKs5WvvuFel zW1M}{6@(z&<_OXQztM|{KKMmH+@^ooZw&km@$VtbVHkZs@t5)3gEG#aH2>IVG5qsC zEZpfuz{<*sGQ(oKRAhk-v1iyaXCCA`mk zo?f>j3DnMF#u;S2Eki?5WiNdrH9fX91heaas5FJc_)OS)^dVNFV zGV%!Jfz{k%j$lK>XxM$Cws!QJuAbfn$a=z8e}ymdL{`EcAm1<`DdKeQ-ccyNs2B4OPyl2oj7>m*o=2wHuISRz@SpEmSaaJ1iUrGoF^= zwqRky;gpAvLbM-NfP_L(eFNy*=?+^)BCvh^{7^n5a0Fr8qQRvw%9asNbF45QNS=m4 zr7l)YZ5~4OkDK}hylOOBm*Krak4;a{pwh7B7f>P8i;I7Sv!6bF!7!^Ec(C7`BZ8ap zSI%sXgn6U<;4z|rdqINXIU%h57uTi^Le9a91$64bF(e(9xQrEx4ZX9dK;oN_%T~38 z!daLX5ay3K6$KOiD`a+Yg$D(b8-P53;~FE^g}mxNmx+k%urx?@=AohpVNyV0@$;_! z0jrAyutWTXJI!G_06a6O5UkPnm^Q{RYHEmh68wXBPEG|ORR6ejF_(zhdCV|@kUT0A z3mdyAz9@tWjtC5lO-8}a!w&-v2m!bmg0Nj7%o!Jk=LeGvAcC>$V%*abK?ovgJ%bO& zhQduq#wRdYLi!Vq28?_fGX(BCNB@%$fUz$pC!?&f;UFloGsi;GV58}I2sK7I{TKes z%7S?hxw-lIm2Dkip+z1vJv|ubG^XoAs`=gfAKN=SkZDHg!sGli2*JZp|N94d$T>`} z|Mi#pUxMaT46S{F2?Vcy92?U9Ygpq%m|o7$4@qWC&8T;4xP6Fjn65ciR3DDMzPc?z&D~d*VA=&E;~G{63BUx*MPOy; zX1f;fw|Q+&e~N+)kc*gA7BG(NI5p_wWV}RYglCjOJ~$r z(k)A`4{~sEbm&=*$eF^dT z@|RVlU(Y0!ep@}^UAcXU_mP(5<3IFc*x*_w-<`x%KJJV5-=-xcBi^XXz233U*@$J% z`8a-YTjLxk$`2LF>r6xk;K)~DSu}5WC92&lm+s1POZ%QgrsCKHBsBLrzTg~Ok>PbP z;iAMHE5lxLCR{n;dVYMF*}I;o08CzGQcA)bkD)E8P!YR;Uwy>$l2;lhBzRu5ae2vZ zLJNf2#>$E_MNxSutqhYo;mYFO!XbWyc5wKnTdz_6@^B3Fyi7Q2Df#v!*P>0)<}1#Z zO?|C#*5X^v7mbe+^2MVvKmu1a`?9s~md9xQJvyHz)=ka&DT>p;iHxt8sU0-h-hr#) z^FP-l?%T1Eb#AHzyo!9lau%OfV#rtM;sY}m4}ZHs!x0HLKZh?bNKIHV<_*9B;8yEQ;-PjNi@wx z#dX{ox>dVu7_u12=sjB|mKEXloay_!M-Jc$T?H#q!`QB5>bML=N9{+S&pN-EYCYa- zXKBp|XHI?sAaR26HF>C2;m6Y~Z#N@ON8X5#w;lK<=VvST_;7~MKs`QX)uZeXZ^DrE zLr1&XYaxlq)V?QTP2Zwgv#4kZI04J+YyDL+yRHQ<$Fuk?-#%sG>BqYNY4_})<3O4z zp)(CU!y${~;k5IZA`A%TuC)r!VF+tVCuf+TtOW%$_wN%M)3 zBjxHYEa5mmgRan2(HFmD!DEXXl-kcT6moh!h4d@$fEFBfQ&#bA_|&IIgog8W-`oxCc$Jp&v*Ra|&T@2%jL zck8L{=ksrS7A_doSu8dtRb!T zDVtbIi4i2-($%#Y@e-Rm!yD6>GgIgO8X%^QC2ys}JY}CA^k);aAd|c|OrD~P4moz) zv_Gp}T(ECdKi@L+GjP8Kmoga-6z99VBBd42OD8_Z$ni(^{Y!tP7wi^7r$TNoRvFv4 zuYu|IA?nXcSkm_@-2ysr)L-gNNB?S5R4Lq1vlzd^<;kCv5)6 z;mL-)S%{zQBkmdm=LK#WEnYD`lfaX9kta=M+#T1p`F+l@CZ6ARuz1Q7YlJBRdJ zUI-HM|udOg!^YG_is2P&W@S5dv=Rd6e z+&th)V2lI$kD0XjvPYxz6a~j6mOi)ZXa#*176B_)I6@n9TYRPHFVCI&$m;vGR2xs{2dMx0GOPPs zRH6s)JnuK?vd2!g`gBK*y!z1Y{I4oO9#(p?3Lv08InQDS-mHk+&jx4~{V>T*ukjhp zGVWI==}oVdN@Z)hZEm_r1J%-56C4fQsmVgyw#R6x^BRLUXWFaG2R?gcTvKoOrVMJx z8yt*@nSY1~-}BIowDU+M?53c6Cb9s&=2B5&qY}Z+ncjxd}?h;i*TX?s!I5U>&$)vJ6W2~w;lBuvw0EEH=%;O3YbNwm7S{{>d zgaZas7HZ%6$Uay`%_QiBi+V&9yu6pj&{iL#nZ8F`C-4^Z9cvz(8ogLk_AlrkQqe_w z8RtuZy@T8I)76)ZV;WBY-_GL9fLs{4Q1LmD$GBgGcD*Y(%)%a8RONfX)p>rLTo_=Vx zpP+IsS&XX`XZj^z3Ka4>e%feNeC{|-aKh(+y@-9SO+Pv$tKvbH`7Iej@Zkjhq4;FF zD5NTjQ|olcjyPUD%v`#VKZ+W}Jg%i!0^w@gjRl$tjA$fuPlF|VGcizRTEd^(W8 zV|YX&p%=uB|p0KG~OfVjl+3-26eHa&yN}DwH)iM^3-W> zU&IDiMs-EX?!BN2c0x0>wU$kqYMCvP1!UJh^yp*Mem2;8ZUe-n@qN?y zB#%%b(c8`xL(FkFxUTir!4~}oC=njg;7~cnrZ!DfYp2j|jhxmnpTH{|NSAk`1`jDE z%mOJFmcoCBsax%*-Y~#6K=GAvt6Dwh5l;f#BMH$<^P( z-Ms|Q;t~ZXYfA$H(h0c)e5MT^UT9a$qz5C+b6U@E9#1LGc-3neAG8bN87s`aZrfP+ z^B_vjvq2d|vaSxrbb3*wPT97H$bXw88_PJyz(!Ui>L;$#WV7%dBojKJN@?uI*kvB} z@NyuYw zCu{PjtQ7&i;cl@hImZSAxRbTb=J*yMH1pTTj8Mz$nR!jYiuED(sI1<_=Dftg1q=eP0JS@^ryyd?mdvag-e1lXo zK{FlkA$TF3%XiOL>(fyMGp=KzAn(wqHMRQq8Lt5GVs58uEd@ZMzN6x*r5vX`N7V0; zDh0JiDt-#iTsD>>NUWbEOLz_*$z2lqadyim@>4Zg@v(dr&9d>~0}{Wm^IzJNEF()_ z05Rr=dQ$lJ!#t$%G}2DayO^!0{2#g${NM4?|0r94 zNx_3jvLrA)mf}Cm_CLxNaB#w!I=p-^ZS$(Yf0*rmlr8wbW48ZMw!j+mPaFJ?)CoSf z_z$!FkFo{uIa?I0<%Frf0iS3*PECU~bYRln|4_F7;i%96t9eE%irmKlu8x?M6K3U% zS-D_Vu9%e@X7vEGa>uMZFe}d`MdbfZs~Vqq-umwy{`c>ou+G-bbd>-5Vaxwp8Wwd% zRQ2D>{&$;(Few%J-xw^#zZmS{|3?hgS5ZaI-j*RzQGeKJV2#CJEA#rO(frX-GXtXJ3FrQt6znh6`L~+K zds|;CbNpHtxOSlE9Q5Yb&LA(CifUb4vN~y{+`H;&?mj;$ouKzNF>C(8s_l1u%k$n7 zfZRQ(s*omwi2CWGcJYSm;aw9s9P*ZumyR!5;_6+VgN-511PU!R_zGt<1PsUA9n|T7LPufw7 z_B@Syk0(#m+g3gZrQ!*V;_L~b+m-R{hGClk&rg#HU-s^+UCmo5ra!#T`v!BC##DFN zA8k%Z_rDqL1vgi)1D|eisWN@zZ$7k(vb97NOf=XQ9Dl^GJM0J;;{eulDyyYt;m|E|wXP5oCxY(sH z{fvq%Id6G$V6JnVIo0qz?n_WIvRCmih`95(bh-xLb?ERiyZCDpB9kz0hP2W1-N6gM z)!ro{vfD-evT56sfd2C`HIw|iQ{@3KQa|>YNs~n11nV0mLNlTa7ybIRx%tIUu`hGh zXavOQe`}H0PzjNoKLw3TEZ!lJUr*AiX|or~Z$=tk=yv4x4M-)8J5smcS3CumMH`BB z#-(}mdgB9snzd)NxT-%T5)mw=UOVdnn zYvxN_7r@9{D6rZSYxp5N%IZ{sK;7ovXK(Y5B?s4Jun2aI9}0rPyCl|{KfTiD~|~9 zZ=?Hi+N5PBE-H0v{gwhVw1KaD95T7CCyV0*Y{zeh%`dS=!uebE*3t>L3!nNynqbdU z-2`S){d(+)H`jV95)u`vlQnM3hep|8i!rY>fqsfA%AyDH9=B8#)H-y%(UHk-wSRoo zcApg^zJeU+lmND4CsM@^UkpS^g&%jEzHV?I;*rDOu>ayPOFf@u@9-K>h||yeFjL(x zK={cBPmGtg(i`6Vk|_IJXQGoHlezpHEXFQH_o;Wtj_K#|HXooZ3MonwYL2-CB)xrw z&S%Kafb56sNzj#AX;KsLy+^HsLtSy@=b-70F6GQ+4QxKjPT=-D;cBg!#ju?>Be$TM z1LY7}hzUJf$aPk^?xSzVfB@^kz3tbejOZ_Si?2{fi%{$OT>ABD__@5Rz4VLO9d|n* zXv}TNb>+to!>BV}{#Ps1zsvl>j(cOgN@A?H+|V`20PXT?DN7L?mlIH0etkYYb~M^G z!AG-ebdBN0M&-4a*kDIH_h>|K^QMf`gLwNvdhv<0`;m>h^@XwNMLQkamF=Jn)IMIj z!Z(V0p?yP{oF?+cPs=mOWN*o2A1k8<`2*Z%##S9`mvx!sF?(c!WsfL?eH!LJQ<3J{((;v>{jwM8)MA$^`d0Xri|EIrD;l4+ zCMnn5LCX0=fw6>{8s64t=0QeCct!S=$|tK1WV`vYNuOrLWI^G;dcTBn*qD`6!8azA zR9nkipSV(WxO%Qy=DOH8xYq&}?dQY7%Gm^yDor)#&kjjB{CjMcm7MFZGmu2nKI47> zq;7F3_!`hu*e}T1QB{;VzjkT=Xk}tGOIw_A^J3(i1Hdq$!5Q3iVQLxO-@jyu8Vt>? zT{WGVi%;w{@!a|y$_UCfmhD~IW)C~fq~4?sIXL+QMHXxa7m?q`CgQa}J%ZkvJPN!$EV?d3{b|1lN@4 z2;?M}NQr7cWSCD-iXJ}O<%a${ZDuFQS_Rqaz^v4>Oz=#N;H_y?u`K7%cbm6+hi{5` zHkXChO2)X^Q^bU`iY0+;IfZmwu3Z1{Y-+zFR_wm%BVq11`|OIj?LSiv?E&~;+{`@d z>UO8yt2;!7cSV!qw2mv^PVMy7w34?@)(~__eS* ziQ-Z3F#IyRkql(prSdPoGW9{$HZLwDA2dEAwmBXRoepW@#uuogDQE}9VSR*YifH4c z_fFM*6!%{mSC=|z@qf{>E}$Xj>-ZH2I=!|=@oJ-PS$0Iz#(atrKlreI*W$6U*xkq+ z=dG5IJ0N7-n8;HvO?>Ec4FlEikARyn4MwSPbd`AU_EF$-!(Tw~YE}6>CtJShLd@gY zx_c647SmX|Zf94X(>nZ&3cm6ixH|~E4J@Zv8u^{`=VT=D&C%PL!7#z~01_Fm;cBL;8Voop$aF~h@7J11#G>}zk6>Hxnb_!HmtsE^O` z!0JJDeR{>MHyr~F&Na;)jY4M!PGj^VWFW1XZM#|bh~Fj%U3j}F*K)YIjmt0ZlhmjN z58o|<7yBT7DDdX~@)??J%!2IQ?-R>~r<_vu`qoadt{X|$>e(iNHF4lLR=>pohn=07 z`CW@XP;12hNF`sAQK31614jrSv}>9R)z2L1(ij;RROWNOsNm|sA(iP7*j=RC-k;e& z04Z}(f5LCp6-|mC*+i{59E-CuusOJ0Z`JQe`O_Fbvkvw>w^%ss=-ioR*8^lfb=mI? zoPLy2H~$zu(R1c*aB>bjb!$!gB`>Vzik{7)OlB?(Obyms4>oIv$3t%l$N=>GH_3XKACf}vkP@$Nw$SI5}$ZxI;|9syyo_>N1aR#{&G`x^lj7Q9S0 zRnv^oNt(;@o__NmrCxW9ORHDC+CVv!ftuFtDSVm>;wE9ao30A?m$>AsjzPW`TQ`>|L?o&j+nBBy~JsLicTn*JU+!Gx6WMAF?m!uNN#HL^D4ifbwUjx z#Qp4xD%%J7w7A|F$(j{8`ObMUv95BZn7Fn-^1)){7zEO;HLuXleu(cQ2L5;}4Hxgi zPGvI-Tc8ld*fl;w3i3V^2uCD#e#fq}Gr5nx@6>YwxP-6k z2Sz7WHSLpA-2^eEEnN#=f0EGhh)CVjw7mZ)C}&{iNLazqJvpbMZL$jx$}2g?RlVpR zXW@~v3mHOfs$08x7S&1@*EI@?NP4)RU;1ap6YB{;Rw@<`)$FFYp902#U^sQVVxz@J5|3^sr zwpmp1Hm_w&a?jEl3EO2Q-9V6#lRveH&u``67@pTXk58&$=^WUwa3&I*H2H^Ng{=yx z81tER&VRKDN_?^M&hp`?*AR6Lx2h|xm1Atm5A&cxfW0huCu59-qwKAG^~43Bc-P1# zD88bpef?B7_Hkar9w6ZnHM2mqOnmyobxYMGJaO^!AwI2;^o?7M*wmK=MBurwfADbf zD^u;*M|?fU{7$C$(v}VO{3I`7XWJW07sAWH!=MVPSoG?NxWjVHn`501RF^2m+!}xL zoaqib$P6v=198I2&YqDeYUXRU?oVbGzhcpAdnOfpCAcVX-7dPkp$mLGzO8NhC@86| zbBI#UIXEeQZikxIpl)!1oEQC*idGJkz3e&VyZh+n(Zi#}GCC0zp9Y$X*IGNJ0^co( z>PPAsf?--7W$(7`SuV#R!>bA^cD)13w`=m(^Pa{W@%8r4tbkn(%hcRYo5HWZ;V@`h z_?K6AzyEMXs9_a4^A-EzWyO0SG$kvie`Eqn*%I0}rxD7i!au1`_wgt$T4y>J{UZDb zej?w|3!OXGStn8rZ?5V3SG@Wntr%1^n%~L)P1&pn57;(o3{py%ANe*d?lbU@tphd{ z>%^fY1Y0iUNyR1>9$;h2W>w%&b<6ht_u{2J51WK{m&4+&-K?EHFNrVf zAKuzAxsFf+j(a*@BGM+lZ`m%3YDUK9mwcwYEFf#;9FkKz8yuhi8(goxAX8k?H%sg% z^+#j{H_N=G1fi5{Ve|}>zJ5oq#}xQKt{o<$$=f{GQhU)gO`?NqoI~c#)7L8Kstx0{uDDktfxo%*fMNSQOGF6)wNzuejT=o-)U%>fsq5J*g$tU^8pc~NNiL4$ z9^|=)v19kdrb#ZzT=y-h_zvZhv}zIcA62!#tbxlCN*W#z;11u)bZ2#aW|8GRiNR3zT3!-om)UQOp??091_0% z?O_kSpud>U^kMewGg3ycXZX_2Zja(?d%*WUr1Ud1-ns84$u(2n9%2z$dW7UnU6wC@ zzIc(<&@l?cCa3id&CDO_Jb3teYVik~cRX9fu2|n^863_4eW83pKoegmRMQYjtn_;L zqFI`RU#OO1jgIOzr+{ZyFDIYG9T1eF%P0%Xl{;bIz^$uBCr%#|)|P4WMr8 z{uo-HO{}^W*Y^LA-w1p*zCy}%&&oZm_%pSlNj%8NsUP98Mb+|~R#rKeat*L#lMl580VEvIX≻w7w}U+*DJ2tgl)KN9vghq{ zJNDjB#`t6&I9-dOocj6VNh=>DoQYn?F`>6;*%(C$qRmBW4ySIx(ZRZ;y^e!_qOo`r{R` z@Go??3AN7Z2Em1+->n-dJMLuB!+oD{11o<<);=DX`Qx(-=kbYT6mO|pc_dar+jb?d< zzFuK^e_F69?ne6@jl9_aQP-y+?tOZ3FLC1%O5W_6{Kvzr)0BZ$1Xjj4k|R z>e$6~MIXb0vH{`8Luvr!_wPfiK9M;KE4b%w-!pQ|ESO!O;(-Oym?SlTTl|ySb#9&6BSx=;*C&?*EuzqK%MxPD zg7{-!s>G|%yM_0v)9=d040&R-yCs@=whCANUf|i@7lJ z&XnO!Cpd4;nPk2$r=C8UmK)2sWfEA+-xBQ;h8s&Q&}}{s*r#M*zk$ zn+ofj|D%|NN~SLY5dft~Url`ry)!dA9*Hf()V&@RzcD z_iki#?5{&}7b2Drvc%A42N=-o2st)KC?I9_hv{FW`4T%MEHOAEr}_UuEMZeTuw7nw zegFiV|5|o0W0<_+LvF>6JyT@ryUP519V-t*j9J8%K2<~6M zEW|W1g#$agdltX0m?OxlIf8@1n=!4f!>>0med&7;0-$bp6VlEQ#DoD^ObmAi_nVp; z!#mT{Uxa)AmvqKJ&i@i^d{%Myu7`=eIcWXam>=q4>4-9-jwX~;ceh-Z2EFfZgrm?r^7r>mlB zejjcWW}rDa!&Y2^5G+^M?wC9*;;$L&8H4GcXraP9{!2#}DBxKvbI=2x2Xg?> z2=W2Ga1nm|xP(9?e(4*+gAE5oG%q&10YM-i?%4V|W?Y~e5OTQr)#ord0JOBU42;b7 zR}mR|UTio5;H%2{zTsX%6uRs3un6J31~cr{>`~9V3y=q>G(32RdGyHt8v?MN<(k7A zK^`gsD?i^JAcgR4ks?eNC`KdE0#q0b8*;3bRd7Vt)1ARIV@2j*6)@+V!^5CGeyi?^qDj=a2>L*F_)GwH!N2S5?d9rbjE^JUA}b2 zhy^F4KVc@MZIHJ*|7(7@5DQ2Ku;%`3y!ys_r63S%YSJ|`&%wbY7wbCA?3}Caw2;P?9)gK z{tsj&3BV?axduq0#T|~FKmR|R{RLDNUH^a$)6(6wK~hqX5CM^HL_i7YZlncCNl6Lm zPHE{zkPf9A;ZV}0bbfPup7p=)wcclaYrXGY%bCNR<(xA!d;j*?GuQ9h<6~oGtgqQRi;o{0?%HImZFY;4Wy;H^5JhIJik3RiPVpfuCo!}FP7iUQUKI4g((PB ziNE=wP1x=%qbfQD8yJRhbarz|i(z>U_JHq(MW}1^3>*5_n=V(Y8 z2<<(>O9jD)udnpFrK|6>y-S+a*jTmZdeWcZs{ckudl02TeDK@?#Fa=A<_$_5g4%|{ z=oz&!bHz@}K*}JkV`1wBX`QWCU~&I*cA3GK6{U~L-@?Aj7)1EGFl8Sl&{=#dEfG=u zV*qftk_qO6MDR=pRi@un+TfWch3>{MP3|Jh@RAc>vj&6IiJvHx^|m_itm0qjpXpcQ ztQZB}iC5=Vf5PO=d1dKe9e+ z@%_^i(nII@jWGrwu7uswa${GMpa?T#ZM5-ZPcPTueSqnB|NI!uxe|tiy+l z$}Y-x?V(Y?q!*N^e4$s{AY!zJt)KO_a`H1UpfuH z0G-8aZ_-{7mF3&)u^xQl`_mYHJ6G@UQbhMP&IfHtJ|-}j@Ku70;j;MR?u$^?yx)Qe z|MEpqxT8=QZsp6}k?npEv>k$3P!R7s$VaM$-swvj(@Ac-wR!QKb3K#tLwsltSe<); zs>d9nvBX1e`Yrilp=(dzMO3gp|7MI>#B5k_4j{s{c5?O*I<e_hV5}u)Bt63G89`r%=el|OM#f~u| zChIja*d5RM+Es^?I*j%lcBo3fYcfgd^YaIP$CoFh1k=AH7J)rAE6g)QeM0K1Th!1~ zO^bB;2Nkk0V?So^v6F2cNiKlX7eBGeBO+4JH>xqb*>m-z2VbhTd`KsVv)cLoy6C%cO|n>!zU@ z<~OMeC1GK}AotCyh@5Zc^~ExE6jEWbIOD_dQD5izN}{-4?;a<#0~03E+DaKIrY%-Z z{~CVs3>pVqpTU5B3@)%tJJ$pX4ZwJ?_Wkvx2c-2Oh%MH>>mRQ|>~`b?S|y9t!L za9_aln|OYp-3U*L7zfD;U8@><^0>q_xY)Xiq_?Diocjxy(*Is4QlZ@IhEbX&RoicC zveM2^%!`C3iV!N5x&4#f9N^CdmZ{qDvBQd-!HXiF$cX%383V*0)y z|6z=Z=>(=&udZv5OW9A|8rxWkYlG=kP43@t6A1J-!Y36HghS7xo0Y>%1FX!!Saq#;cO>e!GOu#i2n=x8@IsH0%@& zTlMtKl-G>n;TdCob8TnJHx@xPtwR{a%WAa=Joi_np0^}ThW^%FRo<6+7u6*;VsdPZ zL)e#*NSu_M2Lvhxd8v`6Mh|?I1iIGpw8-gE z+cF|Ar}tiw7we&Fxdy)1J$?MOP38pH#gib(JuIMt^}CW7{m#oz;m!gx+zrH~vnjK? z0W{&@jcVJ3d%&93*qw)q_LTU`*Ur_>Pu#`XoEf~zgN}xf|VvcAxf3w*fczJsYQSe38iL|v>9A{ zmO~Lt1DCO{saa1EmPTq2>MxT{S`(HN$Q7n9lOBObbW0L-hp>^dhfdKiTfQ1awf_Fa zxMU~fbS)ZFz%M-A=PPK z2Tc;x@Kb?@sj_=mC)UjJd?>n0Me0>DDB|2T-dR!%T9zfFvoLXROF-TC^lQtd-ocat z4pLuCIwNU{>nJHN!2)*IYjce%P@AyMu(HjZe!&V0Xjat!V{F0yhHU>~Y{CDAZ2w_w z|2ZiT3yW~a^ahrRh~Ne%T;1V|xA27reBlXSyn`>i;0tf~!Uw+ag&jaA`;PBlTQz2SfE8B%C&!a%yhvbwfd^i}GbdX42Yp-HDye z8|#kwz~4Zhou@R4&#aA9ftQ}g_@c~prFy29QFMR>voM*)1^j6@C%j!bDCj;Fq|p_q zd{}0?>%HI2cKGxw^Jn?&XR|}B%KL^pb3m z#$}Qd_>g~jKon&Bjv#R*X7o?LUbU@*)1{QbDn36)=9sg)Q zAAUIXO}7pAm=*uewaWPY!biY2mt$$5-60m17vgyMOXuq$qmap7kXQrL_4^g#%bFEn zVz^A{X_GrRkNIdobdrre*5#WhDVC@YTliW`Yy;CWV0iaHIiZvM2ZBOBUL;0@1aiVD zMb%?(v8K0_lZZhGWMDAnfhF#r{rp@ErjHUFdnq6Ni9VL?bf;Bu&#aVDNAmz;xnYP^ z3k$fNuX29PlKgoLF#?5PUf9G?kWfO64`UnPvJ#m2EM0_Md{g|snY30Mne`PN`1-q! z($Ub>NYyrjf#weEy0i91B`0uN|pOdK@qDgAD_P0?R5Y+#b+qdEOvFrE$4;-kK`K^eGd6 z;dz?;)0slq+)VMRtk1OIi%nX$jp<1sKld2X3lrg~wQ)O5FOI__jzLq%j!6%R!U9`C79xOj;{3i4LWL9iz}dV_gEc zkobMXHxp@N!~|%9a{k0NBxLpM0$8@G!JsGnZIid^$uAF78nxQ|;3_m4oY>}7S3h;}Q{5tU)^R81j ztavKW0m8E)eq_+8CG?!jCvlTpX-u8olgBgG^&SHO>kr12xxlx21Z$<~mx8PviRrK0 zF_eoUR$5SW=?-aF)wDK=&!@ruYuVB~TN0h_`?VinnMI!Jul~5b9a4Brm?Ik*ekQ69 z7^%p^Vyz@xD)|wxa2$;kg;In3$VOXr`znrlUtT$AfITtow{5()QulS&HxLe(a=d10 z8+mnFFtqi0$B_ivJwWAat#iddx*Zyv`|lK+|JvzgGkX`wlw1(QdGUMj5y!@w-1>TTd2_61Y zHt`#MX0p2x5wIs0( zRUEP6>x;-mBa`Y-PKykseA^wZc3UlQ^dX44-V;-h_s5bCdtn^;&dlN5I$E!g-ER@> z!8AR7aAR#AUOGc)ze8NVynmDwX^|d*y6zE0wJ@Kxu7%0J2V7;=Z(B)>UM~{v+XUB? z;2L8xXISa1Ei96WdHn7f!~-uqo`0f=3tU~c{29W!_S;bdQ?FN@Y&)BsGde`rXL=0S z3SHZXC4~{3JXVOBCsS~Ld)9|EP1?|UBG@!By`G5(My0<*yM1Zo!{1d~D2%N))#8cV z)oa7#(wV^H7V;O72d0GYE&CPN^@fbmnuZr+;vMQf((XE@F&hT*%?IPzKL@zyikH8} z_T_XZL}m&*k@L{XFZ;RGHucRB2`w0gveQ7e8tS8Qii~I^%=((04?ZXEX`k7sFM5`> zuY|=7MJ|nj;#!8bW`@bC$Ixh+Q~Yx_ynWx4Hfpyd?4`I-!w^lnET>1Y zHc#)K^Q#nTiVik=ZzAQ|W}*Q$0&p2_c+Yi7d6v5;peTLio+MXlnqljGQukj4g!xIh z^=x2~7wP+FI#KE;Ej<|@^(YETu}cZNJ-P=(<(E(;cwrUbv}_`KXojWDQepB}NQb#n z%dz;TY2fXlg@O!hY#d^?Kq1^oNcsb!JWPl{pnsu0(I-vsP}gih!~+ zyY0l<%kl=w>MxxffWwC&G+KsdGV^JydDwW9!x=bMRJW?h9nUPFrBUh7#moZ`k*bD?rGGsTuaea{qD1D2maLoI{BzB~qd4ICk9ACZKq1rtHoE@lr(cksOW3A z;YX=&GlOt-eimz2X_bd53S$+yJ30xBN?xG}`ZWz|ymCnHEBJub|7*p?FU{21f|=3h z5rv2?FYiubewj|w^M(Awv_Mq;~YPB#0VaCI7lo@#6+%+`C*b6s2#)- zU)1XKJTX*f z(PnaQw=>a;q$ZYS|JY%pb~!#!@GO-QFsEXeIT;mE?>;g-VZC?wij3IjYBD@^r2nAo z(G+594@lH6DQ~6w{H)@Au+<{904jCx2;qmX*e*B)_!R8>)A67g-Tm!#Am@^od;$hx zQ`2j`qdu=MbEl$)TtZnLK847jRKix}g{%8ZZ;l({5dXS-oF$;5r5!E4!U(~lHnmUEuA zDav($tavIxr?nk#1}bqXBHZicQ>DC8cKKBs9i0z~S7hxsiVW4pTy_N3pMcq|b~)w5 zipBen27MyD#+!Hy)wJ5V8}}dQ#VByl?$v=c%@Ud)lY5Jq-$kDi_}L6uY+*Ho-mB== zS!iH&XtP)XzqAQ!wtkoedeVRCmN^%;dUDi1)caJuUkg1T_YFn{8c;SeHsPiG5iKb4pG+c4zW-xut z!uPRrVDXTGFZszIEdx_$f1>+L(S-W8BnS~-za;=$kF?;lV)Pdlq>S1IWlvrNd?A!^ zat(HTfyoiZXwt+0!t&|G!yi9Wv9|Rpn)tbXi$tMeTG(<(^r>NB8HZtT?iieKh+JAeU;!M5XK$(eAJ#VG_#DOQq=p{bc18!}vlGfeJr}mZoKew=mp4+|!lS{TCVHH)aoy&*V zl+2%!GP=j6O5w5$itGtVz~_q41*d{h4l^87BcOK_6JhIdgjF=@VfWgFrHm7Lmv^r^QI0DB9nT^LPDlYFkgPgkdYkePRmiZk- z6jDK#&=p1@C?U_t%yZ!$hk}OFyQJLuK3Xvar8{m>Q>RT16UWp8gV_b}evLV4OA&aJ~NAvO^-P9CJ#+;1iWsJ9hO@$|$#T8)DqF zfOTe0=`Tc75;B%Y%6e9=8P$y)bJti8_%y<@o44*827>fW5h=Tf#Ku-)Mf2=UA`x#t z45QewZeaJ`_U)vF$uNkDky7w|Ryt*DdYhHkXM2wCFn8-wx^>1N)4m|6pjQWazTXl% zh37WHRxj{5xNWBQ{$TM-Y3jer>9}L%5O@~_){Y*TI7CJ#eB<{2)NxHAr>3drQdZLd znTdr=Zzw5iLBCn@ch1I66YfM53|pj#J~VA#3M~CRtvnJp@BJnEq2`mFOJCnC>=TumSKs&2ssKM9v!_D- z@a-i?$PfzN=6(K$&%BlH$Zcf%O6dL!GLZ)UBrKtQU&qE5{1$p%KyRAYDQXtm+_QLy z^vKZKzaQ^`q?}$zbZlxR1>m-b>*<>?bo)@*Jcur4{nqQq-ZP+UiBEI#pfGS-wJ3MparQ{}+-NoMU%>s??bW? zv8hdO=^~R0`(GVt0DYgm^8Sce>!@q73MN?Mj&*$LH*u@@#C%2$Ss$2eFsPcvv$D^w zZCukV;?u&xSD#MG;?ZdL3Qut%Hh}cynV{jh$uIGDnMkgG6u#$91?Ch!H2co)*012} zF}-S9zeWj2V^9bTkTfty$euFlzkjsRt9Q6uam*~8Dvpj+?=ph{d_AMS(?7fY&6-i% zYm<%r4f&&R7z3w(J`pVo|MNy+Rgf2$Rz_8(*%))yp80kNhes-|0#!`gH~WEO#|`Q) zkx`I}tEN{~;27jc_ROj<F)yX}2iMHDh!yb?m1Gkz za0_Pke>1JLd$P1UZO3f^esn9=W5s{%7Lrt12=%{yu}b&K>ynvPkd30TR3VF!2S~e5 zcc01LFP=P4b}Q_HQL|v*c0JM1bPDTZUKe**!vhTiU%4gP*~F|0m!om#A4!yeD-HX0 z1rq|rCsoDIVp%{@vp27iOOli#?z19&n?dAWV$FJNfp52n@$cS_6TIk#oQy8`3^<5~ zl~pHfz7D$)LSNrh@Ksd3N5JoViENt+Rr~u6zDu>JMiZ{-eOkLOAB)l^nv9=&Iha)L z7u1)BrGchrgUU|j1YZ8=v33z_`{ZlB6gAVERBMi;2DV)C!;+w-`G=lkc~|8-yZxAEWRa=b%3WdZz3Qt3eUz_rC|)crRHHO0;my>j&a9 zxL#9ni)CC<0>xmKz>YwJ?rWdwE-keB&C&Y_&&v0vpFi#=Ml%weeGlw@67*o&_I^Nj zAbsR?UwUKvRV>Pz4QgaA9xlGGGd2Kl%G96kBV8Dm)&WJb^WYj>!Ymn<7i6JbjCm~9 z>(jE}`76(h%|C&5%DsdL0;rv|f)@!SLc*Ih91})=@`=%sbLk#AXY27S7<(6v*P7PCXCd-SH?_{*3Q_?#RXu_dcjRZmO zD#k;6+}5EjQ`Zvqv8Fps-39pw2h@p?LfHf7Yw8jZuqtO795{)RX&XeQ8Qrd$Hk#ef7bSh+;wccSWopCKUl_U$692Ql2#S9pz67?Py!383v#O1s2koQ$qf4{iD;2 zh}(8SB>WP*-7mxmQk*)?u_{M?gNBc%s4^n2dujCrw5M6^pO(N-pRLWIJF}I5M=2rF zx4^I?rmF2?44+=qu&9!FSjMh^InOgpou#0`|BYjLJ3Y8y6o0c7Gr(Kkf`IK|bM6%5 zXU4X4gv&NX9em2bQ%w$@CoQd?>cXCxIHuIeO;^K;VmqdP6}0`JG$}x%HP09XFmV>; zeqgbj`N?T#`=jeQ9{(`$_IW_F4Zloa^_HqLI2r$S6!P)lYsia&F2OEi7Wd20s6YYX zFQ`U+4>k}7gTYZ{%OHWy8!QYPyL*$|8AVTB5bGLUg)7=GiZ24GHjY3pm9Xj4k$3Yt z&Wy10%7!kcF}gZrUQ5LO>RKv|DpV^FdSp3)E#w% zes3ZX0h|M)K~tLp&L?T8f^?rU$>uocy$g#+Oxn~o=TJHL!+^GH$&a?o%C6}ppF?3U z-Rkcoddn7+s&&nK2v<_!zzbI;l&Xd+G{E~-WI<;87gFH(&KHEhV+e!?@-QC@YB3>~V zU4wVKDmI@VCgp#m$OVS3>R&GSz6hQXa&S(0`+KLdRExSt)46_j`?A7|X&w$To3SV+ zcBPO%RIyaN^)8y-Hn`qCDreuvV0Kmeb=K(s+-DXCZ{pCjit9EqItOKp`FwT@B(=QA#vc}Iiu6k+99&D6PgSi!nELkQ4hpSLGD1v9thuW zKYTF&F>imlP6)L7D-nh8x{0Zo!{2{Jlcx~ad3Htsmk6F;T>M9&iA>JGzzBnGYcm=C zYi@M-h6n8s*a>~n|D!q~b10-rgbEMOBZxv0P*sJvMlCI%4KI*e5N^_hyEGxH6AmRA znLx-^5M-<+2nh{^thFD);05y5hKEP^As|HhAyEJ2IsZd+!qL{axH^dDgez;|gqk4& zHAJXEj9S}Q2x*0$di3@WF0B88z%;n7c5^H1KVD6Q9cX8NAvG8R0)TkVe~8ZiFr5(C zdIA?GUJ(+KQ2YiXpm?VDoW7K5R~By73jQDKYtD( zYpVanIn^~@X=yuqzJtnti8XQv>Gbh|c+U5bsW&h%?Z92gutBfzxoL*9it;qHuKjzama(=6L7}LM$g7TlMq~OiRni$jZus z^PF&UIu9z4u$K5Q+xEEx(!D_Czd+|0^o_<15fCPzT_R3SL9eEve>2e6nuQk#d4rST zC(xrPIM4}EkjQX!8qQ9G|I*VK7#IwkToBjE^M8KYztkH)fD1VV;7U$7{{|U*;kr>_ zk!R0jmHvZuVwgZ$PIyZ*H#a3lw6rqCN42qYaEpyIy+;6VNhuJPnvvDj+duSu>-fZ^ z2J!4Hpd9>FY2HFCCKC$_D?1mrfY3`gs0^>sul4kdLhglxMMOqNN5|yS5<&H`v#)Pp zYik>FB_I4gg`B5U#+?N4ngmgg^z=||VwZoes^OgD4WKIVSH=q2SfPpmwT8U1FJEeE z=ON~A5f17SKuF>`{POO>eK&+8WVC`t%T&;0k3RB-Wx&~Es7H%cLd z6g;1cODn3YM! z*xDKnE^YuB3S7BNWPC?RN=iog6tcwrof;Fu`DN`KjDG^?Xd!#D8yq5jmzA4e@VR*K z$LL=+cnU%$XJ*0d+}~%IXc!n+kfoJh;4xGMAZ%IE)ZEBL*r0h=II$c>Rny^1uhYh$XM9fq38yo>in<%GU8B_|M&LATL5weO7Q1gQt zoL?5y%1kP0p!5Xz<%ZDk%3C8t&VL+P4#AE`o9cpwx#hsF&y)fXqx_=r4l}36vp$G&&0_kWpvw;x2qS(b4$;91 z3iePv4;&|hWX2wTe*QsOx$wg-8HJAeZx%)l1$5!z1v;CHYo|!?c!2@68K?rl>pWBi zM5#r^#H{V?9pT;O-*gDLuPM7=5b6krhtDil$o~p+p$rZLBzTv`^^k|}@3Z^YuPq|U zAmugGWf@yR7d{fMe9CNucR?*JA?o;0G6B5X?dsZvANbKNrTHB)Eo8Wb0vaTGhe8Gm zn+gO2K#;$#w>Q+{p{5=L@ApC@GKngytG~fpGjxe%WODMM%UMupG=+zTj*j`-)cn8x z?A~6ZY7AEBGC*I8i!0nH76blCP)>rpii)u}iF$bj9CC(|W#GPLsO>dUy!*ckpB;4h$#PEG|+#ej-Y z0R|%zlOJ4+AK(J3hWoVcD4_%d7}V*w!|tFS?JX<{P9AW>$pdjXc_08M4}=lyW?_#J zA@TrvobL;hghS?H2nhbLM+gY-p?47i;EO<*1Oh@3{8ccF1IkK)Nx{=n_@J~D*b^u( z1@-_+Oo8|25iop&*c8}3M1&}K_D(d61_9wCv<)E!hKYa>3&Tc0h=UOzAjCspHo_+u zDU?zG-Y$LuyBocE4yIV5jXC;yaHlB!|CJjdJ_Xv>fR|7 z9*w_w{n|g>hI&4#EPU(|VQCXk@CPkKD`gb8Iq@#weHZi>TMW?`D1DQkC||yU`UI8? zaJ^3IQ4F;e^e3=K38WyA_xUd3SPN#Y8`)YNnom9j@Ed%OzjbN9JD-deN1Z!{hBm`8O{T%$ zwM+k7kIVx5ClD%JCwY1gYkgO#qLZQIrCtJ;F5zi|`J3Eatj{Zm^xr|=Lmd`vT4y~T ze6R%0%$pbOh!OQuSu_j$3TWkQcQb)x9Cp$0cQT=$`iK=#ISMa`=af6kJ9c;Xy^>9@ zwXGaM!syH`x5#U@Sq-fZN1CC#HP!XCpDetYND0xWh=T`~0P36j)u~c#-m~?czok!F zYnMOJLcU2A*v}{Gp0~J%vvf@9j>p-fe$G{gkWPu%kPvXJ z@6Z#7aY{?miQ#+tP^00iV_3yXKmtNmt+V?--B5s#^mb5|*pHN1=DX&gZFwfPf(VrR zgYP?-7qM)1?PiOB@GbI48egUl52EupqoFVU@}AJld(k)gEVcc#?uwuHf#z7}59$xh z{HYu?PuY9XhUk$^^^pa*9t_r#V&hvJF@xCk-;T*8=Y(6tqCT);Gjg}ll9`&$+jqO) zod@NOLc{?E?}1YC%L_WMvhJ&&iNxn`O`bKXt9CA~CgIPJ=4~{91B`$%w2Xd@jGfnZ zQ;v^EVRB7=s8X~WFsJYGH(hC$fTY%~ZzYZJ!c;)WU{`5CW$R5~m6L@por>@4AN0{0 z&LG6DXzpdDr8>ekO?$=*H5uK8du|$+Wu4`i@soJ0;Td3maquTPw;{IwvdcsB;CF3{ z`rSHbIk37m&aPwT@xF90!t>mnOX-b@O9ctXm6%wl9GhjY(W`}1CBD8IJ-k9oVEe_| zA!k>W!k&EJ%5t;x8&j2VOYW(37{$E#8x&cAD8QVPtjfc)?!Hztv!>q6cGp^`W>4Fx z7cv3scQTGrq6Z0$BiqJdPkbkC>~PBpxcY_=sqfy4eB19!l8K>J8*>K|3)&2?h4Y-L z-O(exZ%TLSa}O=OZg{-Tsp%wt9%531p!Y5V9UGaBb3u8Zk%n>%GTtBtCiu*dSfxH2 z{PrRF00@jJre-b^q!$icFV$G`nC7N`J7~kx48*S#3p{z?m-G_t zau1^upOC#k_F5FOL_QMVm+~I#LVd3|QNLUAPNE)4POU$gkHn1EAv3ftTPc4}rn30% zoSDD^WhdwhD}KfJHrRT${*&v~jD&+9?jsoyS!ynIYqZ!!t)Bz4oJJT{PA|cPWB4jw zY_;oD>zn5);-e^jvZ$(GZ+?7dc%aKAMTt)VkhY6InW_40g?ZB!#5ru$v@5u>jjXg^ z87#+tYHVEA2X1*%`;1LrpK_cMZX|4Vxur4S;xR3z-5?Vb^yq#dVFGS*J8A~wtjW3P zTyi{;SB_!Oyh+Pm)yc0sjrsc)%zl7_YbUuDGOAMgq|f>M)#4+p{d0^#sZCpcSlTLl zY9aQ(4Lep^d5tiKL#yXF?GNm2xt&}Y0Jb zor!qQ9L=@Y63GX);`?96yGO{rr2q1F?4r}HwJo~^=T_qlc|Va9r`71ptH>LkFP%9k zS%))(63BgRLp)Nu2aG82r#6}Sy!B|)2%A#xG`cfZ;xsQc64%UixHHPKJ3-2*AY%?` zdY_u2-f9IGuS#?Z%VrnjKx*y|*Q!Goh}^=Pk>d+dS>*u%B+J zQpCrOa(^G4PIx!6ojCd~Brrlm6@zIWoXKFSGN_z2lK3+?H)_)~@C`RT5@>y%kdG2I z5G#!l3I%hQP6~Ig>>u~32%Dt&DMIxXw5F3kn2q8x4Pt)7{RyFGy^Vj{&LZ}i&NJw;jd8|aGpr}$Zz%%zIWNid+z;`3e< z=~$96eq!yzBD6?TRYNYX#X2CYtc9ZfFrl--0$6^%!#5t z?y6iJazT1{McF!EiUTeU%}a~eF+k>{BR7`QTT?yhsgR+fiS$;Dd|nS3_Tk-nN1@yk z$6U}7CSGU<8=0sj_^_M$y6~+NwLa7#b{JnZwL(ipJ_fPm8BMY5~ z<8`0%I8C_qMlABr-RQcfkbToEch|SpD?rs!q2x4jlXS_dxzm<=Pae#WbX1I#fs!Qb z^3tQ}Mw?in4OkVc8IJz@G z+6tQMK-@LS%e`zL1Cql4OWgy(5%=yvD z!buV;fk>O8xxRH6c!-=0`KmWLULhA^&HtijP5vM7_5Y@s|ACpI+kF2hga4tK|ACpo z-ytJ(d+hJs2N_v8C^=P089t8tFVqeGH`Vjf_ZUBujTc80MJeRoZAFBHws2dvc!iT$1RyTYGEBLL0?VbM&LjMbO|8tIt@8B7; zcV{hkkM1bn!kQ89gzu0sXw@1*W%w_(MI(BKAo z^T{2ZKCA{28s8)%{DUt4`_=zHH8FzGKi}rA_}@DyzI&;RkpJ)f{PX(%g_u|z8i^4j zK=-AgH3PK%{|aKWkSn}lT+AM^VyczK+vyL;3fjlvm3sJzx>1`oBw1Gm%vM=R4#Y&7 zEp1HA&-o;2gh~p_aTbuf7z*M!i)i}RfHC4eUW&&B&X2E#1^J3Xaia5+M~S-<=CEwg zxw3hcQNa7&x0Q|D^=tMPG^BBllP~coTaQT9PBNH(# z1WR(-31n*D@1q^L8ZP~qV!CMrwqb>~sgH=FPLs-*UW|LjGCP_#>_jNE6EDOTI_9H# zfY*;n3X-#ev)DbZKb4YZ?_2xxlU@W@%byXx71|gTQU~>=Tj{ZabApd@HI)5oQku=~ zo)mn@dr;k|+%UCj{{RcL0d{oT;(!B_gU4M-HONh@w#`_4hu+`QkST8{k^8>^?pL9y zA%VddCw-r_YdZW8IC%_2?~!PXf5+MSs_4eY1KJj*&&BJhPSjp`j<>Rh*bW}(M_>(D zyl<3l$NS)!Lkj#fX()D&-yRIiteMi;DqHWc!>e6l&(wB!c6SHq@Y1Ci36lXSc0@AN<<>QQhafXZy#@1rj z&ls$^ao9u~$^rs?RCiDv*9K&*BL{dOFRmzQQYxG+sS*c>nemU4C zx&tjK#ozjDqu!$c$MHoE-iJ)0w zXV9{(J#l4`eiF}Mmm-Oup6e!$ko&o%9(k2M+JfW1)!5xndLupgTO*%6!Nhmm1jnr$w3) znAJB{Jf^EW>J_hhPP=I4goUaT()fOz|6Z6jiDD1(8?bo!)=Xn1ez$1iw_o5{WM(5% zL<@eNN6n;1AQ;2;n&Q@!$2y z#C*@7(SK!KHCWD0(k#uCmpq#ns18*FjE0$;J7QZ3-*As7mS#FOYO~f*&ZKrZO1q#5Z~d{qi9Le1N5To=)QcHL`Ee=yfTv8 zaz`N&>NQgPtphyKw1)(^p zdW)4vEAm~v#ERpN9A$=|6Y(hpycq0;xBHBOUkTSh1T@)^WZ1WLRZm zFKDlH$rE%AUD4(o!G^k?H0`N;cVGkuRYSnj7HC$B^v194JZ8fm*DTn@NygAa8Gm>rBg;K+phmLGvkzME7(iw6JbFt9g3Q=dhQ7{74VSjk zT2w0vcY{b`%yP-Y=#6KV%pQHypjD)be_2oakGmGQw|K)iena7UbR4!PlBT-c zRbW7PVV*j+N?wlmGikw@bLU1pkM%;Wedw-C*SQfcx)OMO9!wUJyoYa|*^yO%Re%rD z8w4Yjp~Uvfbn9aub2czZCHUZXhp0h42Y0|O5_0RtM{MycppR^-n^ESG-?Ra=vShuo zUE;Dvhu4SB9Asq9z-nD^~<3>O@8PM)*Q3zx%<3r8X ziY$K(pvAtvao=l>&DP@8=Mf!D;aV`oiXs4df_W{O;-AV&5BkM4n$T*;ANmzwC!=%$ z8<7a-SSxn`^T@Jban$Q8q4BPwizy~GzP-@RusfRCx7~Pan6a=6rZ6eRlXoAA>W_X9 zpT&7|mphiHNYKoN!KHXI;(Yi~736Tm%1LestWiFHX3Idhsr6>RjPMw#dxoJqruP@B zRw!s_f6=v;(PlX`kE6=XnRj-ZAgaq*Q4|W}wq(xYLze-=UhI|lc^59KYA!MUi@_y` zS(mg^KY3-%-`mwwrQ=9~6!Xp1UakY%A#ReUGAT9T&lPRhgX~EwFIkgMiUm$8z?l+Z z^wA?~`_D4>*~>_&;}fu-cQwQjiaKLkBB-Pt82}9mdW((HA;}*VBctY0{D=5cG{y#J z%ytF!-tYZixibUd43+7zn%nO$;t>hNBrZ?)e|&aUO8}tC!=z;N-ydWy1%l@$ zZg)%S8a;|u0UfI}9b6I6Av@zX3rghE4fHR<^8HCS0HiswR`V0Jd^7iK5 zX9C}#i28f#T}cQ+?&P|D36Bi+s&12SurtoVZyHW~^0;DL_u*4YK^^B0Uu0-#zjPyL zyY+yNX)`utKr8y4ia#%B)%1E&8lh*}P$K)w6piCCRZC;B>o!@7Pe4dw$_RrWxa+v@ z%iD4D_fMP^zm7_o6H99xBVcgxCj+mJWHl|l(IYGCR$I11uDw2ua?c~gh@P_`0OJqgUZ4N=waqlM#kjd)1&gg}qd8mC6)t@H9nJV0aTL?7klr~5+a>6FfTl^#TmtWf?!Sb z{Q{5b!^V9<<%}PhcyIfp-D){p-U9SN>3H|rN9x)&+Z^Q0Q@A446WjD_#EkAW%@FQyl+M^KsZEHe#H-E#_5=#ug~+fd&J2WoGZJhV^TiJDzQX#>}N3c7^VsxX#iCn zY>%v5Lpj8w3%_)Hh)I8FV9P8vCv0)?6#;|aLa!gRWt=QTo(fm~!ev%{wN02_`zy8S zJEcit>uV9~c#d&F^?`1JBVSMcS_|pfMq*HLuIzUokg3ic$6eB{RzZ}CgGKDT=42$il zb=>H-tab{>sW~`d^>5<$N06{gEcm>{_|h?D{NRpKSh=yIc>a_c3}U=WU<3gRztt?) z_vLzyG1oh}a2~wz`PMb^NJ!k^4REht#d~BEQ&``!u!bUJ|1qP6(&O70c4G1X-!o^A ziVo0CuUxSD+${NX{lpF-MHM=uA#9-Ldx^>mY^PU zpy>UKfa|)6okz^8#8Yk&ix(|jpXPoNQ!-t%=o|ujC@DqfEa)WkvBz8N5+@C;j?{K4 zUm(!Sf0D9JJuU?Lvp&%?OW&TDQF=T3fP`D=!_glci^j!Mmb>Avy8EULK~!vf(#P&| zbQd`#VrS>CDrh^nrIZcK@(M{Nmh4fU(*@C4m18@2q>Pb2fPCTRtz+@v)h)VFCJvW4 z)%bVvHzhz=m#JOYZC`R1l~dPMqPP<4F*LE2Fu}8_uD|M$5bZy|N8CyQ8X(#HMr)A{4@g#bWfM2fa2feWq?Oiv* z8o;t5*Z7t8s@^B_tf^ny!S(&;19-$1M0VLM9DQCiw&DZ+8(Men-pgw5#Q-itzl7Ax zCWQ7zcw8>A%(IOxNlhJK=HL?aY>DZHrAyid3wxxX&`s--iC<8SQ9mj5Xu}l_>XW#wXB|Sy z&2MuzCIu`IT>FFX9{i&1lvz|-Su=ce_VA*r^}XQSA1{-dH@|OzbH)RIf*7qIsJnPE z7~g6pqtQ3&+dAYd9GPtP?Hp5VdjtVNCj=(LtkWejL!qsYw9|oF=;hyf}*mjh9JMXYv4C@<10RT9^KmKpZ~Fk zQcPUO!Y5&dreTAKT^4YdJ$KL%Eq3gxIf&1wm?34;8Ah_I1ibEjlkCEaCg1>~!RcXX zeT;f$?n(V)^9R&PSqzMq+0A_X@+)d5S12w4@yS^nT6OC?A<>yRlT55U;^BEkr44N} z2c#f2HJ`^ESY@<-&CYktKf7;XnMNC+?cKcysp**|4Nc;v7LKUS(Xorm#nzAmUZn?N zyVCw3y72qfMHQQ>g;S}oD}S!IHO{Wlb$E+PB~D$*?;E3*1B$nOvir#1QHyDp)sCgz ze||q}uFUYV%$d-96t}u>@(-|1W>nldGQD%_$%`+`sJODOFH0K?d`enokHdbTOkH!p z(pj{d4=%Yt_&lRT((50))DmwhYnLQsbeu_oKRtmL3xv&(Dg`y>;EKbQNr3C#I2o^$;6Q|L-jER@(8&J9NJ!fM=Yh% zcP}XJS=(2(n;^5Idf-mT0Guc{^nG~5_@U{*FTTes+x#Z}2{YP;?oUDH8l6Sxhx!dh z-n*THs|O+(-Aj9BPg0j~i;fATlF|mj(Dwy_gWxe+&lfzeOPy3gRu%dpE2u7WqMh#7 z4T?xNfoNeZl}AN)xa*s~{G`0+&iE+AEn>O8yy0Z#=yjJ>ck`|NHk~XMRn|_&D2lohUL&vnLa|vj@(v zf&J?5lhCFaS^tr-Ne_GJ%^WrKv7BW+;v5?Q_~7cEu#8>UDG4v#`EZ! zra>xh%({ZU9q4A{kb2dBD99qNJ7e^rW5V+>A6{DDJUy;C)3xq<_te1YkM;9UBHvbR zm5v;q@$Vb@vO~%Mq(U=LY;tw|BgAKbY}b|a(O*`1CG-q}i#ujN z{gzX_4_@~%ISp=DF1qEtF~0pl%Q$K$zHv(a+me!|?g9a;k~et$!6z_&FsP(uESjM9 z>?P!y!#n3wMePU66lZmA-S&6|Y6hk@i1~!#lowg z0$ko6;IZF~NXh%LLd2n;^y8TI5gCmy3GG~65#YF|xw-f6%)6^m! z^;z8^p;#3$79(C<1P~*ZhZbQ-S*obP`}1$!V%4I=q@<)|kfC#Rbp;TZ>-{S%g>363 zZfLf}f^z)O=L>;Q$OlFPI6q*_UwiET#TsKJy4%`V7!FEr4S|snWWXvQ-HXMx7@#!r zJ`Akz62imdkY@u^FrdlxFC_Sb^dAE<+$PAUgkcVZz0;x0}-s^@&Mn=XaARst23}T^J zk{gQ##?^dmYWau9#^SImD*%p8tnKbX+UtUh;$NOo19Fj&iZsL?weN#R;D2aFXz;{x zqQxcuOzQsr{lpAr4$k^W3P>U13^7rx8XD_`hPWF&q?lzD6yV2k8(N+Lq~v|>J;=<= z&dtrwhh!g=$PNubND#7-@C@MK0%%``Q$xbQdY6TSAgTTr8J3iSDA_H0`zR2F1-_267IGRVPRq6 zkZJsD9>(J8wY8uYJCcKC>l$H`fNVO1ga2Am=^==X1?ftQi4N z0S&Qp4hWEP!Yl!V3o3iLVPLs!VaP&4MBZAR7@~(Zb`X6I4TCRvk^w2YCJ50%4eK)) zFcAQo34n){)kX#&MA6Arf9e04z(*92_-pR@3h8JpG7BSk4CjB4{|p2dR<%_O0=2ZT zuwNMnHCEvK50bjOOL`9LrlqI1ZX>>M;Q}KgL>;j%T6_p*Uy+mz5yDmZ4|j70bT`8? zG|>?AhX)S<-oKpxKcGK62xDW&A!FMrR!sZ&F%1^zhIA^HIt&SkO3Q}U<~N0C1n+Ns z#-?OI)*rtF8}DGzrO>hazmWb|$iM#M5FFKmP5#?oKRO13b))~pU&4c$SJD6kA;T6! z4!1r7%cZ~uv?}5ABUJFmvk?EJferN>HqM{NqUVwjdi~4z|3mmgl2}Pu6UWZk6&7_n z1Hpr8^gC{_G_u(e5g}VI41z*LU@4;5LlC zQXE3f5)zP-R={SHK(hZ<1~r!Qc5uL^&D@Q60fo&lVr-iOP`KEv8JMbp|4-Ts2Eh`R zI0!=$HXsk1oC1kh*oDGF%qsd&gB@~(a&_3_K`^=-%A2uN^uVvpt-KD@@9kSVXcV%G zhWcjgF70Rh^*3XE=*SV2F2n8?a);cZ7=wI-O9L7qgdI*}BSd5Kc?n=vP-o}-f-M?Zwl%QT!2e4S zZ#ohZVkv7{IyyRAd3$18Wm`2gikJx-e^VCPjBQQHAjS-vH5)rt(X2L)Qo?~Sdx#)` z2_$tPs|(-iAqIi)EL2#_!t>$}&j}u+y8{9P5L8f*tqC#Q@n=za`GrMAITEPS()?#< zDk`cz)HaM@zuVxK+qVp;WDfX8$&6r{5M&lEb1>}$7j}E|0SF0fw!u6P)-u)q%NcCrQi@3VDn9hAg8FFej+z zr&B{GPGL`p6W}ly)yIHnpK++I(|(`!`vum<=pFjIvBi zu8@BjQ));9e1$1b(kq;yGpT{DvQ36!;Uw3U?e(h@$BFjz6i3e;pmM3@maLFSMr2QL z$ff`tTRM_GDynnlvzFb(re6;p?f~vlmn4F|+&;;DHAm>5Po@0h{c1l(7PsokG!?~B6DjVF3&V$KZxu=34yor0(gowXWwsg>PLY0Gb z&Q$rjUcaM6kL&rj&OUt*E^&|aHm6n4Mo7aXLEZJdxT(^P8#mS)L69{5+92-% z5IW*2D~X*-&zriLxPR%-JbNN^xqEt zs5Hn0Xaqw`XYP_bU3O^Yg{$N~w_3XzP5srGUfOeiTCfrNaH*gTJo)jSyg$ZflE*G! zYV3~M>W3{NvTVImGMwXGN-NsMs~|!5HtJ=q?^(?>)oc8=I&pVi=bHOVbIeuYYw=q$ z+_nTlO2f*lchn!1`FIkrNpP+=ZXa?J4UbW!ma1wi@a^D%<-&7QKb4#k8ZB_Wj0Me! zQ*Q>m-AD1tys`Pcee74S1j=rszOm_Y$rU_k(9hfP*6C#WE;*Zg$--;%#(0_M$0iV5 zd(*1@{+?H*=unmbrIz%SjyDnKH}R`Z8aoUP4l@Ekn8wRHrWP0iPnDl%$6~}}I&rus z3_eI+dSiFt9hY*q5U?*0&CwQ|R|Qb5 z=4s6GRoc$;i@5sp0SLQRTS>>(v8Q)g>=Pc)68JeN+*{Kluxj7dalqQ(RdeSKL0s@O z!Smps&&hrz0+y!+?V>7+47nTVnzi`NXyx|l?-xnGjdc%Q*1@|UOAm@i3hpM7DT?Zs z$+K*ggBOPfRi6<&!qntbEqG|B0lA~`MFw2x8g-u&m%1%NJvZ)U~T$#?X(uR@zD z9lGQ_GDXgLp2LD98)cE7PH{k7xyxb#t!6*w^)e+zR6Wyu4b8}(q~VdsI2%|F znj`La&{ph3N=gNVu2{G(WH8q5kHr3dR9;q|dL_g2F=(C$oUY0!Pc=TyC@_l7RlD%h zE}C*zBH>oCN3-cyc@!8~$9*$;*QmyERz- z*>^R#_;bO!^HSp2;g9u0CELb)y7!$?8+sD;pa3ah4LlmPb}uM2A|wkFbGJ33k0m1z z>CKBaY%F261ooBLv;4FqAwyRZmd%-_ek(^iHtgk!-1?bMJLQqXdH_C(hPbA^MtPg- zsEU44PPC6$jqu(qo>&fj6Y5M)`H%!W6OL!Aa4(36@8A{;bWBV5)X6aXSY~ysF|9(* zWw5FPJo}WSS*1o1@lv(ocXY(h)5Nz zw{lX2(N#P7uJIbPkK^UF$xq-(2wApebFcA72hYqB5h-b}T0dRd1d-mNit(-}WTpl9 z>YoeV@I2<%yJ?xt{usHa`$P80H?hizhiqh0+V*A4fK1qy!|F2a;h^nI{L5lq-Zu5k zOKR@1pEUE#FuM`c#z1i@v9$Rp?A!DD)v0*JxX)Go3$1s9)V(a2pPW^D8BGLSl&cBO z;(521*))q8u_-t=OxvtTx5g?OXykh!!6dEVdv}`yzBb3`LFuSa&%?^smCOnc13G*j ze+avLmqueN5R?wv)+_Q~Y68OSE3znDtpdM!O@RkXN1{m4Ajdt03NV-Zi+w=!etL}H z*wcrlWNEouXq@8jKa)eQh1;i_jLrh#@^0cfgTdO@QkE>rF%DB7B-=yDrXa;WEgjq| zC&L6Dm%d$YC0185(&%Sl&Z}ex0Aa$^?|WA6zV`HR`6UdG*wFsit_zix=N93*BNm0jg~N5!ae1 z9WUol>DqJL*>@;&uXxU;axR;QLAsy6z5v8r(jB{Fxcw!cDUVvxr8ba^4)g7 zjKO8gycl<2o9woq@WQyTe==NE4TRG%5iY4MxFr4BIbHMm^g)5nP;yU{eJfSq;UMoY zrXR4qq#e4tmukXZF{yQxZUEE&I^eu9X;7cMJ5GDr{jUoU?fk7{9Unb~=5(B>zHi!) z@Y>7Vy=}LDxOy&a!DKQDl$mg^&!RVU=b1I|a9(7H?v0*#mh_0R;syJo7SX%zf`B}H z{KngaMiqxTcOpMMQh}kjFRTP)K9PkCZ9JrW>hu8=o1AIG<*-itkp`55Gc8p!OiOh! z*BOJjYzKZu8n1N#yRF5K1**3=;~J+HGc0fSeTjErZhe>Nnbq(ZtmPL(1ChjM6%@L$ zJ}ATAJI&{ksSDoiJoHX3SREC3%&~SxBO4H=FS#JXHZM#$#RI-AdgZKt~7 zzP1Ij&*Q?29G3-eX1x<__thtmc^Mb*AO8&( zBL8=zGn@_VzfijWLb~9;Q@Z~`y5PT4y8pt;;6L5V|I*6;!pZ=9-{}g>$%T^v!5fVN zfMUsxI3!rtYBcX(Xj{jz^)<$qyi@Xv+j-{fw13lp2{3bTY0 z|Am$RJx35KeumM<<~r_Ta~?#Jkip8$t;OZ3Tlvf;c9bPW| zTNw5R<^MLiU?Tf}y!?Mbh;N@7Qo^gD|Lykw>mNH$!4Z7_|1>$zUi}9k?uL&n2#o)D zxBuAK{Qu45+~A6H;i<02>65B#`co|)BjIAX_Bp*jri_CXkIz)_vV$9^vkFwkWeeLp zLhl7WQATwtOe~ZP%|EZ(@QJwoDOwv`>bE+hLHOjW#7Ob#Lp}1$>rNI;J)eUes?=C% zBbABt0b}&Zedp&zxi|Mmg`^&!^n(oy^OL-SmNiTI%|76^VSpcTZTc~PlZ2%KbB4m{ zZm^!3w%o~Z!%gOU$2i47uc$$@zP9Nh*FKm2GFq`t`m-DU@C`Bo)`m9v*%3ja=bzqy zrW2ga0TM}<U`cEN#7C8^;rLr}G*gMX5Zw+} zO1)1oHs6s%O7@h26dZc>zn(XW2WAQ|M zWJ(0JsJd-~fwO|NCH>oDf6oBQVoQ1_%0Yrsi^35Gxzb{7#&6#~#{zESxR#5oJvK4! z{gTPUgXw$@@A(dHZRcDMnbIeYXzv45q-lA@gxp~csnhjwzup&J&%I)ICU&DgXy4sK zIxJEXP?8qk#@})OPFk)!L-axorBhm!E80Ql%UkwaTle_rDu~8NZuVT#yi~UJu>J15 zM(o2Od|DGdA*y|H$4%L-GxQ+En^8j~SEtH*zKS=OV^hFGvR!x*h#c>wsIKk zY6%udeSh==Fd%6v#rm`61fu-XPo>`_`+EE)E-IS`FBTayo!gHe>{zyPec3#qPPpQF zK+cr)W~K3l2|EWt9#|^>jMGX}7F(L!Cl;vMs83gzw9jREr+_4~pK-G^+grm0 zUz|M#@tZd*sl{<6$dx9JWoY_tHQWQ*dgow+{Qt-MN2M+$3B+xy2@%GBc$(Ls*70Khp z(q_l=E?(uGKGR-N8oBSt>BJ6d*w<;Zhjxj@`-rJnoXBF{R2AIde*T?Cb-4a5Kke0e z@Xa~j?-h%jI!5Z1IH03q4>l_yjh@in(qP$~<}#oF9{IwJ$ZGJ^p8)B}QoSAWt`DwLbtzk2FgK zJSQFTZ@=%-{F&`*rR>(k3g9%%9aj`MWsr^p%-SQ$M=UqfhgYk0UN*9_U%TrPPu}4q z>Sp)`*W_t&Fj!N1rBiy4LYtCdAT-Xt6RW}9l#%hcJa0sg=0ei5DiuJ@Rjpa%iW4}r zqVjYqCZ#xrL?gH6ziXAdUJ}Ap$n}9_ zw}JT>+|23NXfUqdZ$I*@k)*1YWgXDcV+-oIAkC(5IZ}q_1l*lZ=*4AwOyT@^-78}- zWa}n#+FVblg2Q&=NG3R`e+g=hAI;n4+>Ogr8LE;0y8P`@r$32!QiZ4XZXUjUlhz1` zr7{}&f)~&0EAeni;6m6vLfgRC5_S}Vp(6(-*76^=0fyy+fTUnK?~ONpe=KJkUnr*# zFl{PUd5;F?<#yxVi37PL6@2%DvyPqwN;-ZdKVowY;P5D-U-vF@$5WL)9r_05#k}IW zf0(`9j^nR<|JyW5S8rSA>_L%`+C>r}YO4JraCt$V!$!W`c#_d_%HUOoR3SGP@%R-d z_Iq9>rEW%eG2lREKZ$E|F}99JLEur76~k5fpXSQCjd|LouHw}!1e{=TJ;2x8Tl01~ zL2^Ek^Z_1!+ntj~cbw-$c~|tQC$+zU7sy77uhSdHbH{?AnwSpq5v!==%w^YK!t>Gn}@Phf0|SjZ(OTiuWe=8rSd?4d;3My+_!;`CqOMO&5)2$f!n_FX2J>! zr={`EX|{^xb~g>G-*I&E1C!S%QZraGpn6mFYK;{nNwbC2Et z}rfsJ8A^CD-M;1e%_ls00m35^oi8O!K@EL?C)DS^}noo z7=Y93Z?lBg@a|la69G`bymt+Cu6iPQK>0MljfhK2_#^k#iNUkuC`;P#z0?XYAcWWJ zDVQUq75qg1JoVMq4~872lgzYZxAk8J8~Qgr13j@DBj4Uyy$|mDo;mm0?4iob(AM)U z21iDs#8-TN{pbQuHy%e!zA}neXZiYZp_-gfH;+b^Yz3=>)H zYvQsQ>QSy&&(bdU_1qxFWhe`d*bCJ980zmjLyri%{dy+uV>bNCLRNUbatHYEBi$XIf=@g?YD& zDdU$~^Bik1nWc&U^R67oWs^MXWW(oQ9$?dKx4BqZ)qTTof6C*_%J7{*o-nUpAjsHe zZgY3AkIXg`46#QTXma<3VVNYZ37W~RwBfTU% zd@*QK~Zw`#qg$G^#7{VucVf}Xv z!92jjm{+{H-sd^z=a5!xb$!GF>PPYHQf2YXIMuw5@-U!T@{10xAm7C5Bfs|=k&}0- zj8*-~WMihHq`%7;X3xQy(l%CdRi(sLdv+>?*}mFiC)|i z_h8+AQh6G9NI4A<-C2&e`O#!EGQUPH(`u|S?iiHz^Qm+1p)kjRs~WBVSM`dFV(;0< zC%JDT#xA$4xPJC5GS$jk)byXqKBKWZ47U63HREb-{F;iar#RG(;A(Ak&HC_VTRE|j z+$Vq3>oO28mDnG|DMOzGlxsCwiEYaWP5kfzz}D^q|MA%Iwl?5-8&B`;w8NdnFvTXW zc=){L$GYi{3)_;~@pqVVF4!r66jf{Uc4FF%l&(-c8vgl8$86W(i@Q-*KYqV5!B9xK z0xCm>&t1I~&=?RJ99?tZm6`eaM2m6)%?7}qosrFazaWix1y{{LT_;HI z_+4p@^6pt8naTy4#*bFuY5cP`+j|%FP@>xpn$G^@Hqxb-FV)uYZq|wURO0P-%(P3_!}V;umP@x@9HM0_;qXQHC@UXMoztm_y-t- z_m5HE2+(d688x7#d{$!c7$1>PG9IG$%haJ`yZ=n$Ug!k1n54amcVZzZem{5?2q@{j z8YVj@plk2!9{6Tsmz-bN(7n2ClS#)6*hTaYZ{nPxzwcMVc!gU?PTA3;@$=^435SH9 z(>+fib8uN*`kWfNsrOt4x3Eo=Y7KXda8*=0x&K3TaW=o*!jv&zU9 zmRM5Wx$B4zBOphs>?)-CiXZ)pdNGA!OABk3wTo zODejUxYZtw|54PliBIg!-WX*uz0uGnYtZ|32M8$}M#YVC)+~_*F)Bp{>|Ph2*?z-k znDXro9S&n2v58aeFyXy_0{PRY!XoKx5RY5MYYx3q!}WNchO=a%2IA6s~o zqECPE*}R>^EQmDDouafqh`$y==TrBc1;P?a8+QVqFYZgf*tVWEU>DN-M5(dLl}R~7 zK4srK^c$pD+QpvYlQGEMvh#W#_jz=VmP<|3>Q?rfElzW5NRohv$cFx*BRrZ53U-dJ zfeXv0_~#gTbPZf1UuBjJ-7xe7FAHnNzsij){JNnN9hY1^e8ehil}H=cS)ggY$aUAO zA1th}$0UAJdzd);FrbLkb9QN;T$zWOXhqSvYi?@~MGPnyO<$EBp5uzF7^U%wsqfNq zy?gKV&Ypm@rk<8T>%j>y=sdx>p`_v+5WRIobyZk1B<|B04PCpZ9qb;(+r0U6U~Oem zIpdQepI6hDC1%}J$MDo&T|7yI7S^pANOzP>94MST`g2~|%Eve6UC)>Ob1IrFuG=mwZHbu24R}9%^S-uwi|321wOj7HYF@d*X(EFhK~cZ3 z3knXNkF`Kh_12lv=|9BO!oJUA^FIuaecdCaQ+}M?FtN6a61tfTa@2HmX$7hCL@#f=239X3|KyK-_`m`C+G9@wME4F50UTfGQt;qQr}jMAK3fW z5AA>hl(d}lz3kSmJz7!KTMxrCYuA`L4e!+*p^QS`|5&F7S9oR7wSDuiGU`kos2g_- z{;;i|;kXsXQuAq;n!lJa9PFD%kT6IXlx&VrbA5Pm7Co@U8sJjszbr<|6*&3(vJ%KI z9`K3#I=gjnLE0~@_xI^}Rv8<&m?SlA|Ma?^i6e4AE%vsw>z9I7*W@1V*Yg~{wGQro zIPw-2&NyE?J4-4l16ZtIk*fO!&0KbQ7Qv@)qwJUcreX7^OgoOESz74$@GVeCf8q1U z4+8Ey^9P}k$!B<+gTtazYMWPi#BUgwW{^;TvrZ3Eb3gwf;}%oZk6+|dH3mV+nuA5Bwc0GY;D1nh%X;nYcb(Z@=n1Eh$C(beH-VLCrb0sos{vpiwDOK38=qQ=v_F@RaQoQ~N-Bj~%2Di%cF z9=9cA^G+iM0UtXLPt#+JVG{I-um@;=me4^1neUCLqScyK~=mKpHy z3%@=+!!IH(DIFGnMZ_ehc4~Elo<}a_eeFE0hF%KTYWh5~vU&fxF>Cw#+CeUt(CV9Z zyWGNtCd*PWtHnDVfO__%jUj=W$8AQ}?ZK;#FQjK>b-iD_t?Zp!qo(KL(E>iNTKbpH z+de58XS~RARY?2Er;+LTvzOIw)-}^|2-=i`#Ug1r{ruXunI#8OmXs9Q2EUkl)xcU|89Wdf+5_(5TA8$feE zrnUQ;uU{0TEN9mlSg(jE#g^7Kui%067t{mau0SB$JNr?}_do37($#&!+CioD4NXg2 z9+4M7mp)y1n}pJ{AFTdQ>sme^3P-Q(wQnlxg|`w@`-xjh0#Toz6wLBp)^C{kg>BGV z7hpEF8CezLo7?sX3~su3J_Usz>PNPdvOYEs42{beJn(Pb*|W9_Ygyd7ET9ej^;f{g z4o4eXXgc9#S^M7(rN^Xd#Zj3bPYFo%c1i2$_=2VZtzFmUu5rZxuG{8ClqVaF-*zcj zl+64NMH*N{q`v$n27ue{ZR6OQvY}&0)+`~Zt7m9jZd1oPLO{dJRqviv40`BU1my20 zre=3aG_`;KwS7d*qv4jE`>v{GFu%vK;~U^rM)xR%J^TD9v3re6>pYY7bH#*eg^;(n zdHuK#2Eu=V0H^U|w?3_m0a`AV=VjG1l+M0^Ii<}blzd`}+D2y1;I4mMb{7Rha{9zR z4ZV2d*n&V6(dFyTF{usAJ_FMjUC^&v&iDcyuKD(hz8#+X{m4=)Jbj;%i(1bOEYfQ6 zm;%5B*?Z}6CG??%Wu%h&&OVQ$l8dBc62Gr(G4i-Q4DA^Pquu{rnvTr@uov? zYDVtBF{_Mc9UG@0P*QgfPMg@|)sA{yzJB()@!eM&N2lId>v$x$YrK6A9YN!#W@hV> z)nx;hK(hNq_K7naIoG6Vsd(`3_K2y+XJEjAKHBmAA}*;)=*w5{>N_W%HSdzXZN79( zD!giEUsPTVScNpvacFxskcufrmQ}G_E&nif!ietSKu;yT(NleL5hyMtuL$-kbmL1$ zr9Ys!bQgt3yLau!CL*sQMxherM++LswM^%J{z^Q#sO?xhu4Co=y0HHblfZRj3kToM z?@OEDl1E_X2RiLL9>L*pFhz=8-|haBXPd;X_h<#(-$<9A0f#B6D#2GBd|0pRY$HGL z#NDF4;au{mFn!W}rgM0F-3<5!@#U@UUzX|_5AC?>z;v;rMpmzEm4u#6&u@sCi_Zz% z&#pftz9gm{9$(ekGv$_^Q%{=qX@VNa8~PN_{~~7tLf7R??PB@smsUx|rQLk)zdTIN zu3ye;`b83D_2KgH3gD<1U@0i6uRh47xtsYD*L^&`|4M9Z-IB<5hgZ;NRsr~5@uET{ z#U&BPn54A+q3N|98u`eq+zmq0#IfnsV{!(d5}8?Yh);2uRrtE|!|d@*mVmh}2PNA_ z^>-gK2oywJ12oZ?KVDq}BMbWuPk*Yrub<=l<=geL>)THfHQTiDsRh7z)4F&M#Ugw| zUi;Ra@S@sA%)uWDHq-JNb#1+8v5D_N$LQXvKLnJ|Q{9@|lq3f`lgAT^=6=fhK5Lbr4GB(}?AY`R5AlnH&&d|*X->4!0K`P1cPg8Td+v?G&Z2j z83O|%zR-V4igj(`kYOoj@-r9&x}4z(pAs8X*dNa}AqaH_9H$6CkaHOL2wk5L-=n2t zU}OZ>|FWKcK{x^k!pT8HFa&|I6dWYjA-nfi=j`qQ<1P$O>=ApxbNLVGiAM15!MLA+ z5c~SVqYO-kI%oK4WkH}k7aLe44r;4E!K#Hukk4=#YJw(bxIiQh8G*}a2Eqspv{)|g zugLi?$NKf_^z8T3zq~OX8exFEC!Adr>!rm4tyDDJypZ#R7&feBumq6+h{5n@7#RT#tGz!aOJ@RxiJ(F)PY(a%630RhmI3YQ=>^rXVm z6%vB&7sBjXh_HTlm=aM`6k->G4zVJG+$uCb*VKNTgslLL*lLDo<6#@YEZlMqgCNLv z_)B}_M+SoY3_y?t41_2l-;MPft^R5Y4)Ml?s#_Xc6I)#Zx(kd#uwH~{&m(#v2H5i< z54T0*Vx7tGpp=zE9IYjYwY2nLKZ^}p=QEH2#gfg~oC9Cqz%*M`B&!MXpJ<4GV&KWm z4M31Qxa2n>Bv=3x5}+^&0}v{hl~4o)O_i;Ee_iGfsSmM7M(6P?NML%#?Drqo^a>nX z%N#30ECGtmvuHwaAY*TPCjf;I!}3XB$AV2CfkA$Tf{F)<@FUB5+63$&E4Iuwt2)6 z?=QJ*YesU4z(c{oB_L#F@A`~z6m%^jEvTzpPupOEVi&^uv=_z4pMelT0Xx>fE+lL% zf(v10Q^#=}DCMnjsMdtp$ya^#Lfy7GkLKkAe9WNy#;~ zZXpgKHbj5ry{$iCx(!ZsjwajyXAM?dV>Aj`&p;d-@HSwSVVV)79CONybbrVELOq+n zoKmA)eq&BAqmU}hDg4~3F{i8u@&U7qAT^j%PAn1)fBoXxe+=X1qQDDP3Wcui-!)CK z!s}IWK^ZoW&Of=t?7U;~S^Ivb=Bo(sr{+j^rI4V=JXC>q=f-3~tL1Tf2AK)J==bnj zTT*ka;3T{u&F)R7j_5;IGfw7^qm_-I&s56_t#K3%ZBH8pxPZxg1cp{p*ZIoNbP-=t zd}(D3mlk|0V&>a9>Aa%?mNVc5!TEP4^BBcwjd6@+^K%(0_DcG2*?i`K1{>hT<|z$M z_&Qe@B>K3nl3^Z5NB?QOFMn+NLhZRN;h`_fsGJlEe2ZZ^tfny(pp+@Q^t&NjL~pB? zt>$OX+WJ_K&V*UZL$Fj|_%XRN%agi1W_}43FT3#YSGdQg8+K%BC(s4z?uH&0;_z6-}=SNO;N`^>z_w~myG^h|JmoSLzgLe(pfYNe3Kul#WOtm zRT`t@8KM|;6+BHqb+Ee!X1ry*EtrgNJg(UCbxzsFJ^SNJ#8b&Lbp57LpIiL4;!^^yB=Qys_p9MvMm`YS$k5oE(u}U%ZfOgR;6t9x6Bj- z)~x+}0O}g_eimuvS3HPTHx8FD*z|93f5|zWLOt?j{bh8dH<(bm>){>nNm`n{rDOIU zHO}DoH0O-u&q&;eQTwTqy4RBMwSCkzKgCgx4$U@xI?(YzsQ;A^SocHU>jb^H@Kc^twIBID0Z1qf>2Yp3`U-}~g+mg=*p zndjYE9pG?B5T(BE09>c+w&+9D&p(V+5WKautWeZuc;e(jwJ!bcWIpLj{A;kqhC`xY zVZdI};O-e%w|LP@b4Qd3Zj+H272~DUz05vnrciDs{;Gaz-vcBylgJ!D~}^c;cZ5c$geI*uALOabw2# z#%RX<8>V(YrhhzSigZ1zBaCw<<~vZ5u6kf;&4|yW@Oe_2=DP2B3Uo!E+)ef=snY$< zLb4#>ogiwa)pTW8@r@jb3{INRyflxuzMEN?$cbo^&6a9;n#Y|MM3DOdjJebuFp zlj>FR^iLR*g<@P)uEaGy$OGVJrJ3{{{~8vzBBRLxeKPjmL>fvTlWLW&`}cdSn&|t;krOZf+)o#H|;&H!8(@9o+ME zZ~{-}2bh+S)oD*-;(Jdf(t=!?n(1*aWb@{Ft9cWt!qz=~q0+=_R6-(6KE}K!BL2cn z?kr(%6iQR=cJq0U%KNE+#r~OVql%t+ga>2u4VjbNy&wv+_(HMw!H;wFCcCm?0KPyT z-bnSKn*~Q@k0;UlTeeSlJh>!v&+X52U7RAdCj`~;q3ubFpZ0r0g3nvNzGmmP^zv>b zirM@o(VHtd9Xlw{eN=VS#k(Wz07njg7H?(!%HZlEGUy;))J!e&TRF`KYD3btE-Yzf z<_B{#-y3IcBpbOKux^i>@54=hvsCYB2nxka?D*}$yG@_IfPed}Hjcegzfz~QGP-vPteZFNDQj(3awN;9~UUr$X_y8Ke>V1PFS zOhhNWvEVZlRBllv?rD4U9BqBltXhSGxn|=jwP!dq3&O&MK|j1-PL}9A6ZF@|eUoSj#nVqAg)|WAdKgjh3uQ9EW_57A8O?KtxjS=s!){ z_TWhnUAk-d?w04o^=&gL?z};tS4OFTp^=ew^~L;Sb@SB^U&I@oN_#lHqV-R;Ws|Pz z^1hdn0>c(&f6NC5M!HoGM}*r{orq&)%Ueaxw)WGglX{7oW&p8~vy~cAfNJJzp?73z zgG5m@U0GfenJ7boiqD4+VkF>>jaJR&Pqv8xLE_6oXupo>hzvK)FAt_#uh}1?c&4ks z>o)>+$mO~Q2k*VCpCUh^=IIz`lGpFZFKY8AuigCd4Gdcmrk-_)D7je`{choRN+?F% z%7?~|D#KKaFp|{Adk4rat^9sY_zhlKkP@Rmg;V>X(*@Jwy#`P*k%KQD&BYppk!(Yt`pI7R_`r{s=~Z=vOFiEGpjkOZ72kVb z?f3~VIVojKfK$Dj1JbN*d)8LDoEooLvoeYZ3vI^fgsY$5c<(PsassX{aCq$m(+%x+ zd^r~xFK6rJapey4FOrky3zkonF5NH(QJlA{o7eH+ED~)|lF2lj0jAzzs<`D(dlPc2 z2ru0q;PKV~L%$KjDkHl?Vqt66iy zyE@f)*L=T5NyO^EWo^EtpulO$IA*8SG*);o@_mdo6`&p!#|)e~nos!7l2O*#tXjyi z8)8=6uK8eM(6UNLrvkWLk6ZG@^){iP7QaX0az%N|r*`9sfT>AS(koXB`Nt+eiD1tv zWc%$LZ(q=P{h#&$<5E__QCWoy4+W}!UAa8O4P1-`0$2#XcFsJZdbM?ISR%PX+=CF* zwb-I(T-fsia=?uA2v6V0bk?3E2hhDSPr+hXJAss?pZ)qVs7>i0doN z8|=IsyV!X-;3^TjN`fm0v0jbCtfFA*9{kN~>?#$zO2e+wF>46CFYsUD|AQkJ$^Can z{#Rx0f0XGFec72OP965giav%4*oYFjtZ|}|h4MBFsZeZ%=v`Lm{6X4HH zVrS@yU%W3qBNh-R{zB!nuJcLm{sM^Zuvy>CTXmp};Pm`7m5_IE`m|qWoOrCOt>sig z>wpFjEuEp`zjR-yJw}$0>C1eL>Y`1o`>VmHXMWLzw?xaN0YSNu_N3NwRlT8{#0%n2 z@4g6Jm17f%GQN}bWE3wbxD%{0UP{1sC}+_hS~}-XMRaYY`kn4duRik zOjI1+HRk4NxssoD@wxwxrFE{uRN1Oull)qnWd%-6pz>hKY4-A+vJdn3Sy$v#=#^Zr zZ?STuDU*y)mrrh&6@j92#c!WBjlViv>E{(h-c_EwEinl6>Qk>WmumWPI~EPHO>6K( z{-DmJVS1w$eNKh)Oa;ivmiA@bo6yz95z#k+t;$Kn@^v=XeO?oa2O@=8-uxe{xvJSc zScTTq%D=2A0TEjJVN~b|ZLm-i4eRq#!CKEQbD50pyUVE$+jIKItN~vutwe(so(D&a zYuMiNq!Y`DqFYuIR#SWr7kMtM=1>Ep=|7c}Uz(ozPd+hO`m->l>8sh3=k{j*1DkP+ zf~aF3u(=_#+m)npS6JJ=-gi%aDQA81wb5p}|ABjsycnCa8mL$3cYY=aDb#A9bnb6#ARK4Q9) zpXy(+TU+`hLh8WO7o=7xOw@c^a|>*%=vdC&-P+tUHu&gJo8ydwzv}93V*!|lsT*mp z6{b*K>Mfy_D@8+%1ds zZ+MiVITJ?1%r6(Rem%y|8H8#HOm{na5hr{hFPTtFtf-1&VBG!r&mC`ue#|QOWqBWWtOU~m~all{hDyHrqe;wT3#u2plj5Hm8 zk2NffH+^yUstp&`*%mpt#fK3a9yWp*lpw0!%YS)JLb@vbtk!eX#qG<23G-`_a*TGVT7TtCJ+UA_gNd$+F6MV`1U zo#VzSKLmArmBW+@wo*7*{ad&8D0HZ$=w79sm@!EFt*fD0)d>L~6a1`5@moTIvVB=& z*t6B_zlK=K9Jq=mj(2|$8x!~ z0*>cBxL3Y^wUO*USrsvqbda?3QZF=mC^a>V!Tl;9Dh0ERD72roI^1l!Z7f<~MAZLv z{+K8|zcGn8@VPoy#DYS|iy+$5>;3Uo7+0#Hf!_)@6#q zDj6S^KdSc4s&rhSFyS>0pR@j|;xyAw-Puio?_NGf-RNHxR!A#Jq%|5o1GV|imv8r@ zMP}Ym7zTN=4}@Da_ejRvx>6_Te0dw=c?@7N*3;~%o$bwR{35nc6=E=Ulldjb;S zqO$6w*NLGJ<2QK`$f&qxK(!+<%Uuwk|9BW(>%Fl>ePaT#s&;(>c={YHo8ZS4UiyZ? z)>NIWHoE)p*&x58f?}s5?rb@d8*K$*`aL6Bk=mhbjdlhY+jm87 z$AUPG0b{lF-tHC>W0egp+3Bw${#3KdR#h0!Cw?~GMhd}g+Q;$>*izr`-Rk4b3LCY= zd%#Mhy7cFI1Y4Q+Sxw;-V3YnKOm#wKURRc7SV4Cy5l@*ZC+t>u&)T5W{jHe$2uT0x zEt#mn_wHli{;%(1XjKnPGH6N?Z)R?;uaI-d%~ying$9MT=!pm(=YTqEbiRrY6MmnJcwMdsdSMK}Khqdq;Lo z;k%l@#16uaW%BtP)34=YY_rD|R4<9-i37XG)IT=m=|V9T3-6T}P}2G4&`)Ghu4>B# zzYZrR;>KJzGs9`Nx;YE!Xn zeFiG!ctXYdCmy4R*SAM<0NT5KFlw&G-mDgP`rx)|GBc>f*V^Tv;%#-fQbDqe-p80^ zXY$tIn}@t*@uJ)_^p#}LkoeimUXk7FhOa5`E_bjeS(7w=WPn8?E{?h#v|*x! zyfqOlj%Z(~wJWPlUuj=r)+KU%)Qw>vykaAb3%-`xFRwXtHZz|FU-p}wu4OkgCz=lC zeTUB+s*Z4|3j(J+fuT$!i|1 zwcDC!GlbOVLzkCpc24h}W5AOX-K`=J(DlN3{)m3<3vT)b&0nL2xg5HL#jez=^b5@_ z0zbJT0EvexYezD(R70`PWZrUSa;FpKbgyCM9mjJ&8%?g7KtP&J$dqSu``N}y4d-UM zLtqe?wSenM`sRgI||C5q}86 z-d}0{Vvm4tllPbZlq)K?Vec5Yd~Y#hnpw&8GM*v>pQDvMUg2+0Z+=lf9w(RWbSM0s zC&%WN+>%C2JpZCdkSW@{?2mSYu93S4p5QMDr?HvK*HurFo2td5haWis;(M6l6x+Qi3Yh8lgFY9G zfVB5_Eo#4SW$^DDUwT}`0&+=csF8XqpJy*eh*fx_3r$=x2@45U&qZnQ?&(SJ}Qdu9&4w6@}to`;DB-ncHN$J{viId;;F zzetJ!o&G5e8;-6jxEC4VsWnT~E0cPBSMM+ljO?sl(>YB!z50buCso3u0Fbw0<;?w% zI#-k@E}C4{eI+(W^@wjJ&9izGKdZx*APHQtG>{D?{Uz~}#IsoWT()hBI!U2{wQBk zwptCdDYXzM^xu#Ok1wV0e!oL=-wz~{wyYffy)9vcPWi<-@iZbiy%vw;GOdgOy2w2_ zt-Q~mb71&fL=OTD4Qr>IV#>+yN-A0*9ClOC#WU=khPEk49HCc=X(Dj-U#4mNws=iM z(ypR~I@VRC@A>OWi{uS%;2co6O-LN~ewo1H)eO1G*IUB@iEpxcJ@P&LB5!(!)qyTL zHBGl~zjxX1X+>1GO#C`LJ}0EOr|X)Y^L`AEfR+`ANrgrYE^Xjm;+Ir2cdq<4x3+&q zAzs98?fGs7gGp2i7=<*wGA@`Z*;T-PnN(T&Ve3UIO9_*`%M0O$@x4DW&Oz-{+lrt3 zEx*~vR3GcQr|uBYu*;|g=2HLzpS-3obCwnJG5dOP4JquV= zblgIQ1sy-YnT>nc$gS}uT)Fq0I^5mEE4!E$VRr+jgR42U>Fz!@2G1A7)pLlfXUOfh z_W80&U8l~_C~iq;xJSHBUR2gF%>y$FC+xE^$0iSqeygBf*gizSAtocNXe{JY?*(oPm0psH(wKp}_Qa|ZUr*10pTnozg*JtLb(l&V_g&z{%% zB#$1gZG%;Yyr%CYJlaN?`RgPsDz-si$A10Aq0n@XiO+rezO)jw_x}EiCL(L@(siih z^di4|X$Ol;A$nx@)?Mw+B7&z-UyF4|>V9nB5}Y(%emdZyU5zFH?yHs0Vc*E9Wc07c z2YCbu?`l^Jt}(H zMKr)A>RoaBKCXP!o6-tUy^j@%C*)lqUdh0I%MTeVae?{pS(Y1M~MKLo*B4xuhOEsyz`BwQzs_A~74#-Vnd1oidNyEokXP z&)vg+@|^l(`P!!zU!P2DW$TPuVC$XNg$r)!_i>fZj85#9RqzW+%4h_Xv_Qnz_UmQSOQAVspXNWy_LXTp7t(SsZTW$gesb3tm>7N7 zX}ps+_f~7~X2}Sxs&?^pQCYQTQH5O-#AFms??CO^&ewE#t(iy_Y|Y%0FaKHR7uA=p=Rt53r|E%%Pu$^ zl#UKKDhp>Cz+d-X+uAoXV0p}k}HFMv&a{VC3!$`u@|E@^ppJeSTr z&&8ko1FwUqix00yj%jr}7c zQYu=G`$o>W`3rYdZT$ked%tWkJ+zM+=a*G;0%5VKwH@;euRdy9JH1&u#sh43bo%*9 ze`?0zraJYHc7W-hgqKBnza6u(-y1*^&pI2n=YEH~i^rsBn)1G(FAzVS--^W9UAv>Ga|L5 zb$XtN3J4};h5xR)lAhbA_mW9QX7F>?7gg3V4%xuKp<+$W>He`t4umXfhyGB?c?L9r zVg7A~JO1Sx96A;mzMQjdJX{+*PHHv*sRw`kdakSVf^EI0>i+44-E%Rc^Bm6w-sBc` z+eBDuOSUQm}s{wa)jNp6n0sa1CBd@??QktvG+#2K58aip8W_N&CgH5>2+;P&@+0}c$H1=#7 z(aG+b(LW1X1;iZg=$fA@7_O)}_1L()j_q8cz9w+*(bM|YZwQJ0vpTS;5}aP#-aRNJ zW$8b+xVrI|fJwqHx2SjE=iN8C=)~~CnpjB9#3}ngbM2(Cq^fOJW#cP`_*eVb-eznP zGus;+z;jE{_2-_Xu1oYYpJ3xdjdKo`Ror_PC1gIYlI!5o5Rm^-*uuBCd6vS!(J!l` zdm0Uki1wcL)7iznzod_&3wpuSJSE+IrDwh>HW+uKn||BB%$dDq8Az(NDfB2LUEbgc zsHanqw*J1(>sWA1ZR|F%5Yjrc;a0p#Ms`Yr-Z0;|1Wpq-cbuO_iCBleivNvV7LfN0 zeESoy$eVg*7LQ{oT7p+ug>{D~SQPxyq48O{^+TJ-_(Ws^YW)Z3s!nbLV>94!IvOz@ zk5y2onqK{c#n%NX?Sd6K{|tKXmsLMy8_7EWX9s5R}>U_Z-jACnzQ}zkSgPZa**oy(K2ApI<)*R=0NlVsbsOck_EU zBkUU-9$$gQD(UJU7QOSAQ&v&W82H6VJxFR<*RPZplKhaIC3p6wo!%o5_IpwCCymtJ zg5Yit+6#w!{0~iS0z%XN;1k|V%D}{-<}eD_J`%XEWgiDV%o2%q!M%Vy&MP*jEkUxOnMRY>_x65}V9D>Rw zPLT4Bk?G%m8JQ)(*NIs=M%J6c4_zaZGSHZB@jp`YjZH4?za4g4)z}x($X*EyK6jGd z)-!4JVcm5}?7OU8)w`qp3xhC!;4d~yk`(xHZ2h_R*1ZSMqAR~BzD&fYRkidEuWuh; z`MHZl$EB%HW%$%9Dk;67ZF!r3f?7=@A}V1^3I*eA`y&WkVE`_$OXvWFlz zzqX^m%c}qt3=C8Z42&>PK-q;s@FqLgqet>E6pa4OU1mb;avnht706dXwCo~DJPN!- z*>N>A;d4MqB1|~%3)Pw^^b*3C&mn#ZeTY!8d4Zi0Mnpj@>%ZVjq!dMQl{KMoA-Gck z;Z|IfDG?Q){1H&~`7_GOTH7=`JHN27xCrx`!+?&#iwt_(gg6krBtjWxjSz&?A2OGj zkhz3g@_7V7qqt&(Ae3;A7Ag~=M-+veGKfo};)1w@soWuWW`vMjAeR@kse+oixR!UgTN!^7Xcp?w>H4B;p$#xfCP9Jcu{3=<_2g@Hm%=jWH8brS`q zLF*>YB}ieSw1kjHBjoi*Frkx>j~~7=0e?tf!mS|O3c(`Wgiu4#CW^sCA($6g5n;mm z`#MG_mxvL9filJ#A!H~z4TUp1xVU;>aLn-uu=WLkiBcRU!#`4BNrf-%0>OknQ3#pB z$btu&lUD$-MTlWSyKHwic@K<#!I&4o8cJw9WqJuYginXUnKKdOxaq>TiJZXw(@X@M zon1_eAgDlrcrY-ra4r!tUcb%?DaM=N<^|n+;b=zbe<8ZYcSleaV$Cp6Of#B}?mU8E z>7ha&?xDy`V2BD7mQ_{pde>B z*vxdWAmI!V=OsiF>kq`Z$tc^@G2kGhhYbKD1Ywv*5QGu#T=Rz$JDCV_9d4N+naaY# ziVC)yoN_REWuU`lWo4AR77j1Sr0ThOdPAt$uT2&457hKWLjp1pv==YhOo@YFK#6g1 zNJuEuSVtC{8Dd|M%oP=8B4+&fHLxT7!z?er=UFs@v?kmx!R<0^VP$n5Qp*tKgSaNj z`V0>RekClKr)Kw&XJ(>iVrX731Mgs543xW=7efVBe~TJf z?@(3qn-H{ufH7 z5X40gY<~p7flc9}-Y`6P2mU;QAea0R3>3R*{@J_+gB%W)K%X{GM7Yfk7Y+(3=+8E{ zfMXB>{uhWpicf|N?=yI6?ffsGP4Ww76Gd(Q!)>BWq#p4`2v$M@N<-WB3=1BFz}nrg^uW^VkD&MU_2v4R1vH@{UyKlB&(gb!tJLJ$tP<@84oF1UZI3Bllowq1A^PbOkMjCbKA z6&8E^Shp=43)1}thK9L5c;@DIK`4UvWtb6yd0}OS6<%eckbZcMqx_-h2Xf}okibUu zei*1_43NQ&PfSdMRDWP3T0=wbbF`)=GcOXjjsYH2M|WQzDq$()d0|r`UA1+69isb=ux_6hCmN|sHBAxy3EY1-11iAfhJ~V z@GPsq`l|j2qK0}|>aal#BgASE6Uxa^05%aE<ZkStjQC z`mbLkW{GH|q-$s!2wCXH8nTH{7$L}&c?4NQ_RuIPt@f-AuxV-OnV7|;a`P}{QDGKt zwTt!03evkSR%2!%Ye+xhW)?M%frhv@A&7@X`TS+H;QskFw2=Dwa}uRdbN1+ z4!CwRBuCy%lH~WK$Qxvr{B~|+FM&B7cT>^GLr4BGJ zC+!YC8q;IDJoG0`?||O)%exwSR~~vM%Ri<-&E8J@>&&V(Ohl1MF<#^q!4sjeuk9z3 zq82h0;(L1<+&ad2=-_pnoIR~yF`4)AUd91Vi0;p?4a+M-WuFbl@POP@v>Dm1m)c6? z{Ab!{aqo*yDj+S+4Avb5gk&_kzg--X2;4o`Hvj%Lh!?t%clG zlIqsLr`u&WQ{oKICI}y8)ZN+%Pdt;CE;}ybEW5Gl%p+&S58fp{dorT`1(Y&>SUG=E z!sE3YL>kq2GVtB0gi0rSI0S&R(%9}laGi2hm^S+Rdt375cyd_>A4>KH6!<Xg`kABdW-)=0O^9Ux9-AKK3zi4b$G)uyXD^c&L;|v;L0uS9^iJd&5;l zJO&?A(`R%xU{OM{tZ0f-3=`Y%-TSHgiC->RxDxxl`K1eTUYg(efetRE7FmofvXEbG zQy2C=-9sEcj?5qedPCJjNykz@J`Dn=`QiI+`j__82I^y29-g$iN$t$?JD_77sQ<=e zpP`opmMc0Ls+jaY*jec$>TgGUni6~e%`a^9*Vo5vN$Xv|96?avl4Zx{f}c=jj8UI@ zSh=Il*y8LHMr`-~+V}b99YsKPw2Jrdu0&OInyHZw^|N8XdGqsd8rLljH`Y|2)VW9C zy^7RLVH#UG`+B=aY_2!z7F6@|qS#mxC9^)FU3YY}13Pp>TDX+dME7&Y@_Dr%FJ5PV zJWwI`ET;3MBRk+AOBs9{uH{4@Q+c~cD12^=L@rcoFqp&oIjLHRY)~Xg<$#3cX8E$_$G+T++ zvt&5!Y|V?n?HYX!0avLMNZ)oNp(0+ha&`gxUk+#X@`Rf(jfOBT82tZW) zrB;F7vHi6?o8bNQR)bqSF6Y=Zblx$D(U65h_$1(8EIh~w_$%*d?Ns`Lj5F;{-#Xb2 zRZaTC{;Q&!qT5$MBAR>}1xFs&YF*W<&E~e;d@`$_W$fIl?4j5vYjcxJVB{t1UDd zK`>-+er5E*u$B7W-;aXIA7{@;B{@l2L(ac(wzJpW8^IlHnJXa7v+qhyu$5&P?=^=ECn^vlnjI;dz(CMa>J|g-1C% zho`wQ#^a6k;U7(AZGTWqIL+rWM5!i1nDmCIpX3!UHiADd->jwMrGBod#GQFUfgY2} z?kdX~l?v_;79|Y!;F77cbx3pYk+J2xdiCW>{Cu3AP5A6^&crbIOM;wddZ{18ebhX0 zmubZ)_HKFQ$s>7i%hCz!Cmp#j5TvY;H1D~~-x4ppY(=ZJ`9lXkGw$)WUU?+NYSWc; zGLS&{e2^>Th~c>?on#7aW=gT~$aSiios^Kg*kyTb#0qE{9oNTS>5YM=596h(32o-odX0^G!52g) z!r)8dj*6;mEVG<^v-7slTo~5P89k$j`)C2TBS5ZM1ogG;+lvQ0XGeH-ty^|a=J_6w zbBkz>fH2uxT~JpzA;2>CBYCQAG2D(obULM4+`Nck#lR$`3J{b&z@nR}e{CqU?zhNY%w1q=>stANYP^ty`4KK=cmco_Ou{H|>FU&@X>#hK zN*sQaK>1Sa29q}D^XN$_Y+)MEhp~e31ULA&vO}M0%pfg)&>{WF>~I7laBKeQ&?X)W z9xf$Y^m0A|HXa3^rI_X*04MjU<3(t4m8i z4p!6O7ILon5P!Qae824+P_8Vm`SCP4hT6OX#QfjAA2?J~W~Zzu^3`3Z_Z+|f!o&a4 zdemWLsk+KQ0mv#_HA#(iUKX)TaSAQ3oyoPt-r)GU@+*y*Izq{I!wI0Re_0lh+~>VL z=*iZHF>cv^R_4gBNv2Hk9pl5f0N)SrK~Ss79Y>PgS?N{F)1klK4MCieZ)NyqD&6Us zqz|;xf#wpy;q;67fSP^#Pd}yC@M+C?OtTWX%0yzZkVSz60$?`E`#LU~wGt!i9A~q0 zS|d2<6~5A)O?FNBx4t5v!WDFivt9pP$YgDBY947}lTS0wi>GRBhSy6F?#k4c&rSw} z;|XZrXS@{;F(#ByEp}^lXRf?T=PeOrRsE1bzx!wl7>EY)ep;t)%Tg~jC$hQenp?Lj zYn0%DyOl(}8G7f@Im`}~@NyPYZ$NAqH>;;U&X60y@s2Eb-xSfwGq4r-mK@*KK}yQ< zBeUXw$p4*qJ^b@OA*BByNWuS%kp71t1^=yI{SQL=ACeZpWz#zFdk4%f1eb&V5B606 zzXZZX#_(q!RO*E+W(NW@F%VR38noHNeljGl=eR)?SH;8K;kt6 zeg(-yQLI_0n{3og4(cWs-kcwv)2PF5*l-W+oc-zi2HM;?ht2#Z8caO_A5u7k_W4BV~?UIWyj48r973xqS?* z7r(2b6&`pmJ0}(WBn3?(&6j%QayWuDefID>o-h@$H0FH}d|I#b-NJ<8dBGoWOlT`Y zzxKh)oxDsU$IHLo^&WoC;OjSSf%w?RWlHELMPZjQIUO?ZRJ$++ zlV2aLsn3iGNd{qP*HhW3t4t&afeGRE;cuZW0`u)2^N5I?p;tGV-~w=gt_qo-e~(<4 zWE7$VrZ}E@2VD<1Vay4eq7yuiy>21}xEV$k9d5{(IAXTRY&Ke+d>2di(CIM>4HG1q zJh)tq2i|vrU^DFL!$%FHUNTc|JmSmut|ha`l$VkzTS`4sO#-hh{uT~4uEpae{aN!j z3LcO*Y{zR*IR0&?FQ72kyA1$Iih@C*#i*&I4xn8kcz{>F(h>LJ0Kg&j2y z!ss@0p4Jtevg1|KHcIm!rxqzh$qLK)t{!;Y9>sCl1?tz7=;k)UYQMI`Mt=9$5)J9+ z;Sd({vNkWXL0|i&h6xI=uctjJlyF=ytKi~KE>?ch{<6j=RIa&PRKb*5TjU*>{wP&f zFIPFrMX2Q5-|^zt%%j4MkF(tlFWz{`lDg2~g zUBvxzw43QIyZwf0SIjl)Oxii==CO!IP?i3gk?Yxa#y-8H$FpLQ()sJPxF&5As#mY4 zqWxgIcNb9I&(}+5KC&3pi?~glc{pX0ScV>}W94e_)FpHC#^?~BcB@{i{za#{p!I00!206%(Zc-sq0jZWCP8Dlok{IFkXTFb7SZ&yeP&BU z49r8C;(MF(99z31M~8+BE;H=jXiD=plA@`u!eJ&aECy?(nA-K1^*GcLO+>oL{cR{I zqcL$Bs~3YgBf0D{^`il=Zyx(w=VO`$w8oT`^!eXiN7mR|r5-P&jRU7aGPMV^zBV+q zyvQyMc;$d?Ozo+(vwA)(qik+!Lm$zIe%gZ{YeVNq2g7x zjw(L@rZPohY~!4Wm<3)Jb`Bg+M84PSIXeenp*X4$o_Z}L>nJCyk^t4EEV^FmJ~n8^ZaB-4qQM6Lj9fD7}0@h9U48zp9~Q#-S(^g7L-UYg>! z@?|9^vP^n_0!Dof7w+nU#Zeb(nbV-X_~ngj*+RQ)TO(^q5=MIB5K#W2lD17jHBH+v zg;&4r{ic#V}2u#-k7SE2aQeI*>)F!dOB6Qi)x$#JXCAleDkO_>)#ZtV^8oF~;QJjm+?U{ddV>ut5- z+X1c{%VQ;VIK##U02?S>QXd&1_SzOvmTkK|l0U-u`sYYT#oj zUKW+K&L>asEyH;>>F~X}Xa>ohkQHQ#+kPeOgp&V=kfdI)bxt?$q8_{In@)T}_+9mb&YBl$O&0S5E9p$nzAI zyM}>;zF29g&-V=7+5DDtru1OO?HC}?pmj(;iA|f7_XMa* zR@?2At{SBII?6~a1iR_o9>>ht!c_l#NPE*>|G@^xBsnM{xN#a8kz;t@ZUFarN+hed zUA0?~`(SN?^5fPcKv&MGQ_7grg0aBnrGL|2DK%S@ugGauHlEq%XIxum7ZBdQ)BToD z>nB@?-Nd|olEYCn(U3_dj_Gdu zv<~<%A2kEP>)dgECGUd#vYSYQ(ncEF&-W>>`BrdL_1OlCYDCe3!AtLW@DFY}$%1yW z3E4;4@4lUR2ou?dc?d>1$Ur;76}g=ugWb&k z_Syb%Ev)} zjS#=$`YvUgxKq38(7yHR$Z6M->fPGdu23NFR_0V{7M$J@QB=FBJr2Mw7y8nV^ihF? zbt;EI>r*gO$#!XFEz8k+Qnej3QgPLHSjb$~=T;i#*X1@EGH*VPazCA)`)^gylQ@|aLt92Q(bJRkn z9H2;`r7=4i|GQK)Gxf>a=eWKbB;xv_1eglE?KgYoDD@QbK7QY3td&p-?{CZZd zPJeT8d-EgDK5tDY;sxCUFer}x3B5o4ao07bhhM2SRCVY|lOy^PsY~AfHBRrCx(QgA z@2W17aThg8vP0Xc)}7~UH;PTwCYJn9=0()eV%WjeEtfHG?qNix)s}=PJPQ5sNa=HA z%eaSy+H5}Rigq9<8x)P#Z^v;zsaEi6K>GTp*Gngq+P%o|ak!og$ut>)5eb>P;dWAQ zg5U+lS1V4g4$p6xT>g!ofte>xO8=}B8|cZDjoCYJbge!!Q}5XC)tK3qUVastF}o$8 z!NNr>IRQd!+}v9{#x|!4_c32Ib3_OAo}O;P>|*TNaYDmwV=K+VM4jU z+O04ssed@3Z9nfWQ(qi>^J|}dETH^J{Qj}M#Ns7WVM`ryvKk|a>@!WB>BO)#oG?Hs zo(!zoEIKqJ^7MHIha(=Cc!jjHOVQo^E!4ZUF7shjx_%oxdg&Ln_M5;&6;Iu$V*zOD z*_L#SZwOnvcm_mu_DSV~v0w5rDlwfn^DA4Gtf73tFO?meC|EUPaGA{Q-Lv`_!Hpl( z{0a948GJ*sTi%`Dcye~-rqH9Pn363@Q56kc=Kv5DpHf;oeJ&!Op8wniO71tTY1d}gk+5>l96`VEUnp;A~qR);D(a3>xx86=Q- zP9_;Uxx^8i`*{;Xg@v!Pk4PX_t$&@e6qxzxFn4q<=KGa|?$Z~;qmw)5 zmx#qxhPD8XxJtmQn5@=Ae3~1Ax+XSX$A6qsUgeUu^~f&W$AUDNfRRtvISw`R9ci`v zkMyq-3#VMwJgP}Uv=|1z?}-?egNZo_8S6xJA#IE4t<%Om%!KrhtGrq|g!I-sSk9FC z)IgkxDg=#F7|vBq?_cJ+`>gnnpxldHX;asa^;6$>j?TfQr(Un)atl^*!DFZAAs?!L z9O8;6Hcf2ZR#GXf`$~8lz>EcdNQI+6PN?(zh-bjgd*Ir08V2g9`DHEbdesXzfpX5T zHx+8!1423x3o6ofEFwT_@3xGSPv_J=yV$JI<7ul23GL064IEx({($-H(>KL{*Zk%B-kr{wL2++~P9>dk7x><%OP8?&JEA!9DGO!YfWj*( z*2GdKRyq3|ZbP(uR8+g+w|PtIfFGjw@rXL<%WrFYC(pNgq=N2&W%V;_1Z2*B!Es+! zNC4%Xdk@sjgW`&-CRlHa$XVreeVJpl2ugTg+rSoEOGF3wt%C=b4&9DoE*Fk%vnKQF ze;7WM?a|z%cBr?ED?hme{GuycNB!_cJcbq}+i6-l`5*0S`gWlU-hZfO92Qqo4+btV z2nwft>gXH&&I&n=%H}qN@=;Uk#4m)IUHQYeeL%*|uW4Vifeuv7BhGLi1ThH+X(x^g zYR1*hpIW|Y`T|-leXA^17e$7%ERF)F=ZIK1B+@#4akh63z9r7z^DhN1?YNF_R(bVp z(hI3XYiZeebJ`q-y%#DJ4(xRaNa- z*@}J`0t)XVe!Cd{U)HJU15<5}t)6BLpJcjSd&8)rpI<#iNDHpZT6$Dg|73k^>K{}3 zsUJ%qws7Huj$2k^ZHJQefpZtYmQZinw)c3eV_ViaDvpjN?w9kvdx1vdAu$>QvBFI| z;OR#f{P5!_bArXEc~T|~$9f|-D^i}O$P`9*--0_1gg_#qolWOiYv&v><-77_TC3EV z`DJxIJ16(#Prpf%M;U;OR(M@wk6c*v*y4}fhj}B@1OXMl&S<%n1o)i3(Pzm4;v1h% zseAsI`?VuC6USes77~iwf5ReY{(;XF2c0mL2n^7@E9mMQE^&`r#1Q@XW%P&ws3q4m zQj4g)icUl%HGqS2clfK0{*iH9Ezj3Keq%_>>Ax%mpi+$^k``emr{OA*4 zK+irSzh6l`wYGO?((Axd?-dQ^kemXe9r`_>1u&cK^r-GDx$?i7|n}(@1JGVl_?lA=$;CO7C zm^PuU@BX~5rE`sdTRXf)KvT!Rc4_T|LR8wu5u|05e{SoXCZZFSlnD*%nZzTK)O1eD zY&@eymwa7&5C*6cdofzBlrYPyFYZ%VySRIocd@zGU^Cp`LH`|H<|PRB*s5-O&%=j zkot9<1PDpnRO~VdiTSqo@MKmh3&^N&wh6ae*vD)#8}9$b5&*7*GQaGvIrHl6;mNnf4Bh+m~y_;PRb?vXqn z;&Cxm_rq46SQNB*9tS%5#(tCA7Sxfj$8d5>E115FO3!ZW{JKsqt?kx3wRZx5wMS-d z#n;)tfH&wR2|btOeO0?HC|#-SMU(OiJ4FXWlkb+FDPHZkr-YtW*R{yxG*8Sf=&fYf za?Vw_K|w7G$Zb;ciaJs@i7)FqIOLG3Hn~d^=x3IPNjyrPpkcgc3~bVx$ETMtzztsE zr!jBRO4oSqk72xPKRCf)Vv{n6P6KFwU05^db?cyoL#AnL-Tu{AeH=Ot&C>bxWy3>) zt_|REqo5sHWOm6PLD?(bpW5!*ZAyE;o0jDe%SoQY*3!=bG$B#(-6JbvfeHELpGFtO zRW)K$GiHAhFx*m9R(G7=08BXVWIe0P^RJ>Q9Q@g%OX8pXy^vk6?)TfFh%xN#gXuMoaS?k6;p|0q}6nX&z8ADf&1 z{VB}~KDaLGTh`PGdIo3k$o1ko`;YM{okEAtvF|v)Bx4tLeCk`>zHp6$A3Wv=Y9zm- z_GR`)$bNAT|1^+j`NJsl1}!M_w?f=5Ngjaz23G#z)BnTv#g#nPhpOayhNk|&C<%|O zsoUqK4bw8vGCZ@mLM?6K<5#+bNyfz2wDAY~QfOW42n~z2o~@G`@OxSMosiAM=Xol8 z|L~Y}9U}_bX4bbkBcluI*W{IZK>u*(LV$02<R4Eh9l?^}GT8$j34c6{D-SJlL_ zq^T2n0zEu4VWR6yga+n%hb-!g7r`$xAfA~A*-R9gdEp84N5~3MZ00{a>W2zwv4Ba? zVZBilkv8TJvCMe{K_-k4WHJ-M`X~Lh5du(n<^`WQ2XR!W0X@aQ!h(DzN|TAojE)CT zu1u6O5JjdElaO4#3^_Vp6s?J}2MP$>!MFnxNyAo!U=eOYkh>@XN(AnR8X@SAP0K{6 z|KaRVPEg2fq5w5iKmo0dtv^Bu6`J<{77C)!v{$d9V&f7L5;LLd0y1A0`O)VQh;G6= z(oj3;M$mBqOC~gg!vBCEs|o>5m?<3vZKC{{MhG=ZFgP?cJUn%9@Gk-Fg*_AcGn=44 zGZQkK^T>rj6FG-Z0e2BJ2t!_oLjPe@@$q4rI~XVxEfmqB(%td!;qddTYidCUEv%yp zL(d3GG*L%ufVwe+Hy9{bvk5^=nh;7;Q!^Bl2M_XzlYe-41jIBiI87tu3Mw&tOzfLC z38`h})zCb9;hwE;fXy{#LOv6gW=MeHL8In{dbhO=vVZN6(1fS5v$Go>WnceSXemUY znirJjg@_h<8F%+lad>!)MhRJH6s3uJVPGCX5C(sUX~J@WX~OMwBLo8?Y%E65#0$?O zr1T)B$Hk4BI#O1-0HM|4c|_?$wY1?3l8FbTHDUa33L4gX(S#s@nFtaDx4}&aRtPFW z;d#8k^&p-KZ7i`DCR!+>EibRAxJVff6||&P5P`0#YiMkpnVnle1uEe>@*TFd1j{n2 z!>&LwaTOJ7O$ZgFIU&yplvF{SOglQ5KlB4nw$M26re3nab&VLZpj z|CzEpJ2#UfgW4M`Y@%x@P3uimK*ZVXfj=4+Cn~s5Z|SWj1mX5aklX$U)<3RY^N*wg z0)j$1dKZ8v3T=j5bKqSxb8}-rU>gj_2@Hrezlwy_qUI6kXGMGc+DrwBHq(HoZH&?+Xl(i{{H`sG8;j(6V}+yL;@9%U4I1G zL-CdSO$c((gj~8%RKuCrGvq3Lu6m#f+BK#?MM7p~I3PG~$tfzqP(}?S61>{QRikscRhdlQ0Z2}T9GP28%L>7T$ zCv1fhR-|e|Fi|8kO%sAfOKWC;&;`B60!(Z|Qc}=(D!YWHj65)tLmn9+fijmA6(M~6 z*ul}o#l^Kv#vcuN29E*F-Q7&HYdwF>%nTFuZy(=fq!i~xU`iVNG|dY%K=Q4A5~Hps z#W3I~{s=MOku_um8Oubv=B#GWCMKZnb#ihIa~=)l(WUq=pEMlMaACvRIvO^%nKOcG zwTy|6>fNDBcqFKP4X+&n0{PQx=woZhMCdm}G|rQaZsb^pktgM4sQ4-vq_BZB|WI4WUgaOtuX{_ea62kqFX0f6j{( zbU}418qzs`ehW&@=T{;BJb#V{3F!HAZp04EuR@jW{5c2mM0EZf8_nV2JPw*;E}S$z zfD+<=&mHF>Kyxs8tc+`(!t>}4CEobGf~TT3yqRsk9WhnhsgJdIPQbuJsL&Y@HcXFo zR3}MI<$f4j@#OhtXe_0HNxF@dJsC7uT%wX@9_QtL?y^6w~uMn4sj0eUP;!>Q9|yy1{0a@!wu zktbbd{s?1`x;+1;1HGuOIY^n54!(7JU6j-MSxo3)Sp8jS@J2%D9o@#ASy}5fi0WSp;DR2-!m0)^Fd*W4RiW(1FC6!m9aWoa zS)a8cW{ncx=H+pIq3DVx0O~k$aiLdpJ%2px`k95pnZ#{n&#**5iq(Dlv%-3T_GeI( z-u?Go9p|xy3D$Z{db+{_4fiUe;trvYiO%nx8J_!Kykb!0t<6q!*JJga)47hj#H)Km zq7;krsZS+)8#m+8z;@`-S1) z)%QO94&5D6vS~rO1eH*_y9Go_LXhs176c^(K~j(oX=$XTJEXh2^Pc(szW>+vUH5m_ zU3cAQt-TqT#hE#C&Ylzd^L(B;?}af&QlzSCPi?F)F#X$nDuCZq`{6K?>TiW<#-GI- zM$L`Lj2Sjbsq!oK=iu3UN0!g%7{q7WzeKWQS0aD!!m^gT_9YAtlvRE)?!mx`QN{u> zJLtx;s(ycC9>W%snEO#0=Qg|WfM}g@+K;Ed{1C$sS{a=DgH+ErgjgbIbgz&!O`3J>5;*+OVt!Qf-b$fo z!r}J1{%D_|j*c87#y#rezA%a@tP3m&^yjZDlkbjxpBc^$@cTmG*`FV+%I(_z&1T|T zW%W<+Xx@yTP4+ZgDL7uPZv6Wxj<%ejv;3!t>wc|Yr{(@-pm+D{CkACpU_Z1~;4&25 z5UoyI*g-2#xsazC9<(7!3ar}*T+cXUs-XneBBmgGZ zTxZ_S+p~$QkAB*sHVja{VADX6j%}uzA@}j(;-m*bIESol5t3^?sZINr9venZp}e6j zT7^8k0d>s}%-HZiB=TFU%32CDr4Cog+JP5Xwn9V?qK75TSWHofY0jNuLC_;K1DPJ9 z6`sC?`Kkw_Pu|FJGp;GUMJsqbWZW+or2^iCe^JUr<2>}cU;VZ{z}&#`Gcj`P<#TEQ z&FiJnFp6^k+jY`?w6b%>6#rz)-R7-HR)7r|<%#&f>bv=l;T5$Xz{8^o)f?t9tiTt~ za}bOmV=K$j7*45D(w*#Jg4d-~05T}2Kkj)Lcu-4uG=X#Tjl2ZT{YO_dRpcTXNxrfy zgg~W$?7WYGjIal@o9W)31smb5OIIyF#bNST{*djW2?HP@s%a3xDNmzLIxY4uK{}B{ zmQ7M!4Qim!)^z?A`LGG#y1Y8E`&jq$n|f?l`2m69vbKQ13~KD3I?^+gN}cQ~aB)5F z-?vNAgU}F4l2?i-Gj)-5DM~_CfATw-Y;1ds4FnH744z%TtM&g_nDW9@G&?nlKtEym z!E?7KU5V@#(hmTxu@>rmCn{bIlPeM=v5!+J!x5Dz)27J8U-MJYqVOjGkw$rUGFn#l z=WN8_U!|XIj;PANJdaB|Yh&oKmL!as1DZ77nU4DXk&#ohqXd4CG&POiB|jU_db@^z zHl+O^1|Pg=#Lh-7wceoDMpXZ z#OKYAhuo@0^*572^wfeFLiC(-AhLT_o;tLwb*X^->R~hB~8s83`9TvOJiWMc^?2G8@OQ zaaQx*yF@KG^&&-vs-g|5^L8sK#sB1^cl1N?1T(AOn@rJc5@mUcn~cJTHn}o?7{1!i z@>m@dXrDY`Lj*=RjwGX3Vsv7M1I$4L-gO$(pH!6&q7uINT5_srcmD=t$3;l7h6MtX zm8yHqcp<5u4r=d9y~BOW-H=J7f?jC?4my0NzI`=lOLWXQ%qg)x@EN{2AC!m|Jh@K#k0Tx>yLVcUc zM$jyibc1ZD{PDEkBfLG|E+5q04C53d$%#n6Z~i{&{7UPjT=R}>-Jc@$+2%(W>L*g* zI(F2?&XuM}6~RNnqkYR*?lx|HAhw9g%h1Fo?dM_zl&YjC>T^y`CXG*v+(Wm#Q_BMx znE4|jQBrVjZum+2Kzzjlw{|Ac#a5$MDnAJ3a6XU3?@3l^?)7S#&J+&4e|@;$SHda_9O z*cG@cEb}ML9t>&|6L`sFr#5Ht95}y!({8W#;12)CuMAhfhvzg`qLZ```qYOm5lKi> zD5*tJ(Jo*vDLkU~yXeJfsk{8}F-?absF=>c8lMSXq=&MTg7@BB7$FR^ z%DKp55qHQ~g+$A3gS5 zZTZ*tKT2SC)hFYvXVJ9QzMJ5O0Rta3%0GzhA^Z%awFxQfp2N++yT?VJ%j4vgOv)9C zUn-(b#pMne^ZN&_FP!SH;(pC$06m+-e7i#P@zw@I#V>Xe_5r8*j_!kXk(1GHK4es1 z-#~mpWK|%8x$1LPyJKb>I>acy3Q6C3QWmtJQT9XsxENq~FH|=jdF!0M^19=|dgLOw z^?b^6de+wVa}?n{d2b<*%bhQ^VYiCGCyLpIp@oh!)kpR*7F&0ea<&Sy^=Bmm7&Hj` zo?&k1N;%9WcSy=!Z7Im0%pZ#;JWOX3{7uCL0fXhFB(EpZl<@w|;lB`NmI`!Ee2p-7 zhu!x-C&$n;-w*(F*9OWh&d$lr%P;s#lm3NCK{HfN1j@>Vu1%r4I{(GX3KpQ-Rq#YU zcx8^iH0fWc7C==bkWrwDFBs4btiM$4U#J$)()|^){-tXFLbU+87LkyYf(qEk$wNu3 z%Fvw=btrxHFH{TuJ5~D^ss;a@s{ISq{{2uO_%&}{69&tNSk}3_2M^#}YayI#ErNH& z@U8^j{eX9+@U9H%?vU=-DCV~iU={PvVgDcL*SR}``oFIaZ4_wBoZe~58o?i2-#yj* z=gGAHpA;<8zZC4&LnuKQdW*3C?Ir)+N#H;9kzi0p;s1&}7!3da_!ZdZx~9z<@|Epe zUY=8`w+U&!Ha9RVzj1fnV_JM}_L>A(=)2kpMg>z>MpS$yRbhC_+?qO>Fvz{Fmz&1x zGXkRlg*>u&Y|DyG{gW4Dsua5Ic{KVA+5Y@{s84Ko#QbSz0jif!l_;q${$%x|LgGZ5 z4S$PgljjD7H$igA8MqJ2@gdLnOe-O%5njw;GosL`GT5PKkmGA5GtrOB7fCLE?+6A~ zTl~1vQIama3gX|9-rkjBJ$s+Tx2f;0?r6PvB^3x{-VMh-swKNDrJv*ZHcWxoT;W19 zPkHEe5Q)UyHe6^12w4N0Y^e&4iB6>`3!?TLPGD*o$^!P|^U|D4h~Ke&!AHU!fh6qm z2JxPhEmA%M-&|X-q8v$jWu^p=4-*j|GQpeLl&At`X${S?K_}L?Zp0E>N;1^L@s#80 zCifFhXU4!gnt+Dt^U%l^^gk+gEol-8Ocsf9fWJiM`sC{o^#euFnNKH*_cLY^ftsWR$UuJ#7-DA@@Ek*pGP;mp&}ozH_x-e5rO_%zjNtJVeGdP9yANP8S4 zWDOq@M3qhqDDtdm^H)$Dvo@vEnl7k6Ll1hs3~C&$)lvrYiFsjxTEdY9Cj?UqO7eyW zH!t<1k|jNJiUFREc`O%@UaUTScJM2Y(C4$0G`aaRuc)%76fSh7>NiiK zw&Wty(ULS^^jZ*I61Z#%O}>U-ztWj1Sg12BVw`%rA~rUw5mDPL`YuWMk!L3HEi|b> z$t`d!*yrRfwy+tvqW6T0KTT^&b(Y&kUa9~1Nnz_d(51P5ZJJX(Lb6mo@&fNRm3T%# zWXX&HGNnXKy zaEi)IR+}6}BT!yiKOfwmtmp2|@3bw6S~3PSe-xTkxH#+86kjaca9JUJ@1>DZCCbqd zu}u+j_u0V*hYOSt`p0#wLgQI@-ye7g$kpR4`HhuIZL0pt;N|>*id0zrFk? zLFJ#L0U`|JrwmOnRuLPt_vRJs@j&J_>`@-U!Y77%ob6y%z2{`|RJXZiW^~p7Wylb< zb_Vd9_^$n8*-7-l*}I++uwZ@TTU;AHvqus$w!q3RTK=_suS~I#0 zK_~1O}0zbwL@dHmJK<(cMxDSi?aPZO4uuNLiLY4jaRGg2DPM!oz)Y|8}uSk+ZD) z6=4TKz6ZYt;_zhxq4+a$vi3g)xO;|EOT6#(vO-DcTy2|8 zdb0&pYelYuK-y@i+tf=e3r1Ru1nIf)rbZ7d;@=K$zNVpEIgINl76G!j{1fVj>hTB< zTUPH)Za&86!9O{+RxODAI{IOB@}nns*L&D3GWet~ZdH*i|H~LNGxznOo`l*q?A8vu zhvppy5P4j;`MV5pu+~XGT)JCIzTP_$qw_9mC#2;8*`3A51dyg=BQ2!;`R85^@+76T zU_jVl+l=z}gYP5KaxV`Vl<~oP*BlbUM~M76o`>tX@@k8?zFp}f7Y>yuic4<<3b?XC zA2{dTuShAKP?GOwzA}c&OZejaYUq}X5A}RQgd!sZBBpz!jAiC+xD~%DFXU!0qSt=B z;2O+7Luh`M%;=`20{EWe8gIDM95%-c8=@_vU*jx#U(}alnpAlWQ!xkbMgt}Cjl!?O46e-*iQ^WJcsivw=!-*;i>D-dNTb!;(&Jp9)6Fhp|haDR~%Ud$>f- z9}|M>82TIy(!wm~xNFJ1DKRf*MA~otx7}su#p3P$B<@4tNy2Y^#lwI@DK3`k%deC6 z6}R2um5-x`>Eym%6C~V21{7X-TzEVKxk8?!x_e*AR1hHXw%Tz+RPTdu3DTX7Rt6N-%!(Tei`W5rgW|Er&H zXJJ>kslcc_o_4duytJrh;+4y{Q;2 zY+frsj==Ni=E%VPfp6d|#O{gSpTD{%ZEcl*5(JFh4dATFgHR6Bhc55HC#^7W?#|RMcF*L@rt*?aZryjBuyf}G&y72ZXVOl!Z6y;~njaE(I zGeJ8eNVgh0-~#)8g_b$@vvk z*xJ~b)Y{i`Koxla3@yPZjhvHn@SeF0&hMN!zfB*~>GTQyNaCNttG+3g4$iM1*EcUY z#CA_?pp)|}>c8=;?Lv8M=@B0Fy=0Wf)CPo3;6K)FMgbCfrZ)7vA}_4${hQkRk0`i$ z2e_4VYh?h%n8r|YH$sPR+ypJy`r;#uK0V9u4|HDdsc zlHb@RprEYl6baDy74~oM-OxF?g#8#8UM6OIprQ5FHTZqk;4zPxtxGZJJ3&+k4XT1@85$`y=X+{PzuV0oRI3-E;}%c~@m|7;~~GB@8?bSOg+`{Y6n>?I6?y=wM zIwj3h=a<>UfP_s%TuQ<8F}|d%yn&B@WMV=6ExMkCz0bSh8D34ZCU8sU6I;=dC}Do1 z?oyjhW+16h+S-Ma)NSDxe9iK+=M_j;5)GRmq|y6?C$G^C30d?UjCOFPXSiBl8|F99kl7^N62>>Na7`V-$DALN^46X?O_P2xg>`*b zIDRk@B~z<{9>wtTUAOUDApVvmzPb&`qL=%7-Z1mzAsJ5`p(3W@&=cVx&WO0gH9+B9 zEGqFbFg&l2jnCFD>QfUs(^KUoG<;rhrNor1fj@v$PTO{7iTSCGTX4kZ)>WyTx)n@< zOu5%?S&#MNw;#U*0oi!V7p(qs8lOIv)xFF=Ie!&V-E{!t4#_8Ev7?Y02NPKMiLqY> zX`e>Ro9IfK&*JcV_9;U6$20xt*)0qLO%RokxrV^V@!U4_OUml*K9QYASj4A<^qgKY zhfP#6(-mNre(?B3(+1wiqM5*J+sjiv_1xcwhS2*mCeUpZEukN!tW|p_(us>1L&FY_=TawcE z=mZ1zWOS>y4h5V7D(f&Hf_oArn)rmHZUezA^-N_S0T*z|OBnbB=wWjO#q6*=4XDOdPGY_Bh|DeQ!hWKYUewSti^d@uTR5^p%JV$=3?dMKkoxR- z1rD$d2ptH`zC+`b*N(mvBz*XE+R@|oo^(Pl7$)@@-$Bv&H1<^M>Nb`~=$O$oyx|UA zx|oRcRdhuk4tT1hVeaAEiNPTw@19@LK*9KcKO{P5Y;x`Fl9t=pCmm$|nnNX|dTww5 zxKp+b!zLH^V+r^jgVOHnq12l;J{AH*6pZbdI_Lw)4|Nd|+I|O~nYyGq975vFe zRNT-7NU5T?Bss^YzA+vYT~al-_>N9S<(!zx zPi;#&6jm*7GRc@PT)T&~U4T18%9vMaYsKsw+I}eP?g2UH60uXR8Os}y;(D2!AwK|) zgt|Tu8%5W;U*t9?XEyoZQx0Ro=E>QWjG2|EZ%{I*YhKwEb_vO;`VC{%^^YxYUpphb z4;bYWGAeP1b$ubOT1?5kf1Tm`G&W;U#s<$L;kpGBXL$#^+oBP4S~2oxK*0z>JhOXj z?UGd>Xf?MR?{I62w}rUJ%qAnasP!tF0?>*Elwaw$#3gGbPp~p?;qWO zhEL$fIFPor%%snI`KBI3Jk=EFwp@03pyqAwt>rZe<=rkeA_8IAY zFu8M$hC|10VpZJUb&D$^8PG6yf@d08)84J>pE|oP2;O_VZ`r+Po-pQBZ7}g>UfUk$ z7R#e{+9UQ$R`~))#SsWC+qj8kz>NutC3N|PVC)c6UNyNUAfuc3t$uQeOXn^4P}Y2e zr)Oc~^X_x&I3nE>Nf|Sn=*p!lVm14HR15}x0Ig~x61wQCpozHy+_bz-Y!+ot^^gcY zlft#O9uz}*Kw_Ls_pC}K0P~x8gY@)G;a(h_d;n%iNM6aW$6>LZgn)#KTSVULLrw3{ zITjdAsx=8Ieb#*dCSR3o@(Zo22|=PH*T6rDS-1=j;yi9=$+9=j_ET zBDRR4L(BBq#?B4n1CCdwz&dRE{0iflPsG=Pw*iT*gL{Z-LCN)tScJ$7?xE$ENMM>m zeQ^qdQ@v<-Y?;?@bsIN^j5(1*$wA;@g422LgerLK7MW9WXJ%JCfyb$3`EmB_8k0xM z(JSy%W==klJ;d1~(DK^HK+eKzL=-`xFGGB?HV);>@c){hmt%YXOdYwG`@_+>i0cQ!~6pz`-ObQ$V4G&nLyON2;9+G zRUNujB-TEuMMIb&kSwiz>nNhK^Lf8V`7Y^wghEF!36Rr>zy++a#-el04Q zSFrSf&T~{zls=wQF97@nq`u<@dz*LL_$JBh9D#8c0L9KqvGQI z51#ptQPU4b1t~QlL=!6I&MzpW4WXG(7(fB{2pYa@g29ZMU>J}_^B<9>v567Hl|cv; zFoVJziq|$aZ(ZToS>(rm&`ii82uTFvKBGYz!GwhR`i3+Zaw8N?^DsD@3DID`(qOO_ zKNuPWn?Yn|TRVJdkS-AtCc<$#NHN&I{x6&f8EYY4@d&DcPWq3m_U!EZk_u7@LN397 z4YhC@jUEnmF+dAtguq88ctBVtfK!?Oq3c-L*}0(k2e?5~{hv7qsOGh{4vsF|9s0u9 z4{oOQe+Pee0njo6p%w&RYOo&+7BUZm!9vquq;M<~l6yc<8nl|(|3Na#DykqJ6_V0c zS3?Zie^At>$;s(OD3**M9EJ$J;5V{%HLtZu}rw376LQx8^Lg>scGRnCS<6ELTVlcgGocnmw}or)Z`!=Bpk?W zg25F1V5CsBcQ|&ZqNV|rOLu{*D&Z`qhaZgWKXu>#;W5+Tg4!%7;1qNYe06i7t$+ei z&=3bb4}-x9;D0Mjg8?|gR#Xg+k{{3v(-2hNZ+rqCkfnBN>d&8h(@-h+e<|pH?V^h? zI0Owrb{J4#!iiKYKNt)fYB*^y7_JfI8HMm>e0%~w7=Y+JLLyR9GAcnq!6#4Qs@i{L zwGglg7mO18L!^p{iOZ-!*fTt={Qjq+`aJ9(J15K*`beRg>To^hzw{;qqe8eIwEmu+ zP-*qV#H1turyZgAhv7^~fg5b$^fTN*3eBbEwRNJwIZikQ?FV6;Q0s&5sr}GPfPZSn zLj>Rd7<(Zq6)x)?pZs%ib#-%dLjVbEp?89S02Pl%M!}$?V_`FuGgW|bKp-LVKLB(Z zL~$BHu1;uaD9|wD<>iBbV>km2hrl5WT;QpYh{y{AQ#%BsSAj23;lt|nYg24$wlHn@!Ddp$Wi$ z2-PlV0&r@3FH;g>AA&exhfq6$Z`)(&!3jjco}QXokU-<=0v?xF(7HebADre!rZqJ) z)ic$GF`DYZ9t5i3v9iLUUpV8e3XLOm4L?ZK2`xkmYT8ZzQk=TFx~2};5cCXvgBY4X z!smAZrlzogwUq(9rm+Wx>>J8Nm>?7HEh9jU8yrm<8b;To6N}1s?aHAe)E5VB~2q z7)2TkjS>pTJ4%&lfpDMN588@BMJTlNjABw!fflW1Fga6wJouP_j}iuiI><7Hlq44{aU_IIkU4h`F+{15K^|Gq-9gg@B72_!x)i z9vAfWD+uw*P(01#Z$g03P7%}RFi}&9c?1Mh_%MLj&Y)C8DOoF1K?GY{Q#P1gAS)KM ztHX!+$1l)MZc1ZHmxl1K2MBuU^m!QM0WyL#Kv2tsT9#QNdM-3&T+#Nsr#J8!aX%Cg z;t4UH|B=u_d-c_|5eymHt8Z@8V6eM+7!;<@poJnD!C**GLxza=e?-*(sHou+#F+R5 zq@--n_T%Epl!Wn`3Zg)}wBTd0&MYGYi`;eOtk4{b?>RXTrX2c>5T3&DPY#2nExaTt zbkvB0;(z=0n(;7Z-Zq~wgMhQOzpPu7u=p|ywLQUsVqA*{m>qDmocE*wyW z57wUk!SxMOYtnxX5^#JUp0WVXR=B!`A<)p|wm1dP_o63(m-Q`iV9QRWtcMTrypz?rh-}v?Y9lTmQAG*R#qXEZ4ScP z+B?PheaQPee@6qEf9H>2u)+B|1{iDz^25Sl!}G^57^I3NhQUVRHrTQGJ5ng`WPT6! z7mI~vck^H%`l5Y0GFs=kEe`sGC`*W@O--oZC)tp_`kx;tuU$(V!LnNG#A0=5T(*pt zUfO`!vtGHJn-%0EZL0Noo;cN|DPTj2*rp*LJX3LWF?UIK6p7cT`Qqnca9bv|A05u^ zSq%8BrCgDdu+@Dwm1V6-VR?K$-n%~K=I888=#3FiXVwdDhu&)9wBy^-52(!14wk?3 z6wrHMY}6^D9w7YjtsJd182SEaWCk%v7~!SP52`Qk^Qgu8aM@m9$|5}Be@Ms?p$C@m z*-gSky6|yWTJJxRpuj#3jP2|ZCKx_Q6}a_h3vvhe0@`zluT6}eCPlEmYMJ?F(@RUx zmUGcG(9|YE5dW$XbW!>hJT-d#URv|p({OYD;(9WBf~zlqqg#A@{M(}|SH8Q>5$BPFv}dyuk;4hn z#keRSie$FEy89*wnaqv*09MhI{xnQU&=_pJ_}uZqcrh^K9941G}Wk0QA~AqKY7ggXX3S#1RE!*NFAr9UwHB03MTu;}6<%&Sqp zb}E)}Pb73&4e{cE?(s!`fZe6ES$A9B zy^=LNg{@U5uSig#&W;?PA-K8qyQwo>7YTTePkc2hJc10T_Fs|e3*wHf#TMne(JNSs;&Jo=z$6&2M z3GQ5ftGrn5d-;y#rKUtfe3RC@{O@9;Z|NIqBq-l6l0F2~MtQM=#EeK3e%kLRaEDb~ z$qLjAm?;ZXIW1S_KTw^4bTqPT|M#uUgO|f%Ptb2g7zHkG`jFls2(nLxtu+bLg9!oU zorUpsHzHSQdMjKbc>neBwXTL5^lM=@EQ@*0y(jxJ2k@Z@J3+yo9q zs+hFLenCfJ-hNrn`%BVf^_`)G%+ z+Dg9_rHdLm?MK}p(7bj0^2QlGB8eji!d6Rb7_MC?vwaJc@G5zHnl_zW_8c=(@1|8- z^)g8-!LRj_jCls^r<{{I2SbFStT7 zk&XMssCuy?L1)4R#rW5=OpLvlinoIPfjBd-a|tH#Ji5F6LrCf7`+&PsP*s`LOG#=q zyUgTYt<4AW*e+3q>;4U-k8#ZlU#f%FpM^qd%qc5o>h$Jk*X5GMk^GsM!v?Uy(m#dM zCogS*UU#@P&OVzkm5*%npf1wIW>?chrp7f?UWI~jcn7N}@+b93i}x<_*o)8VCX^%16!H6JCar+L>h(~`;w@@$4G*&3PuKg2D zfgI;jakiEcCRp#4$X6vsw-aG;=OC7kTB?}AFtIa0y;ySKgBrx``TSpt@gdzhpAmt6ua^ay=I$^Nb+`e`VokL=O z6KA2soFjDK-hT`kw60a=cKPTg|6%dwRTi~x#;lwp!JJrq@P|TCE%wE{I#|%>3q`;Q zo9VodLxS>No@oZz(k?XAm-&tQ&b8#T*KHstybBMdW0}B*`JJb*R99HwZ+g+7mRx&@ zA23Y1t3D*4kE6+olKnGEiPVk=fZemJR&+i1*g$e@s6t;_oa6ht~` zU-DaOlk5%A7*}_!(Ev7TiC*iF%L9*tsJzBMpueI9;e6t4r?iK|k-YckM&NUy(u zr%?nwFayuK7u0?5;=!)!ztF%RtPT#US@2pzV;Hp1Hb&tX8E!@veV(RD&KpqZ1xtAAwCsw7js7|uGB-OVi^hCCJ^6wpVe@4%Jt>P za7Yn1SdKBo%)l-@aiSLJv&EoGM<3@*FZUp2Qq<#YCCqIo4F z6ttU+oWn`5dfP`U9V>RD@fN(kOzvlVcRADl!;BP#-kbAjMvA_!$zksO$;ChBj6YQ{ zLaRE|U+xKqxKy9~FUIjMPYuD3;{T)^|2t>;7c&J=nH%^;hXeeI=`Uyc7c&L$+dEL^ zEmR5yy0nJw93*{BPJwO|{4d-V{CD2=FK!F|J8%0Jw*~*5xBZLTg8$Cj{>5zpE8BnL zZU5r7e?Jrmi>;W?hc2(-#A_A2tA=+!;av^9tA%%U@U9--HNd;Z`7PN06KMMPo8R)dr>*jsFz&UJ@oem=T|T>{ zdHuzv4y?$n<9P7do4h3mb6E(hOvU~kpQA)_nH9jz)S@FqGY4oFh%eLLec#@t__~DH zkViS>YHUfk&7ZY!Z0&tb#O?uxac|$=Bz1Oap3)baF)N+d-m>B6xYV_EIZMgYirl;b z_WOM~dlHLxTk5;}hm9{kQsB?+IK8o0qey+KNGti}JIEEdGIOY7nK5Dgi0=5ynnE2J z(eJsNih%?=LDH>u@)_8W#*3A4d-e`}vF`zRdfCoy@N)pSP*aRo=;O zLiJ%|++Q@m+|``+JS^ip@sPgF+Jhw8-AMd1*fx(Dnr0UEu_0_F_|!#DE&BA)JOL_? zMDPC0#3rHsG;pE`X&v95m%|LZDDmWYz!r^$lm$Z?(stD)5z1-FwomYV-KMxsXDI(=^)^-ag-2ui8GRaDDH+Wa4|->t{Y*k`oLiL^-a`(R>)DSM<||fTw7`O>rkqxOQ3phU0Mmf zDLyJ;CV_435BC$@x8{FCtoNa?qNF1D3E( zXwOzZpO*l3>2L6KF)apR6vyKhPi>mamr|Y+;^V&$FN;d!ZG(8DjHtm*9kN;#KUUOP z2_(7S?UW%!j+?^P17(BeO_T}q;LnMZKYR4$CzWV|VBIa=!SXoGmlC>Sw$B(y`XlMD zATtM2l9SRb!E=q%p@dMOyW1z^6m0%yyHySQsj(Kp&}^R|y@8YDs~>?0nd{M=Kiu`p z64u)hS?RwIi6pw_@+Q4IOUV3 zW@s1D)HVJKN)?NaE0%to*00qi(#(CKl%uKOZZzs?Me-M06fNe{8X;t1qlP0|j9Vom zuhnhu%LF@0upvBi)%dD`#s%f*6Z@tJCIU|W=fO0ZP9YEqmP z@09p#v21In+COyY(+vV7#QiG0-E68cl(#>8XVEnko{ymPCKkP_cLN<(ULj-bPXO8| z?7vb+r%s=w-hJ)l-^VOK9a|DsaeCu8MzkZjqBH{R;;`ixl#e(JG$kH!qH7ORW!2bH z-mUI<^GyaIc{q@RHA<{A*X%v{=kL#Fi_25+yeD2TpGKMg5!mY*8LD@t16ro(Ag+1A z9dlw!7X4KYR|$7|%PPB9qASs$C9gCN6QH{&If`l){L;bJvDac%UZ5=-NLEjx(}i%4 ztHZY_Yy(KB=Ntc2%Ta&Z$8>!v-1S@Y!PHL;T|TE-EdAr(Y>=*!lbwiF@)0?ACE4RZ z&g=9SEl+VV>N^!3I@)=8Js;twfb5(tj_zCV{&PiK)c03k^c_8ea+#dDf z=ha{}#iW@}G3I3OMb1~Sew=|O!s%8f@xEsB)gN<|JHB_!&2g`?F%JLWV597Q2O0zW z%pCjQBGd+>g5@yO!`iJ*NIY5w5{~dj>y5?a)xh+U?GAQkX84U&d^@vFlA)~I8n?*H z_O!>}MEn#-%XomvbC{>v?e1q0TV1B#Tq1MRUm7u2Sy)u=b9e7u9+ffx!4*HDd$mqt zW^Rqx^xJQCrO-K&cHPXMP|3JIyeH)81XR!-nO~D}$lE$%=duMOZDD005cjxrd)QRb zm#w-&cXwn@Ee%c$xvjX+rcme=seCV0B+%&%%P%idH?2!KCFB9A9W%M7;&;0<)q5#v zt36+qD3kGU^Flfq{q@+~8w9t(gh@QlB=Q#@GD~s{o`l6e4v`#ts7TN`u*PK?MuD|9 z5T+$Rekmfo;TrhO`WG&d{@3q9*tmUkf}2B3XFBSxE?@{F%ddD<_?v!ZdGxRPq%pn{ zl#f0i(gl<&tOM6=s+vH%Y=_4tYS9Rio{l(Tm4R2BQqo(V75m|!yZ{f?c3m>?zB7&a z&n3A}1Qj-)eaGX(Eb*GOO3k|mbVZTqr|;8Bflu?cj)LusU^P}w>&l)@!iTe5NTxxS zhS49q#q8KH4bJd~-`fx<;pX{CsaC|Wrn)N-O1ypL-S1y<)x0Q3tptAGXxS(anQHAj z>m&>M{wxa0*`(@SDUBm!;v25;Z}$i4WkY&9Ea}yo&-~D8ddJEfD@kzOoUYL(X2X72 zB&W-P7n7fQu6|kL#GP7Qe}Ca=gkeYZf!HUb=|SOVA&f^?RiJ)*$hHjWgK%#PYSsA1 zawQ~=nh-%r!lp7D`fPl3n~_;%5lIICaIwPQ#-B zE=Z+9ECt=RUs|FW3{Y~PFi#z$ekP_JP8xFKHJ*^{1(^Lo-GgpY+0LK!&+f9nTC@+@ zO`A8*;omJ8Y6<%6j)0cgB40f-WFCueN3D6NOmjHwWH7XPnw-DcDy_JYb*vYp2#1c07s?APjWrW2RheJ zekO~@cVhjis%w)fP9LAyFR^~PVg_HP%mpY9*mA3fOmDdy+il}+^{VA!zd#Z5&CCwZZ0#N6 zJ&@A#jVMPWH?(%~OdJDHSyIkLpfQ3GOqou*#}H4!G^-l3|oDRTa}|5W(|0 zM0{@Lm~_XW`u+u~Oor_JjZ2B(>-*|y-Q-G{3;v*A(G}T3*X$8vekmdE-0l$rx46BN ze{jr|y6#KMoPu&NawM&1lbm0U$|i2~+A1(Udv1M?Q{Y8-PC1vTv3q(6SbEclY#!5V zY5lIcosRWGNi@1F4Kx$1FpP)GBsF#$3_04L>LBr i!b-b`{Y1@As5>-D*!=wK? z{!bTsbiB+x5x7W_^k+}?`SB>r3jjPA+ylBMy z0G7Cx&bMDzC}<>P0)9o!tJ~M;q#}|p;|t5%C>7MT9Y8axy^H4^3KlJMKy1q5eFY^) zkHmtBLmqJ(ui&KQUJ4NMJ{Ad`HD~OoK{kqD>ZcOhBdnGM7PjKAr4PlnBy`)r1KF@d zjNsVzUj95{XXdC34nft_`h^Q(Az5APx7Cdc;P8%+PTAml&fwJ9)k87AxWsQ|)!o>* z?lsNKHf|qdazSy=Dk+e33ynBSDr(#!4Wes1setF2>NVT)R47z?12rFL~JWPsNZ zpW-A9e8cNdc{NJ*G+!l+Un1fAUn>KRn1YALEJMYG3!{5X{DLBqGSMx&?qw76x5#R* zo!n|bee*RcEt6;+-Sg<~HIumFilwuA4|(*8#^XJMMbtChqSF%B-qwJI2 zJATOn%#r&q#buk14GRcKL%}x#eusAPS*2X$Bg{6|MS?&hM=6FtG7Cj_$xy zVV}h2c4Rgw>ko;8h{&{}k`~F`lZWVliOo9)g5QClsEq2Hn1qt~Yb3y^tYw@&y9A8# z+a**jcF_xiI|l(rx8ObnY{*N-T%alS42N1SA!%R?g|caU!{NZ6uvHj*TochGw|tY^ zHumRDa!U`Fs+Lje1`3XnQ)1P?BnBNT&@}Mbl;2_lvkQ^fF&&=`;%#>+6+qT+6ieTbj;mKZ+&lE` z{3G;yrpfwtRo!>QD*9&GkIjL13hQ&b>iIQHd|KWoQs0WpHxER_WJ15qE?vs&#mMn=0|x;)@*hy>!a2 zr|Me>T3&ktR+HbFq0#9RyFQ;%Gkc~E=$V+`)=m7`zb&a)LB!>H3}jSu3tRfHaPZh3 zYrTp6oc6s8m7Xm%s{@-z(!e@m9T#{9ew4Oz_V~iY`3i;>Wn14wC7i@luMt#ydyk#t zMM@56IVb8~Hw%uby=T@vzc@8dq4}L8x`bO$Ou;gLklZ&HpfNu;iK=fIB`>V(S>2|B zun&BaRM=g z7hiJMG4DT7dEI*Nxt#y!GUR!}1`D6|LowsT;nhb#((YYW>)zQNyO~wEfw@OPRc!|n z1&6SLt@DSHiqTafAS7nu>iao0t7>?1gN{=~NuBYTq;W>>y5$U!U`$G`0kF|BVDV;u zRCnA+_+9{?3WRpka$@*S%y02ow_gX=FapI`-(Tr(T znOLL*9aYDA@7&2TxMHcB+T+y0!ktjNW}4aV8WlO^SV>g&X5meE&3tT#)q*n0>EaPuK zucbB`LH=y89X4>*3&EmdfmDMzM?;sIA?ip*F#w4QBsc73i0w}A|fpzNQiWYARq!NAs{J8x0IB0OGq~;A)NwJ0)k3P+d*QXkDrG|HH{t~pOXP0ncI)^2B*GK zGI9gaTZ#{&@+#kdJz$j9Gf3Yd5c7H4+H2iw9N$KI%X>i+cus`3bSzi(3*8J%&7Idw zCgGAu?PeCb{<^5|)0v)mIavLMOK&rF@}NNOYNpI#YaGjsu-A3dU)Q8P{f;?=Z(9PJ z_~yZH2sThM3(6=csjU9GdxA!0`ncfz0*!#i-DfG_>-I4opKwz4ySnaAsxLa1mF&W5 zTDqjX>sD`D`BzV@0sCII8~#;jQI4mMS$>YDlRpB!GL{U?(d+Y2)_g9j4FV(T&7+Ci zMwu0iVluDE_+%7}O>MG^77#^4JMXYJ#r5Fxys>F`bVb|z@AJzNH&i?ly2YM0ZuaTm-f&_?m>I%bBY8>GAHDkaQ7_-CzbS0Km)j~!h0yClc&43 zc8J-O0!JNQ6-1s}G#oMo6nuabJ{;lsG)t~f{hrCGy6*GVDLVOmBQv|WcN4sd_kPe{ zH3Cjga?0C2O`#KAv+yrySk<^^9Wv>hHoSXiLr5PZSrYO3G*~k(V zdrbdYrqTO-3CAqm6xD<`fy%4+cSED=7sVwN)F1ms#U*EzRBxU0WS7UB|@gj77%iyc+pitQ>>W4-|`emSIX#C zF8p9@|2R5Lky76ZPT0R+x*T3MF^zBG?i=!HX5}m}x}kHnK|QoLH7O%|0PwL~{uCC` z#-3F_a^1fFs$u-#$eeB5;#VBN9G|~|mH}EN-^@yNAy|^;PQKCVCXdteYkw2ca0!^E zO>L50wMwLELxVGIyq*^n*Y+Nf7S(l<)ANc#879i=SxC?PG?%>RvHqChhggNmRKGnkG5(o+Z_ z%tHiUI58?nU~*EpgDf4v{6kVrh?`Cc}W(Wg03_)FleL`~zN6 zWoAMK^)G~)n^#o)N6k8bQqW?du(VHu%?Lge(Ly*46(ca~=X3;X6cuCedY~xOzXa;^ z+|OT-TSP&aXfV(r?hJuve3W1l#i(&|@faiMP(=$f@WF-O=P!wgTuVm~LDUNoYDU0c z4inmVA%X`PJV{9@nHz==AP{C|W^Qh7VL|p6cDA&#wy}HY>>3ae5$S_qLK*GrD3~)E zrixiW5F{3^FymmvLu?R&nF+8wd}5L@LISVC8~Cy->ze;)Y1@qv%s=e2F+vM9Ehyn) z-@xZBhycU*fr_6nei=hX6Lp6B=?HQFpB$o|9KnkV5w}0J;!n;IY&-@gCT3=4SXiA6 zg+8;Rz-KZ}lu;Dr;N{WK{HvseXND@Qj_CM6UK1|5=?J3Nj3D|x2*zI_FM!OZk+HGa zi-5quK&asi3WB^QWS*f{6vgSqRiIV;AvWQ`)y>GC^6IGbd5>Dv8N<;eALIh`@uHwj zh-^aQySbxxc^Sgb{(;NrPti6v%^wkLZT+$C;u4WjQbFkRkq;U|0}rKzIkNrD0|*#V z@t5zuWWtBdt)Qp~1v3677%1H=6mnV;so&Luye0~3hT+kSnA;;>FvC++|9b{WaIC+y zrVm2l^M~w)Fdt+#QBu*2th_upb0Qc6LYqbAUgV`^`yrgd7ESFD@-XbIwe9#2l@hhf`MY?A;XDa86(tx5YGR|s!^W`WLJ6l_~2}SY+Xb! z9WmEH6BahVgCij=tDvp>z$hIa2g9TpI+{^Xx0w%un8PO)@I7!NMsb~g!A=yTZ5z;w zj}lV5xQC%YNq;wV*d@#j2_cGI^}e~erKJV>nay>5(98{eAl?ZxSYdZU^DLYL05kUZ zAk=>VPt<2ZKgvrzGJ@(Cs4mfNk9KfiZb)>D3fTPNz$gm~s}F(+jm&J9&F{mj&(Vxv z{z3m25Cq|ZZzH5V%{_^E;IJvQQS*t4!bt$y_slIR{=6ZEdZ41zB&mM>g^{t+&v&wyakd5H#d_I)bqSBcL7U7r`HJ`C`z%^$!dN*y{al zaah>cqYB1>v2SR4Vm(HN7NP&1i90_MDlkEgD#x6;DYiid-}U%8=(34_-jMs z?BW{wEK<~rtdUIwO<`2PFk!=}t!tPy*McCr^*H9&uPbZctv|0}^&v!gAM*yS`_W<3 zg?wr|7W|e3Td==9{zW+JfSv(d5|NbhH$Y^vHOw`MP!0B`96T*+TU$H(ywKpybPq19pxJy_IKPP2JG(G}W@WQ*E{}%H zFPt;O;pK%Pqy&8-1m%5AN1#*p-_S6E0ABBT&kRA@9ki?jxeUuzl89vudd&E`oJ^4f zn|I342uRTZdWyy}x@PxPQ2rV81 zC#FrEym%_xk=jn;H2h|d;b03m+0&$4V0mqpPGKfN9(#eG=mYyNRx?+dJu_J^b3to* zAe$3YZGUCpmwl{Ut<7BYvPh`K%2<%9$FWPc@P4?f0ytbvo-VK;uab~Vnj~y09b^7j z8=$Dr`<|jy&>GWj_Z2uRl6IjtSPPVt>+j=Wlcy2WCtcnCI2E8eiQJ57vMmJPtJ+j% zSH2L>T*xWPyGEGf?zuS?X75~}$zN|d#%4?hSX5>o3oAdz?mTGVR%uU1`}mV$nVLe@ zRSwVLBKp^B$-p?B|ANklW5Oe*d)V8*x#HTnq9%4i$c>zOJ-b<%ja9*&sY=~?lObIE zpO}jr;Z4S*)neA;T#Qw1*mhd>&7%pRgLpVEt|>&p{Dcaz^s^7mQP@|zwAh7;Qvs7`N2<#5kKLD2ZSqZGDCVxM}EA zHphm{Y~>O<@sp$a{6VZg27BynysFf6umpl)UgNG_nXg6mvRwm&klECo6p%{2r>CYR zo9A^WSw*YpYj}~E3hq#=m(NSQ>i7LBkFmSE&4;Wn zd2kbyw*qHC#iI1`_-izxeWnW+jxXH1nE7+=px;zS->F8IEax9XvH8NS?^|7 zuUZy#p9&QXU8i3hq~zy+*Ddd)vsg`+pb@X0! z1|Gs>aP&U2Fn6V1c_g!^7mV(Uaa^vyQNCdwh8KC%1%jQcc9N!8STW!S-B|^nJy$WNM8p&F`3?*q2V{L#De52D ziR5*c&q#oSZxWUjLnUcd_ijo)6 zpUA*{IYt$cC+ZO!Z@*t`&Hohp!G7(QxXuRVJFA5ECz?^Wf#grNKKzd>Gu1EKY#TVp zTxQ7oml}$t5b7o*HTyiTEPqQveEGTdum>et+YhIrfU&T*rGQg!lVaYRbyJM18MIa|7Z4%g#Z( z{9D9ywzKG|9bwMp6AzyB@AEzW>8p`%MQ=)50;;nl(*m~Nab78OA7$Kef*L&J0*hI+ zf)6)Rw48ewgTU0&P?q?O#D|ZzT5d4*D~K|oITtnnUp#F6jRE}E3Ri%$F16Tc@M1G3 zzr~BPZ|OB<(gb+5?=)mLeT&k!txWN& z$0E=POA~!DtzmUD^p@k37cttP&tLJ{Q3-GE!$*p5N+#-L?l=)g|=W_4_iL0sTAp?4$2FLP{DmGD~%%B&ir2B7r0xXg>l&0ksbYo^s^XjkQLMf?n$ zv%6FpaZU1i_UkavIxHV_CA^k0!Y8mX6Mc<`Rw|QOwmR$8wA;ExRK?yF5DXcw`R37Z z*6rovu2QDmuO0CCvCCM!j;bSd#jojv5irEs&b%_+ZY|YaX=@| ziXOQ;^8hR>5EQ*`8W2@sqNYcePxb21X8X8fgVl^fDC%nVI6V$nO?~>9@rhTJVZAYk z{6Kguh0@EJRdjh;EZ^ZFJrx%&(BMjUP*TyC^t@P?Hty{v>V>-) z6Oh9gxq=&;l%Ac49z#Bu^97m>U%xRon~Io#(W;~flkv9#(E`R;rkVWLIBa+IwcpCoxL4nlN~?^e1^dZ+ z4#Cl9bW=4K0(h+-v=j6hEDERUQK2`o@sO9Pae?^p{(UL8w85gos<(sDMp*p3FY*!z z3KktPE@Uzb>GXU-RAB10N5^?-H|uZ)Fbqug%Y&mJEj1-m zjQVqY`>VvG@osHh6xnGjp?KZ!uGERzfK`I~=Q-Xlcl`^WOuT4BhEM1h7O?VP3$Jjb zDucRl5pwHai80J0@mMxDZdIVG>THmmO4C#{sLnQWzL z8sZZVqt>!F{ckA&`@62yzMKz9RD)eF%UeH`xzo1}LLZq(?nP9oD|dgu17X)0?qca& zNjeiExnu4BjeLm3GAqm!M@WXX`wH{4hb_3&<|u(Pnp)r(Y?NQwZq^q@G@zgTJHI#o zR!+p1)$)c-vE)1ADGweMrc zG;^5X(G2;WjBu7`91D*brJnA0+ngAEd+}`L5!vW>EE0Yrdmt3HM}Ek8Z+UUT_4o-- z)gTXNgR$eAuHEA23q999`1pfE2j<6T_}YbZmP|yJc=6Y0?a z`i~dNk0olQHgKmmHwz80Z{57{x%DfPIR%HU$2YRn*LcepicYM?6v_g#_R=*GpZ`K# z5lzJX9POMm{J*{>XP|+-{eQmJfBfh_d=&h-p#yJU!8=!%{_&&#@KGRynx`i&aa~eM zTIL@=`VXT8|Ltr2$I<@7XuDj;=pDt}LT=^q_Y1 zF2urLS^u*A`$h8qvDFO|>UJz7q9ITI>nJ#BG6O=d8~+YM@{lM0p8X%U{uc)J=*nLP z_6vMufhYO@ahCr_4eb3OR^Q!V+|1)|cUEz8?^%0h?&!abOJ&W~E4|gjwV?%a#q! zT=K@V+qZ6heq>{KXEEtpbYL$xkj9}74`Ne1TpD8 zJ_f7C4R54w+`ZqhuBez%BfWlmTGaek@BQhAKpIRgo&P$Fp>WyVrW>Zcv~eE8^XPaU zKlFV}8Ijr3Y?)xN?qMzXq9IScd|`SQj$@%;cuNZ`<=iYZ>{7!!9f;$>6>hs%oG}*f zY)ffZJ@Qisf6J9WC8b*~?s{{8kdy2(0*B!R#T<@@u9+CovNaF&&XdL~4+mJ$8wLD~ zH*2>Djli(pa@`p9d-)WVI}2^++|dbd&fD9BO?l16DdSnhjA#LY$3|DZ(uvVB#a1r5 zULt>ne(wY6=)K=9W9i9s{3c|;JX?|bdGzWy(@G1ncIf&^kX0lsJEV4{Cb!OSOfG>R z;2hbB9?!hgiVL~!o^P+C3FCe+n}Hx{d4L>6IB1Wd%L>UX9bYh(x0)MS{YH^Z z{NycV1nwU7K*x*9Ks>NqO~!b`R$QWqo6vl@wJnYTe}j4@^|OkAC2~&k3XUMP62wb~ zlzcW}5tQvXHQI^9N~)9A!^JMd>&wLAcyBiZBzX$rLwcE{scua`B!l>LV<{hT(s962 z=MHs=(X6Wi--K`jdlIAVJ;*}ObGp@@iN9?MT`T1zoz;D1Fsf^M3owqpcA>piG()Ud zP%#{zTCHIqlemPPjh#|Q5%=nD+Yb0p{Jf~*An5TSQ;+d@jzyyPPg#wI-Z_oK7H{i{ zkl{d3;}WG27n5VIzKEmz<;B3DQAS6{#rPlgdwdm(NP2@dn86?mvpC8$i7P1#iPNB9 z=yq2Qi!FXvi<6xF@`iul4^Vi^vsonikno|q)dUsYi_Nr5&BD`0BI;I3EE5WLQe1F7 ztkuKoibrOA;k;E3N%>$X)?3;WYPqpIqTg?m#$dz4s4Dw!z`BgRsW^75Ya~ovb$-m8lt&MpHCEnkXMRBb|qG_^a;M z&F@eAtbgj^a_Kxen9!fMOO$ie0CV$05#Kg~vCj!J*A-F|Z_!SZ4U~38opY<|;D0EO zZU^|n&xJS3Ub^&}^diRmF4EgBFN3K|Zdm0HNSzT7UatUIfwD&ti`39LYu(tr?R|WkGm>>nx`oP+k7rq)7*3lh z=$Dl|2$q+Qw4IS@(|4#nVm{Mtzz|4^aep;!?GDK9o$>ez9W^d`U02U)l1wYv|M4MG z-r#l(y~+}80hR!`F?f^mj#GMqN@`L<0W+nt_+#~Ik}YOJGg&6N=Ard&fS_~5VLO>m z$8Xro+D< zk{#k;`GVs@GS-cCMWlb2m;Fh|2lsD`Cb@^Kc+Gn2L7`Hw-Su$*_lcf$vZUb_Bsn1H5TxLy09s9MX zK%cnb22=jpO+F^43T}@3K#Vr0eUGE{nvn~KpueTJJeaAY>^I>ccu9?geuG zHP&P@`|l$)$4(tQD}Z?qSkg))YL>Vp~|V|yuV_Y=-(rU>23liCF}wVh@T zcO+!Z6rD2?06HV@uhnDcCnm%GBe&5+@ZV2#-zV386L3RHz)YLcF&C8ILP~SlTfjTi-?mp^XqT%t|*v(fhg3APT4^-dGk+bw4DhO@*gfS5b0h9Of+gSsdj{2gYMs13~^sh885Hi9eeZG z+da>-39D4Y2;@t;taAmwvYN@z*nDc}Zn=2&#UM4r5FOsHTF)KnjRj~O#YyWApP6PF zXP=SO8T3#ayiZmQRqx;L-#su)7K#8A<4>e7ned0(d=1B|ud};4=PkpjHLo_baOfW0 zFD~E>vR`Bfc&j6N%T z*=@*>b*YW=uDIn#Om-ahZ}T~lJ{8~6Z&k1!0=pSu%hOZ54sp+ECQMsNS*@rCc}y?9 z2DgeU_#JJf8Ux=?oJ)Hb=@jcP#CQr(ehGWL#@CC!6jdD)>`m!&?aCv7-8QgQf1DT? zr?&EpNzy&2Pup%Vn5)`n4~~<_mPG6TbE*V~owklc1|yCX$xBztanYP-SWi?QQ=12U znC#FT2DWXih$Hv><#Xz;X=m|G&2vZHj>2%m4;`|mu5^;di$Lu4WflTN!Gp2OPv4mZ zOZ6T)MF(vsi!@g@g+rxR9RRw84F?)$1g^G~EtzIVG}w0Of}4is+50BX(Cy4#kidFW zg>#SZ4!WBOayf7dRWgr7H)vu7l31QUvMOtOC|^qm(gnHYGD}B|446IMs&y&_*YmBe zR;qfpiD1fdmOEqO zOfe(LpTn^^4jxwRzj7yc_{;js+?v>O>&PCkX`gU{PfTeQE&iP8X;ajqd*j*}g-vcj z%|~h)rl2?7V3~|c+b8~geaF~6hmxw#d*}G1vS!aR%A49ap>fXmISBlDjB)vx)<3Vc zdx)D?)VqbXMTh`-) za$^D3#1pFlDWBB(0bF|7XA#M}2SSo^Ms_~Y6wE@f)SVW1J30R=B5iSdpA=|Yh3?{! zU*xxZ5{gZG&y3>QXUU8uK-4KomJ+!@D7GpTRa(L)YxAtQZ3AiPIg(Hol}aYc1l?MX z4mEQYlrZ<(=P#_tOPH9uKKFaW!!Olkho?4%j6;p0{G*UJy4l^-Zn>S8SdS zFL6CctnHnnlB@=|9SW|fYAXBXLx5#T%^>0M##@!}4C3gquY_vadsN<_oelfjs3M%` zlv#611@677Xc}0@q!zoGH;GF`c?rr(Jd4ZDZyZ_O#H4>1*Y_JCP}Z=1^19m5 zGrO4E9mEUXu}W%~U!xXo?>qX$BXXlj=V7O!Plvo#2!q@nfhcebyzmfBv{BC_>tRUp zZ^!ARH0P00^0_4vUg4=#osbMLzDvL_e9JATpq@tkepK$z+}AU_i!7R^!LO#5aGt$= z2g<8wzwh&0la_N1h^&HD#wpnq!%CY5e&~AnCHIZ~CI!?CZd4pOC6PrqOfOb%tg$@L zmRx?b(=KOavvSUuJGlT(zOG4>UC716V_=n%%k2ZaBH}6!PRV!`6AH>Z<_?*FjbC7V zM*jPKZmW#C&QT_n74q9p5$Enf@f$kEW)av7Aa7!s;;KVvef>{i@#ZW{RrNdNRE`xL zx`)b>YdRL-2T*&o%j5lilFGO88=B9Hcbhv$Sk}H({Ufj_I1RsZPy!%eRQGsQ&@(>E z#QVHz?8_b=t8B#12}^8t&k?tn?&KCgBcZnr$Q&V)(HNTiO_h6#bIgL&II(W(SmzO^ z>!+Kp;PUiJKw-D3ki52St8jHk&pdJ1GMR{5OvBunopWmbIKaZC%Ba>#jCv%{ccB(?LH?`Tu0~nGCH{ygJ=6t$G$Le`^F+i20EamOdhDjmlzifi|UhJ6j4-d93%gM?b5S{X}Ce%%K7Pf zs&Wa)aBW+?l~&Z*Gx+_Mo?GP1I&z8g_I=C8FT+O|^`Dm21KyyjNh~=}zuZ=0W?sR& zuZHKhF9*b}{v!055V~#fq7*bq-%t=%FmX#9AiDL+WDS1(C=T7n@JY2>`c^dzFRuN-CY3W!9GzcU|9*5)P~LVGAeXsC#Crx#&?s01WaP6O`&Q5h zxMWOUCDxO0>sW>~0Tv7Y&OPDC0n5e|(hrP}S0wwi61SyoBM_E1bIj~c*`V?qU0L_X zseoHn*_T6k?*Wy%Av-iNS~Y!-Fr}0d)l_il#oKo+tU{U&9-CD6y(2OTD!V_Ea0$HW z8$H3hAfxID-b@@kF!RgEeOKN!u=t(Bq3iQXK-0)9VB;6_C;Lr7111ZKW%;icOg;R3 zB6+7nT(fBV1OqQa=5E^P(&qP*d@*2XcAzIub}hZ2^Wz~Sr&I(Q3AdnE4^Vz}gb%nB z4KlzUE+ey6*6dH=J1&XuJ`C=&UfrW({)B=~=;qUi=t9ue zIWY5^gicJyF5qo$Ew;4!T`S*^?ybYyj;?WO2UrY1Tr#q7a3|pXh)(xRp=5f;%=Ng$ zcYX2Y{gtPdwPzk#pd-um$Hl?3!I4E_DG@`@8>()xyUM!mA?*`ic4^h#7K5I1dVbA- z*Zb&-{;#5wI?gnV&}3Ya=$OPSMN6B}n`6LMbDvSg`{5(Hz>I;r>m2SDYYJhhyUHfA zCE|BevUj3@VA?PNYeI5wUXP_)N--j&UK*Ycn^bSm~Y;xYbW9d{h zF}w5Y(sRE&rkP{gyrRm%PG2}qS9K$-J5hkAYIL%Y;55Wms#||vu-S236I*{=~3xh@wl>O<6IA_!Hj@{ zk3&iI^w&c{kK#V`#;&==)%`C_&5rCnSQ?S1T!8zUI)}z*yQ0ozF8%TS-{|hXag7V; zxP;VhL7~yaaNzf{@IA-JDSM=R*Thr|lk( zm%xbPx~VZ`M#*HZc_pQlD;1V8fJ?4&J20+{m}MV>(1tv?%FME~hZF-JN8LRnDwpC>;+q~8}YDop3fS`=}reQEP_Zwf>E9U~e*9bbNKtVY( zm(pXe%;L`JN6~xd8m33!w)ZffqTpkdyAA580Z}>mLb`UtKp~-l;46Dl$PM-K}9peQ;+$1U`O-93TqFgh=8z zD*nd|IypT9Z`Us#96~Dd50r_H&IqAQlpqrof56N?{zDE3WI_Eb6wsz4$TgT1MPy<@ zGbVIn8Y74Z)Rsas=wB!kVySG##6moVD%go4bY=*i_$e%=TaEkiZu_ z^cfmi{|CfO|0Byp_7`yf17fBlIM9~~RfEUBeGnWJaCdrkes01IQ0mP8fS4#0^FIdK zKQJa_P%@YXqT8V+(6<|G!k` zf2yO0y~2eoT1;FCB zdv<$!`}=nyXxja;M@b1SnlNZ!E?RiqP!df#dYF&_?qGyTp?UW|Y$g-j!)%OTvtDNB z=DDS0jL<=wCPXx0p+A%#^se@O6rK48QiH&=4}$d+70=*N&(je+Zmhh@_t+gMms^gr6!3y{-90nZinA4aEOOi!bfv+0n~L=E=L!wnQd z_QeMw`X4}ZX=!L$RAMsHtgb ziRe&`3>8u^q?;kVxqu+Z4Pyk6MQz+ft)P$-VSo%cL^x5bo`QmslCtt0Q)|aR95hNo z`v@|Fri7^O1+RgZZ**L0TDu0?n{?y9PEPd9%*qeAH%i<|6v3Ty%~jY!UFV|nC+_m>gi|*PC7z~ixTZZ5hn~( zt#~qu3l|~BX>NsFgSF^|jFDyZd)e9~5)uZemh{KtYz6~*NiASHOCJQWN=FcDn3f79 z*oE{a1pQE9Z|~rMGUmAYJ0Nk{7U+;?_SeOO9S3%s)XbJP*u|j3xxK>}!R&<51v7QS zr6(Oh>+SPFNKx?SM~HF{ZU6kWhZ?RlSH=Dhw`{J6gT=tiiefg65j1voXoKZ|&RkA^ zb(HUus~JJK7Z3#DF-DN9@Cu=@)N7)5@7*&uG*>s5#fLq^$k@{Q*>fKsb1`fbsvHy) zoDN}4`1hf32}?&vQOq)m*8IzB#>N$9YvKGQHS6o!C8?U5A%Y6OsX;(_6&0{oz}WCX z(7t{1ztxO}Y%L%NvTd$|_I<|(L5KY5+hm-5$X%a7Ox|Au56%dHa{^GTvbh)@E1MJw zREA+LXpDxa!fOesO-x8_rXz^DF@m81(M@<>T5!1w8>Wt~f#H+Ca{*wlFu%Ku+%rZa z@`GIgBB(DRt(=ix;IDxVdjX7Mcu)z8Dcb7*PvqSLd3lp!9ao7 zCbHPxe*USD@6Rhn%gOPV!AB`_-xc;kKpB!^YlLY~Z3-J%20C;n=Ve%DqD@XgEFSjd zxw*Lo*kO@B-2NJpNer2ND91(3U4YG*n6EqAIz2DVTy~8h%{tvW6A`!0A^(pk`Z}tk zLNo47Ib+joU3fN@{wn^m=F&v=FdR^VS@<8_>!`fePtK z2jmt{CD}L6Up7Ql34czf`?aY^^={j5>nVMBwr*(j2UcLFi~nr(6Nsrcvy&(s?_XGH zr=D41B$5%G!#+BcWd3mJwn(385;!Ps&?>+j-3?7JDA6&@=J%Bw)i^bg z={si(Ji7eaHVoYBHPAIAO!FSuzeargiP1T!=%tt%1M;$g1)oDQg;E6+R@P{&VKa{> zn>33L?2U&IUg|Sc^i=XTeYf^3`Kz`apu3|Hvsv0|T^vPz5Psc2`2ZDF}8jXSZ$L62sVC^0?>aM+wBzypvex{6@te7Sk;4Cff!S3cE=lx%vp z8TD;uVcxRLRHeqigl09pu9fs+lJNuvX#d7D#-iDE`!#`DIYHXNQ#s~{T4}SLmsfR$ znSFJ|1Ax+fF@75Mk-U|UuZtr%DzC|CWlT6ddEe_4YYa!lzN0`ld>QwFk zJa;C|W4f?eoc(a%=H^+lKf3_t%5<8|qmODl{Ax6mUO@i&%9{ghG4sQHzK+Dc2z$22 z?YD*pu?IbSB6!^vF9(3==O=vBSADJGxAFLBOWZS$uTN}nXPdv#W8=8CRB!VZGX0JDOf647(|9iujqo_*|E&B`s~DxIY&2sT3nxAxuF15#6U!@d2Iu zs-oEt8Gfx0f=gO21AU9AJ?y@TwU2!SdJhP8gxF6CuTHf7bfL3y_tf9-?&3?87o<*7 z*lmGGoZZ`Kg(>Zea0^m7{mNzU{kXbmg7MG?;yjw-&EQw!yQV$zSQ3`s04Q z3T{@s0hhI4*)u{P<3kYFGtKx$?wI3Q_Q%7;UnaOcQag*>=_I8+mx`s%)N&3%Y}2o1 z5nPPyN)xJ|{q~q13|BFoKNgb|TLdOCBrpjpg9`~Ep(g7MS1$d$_;K+kcVnbb>rqGL z+J_%D5sC6@I^y7FHZyW9JG?h;Nmkx%MzET0JSAo$x8ZF9_t~vElKN7>dGhgzc#(Hy zNt^JQ;E&VVipwS213G?rzq6i=*xr2|1o~MC6=_^se!c4Kr?4EABXC_jqrodq(=sEvqrK6_OZ4AMr@!7&#VHXveNAM*l;t2kmeOe5B!f6TYA(QN#32U9p*kdH&Ga%aij~b&W%Ye|6WZ zWRTrE8Y;4|L7@}#RU+UTZ!Ndl0e{$@-FMmFbejvUfjEFo<;05U^k~|gprmt%Ho8kH z?OWHX&bR4AIri`{peV3(GxVE7&9sQ~S1 zFjm7{Qm<23A9FL477LC$?gv`C&W*QYOu$E$c*8)K|1mD_`#br-e@L7?WR2$*n)U#7-uWwXutAf{Lm6p-w*|o%N@?wJO2=k$jG(CSL7(fn+#|sy@3j zE`Yv>qQSF$rYE?6QVM%&{=l=trHjB$LSJHQIsAp(`5_?3WU`cNqjn@O&kLT(3PeXV z`Z{fJXVI5@+b!KQ87_kcd>NiK_vfIgyjeUv?(Ji~)!*K6zY{NfJ*t&0Y@%5L6#5j5 zq0vj#84c`jPRZz+l+Md>=51UQk|a$=@SJ?{zzb{7_=+cPa;~);mMJILM_BhwI22Y6B-JQ(xXPWEHJb7s9OD&a-f!%Xg@})lEj9Un(puyp)F|E|+ zCo1sZja5JB$yQ?dTwcjt98<1^ZH#XT1QdR!wZHwWaQppbJpWR9jp*gV$ul2x$+_JY zjwg>MUxCe|IDIEh?dqAT8o_5XdDOGY%@0!FC6ttpvQ|8r$1DNc4@Hiz?#Jg@ZhzBI z^=W^5A?ug;Q`YBfnV(yGVwYY$f>YaHCx^quW zifVI{wbHz_TIkX)1M!zb+&{Rr?p}0% zcB~sa{t=H!hAdfst;}Wo79k@@6?*A)g<&f==S|wv?=Q=TZatEn_<19K+|4Jai}`)U zBG5};eAy!%u;f)XZRRybz_PHvudAwm?{&TYD1_YbC;*kX;&hBkM_Na1D-Rm61!d#g z0UHe)@30q4RCjNI+P}@=u&Ai8d5$5@evn-sr!}{ zzp^A1=qyezN&Gl|BQ|{BBEU>H`MWo}l$_8zNwvy_|B=FiE4|DWHF|69)b4=Vk~l!B(_{|zer$CQHq9V-3D)&h6~3l>9x#gqQr zuOcEQAti&;J^zcX{omo*e{3!I-{IPSY%MS{{@>u*e{3!A_W38N{Rh|nV{89i6v)8# zF5E+qJ`~d0k6I0&Rv%HTPpH)(T+N^Jm7uuS;Xm5~Z{YU)`L#IsaE_dF?JkJGU$6gC z{vV0eZsh+#!2Z|Y8zKMoG>o^+_PFJyxCrmY%T(d3_dcgn^`vW-JP=LLZ@E{c*Jf@Zl%^KzF9n7Y%SVQ%%4?8exx4bt0ED_&WEg`2`v;Atya#n$iVzkHgE z3Ejq$(bYF%8hbVYx5&n|boYpr1p-n4=Ffc!2aIXHhA#P{<&}g#?SD1`Og>oU&R~ex z4;WscYEe-Q4eLD<07*eEGE%#vJLo-jfPCdUW&Te&4IvU1&3KG&tM5Hb&l$>v6z)|O zP#x|)^a80WL1IE!0+~xuj(Amt8;fBn$E_qH&q`S*r^xW0Hl6_73=1n*$^;s%6(-KE zV7`lZy!L=MCu%+O4N)dNC1(hDbK`|wgGV-!K=F8U!M)^3&&3psRz{@Jp9AYzEWYy) zSnt0dzdMchtq$Fz<%5*oSdt{6{aAYFw#ogLvnSWN$-rp0)39iNCf3-e_8iB=)mMi_ z8P5gBV(;3PZiOLf`#*sx`!BLV_LK*Te7!N(9YnZw4Bw(NbZR~R(99JpPZyLA)}L_} zj}%J=XJuY^%GJs%DBvhD6IrZ%EMH}J?KZNQ zTxh%J?-WtD;-YYXhQA_cj6#BGE=t-!a6Mez&=*P&^e|Lc%=fqMPuA$eIZ-9HtO*IQyooIAA^N zlO%qZHv5v`b8Yz96M^VLdCiXvfayIBwU$fYdQ;x%`xL`1jP*rkwM3{V@5MyY^byXW3DdJ2wCQ^cv6ZH}|w zKjL}b^J$%!UB_Qz^%A)bGJ3nZb2S}#-#=;xKBelkpOkprmz&;8U%{O^jEYu!0UUX< zUnUP-;v_gNHH%i`Px`4G_hmLBwFs+nE^;zx)&a0>i*8HdrU!oS|HS>tUGwZq48emN zWzRe)#^u^RnB6}I1l^o8{RszeGZaD=atQ=fv{`Z5pSYUU?@6%i-TEOF20{dXy?Q^~ z=LWJ~X|5dmRGKy5D*KM9V(fmr*HLcC~-{mSC7qoq{M?X+ZU1o2moPYk>7u+DauTEC^LFH^! z_9D0Qc=9dPmqm5LoQz5LRXlIw6x{}b3=+R6h>F*h%hA{a>WL1yodB`0#s% z?ha946VfHpAxMZIQc8n_0@6q$ARw)DNT(tV0uq9>w16}s5=tl_NF)92@qO?A{qDNo zT6e8`pS8|m7}h*<_RQXAn6rQT_Y{42m)$Dp^P1;!0V$JaqbI3sTTH_jM;j2p^M_tg z*Be9fe0D*jex1%41;~6(lm4G8R;N`;-ch3ePZl3j&Ui zyHlGcVGCc$L-+RA^FyM~Z4PBe$au3?$iyFJJvV#NDgxwZJd_mPIi5!6;#OM)hoX z;gMh=W{BLYj{Yu_J!D3FRq{{;roK z2KqQ&@+gCxSmX4)8|s$bUF~aD#Y}^#+UMxiDS42%oSpXvhflu3{pu_{_;92{WC)uzRJSH zX2Ul&7wa@U2A|-JJ#S)t=bTeM0C#A2vI$wa(SX_ z<`_=7?pe(|5t6W^jka!J{4HsLGrY|$qTd6!!u3-kZH76vN8L0>O26)00?Mo&My~mk z+e8mP7q~BLiyxHw2$OZi$LvPgx!jFC^#V`!B^p*Wm%X4->t(9VWML$`A1!IPW|!@6 zx5ulRwrb$4=sV+bMQXhi>vz1_yGHWfH{xIt1fah;K%g zNwR@!zNR!i24bvMpS{g@1C%<hySE~|Bc|aADEj`^h_Zy^2Sj3)2*ROjOWdY;MIhCs4^|6YmiyZnnEw%Wn20OR?zLwi`^)fv(wrvKtUU(%A?JIVL z4>L8^z3lz%7fXxRl3Gi<^!@W%fvCYAuulOR_pbUs|Bywfp8htE*}biUL1lhrYv(Y% z`X?owDzJ2nYuXhEsU4j@B_h-G3}4%mSA7(bP(8~j6fwr`{u=at-e-7{z2uhsW#a@+ zl6{dD?s&CDKDegw=;Q85E`2O0?_H9Sv#RgImx|Sx>cPO3HMjBV$7AWA$EUh$?dTT? zE^>y)*EdoMUbA={8k>_pdy!x3fyRJrHtA>!#eMwFQrdfFpCY<{7A)fR%qw#)&#YF6Hf$wdGwakgravDDyoToQD!Mk3 z1p^X~H%Re1Q*6cO{H7aC$1;9Z2lRK|ipl$B=XVn87@7gc0tOz45GGb)FkBVYG!B}U z*3iA@2K{H7L01)H?QNEvfu-H{(_EXC#hs~Ia70DauMk?AAjM+sj~@hC2fsTWOs6I9oB zF05?9CZ}iTmIfL{TPzYv>PDVV^Xo2gsNSFcx$2RAh{vg+w~r{A#yS9(nT6A^m$H^3 zvSWA`W()6Tblne3EGA$Pyc@JFZ)0c&+&8XR@V;@Ft8{p-FAs>?Vmroq>)mPUOh@meE}F$?BQ~)Rgn$+ifp|f zkXVkQPWrx~qU~BRrex<_5Z?&$iX^@+JuASY5)t=gMBSVDfx&DRTfA`S7?C` zOwJ$cmGz0Lg=TM_NItMD!le|{H-7ZIz5DC@Z*W;E4K%m+PBAGMzG*T}EF)2O#AT|P zm?#LEkm9^S-6IwfRcmaKH|Q{5`N@Dp*7_SR z1>n@SOwqUY2Njj^)y)lOYF5v^=blfJ?F(r~mv&+_d>WsfUl&Vw^%c-?8E53yEa8)I zUkgae$yxBV)Dtm z3}Z3L%KIivE+10?HSK{d5;;|U-%KJS8)K*v|amr@M4N zKKq77-!>7T(6kAQsjOQ$AkuSB%Kbr1%RV``j52)S_^S2z0;_}_aPWH4{%HkwkwirA zo{wJ}TX5OCpNdMgy)9{dy`C6r$KbAobMCac8@XG+Cbgm&zlwhMCgHtjlk=MtEIPhK z-y^^sYys3)KZ#5v zj#1p$wHA|;M^VE)GM0W7)I1Cc?Cm7&L{)&TtL{>>$*H3EIgtWqg zqe7F+g4fuW9AYw0?cwY2*7oVAhVK3oGFonFbsg8D{*e_9DMeL%)1J@!`j!rlL21`9 zJdB9cFXYT|DYG0mb1N`;`86Ke^e@;1zov{_2Cytk=BS)~+>7JsAX>55l1+Se;fH}E z`QMj$ANjo-dJf`R@pU&34yml%TE=;_^j%_as)mLilZcqvKW`buWR-^B4w^b<7BNJx z-|&e}$!qV%&!T;t{Hkba_pXV1;%g#kBa7%W`l{1cGgg{Np&*RRxp4gqjcC zvuo#sB*NDn+!|S>^<5GxX5B&X#?D1?g`k=-qL9pewJw&F>lg<|)@=z{Giv_DgRm0h zi_~-G%7=xuwY8BSY$X(QpS+$iwQ%VknV6Q*@(t`70AIgvU1Z|DWf9XsclqWm?FVti zotrommv26ZO3AA1oZA3<_!P7{$yr0A>ll~B~T#LrMC zz1hoUq}K3F&F^Koz054zXVtPyWBBrnGx%-Y02om(d^_>lIH>!ai$PqgUBEFsuekl| zH#{jVozQ1dDY;;9=mg)thJgCETQQaM)3BJLrccZGL{1^k-oD>FA>)(<+OaRrRr;p> zaGR~GJ@28nj?uiyXunB&bL)rA24|Cl2SaiR2}?7(q4^JDrk5C%beU?4*2a)7RlTdL ztEZJ=3{wb6Ldp`-arZ6IJgJRC02;T1)<|^xHDE^3 zpm{PhP=>}!6OvL>(ahD~Qsrpk64p^eU>&s_1hW}3mrx;y{SS263N;jvbo%h&LuXG< z@8B0mB*IvSU@IEDgaM_W+k1y36m)b@zIPE#T;@RH5+<3wO|9sh+;RzKaJmNy7MxT|Rl@XNDmDP=yGgOL!{~ z-h!+dOc(+qG!)J$M8%ZDc=HBYX8)lr|6$8Wp`PPiJsP>3fdY=Xx%mKyTEYz9;kE#8 zF9slB2?n%$q7k~ED{Je-XvFd_UJ2<+wBnGI7LAo&7X62*gpe70tQ_3r;o}u@{^2RH z(LCi1C72D3ouQ#hWr$U(Y1%w`1c5VHunz{*$wHu#7Sd*a$+`HXr1WeUIp~0HRS21) z$>mphFy(8QI=>Y`3gA`gqC*TF|8UEal2Rm6 zQqq4>wQJYq&=tnvfm)!$(hR}0va)&z(_6!^foT!j90dH!UZUYkCns2{@G*p!lmC^; zLUK8SC?g{~uLW|Kf1yiwKt1rldRx&769hqh?1#S%Kq?e12Zb>-Iy%0+cK}^6XkPi) z47qRu1MLSzGo>gT0zyW#H5LXZ91DNFnJ@tlOoa!%nP`J7A0#lzpgI#R&x9N%WVOY$ z^z=LE^2kv4?k!>x5}U$N^8j*-kimozjEwF?eyb%Mp*c^ls?acjK zJROdq1juKd^c58|D}@W5r|@%{Y5bmtpEhM z1Mk4NdsiDms{e4f>u6fQiPFEx0V$kRZrv2EzyqjfyQmL&;?ptT`x1 zV!}pMqMKON3Q0_uuo@;rY61{q2x7u`SO5NfV`JM?us$+pp>3f_j273x0kX2bPE0~V z8i3&Z3n5#m1fUQ~n1l)@p@tg`{2LrEwD4?TE{+M!J+c;th(exr@NF_QjtOPBYMORX zw+zGC4BD1+{@RuiH}ny?!^eBTJ3V0zG?WPqq3|t*=YYW6MU4B%>tI8yzoc>SEfO?j zT~=0EH86x0ERUj_G6qwPn;}>b(43e=-|-oyn}X*vG;;kq7H@WLX&D0pBK*M*Fd(AY zp+yX_Y9bO6iYr&IUKJ7&4nQy>y$cBM#QweDUHxQXd{nYOpzy4v!%N660v%_#cV1WdR{1_Tf0s zFF+&v9qtwmm>*$SI1mm%)^ucYYX1QCb~7kShL1f8KrHN#<6uWjbf-5%P-kZrUS=p9 z1O{|Pzs^A6a#(mwYG2&C}EpDUUzqj_c_Qrsp0Y4FMq_q|4aYwba zS-X?w>zr@a#`d&oB?iv@3k&fEj`? zq2F2N0EpWMAU(lkm(k2L8#_A}7cZK(SJMc#Ai4v4GCb|;8p8M7H&_+r=LerV2ZcO^ zjfXm73)5B<65NU)Auum3bUVWjw!eC3I9wy5ASNAK{N~LY3r9>y*;fbOA^E2VH@t72 zo`G=gtaTppEe8qK3P2$Xg|Cq%m}nVpKVa8_C;Xo)oh<}AyN9Ht=upI?DE_53+Cg8ZI8XG5Nk%x|Jf`rsX?Qpm+JqL3Xl5xYBo z&WW~O(;(61|IIF6o#DzSA+3m2=63QHiHwONlg^0_RnJ$z zhiHNJtWQb2dD?q8%=zMoy}BhUi_dRAT)+MGEioTcVA$HeN*FG2A^Icsg^Wkv7)9d) z9q+YBNzJ6Zd;H~xxHZ622>Pv0qgt{_*Rz&JV8c{@A8GhFPpUd0bc6n>C2 zvVF8bq3+&%`lAT@qCHI|-FX{ZRF1qqZrd$>P*Uci)oxvUo5?4p7;~p-akPidnE6Yw zp_h5THQD~pX3&)2XDqlV&K9m3CmIMUZ|r={uRN9`S`u&Z!lwRquLhWoR_V-3JK%6H z|CphyE+Mi{U(Ur#UqXnPaWeU&KM2qmfGD$M_RVkL@ zHj=l_@z1Lbm%`WQ@XBB2-~x>C*B2NT_pw7;46tc}y>8(RyIrNndq&ZM6(EsRmNX8s zc&Hx_(l8wUewRrWL&)DS|AE)x3z4$KqMP zBY#Xpl)YT`%S6mQLQwI5&-HWGii1)~NB*TB)YUbXz3n|}&MAEOy(D*nu{D53IjhoW zRpHB7q3?wy)s<5QVSStad_q652TR;6%w5I7&xFnj1HpGjp-)XxR?K}Qjo9eh&qG_S!uG;F`4?xCSj~8-C_Oo^!`+SoDv|f zQT{#Ete@~}h*Ve4q5V#TjW>l&s(4cTpiF2S*QZmk;`S#;EX#LCm`uw`jN<(*nk+u6 zFL`d4!-MAh*zxiFfqY0N!HaGx)eMVijm4|W0g9|44~y9+4t6Sqm{=arkb>h}jNR{M zkFiZMTt~!Gnp84LpLQjD#oEUd-#WsK^=N z^$(wYfBxb}3PV8U8^&;+@BQlzpK!tBIdiQ~t1GwD{hh)j9kLW(yT22=KOK_%orY60 zgDw9aI7S+3MXxBWRgXBF-Ep;(!=)ilp!{%qB+X-Di7n-GH&`n65__hX^;Tf_mW6&! z$da3;?p~Vw!Norg?zJ^fNlk$%Zu#40tf{y@h6K-xO7Ty+!cmaPpc?W$Vwkt&dv63b zFE`D0kjIpsk?FU6`~H!riLtm?r~J5?W{Q1)h#Quk%?Pq6mN@@}BkDWGFZvRLQJyOw zv1xa3@qU=|dF89GH*iXw3eR1hd|9v3YE@ZvA^lWeBK>qHU`3=sOX@j~Wie2kJ~-0v zZ3_H3%(z@6&3r4wq|Rteja$G~M8d|OE$1$vH#CaFVwVmr6N(^7W9`!xF_^#lC&l_E zlGtoBTBO4PC@MGV3R)E$Z_64+FK6WJJf4_O$ZMZ$)nL$YZ@*UjskARQt~KQ?y@HPz{@)n#Dpws)LB*aWa`qR5)r& zTq}Y3Q(nQz#Yed{_&sTd6!=7<8y7#>r)HM<*2OnbbMxDQ2eMBI$`gX<39~WeDSTAI3fp3!i=DXn> zG&FZPR+w(3Zq(SnwZM{+@v;WpZJ>s9C#g-WAk)~YMv*o z+`l?{t?v8zcbD^JqbjB6>6YGsrhtzwTfU}7fPpl6z(H51fNI;Iv#qas=14S}`ngO$ z^;_`NM|l~L%BVz|y%J1hRTbCede>3t)t?@Ahv!F)Taz&O(8TfLl~tAMw`(<90$+ZK z;ENA9T2adqzooO}3YI;(1Fp>Gzp40kfOI?U{MLN2Gj!X8r?+jDich55dLu{4d0CV1NX=)vSzy6+!y(UhCQlO#!p;xA{DDiwG! zLx@Z0L`+pC1#)<_$J3h@wQHv=jF#q z8HyErQnrC~%k?8#FKfLxw^A$>W}y8{O+RyNJR=Fa=Dfu;%uD*4rQiv3at|Zf&kG%+ zzuLh@=E$AvLmU_8zt8xHxlpyH<*~foQXCm>d_z!TM-)K>u4kL$UAw;+uJ+wuv6qsn z&;I18?mLR#$Eufu-JxGf8`Q=((i0D9>?)UiDy72>Eb`BJ=!Q>0@<$+o#cIrq`v6=B z_+&Fc$>^u~!|ZxGOS(0s^OvvdbdPV|`uIE#8$LbZ1)jG$&e749YnK(Y=F+J0z;Q@nEuX*M2 zq%Yhm>aX(2x;xyaEulJBz+C>&S&~wr-=+`PN39d%4W|q>pD!`(@6_}gq@FG#2lEd~-SrREerzxl zYRr1Y3zU5F%91amyJ4a1GHj`B&;{hi3s-)ca6aJ=H~tZzTbs4Gh11%$n^`g?KL7nr z5TPURR_@B3IjE7&m}0=t{}^k0mQyO|s1rt$ICFoWFO&`&JRVe6BuKWsdie2D|AJnD z)w-Y(Zja8-u2uHmp;Ka3<-ncws>sW)+*!&*sbznB+hk_A%m(cP%#07Vd6dYF0{Q{n zXPlEDJuV5eVHZy=MMgi4xJBJIcB5YzJ0Y+6y-*yWcS?47GB1#AM2A7`7+a%+)A!!N zS?Od{*V@8NfUaaP2<=z}nYkp(I z8T_G_h1Py4(*i?zX`@TGVaM$>`eX}F2L}vhnR|)NXpp!$o^!P`J7#UNFrOw?c{iSE z<%9*Jv|2zoN%IbVBj%La3R%O~iIS*2$unuQSGOZpV)lAZA2P)Pa+?Y5r2?bO@7u!< zS}trCC-ihpm9M0>*)Wbs6z1ak;hTSIYa76XlwzjZ^H{cRaXSB3c+hG|4)tWe=iCoV#nvp5M%;PzfPiepV7N1 z^zI9KH;vwXMekLCD(JB>N6+*$>)MdKzAEC z$WZnITwWv+ZsS6|(r-E6JJT%kj+VZ>TT}zt4fnt1wtV;l z0@YLZhFG@ntEb+{<-Rjwm5kz6D;lkl;MHng{%Je40bJi70`2f2Aq^7yRZ2p60ri_f z7klrAhJ8HFF{LKYB?rmRiLN^0-ODE%;s{sc!_=pJ9$V6Jw^^y3W^BfmF=HIKEHyYZ zCOpF0i!@+7Rwu| zXMzIDGHIbxK=bZBjF}6%v!$D6T2~r!^3^fq=aKgHr%m5XL@s?Wa0WpbaXqNin6bPM zQT{0{XRK^Hgvph6vObt~w%&jAJ2@L*sY5O|&XGBW??8S}tQT|cj}7)wyN2maH6o=` z*YObmC#n?E8+vG&Ew}pCJ_$+WihT9Z9<-P2)^p3Cvb^{X9CxnYuMBb#QRb;_CKp}T z;$#rh!NSk_fXkM?7OvU70QT06lx~*S3YwLegtC9%B%prpCUAxOL#8eNjl0dK0-S(& zsxLR`Qg1>1B}p06Tt#_1(V}iwOSvQxv&+i(pS(DLi`gpowPoJ2i}lR)56*n2LaDnv z1oMk_RPFIN4J!m$L8-9Cyyzd6U3tX^JwGs1KLS8<+(g!8sz3kAcLdy#YbG`+ zOqi>r^3>>bzT&Sr!Et9>{z=Q}m!i{}ocwX{-g-envbI3j`m$2sO{a@pu1ZuVl^XI@ zJUO?PD5dg#!6lxI3VHYK@l}3{(O#?E6D_c_in|=*sl`^xVD>JI_aR^`mS`7zxxn6O z+JD`zit=2U!$hs;61Nx-Zgo4fHslXBAS2lduLjXfuyECSnNpAE7x zMC8il0tVe+6Wu)nuJ`zE)T@mgz9noVH>j~bhf=IINpJQV(gJ1Icj-!|NrZ8gbqdV$ zg+6KS2K=VunNCl*_$LBhL!q5pEFmN{uKcJEzgSTw^Rt47glCdz*p}!TYN8l_@}3Fc zk3NiA_;WyMWE0h85tK|eCVi50ajN@(I?_9$jQIKjD7WPn5-0HmF2@WrLp|c_p2~^4xR(XuFYXh^59Qh?_CcTCW>K)c=xOvake3YCm5J zNmixbIm$>&+^crd)nKrWk!XviQtJ2Bu2EM5B}C44dFGeGe7_2ZuIsSePv-Nv$$0oc zXa8N9fz>g+6$nwfy|<3X`qd$yk-#fmdRgN9@uC)8VEyyr63+XE#WSEpbK2E%%IepK zOIF0g75h6I&-HPi+eGo6pR$B>Wzk#)B6ID(LYTHRSnt_f6EDZ%u)WW6WKGs4nLg=0 z!DrxZ1+v-VpVJ68=RfE*o_nM&VI_R?99XdoxF*a9$~yGaKLR(-iPRh=>wLw!|CCoe z|qqSRoVu$z^yn2>{XcQMF~k?ogQ$?|?2-dz^=c zUfU-d#6eU24yvNbZy$jLR^H-G?j=f7%c0%QX^$7%##`74Z5f!m(%fa5Imc~a<5W7~ z!WGkTaj_7aqx(9ejzxPlq+b|a2^c#nsqU=$fXCT}yo<-bkSpHF0>27v){5`t9Q$>C zaF1KQ@u3l~_#r4gJZgD)GplPbO@64V@Egh4v-Fgyq|?Xg7FaF9S#kS-yn$Rd0t-_k zE;sPD=x!&sb&0gHqlw?FPw6MlUv1HgAZFH!=M(G1c^IygyO}IA74aUqfUJqi9kcXt z`mUqZ6QDiR<7UB#_rOG*Y@7Y{g;ceP{ej+WNc!yTMMxdroj}X8VGPtwpMueR)Qmv#C7A zi{-}2TjzUX)Vj`doI4|+?~V)B*8+RX9Qi;z?5A93uQTazR#L90vN1ikIcw&Q1C*s$ zVJ@aPdsetEFvQmC@@Y({ z6-}jAy6ow1oZ)1=f1d4NxiI82VZJV63*4M_;@WD%-+2{%LMa9{a{&)7sRqrsOC<(} z5|s;U_rZ0H`DSlB5l647+a#%vo+){2bl7;5Jfiz`l+knvIK==Nu_0V}rjvU)e|X%7 zPzAkO_z$`Mxc9}BY-meNN}a;1NpF?iTUZDS_s=D4^R2LPO{vs!Bnh#pPQ)>oN#;t} zKsl!&6LVWJ7rtd7yWG)CoESAxln(vZ!jtc$Ps?r1Z2-?sr0%2Qvaa(9)2WX7FA;Z# zlZ5S}@Hwy2zB}8UmL>r17Q2rw7e-I3B`8x;#PTzK<2k!EQdqt|q0V*1hNX-d(2R(8 z{HZ=RXVB⁢MLRvA||SIV5eXjtW0DU7|1b1C`UGVpR0{l7YRL&Wgu*&m_zTwQ%sc zM~MA1JZ9~m-vV5W-|<*~&x;p*8)Pxa0Br<2hZhktgaS1v%LbQ6m;gNC4uKl7CplF< zemEb=l09F`eC2Bu=s(r2!~4>R%ccWx*|+*W1Eye!s@u!)qbV zc!o)RG8#KuDNbsGE%{nTbc%rS=0(nfut#}y?HySaYd`ndon;tD#y#~kjv`6hJU-t7 zRQq4UM? zA6~#G9BeH4YR~%U@)qcP5oK$DORMtY#eqQvxwCgLr6OU%%q)lPqWjVPr8WW(_%b}2 zE$HMLr>O=I3QM&+Cwq3(x@piBP0VL6U1s_g1Tm|$w->#*5+OHwB}HgvTCO!Dh@a0` zS=(HHchNFn35v<|>1d1GF6>#wEjk{tLwvy&|y&n0;X_#bb`{7g{q z8Z|8f{!#2=5@g#X`Albz>Ybw#o#uH>q=d*TQguJzoHuo2faqZpdgEdo`)y!xrseu4 zEAzqB8~UOKLxGS~)3;u|$-pJnU4oJHYCv`G9pP`oLKSP4Q^k8<{fdpK@9g{iTw#P7 z*LW*nC8{$ws%u9@Jjw3sL!+&lbr9(8^K2T)@FW66%-1G<%#u4lg`% z?HM>`HXjFbY^Lcr{k5NY8~5uxS1<7^*}2A)Pn{ZA$G#f>s_FR@G&GOUDQml>=k5ME zqvqDu53LxGORQ<1U8TD!A!B6u2>66&PtN^`N!z27P);muV~I3cV)L!IFwIp8Voe(}P-y~1yBu^jX{kTc0X~}wDH>OKip0hYACjBe0^vU|R#2_G~vW>?fpleXM zPj7h7#q)V`Y0ojaamnH)U=~u<^nLvYQ$WL`q~9aGpp};6YE<&M$J5piyoz2gZ-Kbd z4ej9*d(<4M<0KyW^?P|!7d&EzxueYcmvo(<)Y1SBK0;9=!D~r1*XX7DvGU8$DPN@I zHBS6oWm8b@pcPjKrpdpAG<74IhH&T%trN?)Fojf&{s8VWP{c+FV2q`Bwau7DX4CG_&yz**}=IH5Z*jN zX5j0ezpw^QZ-r!a4^MvM70chilDMg=XYLf1(mbOwFcTI3DaoN1Jmg9_`#mgQH9=dn z!t9w(PUrO4EV^R$nB&TZNZ6cXI#6wzU>8$)Tu?uJMOfY?IIDGdhfmb8{S!w}T47t) zCn^B+B9pR;+XbCMUZ0ck-7t!X&%maz2zu7L{O6K@{|*fheEcH*BhLjo@~08RTxy}u z7BKO=G(6u9osdW4@^7%oFZ~m@0q)JlpaYM_*5*e?f_09 zskbfTb2y}ePM)c+np#k>bT@%%{+jukqLu+?ne)&EX141pw)41pAy?WKuzZ5(ZLa->3r#viv>&9YMZT&W zKNnJY@O+XlW^i(L{^uE?f}y4DOE5$vbFY4C=7@mul7Vf>BrYevVnp5wtB_@ao+XM# z_BrU}iC)~G5%FQPz<)6>X@tccQ~vx#=jSC3pWsQn+cR@ODEL{MO>AASjNToJPq{qI z{1f*E$7vFZ=UD7rqRTf(fuOpMQO-{hZNmqFEr-~6o+%lx3a5W=W0FEj#=}3U6bw;W zBC^qiedl;wx7RJ6$QoX4H0?Lz5^7jd3QY6MtAxeQZ}OYCQ09K+1l&?#R||?rb87AYlD*bs%? zlgw#cQVL$Lgvt+7^Cz@UzOO%S6WDr818Ot(ALHuY0knaY__Ryu^_|gWts?h)LlWOA zJr2o*3-WgR4Kb*JYQ?R&_zJb-Wn5mlu4_TKczbKZsuxl~y(DCQ!I}^oc7--FZsf_Z9&W`7C@%*+rkvXgGOp2Sul0 z-*a$|KfuBz09Q@?Llc+5b*H%G-D4gZwS)mCw%0|~ZPUNc?E``%&$+fxQeU-|0DgUk zm}N4KOwzY&ciQRAeKPxRCj8{Lr@H=ITJSeF-yzUQTKYnw`4Iz)LGTq0C5wS;?fa%# zTn6FmHuiaMYh_eHbaH;nk6(u*j20m!)!pMLUXjqMzOF3_dOpYacFz$RU_Rs;eC_&0 zzhW}`j?L@0oufW5l>-O{Mi*Cq92}m4rfg{Y4J)5KC*#*QHuvwD`XhDS|1Idx_~9J=e4BxY{W>bz7GXIiJK*LS|!+XBXLkSleN? zd1o~dInR`J=BM*ZGmF>c)dNCjpB9zlG0EJw0Zs{7uPd9_EZm>v6^~<)U(q%S&ng(1 zoF=3+ar1oD{R`}+^!_@<*LM=_mx!Mbd3O9P`HoTQ?2i+#s8|%ApoAtcGW+SCu2FPO zp=Vs(`SDQsMFs_vn#NRuU1VUV4_yMr=1)lIBa=RS zUINFKkF)EWroQ5lu^Ku@t&#Ex>6kzA@J)P~)c%JhIeQiCGF=Hz9evL@!pcG~-~_l_ zle!iT?%o3;MsKRSk>O21K;o=0i1hI|y!&cuS^nE#au*TO^G?pdBDyLP_-mg4TohKZ ze6#U~T=ri2G)>NRRWk(phPG~L&(r}9{{eGT5pTXzt z`!AU5zpn#B*YJ|v?z9Ka0|?vpBVTM-vaq1;(~^OCU=E@knL!BHd9H* z5nhz_ekK%$3nOND{qqVsf01YbnxR&&q0hekfZz;Ss)^+Zv5If7m^3m0rD@ z0A8&zhUb30qPo^C+H}=2D3P6Z;IXSf%l^~05j;vgk66&Zqi1USsHEYF7F-0=d%_|v zXW<#$O>s3e;_KEG>yLyWcmI+~=@h9^MzQbqxtG2W(L~}0;eON5*wvm-`Y6Iy(5s|l z(Mu(Fma1sFsT?+-VqxcA-*!>d-lOVkcun2m8Nk-Fat};MPHp)#IyCM?`8pF9X?MGk~Fh$kwx93fi*NkDe9F3=Uq!>T@zZpwj27uD?D#*Pa@LD ze?juDbz%a}qs~KA&N|N(=~e`C%LcKa<{ciTmUhWMUqB`0p1uL<>6xjAL^_PtE}y3AdSR6L;&kE6H`khkv-IU!G*mZo5=}=Qp>{ z0&kWu_>7)}|JuX2#HsEPv$c1~cvr9Fn4DSIx5sv9OAXZ1CKuotvS`^(%yP-O4D)p- zl=Y6zaXbut-uc`u=8WwU2OpO8L513nXY80V4#nk@nsZwVb2w=Lwfb``*Ro96je(B>A5D@$#BlqRYSFH#R z6j`EGmS+D0Tp~sAb}{q>VxmDa$Vftq;hV~;n%W*XC4i1`G-URVFm?*^meZG}XCPoY z`|aDeh2{UdC>93?Hvr9CA_(?BnoIZ_A;i=mdqzZThET)8m3LLO~&6gCt+ z$AP9VkI@tw3`k#|5kmkIO<-bRpxH|EgEhytq{V5 zkNO`7^Dl#m*5sn$yX53lbX(KXD?tscX5QG;1Ti)UGowLfD33+gY;Q+XY@Jr@&RU^5rVgf z(y~w?K$EHh0>aS5EM{@7Ll%(;mN!EoQmqJ@=tQoWAsCS6G(%9=uUjUfEUohN5F25Qa47u`zYPg)*nrph5Y#U1 z=8GyUu}l`6~yk% zcOg(DetrR(#OKd1Lb(x~YcBMgKW9N9M{o~&_xw2(@^KF0vG$YmpHRpd8kdd!JWq;3 z#QvMtMo;$N&|)a31y zP^?nUrnu_i9GeAbi~XyP_OCBR1I+5QE7$Md4Y?xZOOtc7?6S&VMc*L1>ot zejNN6TR3})pZb`P;OxrFC!{D_*`%3Z)h0QbkNyW|9s?0TZbvlZ``Ef%j3-?fwYQQQ zK^cGNsJ*-kj}HFAk?R>T;BE1>+^S5T$H}P2xrKLwnLNQ(=l-^ecPSm6_Ia7~5FpAq zwOgX!+csYPypFppCdTS=(HooBA6xZTRO$fZpIpGBu+^qM`B}E<^{orSH%Y3KyRNYy z%n==;veR!Jur^mg3Hz#ccNLM} zy-CF>t((c4E!6aG$x2ywnMoCIG=BJ=J^@sFcD}6%e{nvGSn+M1Js#qWEbL2SuF~HB z)sz-T7>@&%d7a?APeF#x-1ylf`BX|KGr_9#l^$#Hpm;Krg1gpNDxlmpzZ7vNni?kLD zxd>$0#0A92zSf=Do)byeivGb0z4ux<+K{okQ%_IB`oc00wspU-D$Eo*ES{aPnr)BK z|FkZ^crEe9TYCkmp`i*H5a=r$)s-5zJs=sAnaAF9!ArrQd5%URfbONMWRx2$+2e-b zALR?~)M0Wl# z371FEa%qWpY~?0+sHMM{yGZqb#YkwWi9vSG((K|povSne!O z^Oka1lk-l0*G$F-LS`1vcV5(MPuxDf3IK`&SFSLne6XA~%VFxQWOyc;eOKkY?J_?9 zj|3+z2{Y!|z3uenIOd0T@bLzyE)MDVt)N_5Vvr%!&&lZ)&c-y@=aZla( z&ta`~>sZ6Ys7L23A}bbtB+8AJGGVcPK4$#|Y@}Y6J%_#&?b?OkR;-`5xTl^;w%<__bcc}J{>h{?NTsLe=~Iy$d4(T2@>akpvignF z@Q=Y_inknZl69XwXaJw2j6vyJbJzU^BaQve(*0HW`B}fRW&c0&+lB{TT8==%_Zy`m z5xy+V#d{aboXjd>-}+9KALAq$J+sumWBtMvNU5F3Dj$i|8dw=-*ak8ZzH57d4lw8!N5vL7$gKv0agUq;bsGfyR) zEMBZ+&*IVx;>i-q4cB{RkE!jF3ZnEtSPkucpGlmqE8aW)z3{s@BQrbg*w-CqsrOGK zZ7>63L7@u^ts_eg@z5$08OP^@^mIqCZ-{L=F z_9h38sj73RuWBS2Y~QcnH=+VktNfw|YTQm6n~cA@`PuB$9Q@;tcP1@5Erufi_vRn4 z>R%^xM>BfUw=SaZR7c%hvR#4t*q=+m`?I>;DCS#oaQiEdUk>snK8ll~?`5$@TqEcs z*Us}1{Av7DA-zj{9`GtWWSu1lRcQA8_|WrartM|pHBSZ9T_x>Xm&3jfN#cS?+dGoO zQ#H09OKf(i@f`({F`a{JD3h*GW*YodB_h8G{uHumYY5)`I8NF4M*YVsFPUe*c)T@V z@a~HzH*|+DeE{kx`VQ9i)U-?nywCXKs8>2DnL#8Y6p=K0Q2QW$Hy3O%A0wf zBs%fhK^K><8;vK$N54{1>mIQ<%QOd7&qw&`d6Mf|yRX{Mbp9{a-U6zsuU*vNba&?j zq(izxq)WP`yG5h~NlEDrK|o5nLs~%^R6;>IrMnTh3%_&zzjN;W#u@)R#(l@wleITv zPu5y{&b3)49)~hA+5fyO z-r^OO7zOQL3EgHLx@AlX&`5`yd(h#Z2&7xl&_6z-mN2cxfM zSLaORh3FqB2$(btI;{c5I_BoY#Qb2D8uQ(E#!sBmQdA}BnuEP$2KkFG{hWz`;bHhO zO6vHpIfSMQ1(EE-=KO0p{85C5Y`52&o6O?mpdWYi9XhXf!S73*B*gy1H{_qquM4@B z;Gf`X1hF3iC)Tib;oZrYz~XtpSEsJJ|fHpQM7PmX>K>kd-#GonU&{lw6eqR z+BWg>3PL-&H3BCIG?ZfIIORW@e z#QC^HU$-Bf8eZb`3A4`r)@CM30URxzYq@qzfer-~*i57S%3aYve02Se20_1fkB;Mj zW_fL#8ZM^8&A{dO4H#8b`rteg`khQRH;HAXw#p-4)ot{PoX<1?$uTf4JDr4}Z>Bf9)x)>m?oQ7Xx-ccP2#Ghe5|BBHi0w(u7e(UzDFK zUqRw#OCW+ev!c8bl!dCY&Iz2JDZftEJbJ(I49=~GqY*>fKv~mzPt$MvBapjRQnBi{ z^`FIP{WF%|&~6B@1@K}J-(~$4%S@Fn+XkJ13H7_bXcF_LGbc`WGpyU@4d2SMo=>2> zkxpxHsb&DlCrQ>OBnhhc<+@47Qe)ZAxDTtJ?qO5Zx?X%_8@uTQ6#cHq**!!Hw>YkffQ02%nwury}&CD)KLK^fqh5=xH8#ep~XFa}h%S*q`=$7B6Mlv_|N^{Wtu- zld%6DE&Ycq1^>AqRMZ&vP`Rj9dxyJNCm+`TVT0lo73 zzS+2MHt(CQ#U$AOB@z2ySk_XAhW%$n?#iLc8UMfI-*B)9|G~j-v;Lf9ueWUHixBX1mVIHwnpEOFFN$vv! z&gmaskT>eoUI4<+ei+i0J$zXjd!x-+UO}B7$z(G=u&8@h)_|d{@}eJv|Fk}OfRp!~ ztraDRb+AnhF#JTgt)7t0qtr?}aH=8)lQerp=BjW>``3hY@@kTg9xrl<-n_Lec(pp4 zpF-k(1@t@$uYA0ZI9*u}t;g6vhY(cv+%2G=Ti&+y!;^KSPm5@UKG3 zjq3R99_pqH42czl;yX#J`jCJo^_0dNeJyV9)37qe6}4Ly-lXXYDRbBqw!q+)PU#Oo zm#{sfG*iO#Agv!6(VhcYp2-%Dp0+FN{DjF;)I+xppjjwt&O9u?*kB{eZZMyd3^pD| zA!({vD>wgX>(06J7Q76lK|peVA4PcmiHdDNQ0%04w#mQcV_;z3=z{2Dwq!tmMK+BS z7gJU2sD4W-P5*Qz$c_oCaf@m)i-DTz(>6SKIA4irZy0t)PE(_R4r_vB}^uaRN%vkxFQ@9~d5*#?iE*F-g#$ zDFgQJ7woW4Z8X|plML=Uu#GnpqxuwPXWIZ4{-xWHVzWyBYq6W__fvqI<2eBayS${iiBr(}{&8Ky4`#x%vAURdykSS%_rTidq6R#eO!?U6|VMS)R0L!+lk z&Cb*u69$PZuolsipGRlyPkfu(j*#L7Sd~1~c31=yB|&Bgo$KXj1sko=TP8lvn? zO%x;N1`=COJAMDyc3bfuCJj5l@^1#DzFp5>KQfqG`fX65vJ5a!IE8r>$czGZCaB2F zP4s6-1%A+pAD(HT|Dov1Y#{{g(Z{C>iJe4bJ3QI?Zn>x2UU8_ArQAdawLd%wT{76f zu$KU4^c&&8h3kIGrQ4;m*`NL}qg^TAz#(GuV$Y3a;Nhq>RyAJwil3Kvo)@rZ^Ct$3~V*cp;U4K8dWPgeBkyvqw9{z zRKoGeA7Ta0fF-P%iPUDQfv*vSCR)VikP_$?<12j|Zsou}-|I)8+3-h1Nnr!8EQjD? zyy;VlM{`~PE?%PjA4wc5f|#{?T$GXA<^i^$C_jI;&4wvu9Bgj8fqX-o>YE$fV&f#c zyl>o@hn9CLuVQ+|UmV))IwB+wjDQrR7X!R__BO?Bz9vZ;vp@59{_whuOsAZ2W==?@ z#A5@!eN)3PCZD4yaSzBk#Ak_p6fd&j7b2ZGwYuo-sNSc73E@XSL_~SjOWyLx;7%B^ z5fancEa%x)!7f9ZFiHe0K)s8M!~O*lNzu|Gb$Wes3QY$Og2Wzk=2 zx_?1^#NF5DJ}!7{BFMXyZh z5}WM#mWYij#o7}Toty!1>1Z_bWNO~yP^f2RvF#&&6-y(!t|^9SYkHeUhq4t8;ECoO zb+?&cA(E1=3*nvLbqY3mErZ=xhPo`c1U#E$!NyPKOjUFk>XY@DU-m2Cq{EhrGHkH| z7Oc0@!Iw=KLJQfyU6AN;P_z!}d$uGH#@~I(RxX(+Cv5y7h=K6R=EDc{ip=vO(S*d@WlAPeG za$v#(m7k`#l78Oe`mXU-iqAMQmh9v$e}J+@R7K{J8r1~}0Yxmh!81}9l50{~5Le;L zzc|4T-Ckhj!8XxjOpw<;0aiV06P}cd8Ca`>yyA^tTXyUR&Q~jHqdSI!1$Ir>^?}Lo zo$#L!o~q7Y*KLT`cS-WDb-q9S=wn8C=RlrX7zwEUZ0;rTA${8BhNw&qF6l+obFA^A z8%o#uBA(9to-ZQ+UiD>T-}AHX3+m?y53hRJI@|iR>gpYv=YETc2(eMF>@~*5TQ0IC53GtGzWCWCQBQ4~Vq z{+R(CNf0A|{C)`SEa6Ork!c~lno+gic=RoKJ1C&f6h04gLjz5PJ)1#+LM34X`Q4?a z4*R{7^>?lw;a_y7`k%4cUQ7X2)7`>; ze|F~lNq(fyi{S^w?OVDpLhk28k5#VK=%I>cK3Tg2fbRWgu}1ypp{EGEwkGtbFLP*E zsCZWH62FK{rb-GO`U3JFOv5c*Plvz6|61KAVw2F0=oq=UzC{;G%&wavfpQ;JV)8$9 zkqfjgA>gZ6cJRcfXY&3HYYZM49n&B7_Ir&5F zFWcIwwL{Cctvf=`h?u69OR&lyz!Ns6=ewMeHiYQREv;18%E}q?{sRgDQ{8uix6c9P zX`Eg$0}?6kqwlgNju{OkUcU}euz=FbSDD$xpT1xMw^zBXV-(WPS?E~6{&i$lJ2t0; zmZ9(HA!XwGZzv28fv`muyqpvA?{81*yEGF{qLO3Raada(sG64T;CRKtguyde$Jn=o z#Z=*ikK$U!w^5x7_OWSLf@2diSBRybT6=?#f%%g=BvSLRgvM_Na9GS5>CHdqgsq%| z%16!~D?P0PU(OZX%O`O-jcneu5gFP#S~__3cbbT?hNLD_J<<4p0VEC!%QYT7^gV)JUbif2E-!G04%%oAYh8d!yv_MsWt%%5^S^$IB6Jw@VuWbiDavi|gz zS5<$nmZf{`(B#ZD8aCj4 z+>h;(kktN_MM5cWXmX8IIVh&7^~(wweO~wYDgHAM((vUgn^yk#@f-1Z5@LpFVkY@d zWK^PwbV{M`Z+NFYK!Iu3AId68RFX`|=h^!#4+FDXmhp)dpSr{#P|+~T`GAb!16m$o zX$SAni1_sChM6N0YK3R{oxP`IGRg)8pQgZ?!7&OhwYGlR$3ADbNG|Ct{I@SfZa=QG zIY;6=4T)FVFjfKgQO+E*N=uR9Nmk9E$ZSelP2-S{;OF$pI+==d{l^s* zwU~@AqdV|`U0BO)c4>u#Gd8sj9yr~S%jmvHt;1*3dp@^*1xIHa+seUg_rmJt#;A6j zR}-MjPzl;j$HHyBy1e5TO+13n>on0fc;EZu*DaEXi-#X*_%ir&o<>kvC$yw(nA6<# zRX72sl%k5|>&(JhG*02rPI zYgE7Qpm~DZKE`TxWD+PKAyqB}6`U@kVf2yM`vY<*3V(T_?bRHc+&&IT{O|)tN>0sd zR5vhje0In3#G)PiL`0?S7~X;rKh*PnThY+8iz{9|Qv`eJ9y2|6PT9o+){!+nGPy;U z(CvLeGk1EOYfLEmvXZcrhC@?l3>RG%3BZ;NtVX91UWPpJjxVhHxy`^TrfgtdSXEn( zOZV9QRVsL2Qr|KTqY?X1KcSM_KDlb>5KvIpb_2u27gDcf(zgKFg+q-z@JdBGC|j+Y z&J|5qZo#wbQU+1O*y}e0^s|r!^8O7R9Z5@M$w+<1I z0VWx%p{rXNbaPhP#L}^-ee4t&Pqy>)4wGL0Wo$EQ7-*Q>-UoU{_P#So12{IgMki8a z4<$&5)pegrwhCG_Z-8su#tUL8*{K;+qfp{yPdNT(gGBPa;l-@p%!y5A56%EFhhQ%v z2?M)!(df4WQm%x(I~*d8X9H8mx9m^!jBT31m)R>MleP({7pATsCzUH&0Jzp(AwC4-8s9W1$^#lxxK#-x%d?=qvJ~}mv;7%pd+J+P2cbZ8U;JA zE_m_k-G?nARdt`TuJr@{mvLDwpN$;cVO)IrPqmj+J2S!R19>a2^ivAOoNe@o+^JL7 zNMgsRaeS4ZY~IaFEYblW-_$v&?{JH&!XPV`^*K~Gr>JR`P`svR>KH-$Y1FO=aPxeV zJ$8smO=FT$KRdrk%`KtjFJxd^+P{zg>UCrl4d7GIGBGP@?+0bv+AY|p?*~7PECuFh zTMj!V)Ly{(PXP{x-w69Hw3@2+$<}pSa1X^^#unDJ&5%XJm9_Lu?cf4qx3toh8B`%- zhy0e#!C%`bWZX}*^g3qYQ8Ek=gN1d)&|?~@vZ5#KleM`sKGBzDLhTWp#1I2`%@6+e-xSS#}X`r;Kerav< z{11VM^snVZF=G^b1H0Xm>$z1@`iQYxa!r7^hWfZ^+3xJu0yV~oKM05&nEbi+kwZ## zBXkxm=l65)s#tD(;NtUc9(gZ=XvZz0Md>*@mbAv;?m4!exlhapxH9*iW|xprH#GKq zonJY(K_(ip!YpoF{tc0s*~TTe3UrJdz$0N=JqyYHaI5Hb7?MS?Xgj(awh4C}5w&;$ECtaD3S_?Lah5L5KM1;eeU z=o~qmmQj@1`0m36?W%CL#q&W-a!D>JML&%F-he`wj%!j0tFoT8n`i!qsws9wZS%O? z;aMU^)+Q1j18d;>I=thKl*1<|G`*~9bOL1O|Dd0_LbN%dO`QAIKDP}pX@cg%dnA*V zDMoahd}G#ks2_;*Eo?fcOdlhkVZ{poe8QCU{Tmz_MtyUaFgQG5n%%m4cKHX6nk&AM zRX|DwM3#P?Ts$UsdYPC~-8nq|8;e_5LI0_9%G>U_BmSXPejuUN*s^&_NF(;F9*?Bh zdJLH*aBVLUkH{}D1SadUfe1*a1^U=)`wmd>%C9~|<6-9Gc(rxzvq-pR6v1ny^o@gQ zIC6ePw}|;G7E||kfzmf2G#nsG1Qh#Xq=NDJE zbZuRWCvIY^35-7U0w(W3ZspKTi~QT4Fmpc~-O!vaDJHS1fYSBihW;< zJpY@7&%`1kGk+I@(mH!`m0et3UC-Lq^A$*`?OWI|2r6tAunBG$n>>O?WR_GkwFt|u z{Yv_-q`VHaE2A%~WR=$Un}?xL18!j%d1L!%p_jSkM-PoG-P5L-*tmF&a~gjmGO(J4 zd?lIZ)So!yw<8ubcGY#emTL)~R?@jQTtcuFjMEGTQV6$% z0@8Xyo(a)Mj~<)cla`PvmgrvQX=!O~9SsHK(Sz!ZLvq-7s4Jv@@s&`2Nr5m*2(SDX zV40Gdo}K|kZf;xKe`1w^Fob)mG7yIMA9BFnz9ERIyoW0Hp>;Wc0zxX0?vb&h<1WaL z7kF>SgTc`5smgoP;rRtbMP5P7(ajA!B^AU~($Yduz@14hOnf+Ol2+%rk4u?{{dA(Smo2Frsj6u|Dr1Ify$5&s5p5jd1&Zg zdEj3$Zgk2$QF$MbGq$!4>Y^T6HCO|*&e_~Z@AoY8k16Oa z)6nBr*S%2$5i*M8LPC*T82tSF0<>Ef7Z;aSe(zshBSPiP?~9xNhd&l7asJnIa_`I~ zB&WJJ$KHEmA%7+e2B85km}nq`TXw-v?**Fo0^LW@Ym$L5072Z3A&OL5T1HMm#mp8m zX+q$o<6pJQUppwWo4a>FOhSek3@-C+*I$Vy(!E17``sc82FnSA!E&JmL`bEX_a53( z$fpUJbMGnc!os2todbh|!^4on1v1D&3^Qa1B^!evw{hrR)8x;&x!v=tzbNxP$$WDQ zL7hl{@1{T$CUgtw0VNUyzCq+0gd!p#{jK5-xgpsglPu?bSTVuz@`g}j3JQvdX&FIr z4=_V(V+ySe%;UB}2dPK4At+*Ng zqLe#3JFSFzgyBAY?t+CpGJ}Kln89FQphqwC=!5uM2-SwR3Nq6Se;d2kE*EIPjtZV2 zp4@|&t8l`Y5S~p!c0YfhpoOSHI=UW-g2!fXND!RNz`zLg!qf#LW4@1jNiGX3D;paJ z2R9ENKQx;l|7OUO0yUUkh%Bn%Q{RBVdjz=)_Al#ZAPg3EPrimjFGMWDV6ezs80-yX zmWA-_`$I=`4CKR2tqF00tFJGx4l#l?_vpe}t<+K5y1M%o?&)JIEi3I1UAXn%fzU0v zE*KItPoSA%21EK6q1gpPhNjHn**O8UyEH>2Q7Iu2E&vZ09ega z?4Hj=fV8;E5Z8s!*ui9}Xa(dKJ#Pz}(6N&es>BDE+L|Q6Rn)($*IghG<*q zgy?p`!AhZi%DP~%a_DD8APo7hG`gxf5N6$i+R)I{^sxovAPa(fe6VKkmC^I_(8yn2 zMMhbLd)i|HL$|WX{R?fvu7uZLD25&mv=?7vch88?Zk3_=JQFSU+51qE$4s zdy=8g^ItC9v_KdPg_apk`viTiH`m=5$Q!RhL5z<$E?7ooXn0ByiMLH!q@ej2&~Zyp?M zl~a&j5QggRfc2 zJc8(+n~i!syO*ut;{~F~mGlwqa_RWV%8s!V()5hy!_VqG(eIsDyHsaXK`An#fCPoZ zpK`w-o>uJodu714*|%+XIRVkeS{kip2}i58+Pij|!*#Rz<>UEB(ab@8IZGVPbn zhkr1(KP&==EZgf|x666+Jvr9Ej~;C`V#tLhfkxD7vD^Jf>)qqvT~jJ!ZCcewiXV^+Vk~=N8TI)+SckO51(~dVt^KRJ9OM7nR-mmrz7t}86)Q-wC^Sj z8UtT%Mzl%Ql6V3kn%VN-#@2!-W@u`bR+^j|qq!OO$1M6d# zQWNu3-q5DkR)1mW}K5ge>+%7GE3Im2I3v(4P6 zzjtqL#~(FcV;a7k2mq!$J53lWKZ;P6*d&@yitNSlHkDcJ5?`j_iwQR1vM2%02BI(h zT5lTcCJs>PTl-x*k*fM~eBu4-SN5uOh}?UDyW~+W+(Vv55&u(0t>%||cUF#)IO^Fu zMg%_vd*+l|AVl^7%kB?X6EG`HUMQ02ze(`@P2SVq{y)xHpB1lUBftwKHDzQo6KR%f zm*TA6F}oAe*+fj$H(T6uAut`xC}M!i1S7T*Qi*ST?QoM~ko?p1tECKM zVLKSKX^zsH(U=DXs|W?-fAB3wKia&~kI+1Up;k@WVOyaAO&5~2LE`C7`mm2tc5{Tx zM&Jj|NYDrNbvg5&yqoc(;E|fb6ThTX)lJn&pGKaV$?_o5?v5lPtU+Ai8M{YbO#qL; zvr-p6cjC9#_13Y}ziA8uOm~bE$=V7O;VxzE?50Zc`@d(dz7{jRO zTbWW6Pq@n6FdcNDWL$m9u(EB?q^lEha~M6%K3kYe;dm2&O`st1iI`ClI2($4ErS}T zD{D;8Z!}9mmo+UDH+Vatf)DcFXyqOV0hNYybu9+O?+yH8>emIQ>1JPY22I@_aQxse zMyyO6QwJg3f|M1qsJP2oR0@CO?26Gk5;@7~+)CHeNTc4-qcVdqc*BsUMaALJ)W`m} zyeYW|bCrlv1hkNhZD5ci2y?D%6&N7252iDy3M`5$dPCW$;65tn?SKWK@R}ZVL!TVJe2@Hn^Ag@; zzc`HV)Raqobgo|~s&6Jn`fM{ez86y$$9?GyXl|>geI89AYxI5aBs0V^g&1xufVd78 z*e>wZdOVQv4KUd3$pT`0i^%LWhVvS#GFDlrsSh^F+NL&5T7)hl8GvSn25!%AP&+>p z7K*h>@H%67NfoA-pfY9{6K}6nc^*)Ur%U)cao0gV^1RgK{fs*KDwm&-CJByS?B-Qr zS@;S-yE?fwz0z59h6;&n;HS;|D9sHWRXt=CAM)gfXT}-^lnOfp1vp#&e5$>eAa< z8Ly+AQ^)ZV<1MN^q*U2!KtiszIL-niBI=ixkZE5A=VZ4%B~i&H7$&h52N8lz10Z5^ znZgJs^R`TW8=FN>sJa_D_Kh5iTg8BdR^3rlk_4PElz;t##ABi0O?tJ~CF+^=(Wo*{ zGPC@#Raf-ykD55ZO;GC4O-m|E?zYukVoMh1Yx8zv?*@X7zbS?q^`D+)@YB}D?>%o7 z+`xvy;?I(=r049Wcu-bFsB9INRCmz#HmYxxPdlu_mLUe0vhUp3=f zv%Y;+ahlJNRwu$K@?FKNNW%ORK)0!lu`Mc;eul#=swN)eZbGU3*ebeBbQM(J)@FCR zf~md{%y(47-|dT^qn?U<<0!@`*r;(S?+C(J{e&ht6AR2V72LH?O0yqwZmq(vj~wW0 zYqFujW}<6S2!qI&-YJ7LA8K7xyc6$-x)GAQV-$&XX~`9hAwDywKJ<4>n$j^KJoCX7 zJlcUF30QKsd9c-jPa1}#G%cJ=+3^X)KCJtW^U@aD&Nk% zm5C+%5<3}ePmsEv1YlVdSkq;CH5Ltn_ZDqC=Gvt7y##z3He!{cbwiv&wE<58qC{Li z9Q8(bgB7gHrufOFjkpje*+>)t0hV(+)^tCkL4`NOE&K*fVSqXrIjLncU2HMxV84hq zM*JOhUe0{3aoK^lfzMCsQ7J$oobjiGBwkAJg@I)Q1M^5(Lnn5pmP77-piN1~sK)~k zS$2cJ5#57dG3-6)Tjn(HSwWrw*Iy+Aiy>M`^j-b{`XwvXr71tD{2^9hXB*E_q&-yE z&0+L?zPhZC(%2>!4X%wJq5%v%}{RuE;}8UVg*D3$#6VWWqRpbGdx~6VmpBBD~qBsoL@AvxlTajtMi| znIv#lI6a8yor%N!`EG7DP?%#w05M_zG%j}~^c+|o+5hh3!Z~wh}>Y1ILi^K786Yi-} zc&;4^Dmai!jQ}3>I_V*!EKFWR-SEm|cTtySD``|tx^;QGEzLDbd>B~(jBPG%-$Gg& z2XL}4I5AC#eOVdK`=29hQN1MTan>AT02`HW9WGkJyQ};&ALa3qde0d3xSVUsmRfS$ z(V<(oNB~q4QqA-wWIumquJ$~gQMmdaH$IdCAJ9XIUEa+O+{&V(Mt*pTGsCLtJo!Hp zu>aNC`VUh24^ay4E3SO{e4o(U*Z&Vv`VUbGpqf96P+I8f+B$T3=HEzL!OiU*bUp-~ z4I!gIr~3b=v=vZ6B}{1P9?&x|LOIU=M%oI*p$xtI%xqaXsJzL)k=Fuas5lc;Uj|Ct zzCZK(KjpRHzuIg6L2LgZYyV+AAp&-5(EtY9hKSaMJBGT&eK@Et1w^;*-8cL9&B1+h zc;6h|H^=wQ3Dhjyu~{q%K&L?e^!E3n$N$vy!~V-}{~sf;`2T^xUfmtIMuKzRsTJx-$Ow^@$lgZ=>{at0B8+Y)YD#4OvS+a5^6AW}tav zi6Hq&Z-EpkqYq8)(vLdE=7+xZI`dN}Tc8#ou;uc28U>3E9aT9Pe!K7Ow&~&2A-0sN zRap&u+C#93$gMv&fsx0qLX{B7ix|dYs(zI9rZ&2D9IZ4$SmXt`K#r389rMX?X(qcg zJJD2bLixqmdZCMNn?hS~l}3jsc!gZL@oeCYhVdqU^A%@sD#vL^s!{}@Rh?u*7e8S^ zHy9@kiDA*^@veO=W+r!aq2<_#8E)=Ca%63)EZ9|r`wsL*U1zkD!l-_TtyMipCWU8s z+i!-v224{40;ZRxjCtfunv94WqZi7=s~pa1FV zmg8B4Ws>-56;ONB9Aic+1qqnv$G7FOS25+|DirhPorFe|xt$QgTYw)C8I|G46Heq- z`6kJ#mA=lxuNmDqeq1A*JtU$-Q^W^pjcg{H(?i|7n~_%DEG&o(*))nQg04~z-)_7R z^l<|qcBF4a<2;4+Ec0oRg`d((MwPv|3u7DG{^VNRuQkev!1gPV*P;p9K!6O^V!UH) zzsOIzJR>hTOy!r|Xg}PG=Alc=k2x8ZJ#DER<-a+gQL&v*tKLvM`1wZj)Mwg<`AP#i z=0{8i%{1GxdS%A3)CLG&yC2vTF@J`)M9cUB!~X*1I<8)2d()8apu4L|4h!r$yor1L zgOprsMa1$~qL#=KGgvaH8pY{7epin#H!8K$8s!qPSQ*B4^sF)oq1M#V!%eTjWepo+&I@Hk+^!Vf^c@#6}@h-xeB5(}*rpR;Rf2hM0 zp-b`lNm5&4<3Vru*eBwv;}`A?>uSJMLHt}QqDGx2$i(T2ri5VXCVA-cv^@@?zr(ps zYSkFf{o(eU5k6pRCg9^f-8b7Faeo@Q8k8IppM1Na5l~bKt_29Athq>p5X~G4;Ihaf z^=8LuwtGJMv;KbX+$gO560_4%*aZYf#O(WOwAgkmuzelu9@!H;Vk$XT(GgA7QEJ-h4YF`w807ikFJN021E?e_2 z^uckRXSDg?8CJ=1^)_dm!sJM3L4MK;ELf+yqB#l%bz0VAlf)-8Ak&p;wNNyAELeQR zK=&r0pUQ=G7_|ha82J;Kk(QG*EkKLM(l^+$FnsG=4CjY)W3g~}l10ybzJODovlA9^ z1OrbGE_;=#NQo}f33y9B&NG}~FUk_<$l!FZqm7s1Rux0V0gklT+m%IPNVJ7KWwP4d zG$l6kaUbq!Dep3nls%aTn!l8O3DEPW6AH)~G!P#b-5m@MkhnryH=k*wz7sKaY z(7_RSY1k-WuxyD(`ZZI+jS)nN>rPHDPFUa!ZX-QY%U`2PUZQr4NG=&t48bTE9+?7@ zX&R*{iqt1w&**yJVjmGRx3Q={yC5Yq8$fZ53!3Z)2zEB?o(Z_cf;el23-V~2=R94#K_hMeUlJ?d$0q|H|m<+f1 zXRjMk+jaS8>1Olw`H#L;!+lpp)TvHJsRzx0C4SR2;=lM)kT+OY5j2l@vm$1nO~og! zy9H6F*v^6N_d9-~iagRdOL+d(n3dAP&Z?II*soZQiAS-lb{BboUwF9-26oPy{HX^= z-n_c5TfcSB6+W$>X7VDwmi!!F47wdwQTePh=9@op$|HYk2K@&ns3N>43^3(t4-y)g z4loi2o$Hj8`08k&;Mv^exJFk6Dp6v85!daZdd=auW&x4~(%<}OWJfqk#3ZYVVSaff zqo?8UB*nYZy68#AQPw%gt~HKr@u@FwEZ6)VeO5y`Nm8@%kgQc87;Z%8i9HSn=+7_~ z9Puhqd10l=*F^F5RG2#Yg)5mNeM{U1p550F93YSLGK_99Zk0|gCD(tgI9~WLbVz?j3I7`+^ea4w8QPJl!>{ zvL(MQM&pI>mW=97wz+6EtwsjH*QQAs*zgm()&EIe9VuqVrelnexmNX_jx=L04QSQk zUKmrX0z;hSd#GNw6#zMvCgdv1x2KZoseG*aGp)SN5Fr>p?)K%M5!x771{*r9aNE z_8hy)AwheEJsEwb8Wxy3(SA~uZ1N&AbaEd&f#XS!J`AHqk{3HOYbc^-RF*SZ+6^CW zr+8#Ogz62|r$pc3WUE&qm|>`C%Da46CHP?Z6FVJm!%lkxN@`Y0CO}Y;MIiJ|W{Ub6 zvB~Lli>b%lESS4Fb_0{RhEeoT<^rOIfnvDwq7IWUl4=>!(ew-!o~^9~7qwehh%BMq zQq~|OXfN6)*|k-?#-#%*x7?$m)_&F2&;8TT<$-Ef{u~YHC+v0zxc;yu7vXiex{_ke zWafh1;ln;)K+%aWFY+)J9H0d0io9|o(#%)pXL$TLzFT!ULB3~d9Zmg_ro>l71#pxg zFcaz`=aE8#5g2A1%F?m6OTxg+BWUj`q8AtfrvS{8S1g^`b>JVoWJN?&J4C^yio)?hR^eB&s03? zdnX@^s|Xn>CDo3;u9`bKzebjF{k7u<3eGK`CnNC3a+}ghuPip~+Z;JQ_i6m9Zj;wD zJg?-H2Fl0R;8E$BRs8Cfpn7SK6+?60w~sHba>dgML*5qG53F(u z>bZE|D!y+$1We+NAZXzhzNnW?Ij_sqYS8-IzEfE07K0tDLc=pd7uM~!=ihZydyG>h$w{OG;e(*#i~CQp%9bPezN{;-w6{0JqsI`s>!YX56w2( zck;)ABkOh)Tz$t^nY^05QPFeo07J)lMWbiIZ{A@MvnV{ZaR|t5zN8Z~G_vpfbcu%f z7}6YigE(bt#!=GDJW!e;tr?foBSQaT zFJTLV?o3aglkhq8?_=Xb)!?F%(g$W2>CE4M85kK~LdK(&*YJ875R#NJjzA=!0{r7k zR(8$5PcSf<+e9Ta9N}|mzpEJdh6U)H{T4Y&mcThZpT1$hhel4jG8`P{w|NQ4IzIP3ct0KB`iJ?6sqdLj?a!Tqu$(f`@EP%;vQJ1> zZs+_yHZ`BHN>x2FJ|mxqq~n|Frq0oyV0LZabpZQOeBsg#4wb33V{t8;gqm|uQi`St zUS3uGCO~JGMUCCZcwaO!>^Vei^WD%r-8H#*8$~f>@)uVJk2`2&V$|-WCN<3-LMJcU zx?)xtCZPIoLr7t4ZsX(?Rx%ELV-V4^a?7geK`e6O*ea4x=$p>|?V`19OINS3x^7nR zN7y>BnQHA*{5Qw=T8tQC#-=?)6g*Ty=!W9nF?VPbm}Hb(MMQZdmA+(1BcPw5_HuA_ z4>D*9Dru#a?a;Gxg5HyBWK2TtCq`Crr`O25&MBF?EOr?#&0rQ=fR}XYdVt%b5!#>LQ0@MijqvA)SfztAmnl6kyOq0D7m!#2nmCd zMO041>RlaJ#pi$SpPExRjT4;PJiWGgO+vw-Y2uwzOT!{(Q`$WW0^5G!TYNrM@Goza z^7pO^C4NPo4qaK@kR)XgKjvAm0$zf}6DxK-+Kn^c_fFWY!at)#;81ai8HM}_L`dGL)29`0!g5xt5zxayOB*hA3HBM1;W%0ZQ1Hb02 z&IFw@KDK=t82doXYa+373`ezZ*rNfiJ?q%P|EdmO! zL2$&|PlqsNE0@N;p;c^4$B>MiyqeuxfF&Yh_pG38Z3_#RLL=t=$GN4`D>Qs6K2yKP zQT_Y)EJOL4H+yH^Leq-zJYg%D#qsXec6AgQ>x*;wp)0Z(I zJ%7gX#oLCDgQ$%4b7;oTDouOR|kf3wx3>aS?NQDbvrX|)xM46s+<#+K16KX`i_v34vr($->srW&p2`w1?3c>xV!6dNHN-^Gb%t_xLQ{ zH}y@f@an(FZEOcWj+C6cW)La3^g?QTcJ?u4o@fMxR5oA}NZBN1z~Nbd=Ruz@;D~AT zU5bn%lg18%MiejX><51Q9@savBy1-*N>TrPp3>0~rj?dLM8_9MvtL2cy-(Q-^- z>o=@w{a}{HEj%i|`p(HOdhq+)>d`4N_^@_%iO=*rb6|1x8Wm8o$!QpT8v7}j^r3g} zoJ`*N^%8zd|I!W~wUJ5F_jMCsIcuS0@v5qcpyk`r%^((IncFCxr?=~nT*x5Z0!LOU z*uQz~GBEXPZfSK3N87;6Dd2TNZF4UTC*P~=g61DHYv7dq+2Ac276Y4(TVYl6C>*JI z<2MQ#c1`$wLds5&QlYfOr&*Ij$ji*q~S~a?S)nSO5zjQ$TD!F6ogM<_KO(&?} zk0ztnd!SVM`w_3mBSOhkdBW(blsMkFWZ5_Q2`I%i=>s=Qp5n3BPsq*@-W{ zCSm%{ij1XY_Eg9ii?o_+5P`-zac~i+=O{g=1VT^KE=8Y8B2?V?Qb_f}02`;8tyg|m zKlF`r%wy{A{c!`2kzGOEwy?8%>JSmoMOTddA(mD$a7iQqOqMSgxeDr+16DS+*euFp z%b6b{A7J4p;?YO6UZMKF29M=p<1f^Tl+MXT!+%GgDn}BR60$$!3rXYP6xCTm6a_}6 z9$q0|k+G;1pIAFbWH#?3;FGe5scPD~6!xv_yxs@&B9G-$GCKMf?W_J5X>T1>Mb|cP z58d4o8&tYGq*Xux1w>N%kkTcov>>3glz@QJ-3S5-0#YK~sI(v=pp@|K!~MSNe%ALr z>-*=u)|xqo1GDGsGjq*hW`6s3ozY6%8K%0WA37*EhQlqsTdMyKlmJc|pH#eHUU5|q z%fPC490K=W$!9 zYTgs^fx?pZf#KgL#Liv;T&S?&Jv!->@=tE0)L z_a1Cf=a9(WUzBeh-lt=>blwjXRK82fXz6S;lkhz`ny>=roWeY3FdJ z&CTtfzpx>BNkfD7S)zrOc#zIQ2SX05JO?L6I5C+c2y+lZ3i-=(u_xO_c6Ml!HAk4y z*d^pH(PB%q*Yg(I>8X2H-^IfVqL&ZgVm@&4ZHM3`go|*X(em7Bi3H{#xc`G*LUb}X z7^0_-BXbK1Aa;r7E=$pdz<*?(kUOm<8yOuRpO{3)6gsAJAc5JAV9&ysL;Eh~;Yt?F z;WcnHgbDljkI?hm>MAZFA;d2sfJsaOgA^(Rq0y6)3Wu6e4AN(CsVlH4*&yh;gv=e< zB`B`|Ur@|JFd=#g-x;JY(XgrNjT;)b(fp+&Iv}Fx?1BzgH##?Tkv%{EN2zHUFf!3` zj$pzjv(3>2CcK~U5;mC&+dl^|UzsBS&6*a$?xAbwp#jW)5KPEmq7h68V3I=5;LPs+ zpTlD`ehK+Y1VPSnkTa|^y5|T+JA#F#FJYtDK?s5ayNwG2F9;!n#3>0W7xc*r3ZX+7 zuIk(-dyY7ZqXW&J$|(P@Pu9%L4Cf!xc@aSnOW2y#A})e?4rC%W@JCztS=zxG?l2r+ zML`!g?+8dh$3d74@{9@pK+nwQARDBfGhWm}r78@xNVWrwJj3Wje*j%*67WM1g4GR; zy5(Y!O-ql-q_Fc{A%XLD%F%s~)#_{gycv1sVh zg*II@q!|Q-y0DLEunm%JX#Nxy3q)5%yn9zq52`@V<*|lFBxsiHo@L-If4&6x%=mgiiRf43X`7U0!kaDhOj^g7T!0zsCX4k zGDAabz8Z3~9hx=aThf5bX__M#w{9;Y2+98<-MYHyVvZ=SPL(j({3|%2>2NnHgox-r zyfR$01TI<%mq5zk59R1SR=`FoD^Ygl7_jtvbxjb0TU+1Q)HXhea>kgM34>c2V_{(l zQSa>09H>))y*M}Fq7hE`OKSKt&4mjrXoT|$ z>OKujoO2B)^iHEQ=DO-RtQ@9^i%u7qFz^kzz-C+*;U0@7INjXMA;F1;GCkqva87EU z7pe>l%0xX_!t_91qU=%TBPhYJWNc_%`HIvGJ;@0m=k4;;GlHz@$gCDKmdp+hiRhJ{}}|(jv%6N zIk6xFAEpO-1b~EO5JHURv(a6Xm6KC6hYDJwu)Ems!?LjnyGCM%&Iok(oLt?apP}T; zG0@&vBrykBLT(|+D4ie-hB+3 z+S%DT=lWF`9_Bk^934X<^90_7#lD0Nlq14{G9`m_GB2M1 zn)1Jc4qdp!UGxUmgEQ=Nb@C$Yx}hP;4a3L?<%I_m;2gy^M_yH6TZD#3MC5y8L_R^8 zqb$f^El_kZP_!sIxvILh4kEj7uQ!KeKf1*x^hRoi?Z3%EkQVsZYL1ZqBgAck>E77| zLDhvtR2C`$6Z&Ms9wI-M5I@}gKZNirg>DVwvpGiOc;P4(^dd9bO<*uFtzk^U!YeQ! zg^eD`z|O_Pb4>*vVNubM(~+}yTH1H-x_du(&@*J$heq{#dN2b50t!FET^Nn(w<8z+ z8L)6Jfrcp^85vUmk$w2RQCeCW7JyMv0bRaC=)Jw6Wnd8Q3e#b>oen*p?LK16FSJ7# zcMucq?2&`SXyY?_Y{L4+CIQhJ@(CM$H;_}HtO=>9X=oW3Md6NpC9)rpT_f&C6yOu3 zAS80Aa1ayj-9ZS+`Pc)sbBX$GJBPyQuuzEQuu@r({Z z2p5l_)qL?x2tkM!&#;i9XN&t72PBTPh$^L1=+F*5*Hp|GSd-X@sjHg4HC4Ps}bl_rI zF|DmvTRO6$A1vp8EFW_)I?QinWg7f9m>9`Ws{$1t91Hc?;)10IEF7|OQX)Um-WE4} zXpv2`{Csv#B{2gi3342ggm!X$QZaBG#l7&0WPz9W)lMIu_G!?T_@A>1K*FyX8+v(5 zU97jUGQ~!dJJXm$?*i>%=s?o^j%sR&B~WqvVQDaa}c{>lL57rUNST*9)O6 zyqS7Dh6g}D%`iMJLA0Of?tda^!h^U50liu-`%v&01^`4&mp#mWo?q zFcqg%r6;^fvNr?Pao)dplO!BzOOX~wU@R<_u=+VV?K(|uwvwpLAQQ73=;1vexLxm` z1tv7{-@k^{-*iQg#K1wed>|WqhlYOv#CS$4*UKSz&vT-U_K(e}I#sZb94<2Gk^(VK z%$adTuuc;F)zf#svc=^S};6QT7~!<$3%KVP8eSN~ia2r=YZ3l4jKWw5|qhDtpg z5Z%T|5!)E$nHSVubF3QV53;$st-q$_-FGi>`2m zX_?pNtbn|kIWX?zPCd}ZZf50?lou>jle<$>^-)dSGGzD{Za`OR?4B@R&9xA4^24(z z!8JXZV&y8PWo&Jyye46aHmYOd9qF1`oZvSTAR$`?9^%lkFSn2Q_~_KXpbAPCJ3YhM z5^rF(T~2zE1s3aSiC3-2=j$*1=>EOI&S>*qLidx|Bl%S838NcAMXex(AtloHM1eO) z7fU-(mip$9(sv^Z5%0!7B}+GYyiXE<%*B1aZjZLa+b=7wdUXg}<(emBiuEdwP%w~) zgmmu+g7&Zz#$K7SwnY!SM{3tZ1svu&XV2DaRO1UZ@sg?ClmX{lx1I+Nii5=UG4lM; z_LzMftHtVe>xVp?Z5>`inLTi@`nYF@o%yneSL^=6uCPmQ@bpczkE-95=vS-dFX1l( zzE6J=LG6^^-WZS6&yB46+E05Tp48uN5QxUQPVlX+9K2Q{kyCppa>1p^csf#B--xs0 z!jKL&UapejNAjC8NwV)X$~x$6+> z+%M@Q*joCn%{_YTw}tA%x@+_kXUV!Uw}v}^fql-LseLTmPqy~IJ-7v$jtc2=Ct`-B zjiiosxe6}}&;glm%Un_0afViV4#B%Wuj{yN(G=a(%pN;6v_5w1Cj1Uo7@E?*9dR#w z`7k^iEU`(`5KVroBXBD6<(%R0PFv9$cuab_sD41M!y!_t-yGp{;L}XM-@JO5b|OnS zdQ$e?7vPSw_|+6qwN{Hi_}Ehr`GL0lD(|&K=hY`ILX|WDlMg|=0{sue7CTu_$|wzT z`!=ugTukc7OiVIK-1!##M2=*j>i)zXYfrmFjyZ_`jt@PsdlFn-1Q_fYXzKh77N&Z*YF&R>DRx9FQskgsoQ-$f9X*Z)4M z=5GJsdyAdJ)apVV?O^pL;4^x`;?f>GfNZjkX!HLOV#XPIH>sTG+A_06sk)Sz0f>F) z?m8CmK9i6BW|teJe#uJdb1?J5#13xWJ>QW#Wkz86+v(%|H3#y5z>H4>C|L?J5w(8p z(yue@X(T_B?P@}SBDr}8Kf)wcySJS(uAM2g=ADI8A8U>$l#%OZnr)>J0L}_KcwS_lQeYvt|Do6_RVxp?gTa0O_yc4 zanIHT{WK8R%$^BOwxnE6YJMfvmo1sT`An^w?BJTN;VKs>=*&##BTgBKUPA;FbowHq^GHAa6_M{Q z3k?16s&Xv(ZX{ztsoTjbJHPohpgVN_rB2tDnXpK7r#wgsP64_)clA9=^&_cY`a@&v0J1h| zNZqI^jnPSa)&dmT+2cQUKC>Iw;92DO?_|KMWDf8`b|8zd{X{K`X>X8 zGx{%cU|T&Ny?1+4<5$>(KME+@=Qi)A<%SqPtaP4O zeK*T#1L!>VxQ1ty$@Bd1rj zx-IM|5?<-YcpGcR&Bfdw%B}|$gE`cWle~rWUUg@l0`BBDq6EF)^i}u1r;F#cGVm`1 z(`C2Bl|~~0bMw26n|UE>Nzc-I6Es3Kx-IfmnZv`nfj1u0kp7R1eAkv+N%J93V=@Gu z8|;PG+dks1-VpjWwgjZ;4a&rCFkV%7xLLe&lHAzEOa4ofPAEjp*RAN4r7HyxK!wPt zNg0>PSFil&Hi$8AT^TO=ibEJakRgH)!{eSqismdNBJC()aMT-bo~68&h%OWQHS!U3;7E|ur&I@jVqTsd4>t| z#;T8P#Unh*=3b^h#n;~1;wdGq<4k`AdOqN#(`zw@0cmM(r+wUEzAWP7N{z*rc)gYM zIaT`Ogq$0Z(vCUF0S`w0|3TRQPL}=)mV*CImi`Ntg2%9)Ml?JXf@eYr|B|Ku0=592 zWx#U`Smmg)3Vme&eO&++2>BPV1^=C}{THwW|DCY?7qA8Yov{5Eum%5}u>BXX{r8Lk zg0TCG73ew?XtMQk6dHs*K%=gQ=-Cl^c8s2#pl5&K>*=2`rKft)R_qAxXx68_uR ze?YGP{b?)4f4u&G;b2?Oz)vFNKLQhjg8nBwjYm(HdEtcz_&Z|w_yjsCHOt{(V`&j)o2KW@SF-;_f>?BHIPX<1DxLaa|nU^yXrP?A6cuv*B9E(%LwWy8G z->$cQ97Du%@X(h(k5W-|K)Zfg;p*F9f7}6W??bbDtppo})bbIlu4;Vu*cePorBSXV@>9LW^IWRv_Q7XZu3`_EX|ojwodD==1bF0I!Zwg+;>3OQ%S zT(|6`H%tNF#p85c^&0N+u-vb@|2YF&X+O$HTA@geYrje^@DA@HVBu^$5X?N?rVefp z{bg0p*Tet0(f9td`g_-uE?v1$eG1}szExEDVkh!$eo(&V zNSOv+e4GoS{PuD|z)W7Sg4GF;uDJA-Nrf-GZ}LVB8(ysId&0eIGf4@-a{YXj7d*aH5AIPKbf0QNf^JAAK451?=dfSF6`npo@4d&*N>F`&) zSS^6}?Wbn&4^5WdP8nL6P6 za>H`S?%g*%wr^ps;5IDQTv$x%aCyIghcSbcx^Gz1ekpD!ykx>cZ7HdMsa_JCgz$lb4z4@}@8;yj|x8v^F=z3uM_X5F1rxW**(c207!*mz}D_kfp zNo5s#laY}4P2vp@<6*v%#a?Ggsc`FZ#ghllSt2T4{nUfbWl#Ad#=RoPzg^9<3R-X$3^>w7`e78(wwV96w$krw0cLC#&H}AGh5rnw1v9 z?Fe@@!lMFdgHQI>hQ``S{?ZWg>C0Vg&2a9`e8*^nb0nhp^8H0|AW**hftKufjszFU z-($At4?hupp_p^#ZnmzAJM+%gJqFh)uzOY%2IpSZj9bWS*y;+{sEOUC`&g@Z$3I=A znD{<$2(T()@-fI-w*-!RPn57bm0X%CBqh84OdpGDqAdI1-iPa;LUH zuzfw&D|+E-v*dVbmCYtz0j*Bjk&r*)m~W0QaY%<}0`TxmcRBaU!|gj?e7xM>VMj|w zIC3dnjd$n^sA;-(qZKd=;8wRe&U?K%ocM$_f2|`xnYv5H|U63y_^uz zUn@HQ*?$%*SP>-Bc-pCBQOZ6441X9e;w$UHh)Ar$sk(#n%_yNr^_jyumnch3 zh+px#Cdkr#FL%=bVYJHGQOwM>{FO>XyoMbhcaxef0@co7SM=^5fOL(1y=hiaA<%eY$Kp$|4zO zV3#IWn6Sgp^{3cNI)@d`k%;cP8x=#x-jP4nr&!Gl1Hq(b8eQv!M1RpaO4dYYACGdr zwD7lSl22&FC@^g25zZ2iRdXghE8=|RTrY*)d9IoS6j^D? zi>9W=)7K5s@rc>$Y*i0BpGwFrp>!UP4`FiVf!6O*`w7?EB-AG=l}Im9_OAYQ^jPiK zYm?kmwVwPXs1LBL$p$lmwEdUv#HYB&M(Dv4qf| zh3YY#J>c=?3a<>GwfgnyD!r$S-Fn=wpZqa1AS7bgEBKtyk~I&4yZ08KqwXcX$x6>3 zdK=_v`Al7^Ax164rtn76mrFnRK_Je_+~{9+?a=Y9Pgj;(=?Kyi1744Zz`SNA?+^PZ z3@(?~GF$nXT+H6lp3Z0C2)CyXXaImsK!`J}CdjA8n}j?0{CT z^HX%mPBs0t;v+!56F|(eNWA@$e-L-_u~)B1ggWs4c|b`2{-gNozh_e*)Y8Umh3?SL zl$pn7GM>2E)4MuhaDGPHo~yo`z|r*wNVB%)pqpPi$q({;KeKL-5~9NO?TSaqM7sFZ zU#`JN3Lx67y|}6wj=jewC*;9b7V%khkACq)o#{zg@~Z#al{5f{#j^io5v*~=GW9i` zyH_or+#PCyVqlW>_NdL~JyTy_y1 zFw-gDF_~0W{C$DGuZZ-)(WJiN3{5ca{ZHFF65DRcd7vz2p=?1pb?I@B?2X6Cm$=#) z_*Og8k5;Q&)GLSuNnOE`Ui#cz&G(VO6>znZoq{YOc0TAHV5#qTIi%{HV95(&qiOCk zQn|DyHT;Yje5i8dPFJgV17EY1P#cTZId4S(^gOHvj!XwwF?d6mpM+a{$~yiyveSYgX-5ZB?URbn zD9*(V8M^{4tdHT>iofCzZ0HF-&E>fWcuy|>t|*Il_dYys_$acnL+C(^^`eJ&#FHV2 zYcnkK8j$NuyMmuJsOKo3t)z4;l7o4FhG)HYVd3zbVZP}z(PCg|HoZW!ep!Tb&3t%4 za8lHVF^rrka2S85vM$YJ^5_rnw@BBf`N@%ESG!qlR2$`7R+j3G={Je<_!yQ2mpfDd zmSIzA#IF=Bu=-*YjBnejumlzPN`;DsLNK)i(EI50{u- z!q6-*wDirur%ikozxQBxG=YLAB%{kFy?*<$p>4n`qd!JXO-HAkhj-VX@oY;%7<~%i zE8siaclh;CdOo&-MLR5GWA9Wy$Ic_TsSl|F9ivkiq#TlF(Z%0)u~lzsC*`dj(Mu{@ zIQuN_9~oQuf|S(l-?-euDyGd-_#PFFGZ^GDI!-s{^-69S5!(xAlmH2SYN_Co$;kLT zZ1H<17BOl29`W^WtYb$=3E;>G0k#O(R4OgrZA=C{<3(M( znNdzkZ)n$ifg^lsdvP;M%L)uPU6fT3QdJk`?~8X36FKJQGjL5Qo<62vjmarpT>S-@ z*(DTU@!;bAi{e+V>pD1RSM{uJVQ|?+H4gJjJgKS!>(nNZc#eJ%Nwpsac5ttGl-bwe znd3{3#muo+_&hz-1A9hk#qYkINyNAD>y?YD=S6%u6c{Su^{ifXs5Vwb<$|jEZ67Fl z+a%xhJ~YB^hbK*yn1$(8@G%tTvMB@ld}sy zUHe%>LH!)4ypH)uc}dR8f4ZupPbS)SmdZUSuDD}^ygn|?!s^vE@NRFIoA1gE4c+%_ z#%6W}4Fg9cs&^ecpJGzUq~#X&eF9&$FNzpCJ}M!+z{w+TX5;zb*%H?sL&wK)rEPfr zA?Xu9OyPsLX=F}=$j!o4y~?t}dHDvZf{NB<+S@O1pC$G)f>iCw-e?>#+1o}D@zR>F za48Fd6IKT=cR9VsWeOi(_zn)mdw=Q~2i8x{{yw48HH&!lW>7)%-m`>pa&{@tfQVR7 zH#+-e6Q77&;;P&D6kP>yaa~u>pd8RPs`2*2)YGPu$6^59Rb6p-QNAmgnOl7C$#VZC-!+rvLO zr+*w*)xg#-IHIh&e}q$54(J-2Sl)jW{WNp<>kkYrsjEI82%Py9orC(a4+ou@-Z=tE zb~@FDOY)Jcq6YcYBmZtzAk{^?>4r z-pLItQaaVzrj1K{PC3(p2`ST}dWM-`H^AV{dKUMdxtF0LuV;T<%U78jF5$1)#mZZ( z0;eCmhg`2w6C;N|qWLW&nfqaGIl`)DGOcxI_WK_t^T3jhesGLU#e4s8ZYfJxWW|tE zKw@@zWz)#Ts_&OXSO4M)X4HZ1-|VKv?p2j55wx5{Bie`NhIsOxjh{9uhEM22vkUJ4 z`=RftCuCi_<4#tAq|=yMrm4jPBy=}zoI_$?7uHPU0B);?X=N+81k9|rQBKcakJHJj zl3e%6Zydv7j$CBZO#&$;i<@lfrs0(}&C=#Bfi*pwSFYa(!6PBXUS2v?nG*wFNwcwt zLW*b!Rq-bkYP2kMomTKJ2}D&*PFa47IZmnso49;~n`~FFTXoNE5_0ovhQtn!p5pp8 zEi4}Zp{s_yU~nBFq!YBX4X%9GyFfzDWMv;&KRh%4=LjMDYnZ6byWW9@j4{ zD?Y#h46NLa{z36QgC|71o~hjfpC-3$+O~g_$r@SJO)nhc0uq+M)>$f^D@M^zmkutA zs5us_{Q8ZVULv;`Bq;i)M+1~puPfU;Sh>b%HN2tQ_HKkthe1-Ybl>aGm^Hrj)gCG! zUWljp^sQA`Hx;+CQPS5{5>;)Z93DZ5SJQ&pPH8pVK-thOC9R}!YD>Jn@WZS_+R)dK zHPX9Qb3~GgI$I0cQGovyt+bKp%UvxIHI!Z3@#x}c!leBDyn=V9r0GjLB-9}NRmpy|{^A#Fj{N3p<5Bkg#@GCQ%HkpFM$-hATHE+E9yPeCVUYT=YnYK$ zM%Tj4e_~}9p91g*-g`1g%q4Z(GW!#lUpo_%RYiHW46g4nD_r#tkI5_ka?Rvf-8h%P zwT2m7!2SS7d-E5&yj@JZc@`#L+eyNc@@lVi)}&WQ61QSHra@epQd;QIM z@xvZvctur*yj^fR_GM1dr#QmeIn8s&^bxcv&KkMLK;z-)>=K2RMBs1g2P&_t7i9aE zt<=;^wt(2n(pd^wOW+t2KY$SHg}%oY)vaz?$CXpcF07dN#;mMU{`L>J%nLLPj9nXt zM@|_oa!6Qp?ej<)B){!g*)em?>B9=l-jD>(Uw&EQPjXY~q~R?UN*BBnSK8^ATKuNl zG7Y0{(XRgm=^Oik{U+#F7W}TF#*L`Ur{8vs2EC<+V5j%^L)=L502daY>b1u(i2# zPKgac;4geW3-5^;Y{ihFzXTV6vSwj<6D_l#c*={sy2k!3a74|{ZDI2;B=*NHv9z{b zctPjb%;5<(Iq&1fPXr{i*MV1jZBsk-qkMv3P>? zO!2A5mwNGLlypBR8NZ&I6~3iq6kHE9Q;I6)f9`vL7cJjtuN%aq7QCIozQ}I+>;k?A-w>V)sI*V zucX~u%-=A*9Qln!)8P3QDOK@%5ee(~mLIyn|8YtGALeW3_k99`n)XPvY@_PsZkxEi znA;;$c$8DS2#o!U#yAxo46O@|2~hgzJEfLP(FC?qE@Rt-Hu6bOM1e9)6A3yjzO(y* z#5o1TGA^NSgQCar2$@-3(`%dGZ?Xe%UAx{-vW@{66)kPOzXcVCCvh*h#*C=l@uYP7Ai$RM@O8d|r!NDQ4EE9?{Nnu53bV=x`xo`VNkk5kD7#cT&0aXnUI%`J|EXZsk zXGFpXP7s3NLc|ac9ncMmwu2H9{sW|vQ8IEtBn={)!XnIQwIB>Aq(vKP&uKJ>Y)Z@A zF}i1FW)AT^3mDLw2^&Yj$r_?sHa5072x7O0AmE(SL{q9xE*@w=6B1o$rt2KgM2j@Z zpd}H_sAlIjHoa|!fF@k96HZ<25YI$oUft#h(qoQb^+H0k9RYnX`XP7+`PAW&u`x)h z{ljUZtD2)hO~wQ09Yn*LP)&=LgfK8)L?ddK(ZL=BaZT79Cw%0BZDXGsHQN!U|DiSc z1q6hIg>@mT2~kZwNUWh@O`{-$2u-S)z#BJap<&^0*@zrSYQlCR7ZHeR!Y5DAP+D{j z0{#(eqMf`j&|2R3_{8M2^!f&Lz%uBB=Th2cw2`(Ivda)zg9cGFp8Bp2+Grt-iY|nn z1MA)eL0t%JcoY2zYy}}0Kepkf`uP*x+kHwj5>3y*!0?ZRmI>|ML?h4upB2KJ5Zpvl z(8vuq-3&so)nRC~pR=3DE!YT}hW>}%MB6v-czXHx`TIkfEfCEz)ZDCPG-$2+sEHo0`4n3W4 z(a(zr6m>4*Ajl|u1wx+GXc;e5kV4G!oCu$uorSVtD{V}ebot>J*x0B*EHn{~@}PiO zMnuU8)9W7|T3A>Z<&y87?}Z^Io`Zz>VMt1uBY4v1q~{em3wg|YX6C413}~VWz=o`+ ztu4xm0L^?mJwsA$kq7Dm4whmJX+kASAq-CMzeupa9K3 zL+K8T4-k2VURsnT#64jyjf_sB(+FjTfySMY-|dk0G>5b&e13@D8b@%GW1$Po!_0>0 zclZ#_KkgmW6HF-bM1_-|%W2PXXSCE>SX-ylF$e<_rVn~)!*&Gw+})XjAopOiCh*ZT z2cd<>4tUr=p==&TL_|D}gmEr+4s#(zCk_O<6O+;^;J#Fka?W=`xgyOd4;+{_FgkrP zTU%Q@{YfF!4SNK;)C;p_W24h9%oukEvh*-J;EEXX4N>>7(Tpb(TqSc5g0R2|K&tj1 z%9D-lA_RsnU1H}_g{0>VlpCabEy!VVKy+2t748py=!(tisQ0IHU0E1s<#WP_`%syJ0f)_sT!I>GFDbgdNFOCxrVodXU2mJfQZxM=7rmA7%n<~?9ifD{Kit2Gh)5vyeqP8_QVJ!B2eD-t899Z!g*A4i zc7+($HaQ5EEsUOG#2zl_V2&|??I_fSeGNzB8eV-!F!Vk3|tXIR3c z&A~vp;mUa0k;vy^0vP-P?FioaSDdJnZe%Wofq~8IMGFjsYVk}G-qKw>V?Yp^#SH}7 zzt2RW{u`}?wQpUV#DD_uv&+c+uEjA7gmLkV5t8kTN*ModMXbS;;Ph9a84EU^?O&bx z%{`=pk;RVjSicDvy!V<#<9~sPyBFAb)u#~EKBGZ*(ws+@S69PQ*3?@G4;(;iorLsmptwR+{ge5 z;nVA>p|znWR%BLhmYnspK0Fq?@Z<)bd6S8JD)2dFb-9@?M*8Gbt=M7n3X8tv>$g+8 zsUdh}XEmLJdbQvrfv{Wk1*Z5DH=Jk3?b;7FFYMfn$zotsOpsgk`l$H~FzKcSs8T#= zxN#?H$>)+*f%EFyo z^dagqM~^`y+_1xy?r;|n5(b8CT-@Oc523CRSe)M z4w6*62CT5rihpSwR=y+8 z0Is8{4SrC8dcD<~VK2YZlz#TN>)X=$KFt06f_X`6JitaJ^X#-07i&Y67q$QV(}E5W zlrPdNZ0(QP%Ql%TADG6(IQ8hX71WijLQ-!)(d#lGPH4BTr>$o&jRiCJo zvd+ZJS87Z5Z-ZH;0@hohA1(<>ywDTb3BpvHFAw7>{@$anrz21Bg})Q<=3LsksPHok z+1+V8deMb5Nd1fjuSO{-c}!Kj9VPn|$k|a~;@fG{h?ukzHJ!cPkBI+hFkejc8qblR zbyHWJ9++JnOnBlS!f>Jb>78cs_t|;)>5p%jJRM( zA9ZJH`sGD~d}R+lU&-R=WZvbkAoKVrz~MA0Axf13&to%WqV+)ZjUJxG=0xmI_j#rY z8F2iX)96#J)0ESLP%6_}A1YVbC>*^@{Om!LWP`tzs2_sFT!B}4&F@EJr<^=x51L+# zQfwdkJUOC#=mVtVs{_^!V_4Wob%|#v+)=UyTX+WkX zs9-uf{v2`&P&P`5WP+x` z--m#>`<>-$LbUdEUumD^yJ=*KX&8>5xZx2;I!HHDQB8A#N5{gZ3YQbL^PI?*j>1b% zpRwO1`fnWg;?q>muKIUG8QZu7-kvZyxn5NEcQ_0w?fTq$yK{2St5;P`qN~dajP!fwVc_njv0|-o zbXwbcmb47qPE2&uGi53+Xa!YD;F0RI2b)9d3!avqzZo(Y)(an+%2pm(wKdrmK3Zjb z(*cCMN}{+&4<&929Xy%z{3=((|0VLb)Z{Ap%(U@u0{KkPF#VUdrFKhvEiX33>2ydw zmQ=Q=>~^K_jUJrOE+Q|Dfq{pJB3C`0;MDxEf3`*y4u#pEf2#eA2D!p~F>}~OL!c@t zE5Ud(MBbBbJtJPGGxu-mg{tPybfPDw%_QUo8Bt*4on>F4jx9fLG>1-m)<Bm5`{MIH?Vi~(xU$+rrxza^9L=G- z$7C|HoxY}6`Z3$C`>|)%c)LGsC-61ZEsMAP70~`ewlnfdxX@m7(NKzm>7;@z2L6H$ z1rwOrw=qkbwk<#N&CVIE_1q(P##Q=!c8sl8oYgJSsq+*Fle{R6E5v3`bfn6hTGyhn zdP>2b_DbgLU@kogPk%KYocM%g);#-)QeRe66*-J$49&o=K zxQxL*=|XEV#c^?lj{M{BC7G}b;x{ipvMUzIO5FlPdb@#3FB-0Wkxko4azT;iJ*;t+ zHw(r}@O)9Yp7lW-l=59u5xqtx1<5MIdW$c8S}i{;zDCr}xjwJU8RO04({H#@C{?UFHwPVcC&FYu0_6`bLvsvlofTL`noz#^w2b20$ z(^s*P9K=mG7jefQd2sxc%6)!4B@!&X`7JPG?U=&Seto!4Kp-OO><2w93#JiMZ%2^d z*@qQykQ#lxG{bEzULYaDN5taJ@^#zVc9Mm^W<&1lRVH@npc&C5d5(uF;!rDJA|UUp zSrKD#wjd{cd_tXFl1z=y0IuqpXE%5s5ohfSRnuR}!6ha&<+b}LB&NRgwdbCRtqJhD zfuTAbAN5o{ss;~BmTml^su&Go-dK%s{WmJ}1GK=Mb`p0_X}ZU2Q?AsKsyzMO!d$yW^Y3==)WLNlDP;_40``$l@UoB=ff!l2yN{=M`zsik3_B!J6CN}k*v$Las)^BDH~(q-w3!MiHQ zp8Cogl13)>%93CsOJytS$-ss7nctUMy|OBuCD{nHt~@JrIACPl{n$k=f97x1 z-usSM7~+lM>ctgrGGkjK?M-e155FEZV9Q%PHLK0U@8=d&Sgl>q>z!MFcG+lP{eYLN z4y-MEJgFHN!Mj6f!<-&B_=Z}b+PJM@JX}aW|0gvi*Z{AXEd8Q>O%js}6t&%c^k-%$ z@*4LThJMJ+QI)2|EFTBZT+upL?MvV&SJp5)bjHd?tovkioND3ruF6$4&JOb?Ac?6}NOOdLx?# zTpNPN9hRrf;Jw?0E`wEHx%3Y87duza0?p|#sm_wWJH$BeY~I+q)_2n#;8_+d`{Ux> z%MQZ2_-x98iQ(^<3%2C0?4yY!UyShGP#~4=BbMi>pQ)m46%IEkr^1#jl-c*O#nlm#Y3O7DBZva)W?nV)n66kGke{hUyK8CC*Ozu%xTC z6$_3yfit#hXF=qkxGY|#;_%MfzjU-3+g*iMXK>BB??z4vYtA_Y=wIMw1gN3e)t})k zR+y%Y8CKu@QZqjx{xt3+WjD`KzB?N4`~>Y2=9^vyss{z0sTS}&NHXv%%>~O+p5^`; zvaf`nY6ZbqW5LO-;_xq|*7iQ9cDq*6Z3amr3#}yeYxl#jxMK(b)stnc(JvU^lDXa- z{84C0$m`Sc=06!rwU8%KPTk|<1KVAJ5m_0N2?Zh?ZI6cw3bvYC#ayi1^rW?!wYq)9 zyNRoOJH4`}OuF$T5tG*K95MoNjrm_M>%{w59nzUPQih{0tvIgZO{=Ki)) zQd7MB{o0lCr)P4*f_`(!pl`TlZ7RTz`r^}!Vdmb$3NNA!7OKi|GA?@)h8F@sM_@A% zh80^+2d1u=b}%JIGjfIpLL@VWal zMMa$}BG+qAIV>@1A9*Ya9p!y)$abnej0XZ^k(v#?HrE+hu*n@~;+6 zb(gKrYU_aDI5yU+$`}%VgcFnGUn)!T)Agp@#!s`qNXqhF-1D^|VE)^sAr?RxT0@~k zc{8f6T7^G1`gL-fds+LPh%Jyy3pn3IeGT`Xpdod#;qDYIIHpGbFbL}_OawZc4%jwmUaf^0@Zyo z{8Z!p;QDN*&VZ=+V?g5t?$r|X$UecFSf_yx!73GHrN9dPo5 zMC7WLgj0}NY^81H@bfG%iq?Ig`_}Y*S_(6GTZ^8^k84jDPmHbK5L*&$azzRx)&2c{OqR=Ew6g!Tq#YA_l?~z)_MHg}48(^pon~ zXhaK@Ip4D$mzFvKPaYe0iKd}NV?bRYVt2gqRs)0W1r9;_h0xvFD>o7v%eib~pP9H> zM^XSk9cC|?-#xVNh37fLJnZ}>VlS*cSNftmO7ksuczp6E&@uUHz!obJ{XP2Uq7L0B z?g-Wq8cNQoPTVyE9N7i&FF?KL#`b}=wseOY$AW?NqMT6!w}$uhl{(hif~?5B~6QF6GyQxq#d%Fs`^74mYfmP~kx`2ez~@ATXKVsEeW z28sN7y=KJm)%iuPA0yeRAVc1Bwcbh~Qf^0L*r1jz6PvF}_L@F_qqZ=0MhLG8pV)JIJ``C1KOnGD=MC zSrU-#t?_|Ehs&_mp4;zGF&RwI-78}%F>>O2lf9d$|LAgfFwF*4=u7f#E7rpq(!nM0 zQow|VY|8L2H7RWY9|idzp6@G#j~sBk+QwpBoo3}vz%qUBY%7ZF!k7Ctqe;@EFL>VY zQ4+JK&@T}sx~YDTHvw%vw3v^tG=F4ct#mZ{UN%j&=^`zQOpiTva zhBU2~T#it+%U}=dd9L?O#<-2+)|InU-?$jwX<%S;_Qh_N{CmwkH)GEPdunT&s2}pK zlA9DfnXD#w=LUh()9{~RT&)a`-aZI&z{#Vi{F*R?)m@|V>k(^)k_`>9TO{G)d(J!2MQsr@~p&G>7=LQD<#aC^d8uCw7(Y|VrE`% z7y^ZfU7+Q=x4U_vP?~Z+Z48Uz5$B^#tC(-wiWOS%9kg524m6We2S%~~rk@EWkh=Z+TOU1Ehik8I#}|c$ml1=4 z;KVeMy^NX@C;VMb_gmxTsb6*7t$Jn#3!8&ZZSev{cECFE$pjMjt-S2WLowJ-jjiSP z{2s$lP&g?)>h+6x7!mKiRP?gzgVEB8##ieKpABt2n+It?*H{v>CYRq*ZP^m+D<>UsUI%PAC#cBfq~%!cp455uwnRdw6Y zn!RIc9>sePUyndW-_r!v?uqb#% z-8{K_@H?4`jk|Abc^xT4FOi8~_Wn>%i%R7Wt)61z5eDCiP01XQeHiny`jgiSuZo_bLPMHchZ~xeg-hQQDVIwJ!q{9h-!_st)|KZ0hjL>Zz>4{b%{Fzf8~VlL=+-{J5qGntI278<@UW zrKH!tRn)(w8Q#3wy6=8XL@{UNkU;y=V^COz6PPypO;SCmj-2CmJfY~-gjKWMh;OrhR(q2W!dX@vl|Ck=UMa|{j+*L z?jPgw+_rX2EUtZXf%m#92nc#RcZf@H!zQ_S|4`M$;#qN9XD|gTM|4x$dd+mib6~~q zrP?^gCZcD^=oDTzh~=I@E+=}&nXa){dUs4zj{(TsZMmXbG&N)7`{-H!5dpAu$|)b; z+y8|vqig3L{o)m<6P8r9%q#i2$|`>IcJkAV?8=s@6_UWJw|$dbE@Q|;P__5xB5w+j zob^)_y(EoI@{=zwqr0!U6iZGUmv8LGe+9dIGQAYy{`&Uwh>Ci6Oa|xJ#{IXr6f7qP z^gn-}_re9-I>w%z=XC>87l~pj{0tydb z6c6!u_(oTJ-Z{D~tz~8r4YJ9^l%3sw5vV(PC)R&P!Mb)q{jk7w!{44$f9mfnh6CgL z7oY7{MdZk?n0We?Hsh$6rq!?eFJbXS=G#6zv3v?@+X+nE3!08@?@|TMO6fPul2SeD zSYE%V5mEf=)8sN9Af=!Osx~DftOAny;fcRUuL?W5`rOa1`-#F=P}aTc1xn|Q?u5ra zZ@$*bVv>jqhIk0=1 zEw^QZL=#HB-hpkK!n($uKJ7a$RqcJB2^pRwR8g=?-A{QrLBXm8s+!p?>=Npl2`<_A z5(yZ8`9qFNW03qaTl?b=Xim`90)%}$nuV&8%-AmyWHJ^Aa!=Ij>Bfe-G zlb8W&TMZR zXOw{zx5BHm<@B%`frkW_z?}w3!!HZU{#ETPY#wc&lb%}xAusnO#<@^tI0Ukj4W-!N_t1WEbjw+S!H9l$KmmLZ5`9=e^|5%x<*$J zECOoA#L|IT9H$P@EvBkt+1S1HL%`Nip!oGFw?Iro<<}3}0)^cZOV-Wp;5`i=Gw}Qi8cEDaCo#dmWS0eTS$yJoM7KjQ7nwdDkkkf}VwbzJzJWFOl z^%)VRoUQ-REnDxxx7elSE~-!Rz@GMV+W6Ftp;gb4w!NRfF9>Sha_|f(X?wRoA}Fot z{ur=x8$Ie8`Y_HXTHZh0LQEs{>Vu%B-@xRLU*3443hhMT6qh)mMA>0zWJ#6ODy3*? zm+`vc5o1tPURhOLuW_daaH$5G#AE{EKVz}g4nJPm_-@u5y~5x;5qB+yySBY4+!oE|lUPn1G6YdfcW%DzuVVsk$1QqucHrJ-I@4u|=y zx0cNw0D`#Q@x^_T$g1zsUOu@;#3FV*gLYg}*3}>PdR_CX zpgTdgOXZjqsc`&{{s}fSyXJNRy)l3m(Ox8Qnm)a@YD)k13u=teHh5%y&*gC?E*Z@Y zbnh|1Bd1ZjZSGrAyZiGOE<2ZE(Yx*aQ%xf?3$LItYDH}mkex81ei)Au79ZT(}vPQr! zF1e_w6<<^V zxWLLQ|ELml$=SwI7$;<2nj1h)jlzjs?QUu;w=ulhhgx4KKG7Lbsc!zLgRQiAcA#wL~sB@72*mT-q8 z^B+eR7#0>005N6&f*XYy(K!eb12qw*oEiHB3MGIcWsqYgCa2ayhzW%v9MEC@C!pEd zdcLgl705b;6`g6=IZ0vGMsxU?it9%%U`A?BnOG``J5%1Ps_VRu%k&r8UVQ^y#3{tm{Smxa|nVuju!_5+UONznpav5{g7o1=?|hll4AOpZ3m62Zk3X_Hd|5G2(S`KQ4-hrkFY zd_hJ#^qH_7`49Zg&c?{o=g;$-;lhaBe~D)AdrRa3TrVDjH2*U1kDtD7VxqJVJI~=D zIFLIZ9$~ijD+emg09a44gz*&k{4@Ze`~wF(#{>79;QVE%99dXd+2E@)Xo0bSUoM@Qw7kk^axkcdL!9O4<`9pa0=j}4t>YXE`^kuzk@mI&2b!Su|= z#>Unb`VkhKTWBBry}bi?#*dB;?HuB2i9#;Jhr!T}U_sf84g`#t0pq(E&5fB@aA`-F z+=b0i0Z|pq0*=UykWiG4PRN7vP&FGE-ZsTdrTcJi9t1$VIfp>K3GY0{Q2GG)!9xNq z5hSP`!GU-)I3x!l2@Qn-QjA26io(n=bP=p}=I5fSs?e$Ecw(5=>BjI)3}>F6fp0q7 z4o}v>=kqxT7Q~xNScRy?B?y7PVFv74udJ-DZy%h46O4?EB0n7yr|n9{hZ*a?cp2tf z6Hu~pm_t||ZbTsmIS-T0a57=krm_mOpQ@0gs@aaBZfKzk(0N#H?(R#dO!!cODIndv ze?Kq-9x6FGxw&x8AVio1DcqY^kpVUZ}fvmIz`G52HtLoA)dc zoWGfB+hsCWH#Z;OB+RUUU^x|D1c0`~f|mfG4e@hegBoL$LvH7wP`$lNh!ru0{bR;I z!V|M!gAn9nm?yjd;KRr9y&qln*bw~xLf`?Mn=88xR`G&=H?OI*fwa7kS;+aauwBL z*OY@oV%w3TcSxKig2dbP1fXmy@RL(h(=*}GzJ%x#VUkNw@k3$#u4lPu1huiTio8cQ zSCIi^D`Eh(y^S`2AK;yx-mheT>$-pI(mxN6j((q#h8WuocWEO``D%D9obvLJuLu3X z#(b}^8$)hHOso=2hFGp5Gl(91-}*4~`cFz4p4&DyH8r=e+YYft-$nwLkQ+z{S{@%B zz|S$YaBMF?SDKr)yz{)7H-00?vF=y$d`BRZYLpW2ZQ(S zQ~Ia12pZj*(kj+?Z+xSnBcoBAv znMNV-)Q5I9OIht1E8pAt45z*x&2N^p?aUwyO-OZ2Hg$JAWwqf=&s)CMuLj=(4w^{m z&{_N31s@0ibKQf-=YRaR@Oap)Tir3UXMU5$AQApdEMCCd*M&Q|3OdGKi3OqW<33{2 zEqHtTm_hZkQpwI`&!QIAiI)r&^l(is`rd9c`A`{YgL|e9d{JYq^OY>-hDoK`Wq~F1{o3D zILj629Si%|K(y!V)~IS&()g>3bWM%vT2MJiIJfcrlhe)G>oZsGNc6b~Set&h%GMM4 z@Lh)eJb!CtGU#mT<kL5tt$>|`H4)fL&*!9`uht?f+-~({=G{__O5IiC3J`k(5)~l8*)fdA$+bN&9A`v z!FJ%Ym>a=k|0`8V3cA-edcjEZ7tM#0Z$H%N3~bjq%(eK^29YrtTn@11e?xHf%T3tk zU9QrPptC=G8ic(Z_fbjwd(G%w@dlTBnbRsd0;{%UK$s$sT^9%8yg#fmTV1L}AtpJS z{8-&}5&uar{p^jqwxB5ZeoB<=XSVM1kzKEMi#s1v1RB)y?Z&ZYhU4F~Z!Nru4iel4_r3+akrpT70XUf12*rtc*l)lz@<1ZRzD#UM&qU^Ir-{nC4N~Bylwmc4mc1vAHEY>{v#>Vx%fH5P*LIy5 zY>(_OT%+B2*8PLmI0KKa$N3FbcJ;*WHgQUllD}>KF>rEs(ztDXfn9L#7cKo}Fvtl! zC-*e0gT{G+Gs5EybsXqc*=KT2EP9-*&pGFEF@8}!O6lT)HPm#6FAV}QP~F>OLz(j11j&p02#MHAV(Lw%0jgTyZ;zV3HNEGsZR=S{@d8|ggu z+HJ7H;It{V{#8MO9(V5J67?vjnipp@Rz65uqdTQM+!T z#@6Sl{?(UMncI!uxPWQ>xe zxnFWPagsXK#8!k7{mI|I-u$kw>o0xfBxisHocEy}#<9;7Qxff)WhsAuoH;GzbH3_8 zJAY*=P^~BQ2Vh@XtLwAd-`QS>X`c!i@MJ8*(~n^kl;XVefbq(cO%JepK-5J;C0)FC zg`8JBD`D-!j{l3BH|%c>2_6heMzidLL3iIfIR-9TcxTL-y(JU)U_DQe%BloZ&O27l&fQHt3=2)27!O@?)^d)|;CYyJ za^@ojVx>1vTGoWSCs0d(I{%Z`YR_;ZMW|tWL&QgS)y|1aL)OXPZ>8jF_=w-X4dxwG zi65N^p6dM)DTO`lM~Jd6Zwlp*Rd+e;{y|g1tf&bL1zMXE}B^>?&ZC%7XoQBWrg063NuaSz> zS+~rVGwAGpO4hBy%)Bx2QJqQbF|~|w@o&pvYVT-It4;r7yL3=?pX3--AfNd9;tI*> z zdSLEJ+h0+7F;>c~=8y2st&>>WH+eOq=Dx#DOF#mK922q+dSj0W(>9X>VSIA^6nabREg5>NZj~P|X|!@lCJoCyH*r^Z^0N9nQl& zxUVgFPv~_Ij_uBiu}LxXDH$+&-YOKzo(KbO^KHJ7>=6Y82bLsMH)+YfCL_rtdDgFG zDjjNaYSV6m!hFZ8F*atuzp8w^MaMElxtH*He1pvYlE-c6jp~v`$}P<_ESy#W8V%n6}ckcZo z$McoI`ubG&W!w*GH)+2!duN@#DPS{E*Y1*xzV&hG%=OZ1azK_LuAZhq}U41EKD zj;VnBLve7N`l9%4#nsK`5N=$`>?p#T*1K4Yk~=vCghR5Q9MyiWTXpjOzq8n9ng6C; z|8=JSGE?xs)2{zI(|?)iKY#j|`{xqd|5kPd|J~dE%Wc7b_qP9XTkzk#?Z4a>{C98r zFSiB%-P``lZU6m^0kT-aITHk~Q2}`?5#|Xo<_QVr2`T0Y8GJH(#%G2xuoUnqor#YA2_Vl8R7qfvo-s_bJ%0}D-#v` z9d!Xd|NoneEh|wdJG;QI-=X=wUg!9IfY>ILA#uL+`7E104dW~PWnf+Lx3Q*ME5%0O zk6YR6hv7gcY0HpGwma`Ind;?!oAyr_Tn3Qr|E!7k=B46Ejj$<0!a??V0}UF(%ZWJux^c=0x~gE z{;JFK->qnd-{9-d3qJbf#|*wms@zh*Qad;86zKl8snP4P8g`=+INc@*b)N4 z2afomq*qC4ll^KnNp;Jc8cw~0u^Ho%&A}})Bnd3sfH4ZioOP4%f=r|e-e~KK$&PEB zgfaX!Lwu1`Z1P?cXMl_QVbK*&1s8*duCFzdnaZ?8XwA)RsCfyT%`k&pU9eu>SZ1g8VcPe!Lm{4^8ENT%3&P3?15(Kj|=; zAtiR@r0nT^pm~q=;@H>S4Kmu(KWe_yB|XhB6&0uKy`3P79}L*;JW42`|9*C( zPB>!Qrdv>ckI3hq?wgOpHbRGHsrPHZ`D=sbvD*p)cYbi_PJ0&yUeis6t#of|%FB^VFLi2R=PFEsI&-&de=EAz@yrFK2)!Y(deeE;H{Ue?eQ zaJ}a-nP=g_n@({ju-0r;pT{Dh4=v$qN7QcZ7gIQ!0t|g^0wU}!3|3AK6 zqHh>_+)CX2gS3O*!We8@eqcID;8~T|;oXZ_DdtO&e{Lh^!TY4wfp{YKY5^ti$lw$l zP|CcfyzLN#l!m12pGxKoh-h!PM7*^}GTW4s=$18J6TspLV@1 zX$brBo}Q)8d*HxbP9crW_TDda=}D{?C7TeL6p+5P#vEIPJwY;d&){rl`7)!nPptg?SX#nrAU@WWCV65iRZ?j{ zUz11Fbw{&^dDO_YDk&e2-j**UCExeU`(aU8r5rm^Kqt~&1jOq{AL3RHI67IG2gS+Y zVXOHIf3&c5zCohb0(`k+3bhv*C?#u^RA?D{RFr%j_k|pFrA&94OTIoJN(5mR>3Pa0 zx`UVXrRF%*9DArNGguAq<6}&XvEuI2vu%TWt#j7 zP(Ie0>|XqGAt7h=TWV3f^~d!{`wu{mm5KFO}vMxHV!p?JwHkw z2&R~W))=Eq76wa}dLg!va*fyj!r* z__4D7IlRD6m`)9_$0T3zz*^A`1jsvQyVwn zS$qkX1i1?A@usb04Xrfq-d=vkaq#As%)uhrR7BT4nfR2boBwYd=Y(hM9Gw@h{5l`H z_4FpV&Y&Yp%R|mfS$F$}VXv{ja)?VewA|!pQ{wi2TBKlM(1Oh5g z4?-8e^J)fy4HLl1WFju;VyIC66JF$_V2GNjQnfCKzI?mp&x`y7C#-E?QTL|!SA9$K zb6lGr^ zeFOQcE>7OA<;(flnblVCGM^SgxGK`QrWo&Mhn9F3+jGE&UMbDa+Pam)OMxfdd`gC8 zemhThq^z*rpEH@aQjXMu+RgL3+E(}0341kf6-@Y{e6wQ?*F$JEnu0Pr*b{t`z$n=i zYqb(5fsD)9$2TTxV@#`i%O&_%L$RMZiaa16bpiN#J(R1@-v*bZKgv%rzg%4rF7!+1 z%a+@ROWk&_9AebKL;wMu#Fk^-0h!;+=pXR{oLBc0oMdg}OcK3*ZTFINfW-Ow5q;O7 znu~6#2VaNQiF8v{9t@n^KdKtYpf}%2n+NV2u6>727sQy?MM>;FJxQMG>)jqWzQIGX zIcCMF!+9UbPg=Zt_wbqYYmF~cLZ2;dXV60NsTXO^H%79u-=#J&0_CwPYxQ{HG;1bn z+9|J=MfjwAsjuA9UN)?s)cO>mkO5LnWV*aZZ)hTYe36A_xDojB#cv|jQ)*(E>o{#Q z0@VPQ#_Mi;^)A0q+=1tmGP_D^S90J_$|wB&frEVUr_!~+cdf(6M4|NKvsSKxRr(Pe z=8Js<D>uDcm90?Qk*_}L zZ12`vnmbWp5_0dlL38y(0Ry4<*-=X?rO6#>l+yDq-3o`}xu{fHlLzWy_rN);Ar9Ff z=W3-c-%m=be%uk)XbWjP^4KD}RyaWY zIL?zW!b(CHc-Jr~>l|JA(qVD6&`IU0nZ8gtRs!8SHl>o|yX?ewCBW=WEzyL8edo8D zEVT;r!XZ&1-^6&j*X9~~gR^Q#qlN+T+;8zRBDtd-@g84v29~A@`ud1PgW>~N{b34H; zN#v-i=sNZG%X7UKmE^wO*%tsNr@UdO8)fY=m|n{9u@C(viR)D z%*a_e{KcGDH9obtyaupoM5|QolTx28?xCnI zNNCy;P-yP_U{u3Y(WVsUF&%^?d*S67MYccBBR5Q(ge}pahqO^WwN=`-HEA<&Vui(q6h*u2g`!rvldrJPE zQ&qUrr>1qBlwK%>_TyD`!;1Q*L#jOjFeW6W8574X|EPW0&w!`0euc&^t6wjzq^S!L z7L!*s26in6zxX9Qy+gv+2_nl78bL9g{F=s19EsPE$=VjzfQ=Jik(yoAF4c#? zx+ zi>IWLoMs@XxZ#@pX+3}b;gNJ1p6QT~c60yYA(6+gn4ZX%n-+)gh{{Py%Q)Z=iYR`4 z5ZgjVgZ8QI`g9O%x#u1jmfN^SE3W6bMX%m67n=3)P$+SWKk|7W zE(jWV8A521vG<}``({6()2qtrL7d<vwQEB5>z#)sjVk(&7qo4HiP`BdfxuSk ztoPeLIr%>=G`(Y&?_6f74%rs5bIek2F|fPjI1Fe*%R3fN@kv?zI*w>A37R(yT@^GA z$n2f?MttFlhJ`JN%YFBLff@*#J_;NhTV@heN8j~*`F>^NyWSm-n2!O403mlRTp+6d zEr$@Led8W`Io0a%w?E1J8j?0ybGi12b#qr@6(moA`i7>=PK@!XeQ%L_5Mn$|aD$gnRLI zJ2_2wN%<5|eHfpmTM2sOE*UAxJiI`{$qubR)-o}>J=;?)v;maFq^u!=4#!d&FnN60-TFcUWYe&(98%Z_LncsEa}=<1hJ z**fwGUN~{#;uUHCnDN;))HPA1n~go+alrZ5syFYyOyes%d8G_|AkdF^QQh}PE?{Jp z%rk7{D}yZXPcIe!%Gbo!OxTju;ih8io@nqegPz?pV^rDNk4QKQkZ3=ykTcrxsAikt zI@YzEls1dZD4O1+Q}r62+@c1oKnNXF-hj}uOWZU}Sr^0}=)<$N<&RPY&fYH{x+WYJusaTD}@Pb24nF999~r@mSFMd^@L#m;B! z5cK-v__tmAkn-LKahcDr`^LQjRU^L~5-Ziv_Rh~=znNLt{Y@+Ae*f7!T5%`DU#>J}yPV5GU9jC8yQ#*$1Y@9 z+ub`jy|{98BBtW_D0mY5!XuWFvrZYF+{7Ui_9-Q6=vpSCRxBk9in^%&9!riNh?Ksa zvP|2e3vQlXm5)x@OFSpylk)ZsuYLcOo%0$ur@^t(2x(?w{>7V{h7XI{7dMY-E^{T6 z49+6@=H3ajjBMbVYWsI=E@{Jio);y|d~+JeeILZTCl(EEs3*+r%jmrV)pZRE5-*$B z4ZW>=KQPuc>%Hh=crv|5m6=z(`TZB_5^z*Jxh(WJhN|HC2}g>KOjEyh!>3hza^Ab8 z3+uaQD0*;B$1bd(rDJuMKj9EJId4@ZzHEw`bLW@B4WIPxQ4VQPxK2esPp$L3?F*TD zu}1pAy%FC)u1VhY$J3Mo_dJ4PL0kj;aYx_OGIjfq`gs+d#;xG^h>1~WiA)ySw*CWJ zUZ865D6nszLs0Lo_v>}kHR0B^&8D4auN!-32ro10S=xY{f%&y_3dWw^k(nb#PE#o( z;ClX>Q7kF%Ya^$GHZjk@+o|(ljL$f*<&)Rro+%-Z1{eRLR%BOY2Z?R#?B3@B(#CO> zY2wRHFV9bkg}LM2Q+P;0xVoUMf=FtZr<%b@z2{nE3EjUYvGlg zTeg686?Gv+>K8Sw)Q-Mx$2g^=;cGH>J|$a(yt ziwFlmpb2lHIS4LBo{5RWcO#L5;6tE^A)3+w2*7kf;o|ieo@tAbnHZW0S4M}!!NK94 zlM~Tjo9Y(#FUkyn>))nfLbOlQF)A=42bxSv1j&T&D9aMTg(@>U`#A*1Ik_)h7Glzw z|3n8dDy=^Nxr~vS5Tju@+VF=j`!okH2nJ3hkf0u!W#a+0=m~_eC{A@dvm~-$gOac%LO@lZMzQi0uR4pKb z`yY@ALz*`5LkRcU{-2CybTnKx5UR{L=rZAnmA}c|%!YFitNn$~O_p%k^f_1zfj$!o zP0VP6|3umY5aew;0-$5-=!9iWIR43n_6)7AL#w&Dg&Eu1q}vz^je*b?F!=cry(NML z>2nT($!kIx26ZpQnt!?G|I~L`;DEJI5lA&PHMO*KfQ}AaC>{>XO7U>~^{3`m5B}n3 zjD5y?1o1QE&+Q2AKc&q%1i{8wO}L}~V>QDuxt5stmlc@tPmlI5fu@4RO~{|2f5udI zo7?^tXlE_|PqB$C%t3j%*p47e@DAO7%;pMaU;y|!92;;KNy*49kq~)eYHEyv#x!>? zT?XPITv(7m!;L9mJ`IWi2o@yJ0SE%sCZgJoP-Ebx+CSnOa&IkdxSx6kt|3+^4-d2v zmY0`Tz9K1RR^3ni58!<9;zgHWzHmDVDS(?OgpL~{pE3E};u1@Q0s>A9NQH>Ayz+H* zAI!CW4v}9%WC-9)nwp+n_=dt-!V*L9au5U`9%O$@&h7AX8=_^2LWnF85@IO%Fb3q~`e#o(i`n?oSn#C#!ez}&kxhx(7*M5A&3 z((Z3a0iKt)e{yPCTD~>fCjU0tm=JU7vY-XRiExCcqaa!Y`jmNukxGshF<@85tRw*>EvUczpoc0dC703tk-% zqKEyye{CZGLF-|z2|&KX?d;6K^OJBYz=ST0GynLp4<|DO+K^~t#wi6np^6z0F~haZ z(dK9qJXUT6%!L5$2%=?)AlmTl5uE@8xrw=>3qRQZKuvRk$t=in|GVP?N& zNG#z$(*ZLTLYEN#bCJ<;ui&v!UIFvLkJ?coVOY@r!-p6%hoF!*mIzYcj$DEhAD%ph z_#d7d#$;x{VUF~*^^MKV9h?{9XlJ`7v`0HCq6MLfXuChY3$ z8n7|s+O~sa=AU!g*pTyMDE|_cCl<^{qrL4q&%?u;l$3&!j$J@VXcg(`^2X@>qGm)A z^Rbi4K@jPPc7ngPXc&pMzH8Tx*ylT8W1xPBK9-wzqFv<@woU*F$$f?>+!-D!wh9xA75!gln@bRIm+rc5edOtF>bt+j-cxUnPwN>x2{Z9m z4ptzSWnIC!2}sqsiFNU_8B~sx+MZI>RJD!BhoocWF*ExwTvc&B%7G4z-RVgkO{2wW zw%;cXS}B(gg-IcAx4zse4HM~Lzkv^yoSAHBN#1FS|I#T7h-~HCo;LD}A-0K=!Ql`p zR(>T7&NInKZoW`1Oyyck>RUG7U$@7r-tVgH>d@ceOy$J00HN$9MLeu{18y!qE^i7k zyVb~1H(1)8Xattl^c_{~VuS6Yp(tK^ky3fS-{xY;F*Y2&OP3sIQP*_2Lvjm$d0T>Q z7Th}z_6eUv|EkMseJ&-$(oaC4g)NX#k8RdW`hctl@ZJgOU9{n?^7o!+u{L76`Qqi* zm_XkXDO(y%QKm;YFM!1C{U+dbKcR7wv6Z#sd5{Axy`~--U;EeoWu!V!AO!#{f#LnE zh|X=n!swnyd*t~<71@F#z@d*|uAp@RhY;vcJwbhqJQbb$9FX=s+Rr76nNwn%RkLxO zduR#yS|#DJC3EZ$ z1s2xt^|JcAwh8y_xZ~#zEb|=UF&VmG^ICsL=MFbU&vzu zlsbVAu|rmZ+z?97weDMGNjVKozC&uR*&|6b)9l;K*gEQR=Hswl789}O>` z5y!E9Q?7#_+&{06NciPxf;k>pUg|2zj17vEjUf9@qtLnoN(-vbGgfo7>pES{;hy|7arn->#>vzWy%R)56y*m!mk^wv#G?8}IH)7~xh zikv%lF=(Z4#P8uNtOc%I`wKzi^uU{VwRURxV_UeT=52&#G~ULY{&cw@{(5qz5!T&~ z>*^q_`s}Drx%@@uNfd*;UUR3z7B=st-mO~)TyI%w4k9^#o+X!1sN>h+(oc?5J{K2r zb|yN14E<`N`EvbopytQ!aA0o9a7QcS&ZvIV&$(4@&74~gYP6hHr|gi*x`nV0^PS*I zVp@Ow?}aLFpLqjar;U8Nio6)5ElPKqGN%OBboL;ytfp5~q>{)jb}yu8`g>!e`=Gk5 zfhMiVtvM^Ed@~~g@U&r_HAbH_&<<@ieCem2 z=cndLC%Toj(qZiX^affu(jCjROr7#kIrBWwA?r~J)BSKf<00ewUGMH^+1|V#lBh4g zEr905-kp6C>w)FnV4>wEEt)Io8CzYY0+PRP6JELq zvT|#EyGOW1YfaRzhi3STr;b1J{dPHyumtbL?w8T&Ffi3g{LIg)<8W5{;>~fLe5zN! zQ|OqI8|#gKIu&Z$>IH#0jp3l?_<*~yl+ z`b>_?$pV)IoGq(S&SPqJ<-}b-zx5e^{rr~jF5nD#(Hzwzd8tfGRde`T{dyFSRafbr zFLP6w(%b5SPXu7nwY%>yqug5Yfj*hmrE=Wgbt3D0u6N`kdtS^At!exOwL66o%7f-( zMz)`}Zr2pi9IJgRkyOolX%vN$1XH|C! zKNmb^sDId`ZT8VOxusM8)yr&Eu%6Z&+wCwY{JOo-Eol*F!{?4?l^v?Dt36|rAfDb< z5@frKnp93i#u834H__ug*S)2>%22+N9VYwcg*jFaCpUqT{W_#|<2*kfvwR&02jTYl1CcGs&@>C_yA&6)PQ25< ze?_WE4EjeO4jTg79nJbmoC6LXFUB_A2%F;o^d-W<7^x(6T4s$1P8)l$xf>Req550H z@f=paeOhYddO`SIZo<97Kn<+Mlb&`@U^!dj6V;m;ZK$9xgRAb52+~lKzQJi4+ z)XUR_W$=CBwu#u|)aqiT4c*lG;E0=NQ}g{+IQ&<;m@=Y7219}OZE>8^BQfT4bGjwj zEI+XO(u_-i*%Y@7ixF8rcBw3=8c>Z>@}l^j+S5f}FS_>S!V1L;hDxOXzH7P}dM9ON zpqaZ$q`wrARKEPv13K<~TogGn~U{d>y^))ps4QLUkb!lr_D-Ew&k(fsXRsR9h{8L2T zA<^SzWUq7PI18+7z<8t{iL3Wh^!uMgpU=qy60TCe(8ppvBs?D4nztwX^KTh{$vSM| zX2Q$-)b1m<2MX<*k%6rC`VFoh9S--OWxg_f?>pU67xR3PuRywwpAO_GM&Ec@VT-6#rIw(rM4f$wVcTMAW_qk}<-Q2wd|vfQucmZ<%Q6F;DJ zFd!rdjBH#gQR3t$mq-yw|7`lf)aC$eq{}?*r^-;|y3sJY43dhDsh_6~G>)jYtut|# zNYQe!hjtCtIvpl9%YY1fI?(y?&Hq8#TR=tiwGI12cS_d=1*IFMyG1~{K~lO^K#(r! zP6ec-8>FQrB_$*Uq+2?^GydNH_dM(U-tSrKzrK5|*)!u}wkP&JXXc#izHY`FqgM%0 zCZ3cSy%V4Bddh@i+N)*p?bou?2b+sPzsN1^;6o0fAqBPL3kU7e*+uo861qy1mS~1} z^bNakpwAdgV`nzf)jY5rBDLyy<lA7QCF`f@y0a4lizW{ID`^jm~D_8Aef~<-ry$Nwk|FDFsL-;hW7@ zEVL$V9u_q2ob>POhv(l2_v0$-67>1r{r(J&rTfuy50Gqza)&~sC62lZ@40kPMO{0s z)r;0)U||Y@*_eADavaW7Rk}V9_|(p%7)KFsp$cxHuU`eO1>f*oix1R7f_WYq+^ORUnOIpIYx`o zD>i)t~#RXvma@s9J3x(Kv%JKinuD=j;cV1jeH7oeqN0 zzwjmUDL&xb6XpH%jk}2-%v?9+#?^B?8$v|j4abzs<&!o{e8c14v!QNXUza%h6i}<7 z1&qu5x|z4S-z)NUfJ6(WhgDy)K!|X?=2^unz7~)kjThY1A#;zBpDx@fll*B(ainWV zePZ1$M+Vb?pScQH<2{mHo^ti-$dZ_uduL|w%omY`k>-tTj`N8$MkfX{pzk42?Y|SO zxQXv4NbcHpmJJPSrM3u^{&1&o=qdhO9b{p~-}x2afB$VUS>WBWhc()SMbbfz)1;TV zj_l9_mUUp>-NICBe~0J1Z|45V)z_-~geh7Q$tsGkVnUG$2PYn|jKqsRd#$bcQR(aB z(3z|rWapHgds0lw1zMqgO4HeR0DC6m(_39Mo$dTYp8kV-28lz#-IG1Ve38FFV79>5 z@8I+7ksG4fkep@k^EDki!k|ZNy_X^)5b0OtRGObzGXoY3C~I+Y4QWes2=o0!t&(g+ z^2}+u%0%?}SSFXuC^|sQ12G1H4@@}Ow-@NL-pLEhWv3^d1&HlCbnk}q%*iWH5yEqz7n!R*(Mr7Naftct2r zxd@g5kqV3bnWw5IeN0}H*fX*%a(ZrdAwqi zWSaKyTF&QP-;X2DxShlVE`Ztt?Su~>BVj?w82770R+)KM2L#lq0ulKCaR1N-H?okA zilRB`QYucv=%j?&Hzs={*+m+=tTE4vlFUot>(HX3b-ItJQ22?7{&RFrq!TK-94&I3bqfy1>Rg0 z?o5tq=6>k_W7&C@a?BP1>I1sahMYe#Tr)VGA!jm-CC}wNBNo8^)yGy)47TbX zu<6Ita4vGK?r{>H#qDKE+62oL#MtP4MIy%uLjwky)Xf_&X|3Lr_t1;;@f9TGJ5(th z+2y2$$u988oqYpO*O&r!UmA zEW$XORucp-kJ)}%z91v%Z_4m`5*PBexU<}Q&7FL?-Ad$(F9j;_$-7=dDraRnWv=!A zok{jc(u^P~-#5A~2vu(G)T+@BtSb>bNb=B4_{~_MmP2c;!%jM?Qd~%M+Q_Jnnoe7i z3`FXu0^gu!=sWpW(1y-okLe#q=hs!`7F@ZZG1}nf=zvS1ZB?yco& zG>>CyH+;mQ^t8s8tYZ#Hak^~;x%w|ksB?o{}{ z?JF*}-Tj3a2aw&+4Q7kiP1auc)*oQG*h^*o5(>;Hm_kpl?pbY>I0c^7BOV6~s5X1+ z4`Wam>$??;ONc4wtoAcUZ8wm}8y=in zRGL5n=XW>B0%YDN6;2-goBSu*sXK1SM!Z23$GD#&gRcyo}x{M;K%YX8cM+W^0hkEEgCq*vVmirSpI|~rBQSE2M3<70`he{n z8tUeoPm>v^KJoRiJaF&#;O?5uw+C7IS$j(pqPN~#a^*ALV3+2?+z!9>O#q%;@21rN z1{;XLAm+_9h`?age$DMe9wWd^8@WY`J2gck1&K6Xf8+tdC$y37+`b7zu0%aP)aSDb zA3GeVvTcz!^^5yb1R&7)gI0*aG@Dzc$o{F4-r(;jAcdVNxqXDlA>iXvrwgI6x+Bu@ z;?`14ji>(ORkQo|-qdP@FP3!pA*0AOOG}WM13W5%JpSJ&$pnn9lq^pW73`Xd&19Z1 zJ({^iCyt$bG7L=o+_13_fU!bF+V!YyK3ft=;EqoFv3XX8LA%Eq0X?XmoEq&=&h`7b zQSWd`@^MlUcb6>Mpzy9e{pHZdoGttE{!eK=TJAp zqEd(im{^{QlYV*^qi-+aRhVH=LANJMK{=oD^S%t#A&b%@X&_O{wbDyP;j``>Wl;QX z%Kz8MUcG|}xvXHprx~!_;11}KVfQ*iuzcr^_ivF@1lfxPj7HvllJ(19y?1QNFF6gK z*xQkjZwDM>uCxRj#!9zu<4O_g0I&!^d_&f$MMAc z6Bod{@cMQNUPsr-t=*$Pn79QnQMHscrF>xrH4Z`cIt`mx}($ z)ygLA2Lw!s^}3@)UU&;#K!N(p*u&>~>suUe5FQ$5vCThSzTHG(3HiL&=iGU6zYox} zwm$W#9AuDC(Qn(kl?_kGuKIO&ifZWLlllRbSlJHv#ukkKzQUJMG_j1%JGddUcP?Of zEcnzeB4%W4=>qE|@GK#e_gYg~lCc_hJP(LXKc@}(w(!KQ*FR?b)I2G(0<6ZS8ieOO zaK}JN^&h6w3>+3N?YZKSS1V}iJ$fW0t^^z4m8{cqP;oXU3D7Z?u&rDGCP zW*5Oa3Z<&XhrBU9G0mv)RZg*jZ!Fw`!frkDTe=>PUiRSu4mq8H(C@RzMsL#}aw~ZR zrRGRAObOI>pX^ZkHEdaNg7U5!s&5N)4U3nuTGsY0(-gE^L5Y(ye`o@eGin<-_<@{H zWO3!x5)uOwhk(dSrv(NfZ3EB1%-w@)0#irdupz*#`tr@^>Tka=ICZ^hNLXZ}MiEtC z<@c`{&+bq^jl+!sKB>;5q=f^Bn6l*<{JzNAp`VT)X*Od9h3JGzd?*`S2jLa9lc;8a zA+hgOYYb~qv2HZ zjM?71UjST_(?9oLCk0^mL<$uaw~lzkB(QnK?qN5Lt{*+oIIsZ0NX94pgi03jTCJY% zk}H2K9Y|QYMtmHc!ZkLp`?_-k@QJA(N}48S6%54=Y#+%egv6#*w{#vMl10T-VDmeB zf~7+w#p?50TrsbJ`2)bf9z<_w+eXAFylxWwC1SYL8hp}!m+*Ux?d|LE*pq<$!p3$~ zJvyfM4`R~r>0IB$wM~KDi_A-=^)rtb?n56bsrD=JwNggPj-Q86Q56o_6Lvpni`|q1?H__jC@o z9-HGWap4AWc00IyleKzZOfI>!;rql5$~`Kpw`sXh74v}h6E5jjkzc-oU3_+F*Ee;m zTbN|(A<0>v8qN^x-b9jkAImj=9AO)EWX3h^-9P9L{15BPPyNw(}pc>?cy@=f7wfzH?@i0zvh%nMc|H~ z21%85&j|7(UJ;tR?BtK#saU*@$jE9O89lp{4eTc3GXw#_k)5;amj+hpIXz3~#Oz8g z5g*5wZzWvgyRT5VG#o+tIcNOB{R|dv_p5!??IvOlIr*2tYO7p%6ZnSaStM$}wfd(- zC+$vs=y@Pf51RR#@Vdn{8n-t=rTx>t#LXj9!1(4a3VwO#?hWjL;LBIR{dm;oK@r)- zqo>F?k37mM+rZqRxudm}U z{|zGf*<<>qcX8OyXXCRd35j!yst0}meD;VVAxTA5YsYtqWIWbyVn3V^QV8VNuI^*K zh_2`!2Xns(GHdFZduI0W)zh2CG4egE-O?73-C%^jfT3f!iT85(=XpQrw% za-CmEw-ukl?)tHUH*QqyXJk|moy2*IhHL(iAa2oy*V10b%<2}MRo*tGW_WzZ(AxPM zm;$iS35Q1FX?-UEe1m`> z3$|oFp9llAHIw+N+VX;?0WUDJw64$Zw| z`rSWCjRLA$o`6pzEYD5dvXoTj*EkC)gqNk9eX3f$$|F~IV-vVPWP0k_%9;;_Auct`?gP?v|Ry~_Z#K`yw)@%Q=W}Mo< z23koafc@OUH7Bo-reNHRPtd7N#0-)?Pk0z(55z)4?e?cJh zOm7yQh%WZ?_pw_{6x=kvoXWC6(qis1de~UZG!BrB%Ux%{SbLasU zj4tr~d$!IH=!K?tFdSc%N5|O#;+fFovF|M6zG(@BFIki` zWPT=FCxv0qKfxOoD<{=|<{CgN@>^i^vTY9pEX%jtP)Qnvi*t~iskq)_b(`?{P-3^3 z#Aqb7&7Rr>?hy48^MoGY5@p3j9|ZLLDwcZfP($-tX5b_VC%WJe4{$kiXFshf8wh9M z6^~5)F-It+qd!XK_t~oNl#qqC#}}-e)V@0*mS3D6FG$2>a|-Q3HM1H>DK-n)r_~P? zX)6Fms05B*=Yx2y<@N&m+2&f_YM}(oLJ$g*HJ&sjzAkTo4~U z^1vh%qbZZszvQx9b|0PPi}*7n&jMVFvJ*`y)m%_KdVt(AOf=GZaElrzt|_x;Z2e(E zJ28wy9CNWzedareC)pTUJiB5tDy}^ln?J=@>bYh8cF9^Pq;7UpOD;nOS|Uw9?op?* zTRH?ph&wvzn=@Td2N)mK%~GC!r+nz(4T^R6z{su9$#T?P=pW^)bz#L1AqSRs%Fd+= zziHTYN5KifZ{!wYv~MqTU0!rj9Q2NTq>SgAM5SaG$S7{GvT*{d^cfWq8=T^(a;d^H zvY3+LYYmT;1IWBnC%$AzbZ8rbo3VXEE-UY;K*QI0Jl?Thc`KIAe_B?9W@n!e)-;_n z0CgvR`-tW^@60TPu;XBw>HGQTC-*A9_A>I@$b09_s{qe_dI(Pr6uhLYAAh=SGU5OV zkpGzOuXp|0g@vZ}*n?p4@wHw{mkFlKA2L4mZ)eY?%3*^rR1fi};Pl`7*r&t6!OuSk%I$>o}BkswUdb zF}#-zqU10dz{cc`?3R^QQcmp%$~Whv$yJMXv1cycJc4T_D*LLV)POY)$*i$cOy8uH zlsC91B*WO$!Yd_Ww6ca+bJ`)-eFD7XHEdbD7F)KYPr0&FG{7Z&I5d!OE~LqRJ}O-) zxXuLj9r~gdW;H?&M77PyX?V2s)t=Ppn!{MXaFioqWGr?9IrkNvBHAZ~r2Vt=LI(;# zq-xf|dG|D@U(O(8PolV%0lk-!ez9$12w0DACDNOPYHsd&#~|SH`}ZG5aNhFV1cm_@ z0A5@|an%kPFyTZOq`-vTLXSHL7lc6pc@7Z}5fPD)(aA|yIYPDzJuFF^5^xP*FbgX5;{P%p5rCNNk>77V2m z9$gSV1~HfaC_sA$28Ne+cHr3M-rhcB?t}!i2mgR(e=VR8V|GCT7tcc0SsIW9LxQ}s zbWm^imtfEZc3()xX97e1OI~Kd2q0S~1e`K4vB@budj<(;RUqh$4DOzVLzobN`44ic z>-LX%7V5<}>wjA^VFB$hSl|*21`9HQ!Gg13n2`PxqA%eQ25n);xC_yyF)=Ace>u#` zO2|X|4}uA2FdG`Mzce+?{9~Vm2sEg#U%n7~2|d=J$2zpX(EmX%Ato&g1|a%!dwYL> z|L}x>fZ!fH;5J$~l?FkWkU|sZAG{eb2?;}3vn14o6!egW9x_WX7&3%7%gRC6D4b7| zS1^I0z+p_qr_f?T=qX&l3#~nT{UI*X#nsgf3V0nrxQ7-Sss#vPYQBB}A3uG{&4MB4 zL6HyjThIx-SJYx|Q|1g=*|4|B$vh2s8VFFTXLIE%L_iuzVM4tZs$3qK|YbdDg z5Tls|LxD4z=onDHm{|}!*bYPcN3e;53oRoa6!=gPdlEjr$B&;pfl3@gH4gtvx&nu| zF{BmE|5|6^eoaIOY=*kAg%;Hg3VRb65}a^0fx(>GVZa#*w^whYqTzgVDm);nF)b|} zl5IkfnMsuSmu`kIx155{{~y@g4-H8qh}j%mg8g-E!iJ&Mgy?3-w+RVu|3aJN{Ggp(6fxX=WaEV1Hk!at;bQ96wk~s(Kt+^QxKQ>t1R{%I!FkQy6FdS! zC<6I%`4NaopbwCmK=%W)U|8hvp!f@9Q(7co0{D775|)&dk}>B;{yQ1En9!A;scBfk zNBt^{l+?@H+b1xvptxjU>VMPu5SKp>4a22hzc#l~P|>ikpF9zO)AfJ7wPJr02_$8$ ztO;x&*Rj35 zfQ-cg9d3M%SGp=rIi zxU!ClhJk?z*>Iu2g=Q)-pOA={we>wHy}-`i-X2P;a7lpAFeqyv+gv_S0X7sUPB1bu zK5=~m1$^ZZQCL~oKHcDR{)77SJr)u+GB$&FeX~-+82G?WOv=oHhHyt$@7~_QLBP`h zC0ux7!TH4nd}uN}Vpc8ChH050-qVH7PcO{iWlJOA2i$5n^KN-f&Q#O>m(xKt+*ZvP6=Gz&dqW(=~ z;N*m+y0C$fkr6bfEi7MJ$3Z8-q}2LWbGO1-Oz1q<-7~rcoy-njAWOG7kwlb~*&7VL)nPXBT((HyIy-HkWu2dKi|V;EOcf zJ4%>s@X|U0?D3L6+!?M6Im4I4U@(CtStu!Gi3bMZ$HEYPyd(kf$4lHWnCOxq3?{b3 z1%rvhtyB_APhfGfORNZSo=bEHzm%>2?Y6lF0w74XTpO9$n96!^c7!FbI_UdRwxyqn zRJ(W6_`T<}%r=yX9=vqM)P?lQVH@IN^g<;j6i8?w)(A^|?)GV>o6CIv-uL9{#;T|CyuEVd(*Eh^N>E9d zX1`X?D34a-CA&=`QwBO0vl_mwqbB{}FWsXZzxdz(0k_9_$#UC=vJW@9BV8rp7&`fu zH&Y1H10-S%xKVHgrog@~r!sbXi)%2=l@bFsgMqL1%U9pU@S?|sE-DJF=_G&`L!q3Y zKTpuxO6)q{P5!*FkO(Cv!U|c2D2>4W7~j`GqM|oU4)`z)jO-IkxL*i8Wwd)-QseS5 zp;#V?^HQ%9ytTqhx{i<)yEk@=$lSoE;5-byqB7TZwVli#hXZLA zXFrmppTNR<5|(dF)((n*-lm#}(e>A#f(5~RWWOJ)yLjfmw29;PvM%b;L`MEI15k)a zbsjLjX-^2-aamR(aVZRJMR#-XN6jGY_lgP7+67@+EHA@L zec~CDUdeRByN>MW!mP_T-!0-^DnH=S2XBNLXvt~{=Gb-g9P21URVwy>kIa}pb48OU zjSj!wKLPyrL$S6@PBdJTUKrXJYW=atHsyV!oueM5z8~~fwy6(9`qPLZ($lQP25r#R zQLB1&{&ahI6TSxuFZ(zuf; z4g3-68C>F~rpIr|9v0+aI7Z#?eY=RwZ|zHK?+5wFvI3vd8iw{uhe9yX4m?z=51-!98@RB#h-$qEh06Qs@RV|Wv}+n9 zKQ)m+PW8h~x@vs$T+eSSnysZ%@XS^K1ZdL6Y<|aIxD0Ajx69`?b0qRAh%9tR9`n9z zB(%8V09(h%SnN(qZHp({nM7LVIHMu^3*uy6lKoZ3CQ;~@-$5mP_}RBEM7?%D!FxRf z8_m}xgg9Kpm6N|jtLEu)19riPAC{UsU%h|5le3g&rm>P!Fr9}xw3GUKmtU@%H0eu#1GW5q6|shv#7SW>yCe#u3Cm+m z>WAWwvHTSWk_*ulz|WAt&I$>OFV5#Rj`A)ug)ah}A61BAz45=Zkm~oUl?FKBd;y|; zMibrVmPu0&J7}V8L~ij4s=3ep4l1QKIY0S9u8C_^Siv4=v^|PGp&ui7ICd0F%R&(uNMMn8i~O z)Kn?Ek5grF##>m2{4qKFeA~*A6=2qE8Ea79@@+i%I*~5cdtA~C9T7|H{Hs&OYt4?+ zwYMPa0-qCxu-g}k&aKW;Lfmf1BhcjZ_TA3;M2pjQ|GgxTXK(save^PL61>GLwENM> zz<(N-Fj&#)65k`2-u3_yBq{6(#+~eGH7@<2Ao+bdmi+lo7tc4X6fi3g#xt+l0_;k1 zOvYp7S;i=4hS&K`NO|_lK4cJD6tNlAEKnApj{$TJ{$KIS-tP@p6?`Q) z`7CrAR)_8I+HoE*_%6$$RS_JnkqdamPP%jkO`CNk-&dGKcJ*JGI1niSa)f*>8CDXQ zEyK0$uLIFp-L$7}OmJKSQ4x2f8<*ziKxmAxDLOM(#MU?o%EM**%~6D(wHk}}U(ATh zviL$p7=eb-f(i+9{NTH*m8xl0;2=CN_r%hqDx!~%Gfh5bI}N&b-M!iGluMsf5Vzzx z*%Cy{uH?ha{NDZ&!2UZp(c|^ zFk3v9dxG`|Ym75(Mh7S}qqO;Fwd?5t@(#V$BP;g2IfRHcCR0_qe0H@MnJ7@@&8b&6 z6N0VZwoPh8gEBpI=Tp`3urrGj!KQ|K@i!}YL5uw-^s1_MjoRBC z{x14wf2d+}0ONhJgcK}n+fZf+|l>dv1w&^xfwp8~ApW-0NZ zBeOyos1jtF5alnUKEX=N`j!)RJ1MHm>!Ul!h@2g{`JAv<+BOgZ1!;<~Tt7L(NOOk|#yuE@GYV2O_+~L5x70I&Xx%jrAF6N4UXS&2(wyfo&UzRk zmCl(|?_{J#RhAycHs@MqU_jjz?s}*s2CO zz?A^sXd%nzaY^3|vH9nkV+iRHBoeRsOvwYyFFAA?%)y;C0(pfJ{`)NCWJBqq)CWBE znQiZ9k3#9XiLyoWhEc*U9Oiezi$If+ii>(B0_wFsBQh&LPus4jbSHU^xqWU0EivT4ekM>Rjme9n-L3tz!G`2tQZvVeF=A%9>A?>qWzYhMkV zRSjy5uRo{n7Vr8~W1sjUas^;y3^3T#3u-;);y4$x__y!FW>AXmzrBT0=k}qCV8{P~ zxCKzMDflE;g8q?(}OUs~V)kvgXN{x7ZX--1T}&FcHF4FbWzU&q2Htb>glcs_Wzd=HoN0P|F;f~ z|KAy56SjXCVR`8J|CJFYDKhys&o*L&uR(j|@^s5rLOlXMHlg9OJq_M=bmY}3fXeo6 zeVypI6j{DpLluc4Q(jg0_LbB^*-2zyDzqgZwR{3A@0q85u9Z8Y z50)mXiEk|{4-4w3)new`^L2D`e6TP9lo(lrA@~AmVuu=Jn!v>nyOaB^>t%OAsbWoo znc=_~kO;eA?`8V)V{ph#JD~Q@(szgM`LTBas2CnOb|MISW1!MR-zKKoA@s7}WL?I_ zlPnGe^GC6HdPU?_p!}1?=XBsoCR1aDTb4yFF9~+Vf7p8TJdE3oOsI2~N8GtSj=Qj5)%zAZ&381qyJgb;0SDktNM}C zuI53>YyWNuOW#VS_UvDL#vgs`L}rOaf|dcx-W~Cb>Mu&pLj>wp{(c&9z7y=k-AkU4 z_rX@=;U5lwzTcy3-TpJJ7S%!bWeS-Mo{n1_1EJ#jYm7NEp}O2{ppMX)Q^epPV8B_m zgIDEmS<3(I!SvUI>37vA0zMBO>;l^^b2j~hZG9|Nwm)kO0wwnH+$y;#6x``?Y++ms z2Gr0cvth?S&qXyF++}U_sbb}QEy_rV2_*LQoSzU_Q%dXuNT1Z%VP>N>{09SlO3!CypM6LYCON(S^fD8%t9Ayeu?A+WVh*PO{Zd>|_BX!ZiF70%8Woi{p2M$-T z+&_0WGtSzlFL4&BW2zhVPQLS?7u53AA-eKs(*aRcsp@-mGZ1%-Q2{Q?=l)BHdcoGSlDP;4UMSYX#L-Ne|?4`&x;FC?4w3 zp*zPJC)ppKG48XA1ffu(dJ+M#k5QyW7;Lszc^jJOWrY@Gx`R6MCbofWJg#q#TM;@y zH$!lh_(qJR=$9|mKbR+mnDQZmi_M zBtT*t!4nWe{>b4e5ty(hdYDJxcNAVH1VeEH6)Y;RD%yigS=HMPXhzzC(S@D56$&OB zF7vVh7qdbRSiZT!sqJm@{y5c3E4A=W*ndZEwbm4tpgHJ%$|v%C8&H!Yupc*ACRYs< z$7(+Nl>b?T@{E|A>>Kumrl^t7&r2X1!}GJFlUj3%ehYJ_{~_ABMop!lg;`&eVo9R; zBFQBi>&};dS^veE$t(Ru2y@QEeX_J&{l&~#Fy%}56@%;Zqpchp*daD6 z_i5(iu+0LCZ428y`6C~X2f#@7K89JhI`CVsFtx!eV(e>9aM~@y(3|87jP2%8rdgb7NI!o2;=9KljwZ7~6xIt$k7ZKj* zWLp$Ule$rE{<@o8@W*z}M`ORQ@z=K6iY`092s2L>RJ{IKsqCBQjFwF|7=Tby_dfFR zvqgt!O3z^%qrT4k7lGS&XeD2bvJz5>Xi7o!58a@a+^%KPPEk3hzFho>sktHJXU%>R0{{K^D>_&Lr?(^-qDCNEa; zxlRz2pjQeaUE?nUjmm;9U09%dW<+dcvjmJI+wX|~n%?~M@{Y(^#2nj`( zmob`2h;qVDtRB1`rCeeK2n@1*{n-yGQktY>bofPIblhP5zj*-#nr-IO^q6xTY}c|Gd0fWv zASOHaZI9nH9IJ|t29RgGUCPE>zm1=y1Y1w?8fDjx7+qWaMd!8G#`waNSy%hyyWMU_ zCH6Xc{&ny5YkoYW#Ck-+tM)ofM3I?fc!I#tXis@T<%JOt-c|`AJiico;J7 zN$Fkbi;2f`&pSv9TNSc^_GK=t@Onz09vUiT79;DzFw`|$Ln0Xz{OuB(KJp}jxsHYp z9L)M|U&5KA6+B<7w<-?&M5w)Zc-E32Z+&Q>0T54+I7UBfBH-pA;|x#5(Do`z$**UN zM#fn`qf{P;_Qe%yY`;pf z3@w}$04pJnA2&wTgH)-WPFwC8k6(CEVg|Re3f|4sR=gb(@4%;kfmzLG2PXGc=}*Ki zXs@&`pWDVLSLFIu5Fwqul1>JVk0=U*dQ-|Ri(=>olJ&Ke@%D7yR*{+~#xqhPJNyy? z)WR0^thY=}x5B@L(426X+pwoJWs{!|vxxbh(^pd20X`a)LV9JLI&$HnUN3*?5iNz%hepLd~%L#vJ}7mysAN{`*6*n0utQ*S4xZo$1~@TtRDK&slC zu@YsunabcEP_db_K4Lmbz_(M-YCQLSFy+RrN9v{Y)ZMQ>XXPR6Ex3zO@h`iFierCZ zK%ShH(tB65Af|RrFD6-ZRVDUbFCJ8ArDRR_rkvXlzpDKD3svTG;zKFhKS&$Sx?PW# z^T=9&vSHyn8)Xe+!k@&=%St*oyFn{orH(%%do7sUydqVL1u25tLdHk7sQdB>A>(J z!ayqaA2-Anb;K=%l{ex>4iQ27faH8)*RzGmy!({epn86Zgd%&C{h3u*NyO$YwW(<5 zIiP4n7m}}R6qQV@yJq9O#5~?0)?#sNl~HeA!_X>nI0n~=Y3&K@xCynfpSfzsjeb9h z=@{5WBo}qsf3S+j>5~R(X4xxq$Jwk2=fbgnx$=9hP|AJV|Aa=T>=V0#B@7wWa*IV@ zMVS@u7--x`*3qdY(tP;2Ti((&=P|u8z#yhI4*c?nW5aV<4f3;>9&i-ILG_B}44Rvt2mAr0)O3|5|L`y*rs=dg^?%Y0vkuwqae^L<0i_L#=Z zb5Y)CpVP~A)ZTk)(fzv_?*!f%j-~~8>qo+mJO0pq?e#9Jij`RNw?)nR_lZR~WtCI- zSb6TwfY+izP$9BziYc#etZ*{*Asc%8%%bsx+v~(Rx6!MCYsedrp(qPN-lr7*RZlBw%8lRIJ?PCri zTX!euJpY_IW?%*-kX6Al$cHs#6+U}1MSY@I#ZM>!1KUJ$>i&VUDxz&PLiGI@^oRGa zsW@H~j%}Vk4J(pQ1VTg)Ja3dgOkR~6rY*2UOT+FWQjItc~F@Mzv69pq=)il=X(hjLy(X47fDo zYd3~;?IS&Pi(0;<#WwR8ARD#c#t}f%`!F%D>IWE#d9qxTn~M?xw9iyya`*AM{84Re z@o*4n8lG?Qbz=cXQf|2j^AaTWX_zU51h0LfvfBNz2DtM?X`fQRyhynLi5)~&oi)iA* zaBYR&GiHtX@|s&Y&wN1lmE(S~S3M_wt@ow%Z?XJVXB{q2n@+;-J-dZy6lgerPN#1% zqr>8YZ1JckSAw;{O{te9$1wG7i}u&!dF>nm;I}i;E-Ir=Mj&VOM90Q&@e=0so-`oi z+>GI~@Fl0JJm?Wf;ubNA?oG+1#t(>IICL5MA+FRWD1tI%>u2(tz6<GcJ^IWD#^zwBAWw)PVHr`>YIj z`T9dE9j~Zw+eI~w=+kXVqXF05>LnjHmQ%mA(xE>RK<`zvm{j%PcZN$Wi@9|$_NP*w z*jieccm_Rm6J>z@IS6u&B9>RuHt_w3WY}pGH<)v}%QJoyTpQ<+*O7KCu?Cox-SWC0 zDn%K&#$LH-4vgILidU>qugVP!lUfA5&uavFMs5);G|B#`d|k@5WNt3+dPW{I_9JS} zEL{L5L49aT9%Io4Y@lONbH{bA(7QzE)hsUWpjn2two^Y+bd2f()U51Dzpshxex{K; zw)2mPzaS@h@K!mqA>Dh607u0M4>-CX@LpXyM3>(2X|-#0jW7M$IK9WC8re5}bw?vs zx(W*R@j1-vCA9k2LgJTwVh)$vN}GIpBh)rQOVV(Lnyj1m4~+pDtn{j#1GloY8mw^yw$`pQ#pmXCB@;sg{Z+$^eCoNNf>tObKBH#r zj?~!kb#Ybw(AdQ04h{`1JMR-!NB8U!u(GG=^d`1z?3#c^%-prIw&4_$T0mS{O|yT& zb9if)7cfJ=kTZ4;q~g%00B4pGDT9RVQUvO~$G z<{9##helDeh)7u3VU|7{1R;DYh z!QCU3xCB?2PiXeuDGi6#F_TS~Ch;Q{b|SZoea&k{)9~jFCkzZgATs;?+p-YTP1X4N-&o8R z8I70hYWD9ln&%Ozm@Qr>g8b1-w1*t#>Dk@AnD`X;xkBE@r40U{7Kp6MImF5GQHgO924(jR(3Arog5s5U}1=8gLIRSI&=E!<_7YxA|j!}E8lZ* zal>x{2*WWY@WRaEWf(-CK^!Z@?LzhJ;V>2yNl6gx1i^%$6V6C(Y#sh{^8vCu!s+A7 zTL{Tg_)F1(zZz4B2h=k#4GInodmjNYS&&);3W)E62tR~|FVKQC{--W1DIG5`4&ezw^FX%HUD*nq7PEW(ZCb%JS zVPR-oc=5u_3?d{UMzXW3 z2aeN0a@GKdB!jb7aIhDIg{}TAW4{539_65bNLmHR@e7$q2QV<9itqqJ;PBuQMF^aN zZiP4xLjfV8-^a!n;Yc+nCm9!S&|fAP+LG~!@NEX6dnYG<{``5t3H~ZyA>>$73qmK$ zAZ`u5h2Q{WBOGCT?EyR>8W*mDg`m6HS!fLx76nDc#FIWkVBs~iDsZM7qPY1_bS1j2dcnZM>s2n2*E;~n_To~KVC)9OD+ z?_Zd=yc}{5V?%%#86Lj%U!>0gZn*4)I7WEI`O$H9c2hP0k*pBk#>)#ICjV8+J{iJE zAt3u)Lla^`0h|e~{YSUk)O3#&S}V9Gm)gVYFJbKM4f9!smHp!@mV_&Yp~%Y4&dJS% zNOeSr6^5ueQ!_JkI9(38S*J7QVi*3D?y^YF?932ycF- z0(oNLL^3v{eEo+bCUk@rA5H?hx&dhU$HvAWd>1Zep8Ns1n4v)dQM)K;=;$mE0sp^P zdkd&4zP|By4j|p#o060iBm||TB%~!Jl}z_+xtz!ufMwzjsh1xNB27-8x|gq@9z3Nnt6Tjf)Opf+^p z-q6+6GYE`80hs$?VSm}q=-A4t>e~7lnDv;Phb~jheDz?1l!}Um_R19ng?}v9YHIMT zdHO)K+uuJhGdmZ+uNRtj3knK~U|^=#gka|CK)A?NDinvj~B39=xy2o2EPy}f;qkN)cd9sGO%(bf}uLS{B@9`LWSf(wF>?YwAa zdCMvs_5m0X^IxPjsR2O8(A&4o7~?W*ck}=Fls6&T4`U0a&o0Kw~?^?%p5R%AoQb6D*;KjXeS&7tIE7 zadu2_z<+RBAy`~oTy_d{&@IddyQ%BfVUFow6x6UQGBLOFe((ep6bwH@NG?Ji3IqCT z|5C+Jwi^-I3acM-5mZ!^T|9X#JhAbQ;dKIvcPlXJ>9zsFe}Ua~u*)x`C%_nYr)DuE zt<}H0({R0z`!DS@Tz^AD2z?n7b_b}{RXahPjSX1lVFMW8d4r?ZFyPgJhK`Qm{CQ|K z$AkjhOc7Q~K=m{P(v?GD!9y#8sI(%tFHm8u(|;M}>({k)^$kri!_$zC$CNkRh0--FkOSAfX@AHHyF7S}g;!Y&&u(|y(K#$47IxGy zpnMu*pT>!Y@fdFQx1=Ey=?z4ZUWQ;LC#QrW_^_`{hqW?I?_sS)3rurNkJCM{0lEM0 z-|e;Rbsp%pqW&tUasDc&;m6r&MUY*G3}nwC%W;ShcFCvkIs?W3pa4WNQE+l{Vy=OZ zsumDYyru%t2K!7LSpA}{6AG=@*F5X1)a3tJ3rZHG2O5PP&C;vk1UJBR93M=zlIAg4`% zf)evH9ORKc+WP+`Uyh-jgFJL?_UN5n6(VKo!6Q|R(G9&xB&euDq$B{+D!(`u=nu3R zh~2m70~1^x_avBa9UQ*5ZK19|7Jg}UP8WO|tPZdwTOk*@jH`P+<#O8#GP7`nKa(!J zpYinW${J>ZnV}@PkyoEj9k!QlXWF>1j>i7Tb!v{!crm=)SkLJw4e%8h^RL7nuCEI^zKGN)YekezONifEI(hn zCq@Of_g9OXwxZvdhg)@Aiwc;Zd+6d%^F}y*V~x`A?)r0l@N-C+eV(_lhn#xysXIQ? zIH50Bv#_z^nC~^qmF8Bg10Ww6Zq0N)ffwJsU`}}acM{P)9;MBwgdnHtB2f-Gd_XC5 zLeaDP$x=#H$imv?dBTYZd)<2KBmUp{0TlP8hh1y{9z!PDL~Bp6Y)bLlc(55`&UeM0 zmv5IPOG7?C!w>t=0eF3qa*umssXlCG3xw70wY$*7ynHhM9!;3AXJ?~62nEntq-?kG zRs3Gtz2XeriXGd){ftr!ZhDok766=syw zyO!4Vog{V|@E*1qog;Xk-loz{B`9xUy}~TPQz3eC09L3R7U<<+p<>!lhzxW(PdVTm25Ww7jUHyBF zP!F>l&$R=ryBn*exZrNd$epHv`n8B68#`rfqqCs5atq9b{a(_S%el%7ObGzq!mTD& z>Bo^yeUb4u4ad&PvwtApE%Z3-bWf`91jpb3^;;CYsmjAySEC1|bh=hw_uG&cJ=ON! znSI?@&t;j!0>;eFXsT%wP^0KVmQ2ALvw?j~HS~FT$_jTU=+l@g3IT6TvCKs7NS=uj zX*R*yrxT|3w1~rwmFjGG1-+6%qz20Ck{j4o1Nh|}M?5DJKShq?>J0XxFIa~NUN(|? zcFYN!eG=JvKcdMCR(JVJSqwdP^LQ@$@ku{;piLlhQ^4y0e1Bc`Ff^Jr^8QIEqefbl z`A2*wTl}V*^zNSW;WZ+@Ux32L1){dD#A5+N#ilbXh6;{)mKODfV6wmg5)!fBza@dN zb5@$uiEP-bri~iw`X>5)Gd*l-uT@7%~o|t>7fsZTj)MOL+3<@s^X-LzxTb8kxw`n#L*p#mbx+LBb64+5WGscN8Qn zqet2%?8y#Ku+CM7^Sj9BePw?3T@VyK!T%}a3V!Eal=ip+l?1C-o4=n3)jc%(rs7Vn z(zykc=FJ{Zv3JRP`wOhJlWP6gB6*c>YqYPPbsn4dAxXh?`QeR&; zV)3$Wcq!&C*Po5cG?f<~1n$eo6`Qn-Q%dvl5aVV{J5yX5)=bTC3&@(poiCKQzXRwz z{}7o^6L;twx+i`vKj1 z7#Mlcv!*EZo6oauuqSr-^G-HXG48hzZS$$s^{S$2>7~dCxmArf+%D=$oxqa(&;XZ_ z%Xl#KqQUY<{K3@@C+yk&2(Pw^V`^3+u1awCjoLL9v}_+CON+1k2i)R;>8y>g9()eh z=i=g)V@gUO!aAiy^SL{_Nh0@*(@Vl%PjVT>;g|rI2U?Wmc@TCZ77mck1F0pSQU-q^ZE7NL6qb$Ekoz=*WSN7eg82uo z243>=vlOS^Cve6(uXi?~z#)6v_REaV#n}K6y@5X#pS=t3meLFvD<(W?KE}%WC;()m zd|vEw5?r8dKmKw}(QjF7W(iT=)X_xa;Adqic?kpB1}C0E2dWj(M50))rhANGyRmBK zQ|Qne4|i~q#AkRwM`cIxbp}?cN0V>987=l)Je4nK%%E6P|JhlbK3lI94rb~U>Mt@) zneCn%9~mrj25a&1cSMtngga#xXju7w832ig?KQYh70!-VdtMz`Ffx~Z@YJ4v)FUh9 z**fp+1fLf5FOR({{1~HTpGTGxyV^lBEB^#rMe?QIhqH~|a#9Li@N@i=;;gyyS&iTA zOs0_U?=GDe@jEw1`0k=$Sm0x|Z$H5IFM;{Mic%x(*wHYUS7&o#UD_qB)W-*p)h0sf zgRC=9BwWfU=e(+c#X#GPVrmh}q*Am#jy^1`aMPII!ZKC^#D)^h$j<$pT%h5eP&heK zo+~z+g{1k?tw&yceuaSvoV%b=$fzVgFhj4!8?t>$8zJ)4>L~>)?ZrVa6X*H%DG-Kh zRpnr4-S^dlF;(N+rgg6Pk0olg-9QJbxBmA$?ze(8@ssO8S1aBUjRt9^zt+^ea=H60 zkq@(pz>|W9)1SzH0nU~uPncdbm+VQVT#{neMu~MMlvo$%?uXw9dU=Cey8AT}%nMTq{`xDJOASCV0oK?=}lr2l@ z7O_JX$Ij!%TF1Z{?C6_{De>yVKfyVl)80D7O@FqZ>2X?!9_x~A?)b#jHG5#N@LNCR*@Lq~q z)?K3AI#pGHMi1u`BLgcr&`z(2#e@**4w>%6vrY5}J=bREZk13Mb;2$K#Lq@-!27`t zwnC*xjv*74CtXv6T@8#+s>$r`ykcdNp{`dXy9UNGW)@~TCr8M=A0O;1J`G!Se0)(Q zn0Ias*EIF)-Vij>gwEA4N`CX}Z zfBhyS?q2!|YQFI7&y)9)gDq!swLFB(V&D&L&BxC{6{v3~;Ra=+IEp#uG}%nT*j8qP zgRJuIMt0!aV;n382H*6{SoZbz^FkeXdVUHdzBxNmV*Kepo5>IjmYyxtG(<<=H;t^4 zHKU3)SUPY2j!|dYXnGfSylf@A4%}ZE{PrfOf~8-nT%c)9IqC{}mnQU!;qqH5BFzRm zt}CF!xIEBc_N`)N2ZR24_SZsHD0@0>4`F%EE6up`d7u_hlarWCjWM~tRv8-2=?N=W_}L!aDB_a?a;>w^%3sNBJ`NA56)AMakfQFeU#LCF8-{L(DTf z^!ypKDZorK^JDWrGur_3KoJ(x{zqmT@WDB<;Otock=X|SK->SB*#_`2&OZ+-y}bWh zTQphhL(C2RznSfSL(Pz{=0{t=`#%gaeF3uLm`3t`Mc1hWvuEW|L2i_nDJb?UG561a-J&GKR| z2XEF7KA)GxZQ>W5qvb<)8-}e2=@q z{{HFW=#6Xsm#^V3L{QhDTCMX$4Cp3%wg@k9nSkN$<`1J0!Euv50xe%GTTEWCWh!`G zz#_&fwoxo&uKEGeHnAG>{3P%0Jy|M=a2EoH#iZOQm`{fdpuPMBC>CMtM_r; zkoA@rBJ)q|`on-%h7P{&mO_X~v^D7nf#eyvRz32hwKH0X?@7XQI9&D6g&TG02zZE!B808mpFbijcdFW z%sT3OV`$gDQxsod%5%UYT=Rs=Od|9vr_KfMq9;{-J(Z;=V(+%<)Py8aQc;0G%3f+W zZe~@=@#x#L`>CUutslBCl#<kP9!4^&w*vLooEIQ!3CsZD)!{@2T>6u$3> z&q(KkmqxFwiJ+x*@BWu^slu?2)Aj7UkB^=9C&F*ucIV+sVNiKwc#EeAkQZ?=vV8TX zPS5}$L+Kntcb1&F%G@Db^D zbJQpqyMvNR^h-+D}glUg~wRpS^g5Wm}OY4200j zaTH4<-i@?9fuz~kWsE%HjW{puEbl2vD`DAj&Vnx`nT-yjJ_(Ont_$a_{6dF2hl~cD z`>o2cs5>%UJmw98mb*O(J_nsI!y~b9cfT0X7-f+e!a~b9@bknuEB`1>HdZkftQKD@#bOvd74D{HOE!RcsS z;8Xs}e+(@0+;1+i-C@U#j5pyD*(Fk!43_wqvpxRWC%A}v;@%0Mw-a!&?WCi+LDYHi z*_fkAopirLRrl9N#nP!SF3tv$4g&%&guj<5J579V3Bws?gH+lLEox>$C|= z9)Lh6zn*+>gyDtEmHHp*Mb?}#V&-{d>5pU_5}q_HjoSc+JwG0%{R1p&g402DYHD{6 zA|KT^HB0Enhc5ch*R0e*^;}5V7ZmSw>=V1ex(~+_We-Z$pC8C52_2IVtr@i|fqTCm zb}37mzg~5x^9j)Gjb%S;5gq%uRryeoa3HfkuuAOPc$)sk=KE*mZkI-$ht+C>1d>73Yol;Co-3vf_yb*4biNd`Ik+(}{fe=_bFH`8$bP zZT-$1?gA?~cQ$9%Toe^aHObaLc07nrbYFW=8N25tU4tA$y@Hz`;JlB|zs#15pG7Dl z7T_?cZ}Aqf*z@N!ExsM`Lu{ks5=c2!s`dypic&~#%D_{XD9d+^)5-0iX`B`Ix}7l* zFb}v}w9Sv_0Y3LeFzR-aZE0g=n8UB>Pn!gu*l0%9rKDP1FdK&|J>y*-c@gEAFzkTDS_xqozU4>RX94?WcJA`xwQ?Dya0Ke8T z8d@!qV7XNKI|YGa$n%@4K2L?>QYrG|dK|jbWWjlwa9z}h3dq|{vDyE*Y@W5llSZd`C|gEtMw!s+TGmxoxu@9lSclN%~HjY^|r zkBR+TNd0dtUugdM^G0sPd&S1iR=J!8y z&;}XYmpJbxtScNcku5Ra==RF0>7HIZx6&orUdc?9YN`$BOp^MZwOSkMPAYEI~n0@whdZhn>3HAZAo6II|Vyj%0NnP-rhjA+8_dtYl zHFqV=DhK);)uWZBbYiYPM^3@L!DnhR4hkLps~^B*6`p~fMt`Yae)V_e*s~$(K3V5= zud2jkN84}#{b5b;U_?4i_B)QeiWjP=OP5|dy%X%x(c?d|Re?jqLo7UPND?6Il9+xmx?;>d~@uu#vholM?uXo0DaJVOR`*Zam^? zme-2b3;0HT;c&Uq(O=vwTz^cH9r$d>P#5YfJ*iCLi{O7TsVkHjeD6d;pHJI=T*G15 zSQcccdz+7m3^#ue~Zy$seZc4@Mp) zsQXvx7RP({>&!=PUCMQ(_w4(2kkU(yV;wf7X$Wk>BOYH2jksS?H7i3CE)g1#RO?iT z_hDxHkeD%rD47X(33<4L(U`m`Bdy5>vx&Fl3xd;L+wbzt~~{Zk$b_cDvb=P#s51+{3>c-|i4$|wC* zmcFD_clf}B`g5v8rKjw#Xk@Wj<=RXSDoC!=M09VfANx3|~)j0Z)Jc3Tr39Q4b zPI`^6WViX#EPoNn&0GC^AB)%Js~Bn-)M-68z5wgi>{}pa#x*4+R4-gnfox$;)1ZgA zE3-HxgIfPZ{R>c@S3N^<#Qq@V%F5F6CV}Y&lPO8Wl^x2Z{IB}wEfhw8P~5$$OP}y- z1bk!<28bC|=!CYle3Y0o@Z<%F3k*-Z!O1%jX1*N#&f1^8^j!J&IRvMv3H3i{c^+#s zr~mlP`5cVZ5x?FqtWA~iq+#UNyq(oBL}wI~xuxbjax5lg^{4eb{yUmZm{F6Wh|~!C1a43UvM1 z4TIio?~7Q+KK-Fn^2+88n#8=o6M;ue>GzkouXt~ zxQ8!vNejIH_J^AOvOObDT5(w$Biq&J?2^*8b48_}l(b^v>xaIB-+I-rC(E0OIe9L~ z$p^)ywX<6BUpw3^ET2t#*DwEI2&~sJ5%2{?P3bzm{B>cOyhi!w@WR^VtatlI+>Sme zZJa>BGq7?JThrR9q~#N}sz=GRL*XKoh0TMs&dF6eJ_8G2tUwMf zkIVhgCtV+hHc)uL(JQXF5|91zO_z@8<5OuKS!Hm4c>GYn$(_&(z6FuHpSk5AF-K}=Qa5CV&*vK!x@is_j?Z5iGoU{=t#h%V^g7L(C* zewa;qKC}c>_7J=Ig*D8S&j~k7@C3yrmoAFSx&>^KQZunO4t#S4zG>19h%hQR_s4Yj z)rCLy&v3MS9>ji{X1Ea9Gp}^F9egFc;$N|IPW18>gPRZDpJVrosr>fi^0g4rM=diC zvib(W!t!@qvo+p^mK6tc=k(2bX>!q!a+z?)z~_^~M08cJ!Q0QPX$~U-sva><7S~WT zhOQX}ogaU&a)?-FH~*ny;s$DFu3-sD!V=on?moE%#r-=nPHqMD%QQgL_OrCUH7hWU z77;TGOnPCDVBHnbimN56&6T$FJLv?zgtF%KO|9VbIm4U&OLBMC6|CGMqVURaB?d=q zv5h{j22uL_RGz&I3PvWDc7AJF`#*^JyheOYKO|%I$F_2O{kKgaji_d69bn`-JV(i7 z;=|hd`A2-4yvq--J-%j9K{c&f(ZYUu*?S=MEsh#Liu;n=`zOOVd?GeUpYh2&LZb5O zn~u)W-}Zh7KA+$aF__uT?)@R8;}O;K^egN6rF<*sVcPTdLp|5@LeSXFBY)d3dz4!^ zh3}HWjl>?@xP#NjYRVZ0hQ4cpwFf|=iLzl}(yJTs?!qDV6m2AFK~o4{Q)M^5H+Vrv zymB4<+84HcJAsXVvt;Oxw4qsaLCx@&KUAC|VYT(mzxe_RKqVf-9sgJB`$xieTG`}{ zkju4;K+#{^A-G`%+bXzF7-Sg)R^la${xGTX%94ABZyxfXb9Ry0+sgTF)9&4euik^! zTg_T+HB48`QfdTrJX5o)kMU`wbu8VZQ_EWCw$2d(lJklh&dDv^8hU9tUp2H%tUQaG zwogb{M2)>_+dmzk0DsZv!$<)+-$bJ4=aeak9tJ*j_j_-Q#=TyrANZtXQUoZz>E6|_ z@7Ts73m{fr@q6?^>Tam-<$Hyl$5L8)mR7zX;OT2xAr*(%s&%2*^urUX^ZbVQ!jp1~ z-t_O`-h!4vFvP%R=H$_`Pfl-W72h)bi^e7*b(w+R_|4t{ht%bu=b*-sR5zGIn|>xy60*X1)D zLJmQ2#Vq*4w;g&}J=?%1_0x-}ixQSD{v}nN{fpcxn(+xmps9E9hP`Xjn<4ZcGAdK= z{*$ZIx1v|KvFT~wBK)^oIY4y9oMCj+_w4$%^V#|5{lFRldG7!Z_UcB^bMf9 z#;fjDKk{{zR{FuvH$FYnlB$|HQX|L08MmfQJW3T1S={(lSSGS&|AJ=N3U>OCJI9WW zg|y|fW?o6xkSBzXz_{4~uChiD+iyy?-fK^W=P$i}-@~9){2u!5jpCE@IzZ3VtgND@ zd(5LjT;k#Z9s#eU{IaIWFN?CNIjy5=aAe>`kEoJP^2-_{W3T%m-I8Op-s{P1ubTHC z4XIY?zgorvs?W3Lj+q0J42*4tebgjI( za|D||q5Spmjgl?r{JOCXVx^lFUVh+dOW)|%UnY)8Z2XDGyz)z7am+dz8n2vfqN@9e zXUu{@8-Q?q76CckyRAcnICfLw)YT1q z{&cE*TShXGN7(kUw$Qk?0`+Qp5q>xF$e4hro)bC?kD#QS z#+JTM3p;eYKGoj{lz~U`Fby5EzMXGU@5ngK^RK@SsIFc&EPD4*RzLN5YxfeM){Pzb z#je^%f4#7o(45I8*OG-&q-_GBic6UhbUl9u`1O*cb;%F;$T+{OS&$dmTeGkZlQv1O zx!$#XhC?AN1I$uuPAG&gD&8z^p4z3nBxB|5QT!T*l!4F4^7V%)#1go4%q|fc1_Z|! z4=wFsD?fO+N1#+CBX2)-b67z3rN=tJ3UhB7nh|kp8QnTBap$|RwCQKAdLz5yJ`!Ou z>BMn%AZLT#<+Bed#U|$D7d5x`4bIIkdezqbadSsh-YB`W3T!i+=atqrqv915kyEi~ z90M0LE$vI2S$V|nHJsGXE~pw!ok)FA(KcVlCSitN_w{>R4@DY}08gNV9i>Y=hsdSx?OxmibWQ_W9M)mvQ1tqhn34v_%{d%fF5VByB{C)s+!WKP=~G^F$76T0U|4|h2V8Sc7@2+XY3kScz{hE=J-dIfXuQK;Hn*(&Vm~ih z-O>)0Hcyo9EFD~sHc89c<55%#OY8s4CD1pteyHo!YSD=WKC$>WhrPP8e$6Z9<~yE? zkDG{I6DpZlCW>}0NcZP{p92BzQBU1Ms5KqDlDfYkB>bTj?ANtToSO$$_Bm|s=9hr> z&qSoO98$M@A17s3H!W@g4pntkgZsJpr4=nb+x*hNq3V4P73X!&5Lz)+^VIj<3xszb znOR%k55{nr;Smwkk&w;Au)`3sjf4DjVPVPN8R-ci8uyn~uC9T=Q7r_H>d?qv?J4+6 zMPr<&?;+IJIzByz;TIPcmXK56%?e?_NP#gRGvt^tGGJ}H+kah;kb{JT z;6IRDD1!L5BFH^7f*^hv%FZADD!>dnMZ$JT>OTE^UtjI zP)!K~16O0zqST}q9rYzlKv6FA0K+{=LqZHfmk_&zM&Mj%mdr&ULJZ^Y^!6A)P(e`< zDr|0J1i=mtj!w`@N(%W^h-pJLshj7$w6yeA1os~q@H6-!kmqnOnXL#aD;pkP&R=;e z1`33S2#>M&!+%ME|AFY3`Z0ka>Y>&3ABOH9DiG>P4?+>-5VOZ4D+D=ig%$oOBVS|5_rrlw}02q}gj#vsHHsDp7U z7w#ey!Na(>Vc3Qu3=oWlc%X|1hOUcy^cY4$0*2gAhFV~ptX$~Z4n>f6tqAfSu3O;x zzjWI~5Ix4ofibvvmlZ+@nO=CLy%?rIYNtU70db5`8=7x1LfIXB$d^W{ zVq@^qNFh5u6eFV@9}c9`+2kYn>;w?yCFpEMAu4EizEoB1WOGr7dMiQ>TMcYC{}{C4 zQ5ksJso5#m$=FHI!UBbVyxACYcy8_s3}X!w2!4p7Py`#QSc@Zt;7(pzAxLQ`g1mwa z4nviem0KZ17gvS2`b88YL=#Y^X;;1|*#ibTq{q6q)V{7*1G zTOkw}pdQoYN5>|=LPIZ<(A&u(r*@*qS)>3JhONgmZrG^VIk};G9VUG)f)Wr|LN3~g zVGBVAGVEt)L?A{A<6k8O5|UDI^vK-YPPRbW?lNL+Cq-)u4+JK={q5VX4)ydtnCCEl z!Vh34hXpeobEZ){J9bJ|C}b}b!QIEi@89+@_=krlCx7T!0Vq&kLX_xWdSg(3UOoX~ zj7ePw1_ab^{3S_Sp&~o?uOS;Tv_cT0R)h(IlVTj%CNNA*%^?|UYws8w0)5R95q8?R zu#O=n=3#tKSV;s@Yp06FL#?7B9HL;tG=?I`8+dkMo;Sa1MZ?R(;-Z~pq+U!0KIXFU zeRGq9%uWfRjERGvnA#x|ONa&u z#H3--2d26}-@wbuPNpXu@v&1v`T7>9TA}PTtx!Foh<{InL+C0hJkkj}1eRJv-ob)O z;g|@d%nBhbFNYahTZgHl!1VAAL6{C6nQTQ$LQqpvF=42gnN{Qg@&)!me?7|fg}9g^ zg%w=fNR7^cfq1c%he(njZMSP{>`hHO5E` zUEBW(C`=Q1m~erlZjfB1{$RA^S*5=9Vg|?i{5%{S4{Bm(qO(YVM=|~_(y~y7aW&54 zrRzq5l7EfwZ&gO#?F6z5I&I}YK@@(djy$S4^3W;EyF727g8rsQv$*ri(}K2H+sb(N z^PsE4-c+rQ+C5E&t-|Qpbol5t?8fo4qI%m{ZH0KrL2u=1-;9a_f)OKJ{M(GygpKFP)QzV7LD3`1 zkH_B{jqm+X>k;pGe7t?@!@#kIwpQ;Sb^H8G>`EYBFy|ZN>Z^3;s`JUq&C%fJ$8YZ} z#!6=2pj~)yDpZ*Vqy-#@=svO$f0AiZ_GO;_R7=GoD))`PXe|mWb@WHz0(g-rW&YdE z!o?=^DZ8e(sfeHrJ6U(4H*Ip5eeV2596Y@Y^%iugLIAafU?#`juA6 z>e}>X;oJ#ekPww=p`~O!ljrFAP6FJ1|V^ zULEU*kXOIVap9VzAGlfkX1EmZRr>|cwVTn2s8N@9e4JSAefx@MFBw@R8mhs_*z~Uj z)?17>Wj((S-wnBOmZ~jM>i-#wB~yI$cQCCu*yS}M%n`e}oA1zU%w8$7@av0m7m2>% zv)lBG$y2a^$re zG(VOAd1Dv8pj;Xb=%h*QKQcZnp%3@5{>gFwXE}uiBY#3bJIJ3)6gaV#R`{6rO(iB( zMTfG&q(^Pv{BeiK#w7;ZEBe4~UVDBB_im$Z4R7nOS6h7?a&@}V{_mTpFaD6_sP?!B zyyIGT_a*~8bX(k&z>eoIZNpJ*R@*n}+S5ggjfW_y6GNAf9eTYVxl|%2__l{g9 zSig@Ox_?JGEq-9CClR4JBJBga6>A5>OIdV>k7Wm?b)~8leQnrWMLyEG=BRPmbt?z~ zSr1vk{1pn)vbIUxuAn1EUlm4?w|03s}CeJ@^lD_bb2D6TG5iU($2tJQ?az7NH zE-8?Vrcuo=0%mS1hjR)U*}uwp@!szSnO$>K{IDeNG;Y|%5O+{RUjfqUUg(S0zFA6^ zO!=8drNA}RfcJ47|K-zdB}q!vm;FHi`SyX)c;)a)?8KCjjH1?+E(-1z(c5J)r)?J* zxB}Qe0_>ao4aq%RE+MfCYa;0#j-HM^3;6chE5Z+3@}nf~xB>Kpe`sdN-DFpf`3h@E zsxSQW=%DEo*-(_Q*tfZkL}PF-9PIrxT|BYR;#+0hxI<=Yj@PBTOK)8EplYd9($OAZ zarFsrMsaU7O%)b1S+QPSpx`Fe)Z0zT+^F=K?u+;i76fe>pIop0+ziL5b3WQ{$0;bw z>}17$6O3QAI(xxq4i1NMKE&&Py+KZ}Lh3J7?l6&`|6cRW)yIP<*P&k>EKsx!WDxSwgW`+ zjXv#Y16wF{Evvjw%{?rF2BS-^gwH|A5d+YqxJ(fFoh%PuI7~C}?yrd4*uIKuKUuC@ zqbxsxCu@|9+LVp#SCsA@N)B2*BhE>k9&jF8wT$WFkk9*A2ZRjw3fsKUl>H(6CLJ=h z7PlD>l)T(CX;(-F_Lcc3W5Cc{oi;v=!1)p04IR6knpIi`c#|6?nKixz! z6LvkpiW_kjIRi2I;AMw%jMElQ!hG&3C4*{09C52(aX~7L!uMXHF7hO!Nud5oUe%NS zxr{fR*bm;bm>8bGt(17K=j&8s$!TiOemw)|1@j&}#)J6z>m_OgY?-m-2H3-vv+!HA5IuDb z_*2A|lYkS{cBh4Ng??Y@dbst?#mXzr(z3chHZoEI=Zhx~%7|0yD9p;& zI?6Y}-LxvwKP8Tnfi6k7mA&MFrB9}Mrx!1f6{E*#SdZ~=fgnNIDb~PtwV%8|L+!1P zj|Kylj#<3E+2Cm!pX~nB^a1RYB8gHX5^9BW_Vn)DVKelUBIjK{WGUe9SQ8is$;bh& zq=Ai|xXIDl^ABgqe)F6bbfonKZ2lMpU(#zH(gYC##qWw*cQ%B*W8e6^>sVituFC_3y zZ0JNa=nh}3=RW&#Y9OyuJ(qm&)a`6DR{~P7i+;n$&0$g7zEFTPT$ixqEki^HMTmbp z&yiWE1s0TnebXx>zmq(ZOt5kUPmC1g&CGik_Cvp&4mb!mj~ZH>2Z8rh+>Q<6{)`o= z@lx;+AKoYX8tQ4Lna~u>nlmdqd=C`d$Wl+RDxIf!H@kXkMbwhrQI9W^>(W`~qxi5) z|HLy8N!ZNix$}Xc>DGpAr3^Cm;dyA)q_uqez-{Vr(WYu@K!9?6+enz*)}=OaZ+EP$ z_=6`vfdF^7xbwq;Xr%egPt075+b=2Ow2Z$PdYZhc-!c?p${_?% zIJnB|L~Aa$->829WE8}%>vr_A|3qqG1U2hP@m|DRD> zz=@e&1y(5kCzSTzcMOntl|a`baBh=*Ay5P1;7ZJs&5V7&6ZLWck4R z`q78+d#I)Q+6;nHFt5*@#uPj$q2$ui9s2Oao5}F;0`tumJco1-L(_W<*}z4gD<36E zQsv7wNsm5-XFIoW`SB)7i9BZKsSyr3&Akf-p3>=8$y)3l6F13!dbhDQJ1vcVCu{fd zd_S5dG&b7j?NTj>SmpXRUHJFz*c(pPA|HzT zlaM^{reZ!yaLpKBp=LmINilXq`nu0NDv;%E5h5*}c2$2A*g0KoRm@`{wB&UDp*c3J zJj52Xcw@w&mqz@Pg&x5!7BHG!w$RIqYG1Uj%xu(RxoVS6-yFrH_4WD+;mqxioT;F$ zdZdwBE4(6ers6Um(a=QNBw4ssPtc#>M}?$$HL))M1Ad5qMC#rB=`&R%}Lbc52JemGiA5!w~`SGXJ8eN>=lJ=fl zzuvm9_Wj%?@an5`HA>xSzdVV7+J!dKuJZLq-m}1Kfn@$3B4x992_Q1*e!!>t+n%-e z=8<_HLyjv6l}ft4?vLBK#sX*BoqGV!_lBVaL$@q5qN11)X1wX!kM?bmNhTSNQb>T3M3m-St#(vMz_b5tFm2WCABd7*=}!(nNWx2xCX zL?5J`UpHT#>J|CH@bTFI9O`wm3}SspDHG+_-zG=E#Yy!-Gn<0@$urVB8431&%-HT= zJc4=DtDr$%v1u?v-w4|rE5g8BcAZ%i6}{m={wjYC?2fy1hi;mm?CQw+iW8*HTys)k zUeK|pcxN0SEAKKm04|`~74rL2R#uN#B_<17o9E;WCND*oGCfCyw3A$(v;-QU!?G=C zanznl7dt#F+0IXL!$*$Bz~x<~flg8GbSn@Kyt5%uw)mmvnY_4Ufw+6akPY) zrHUEUxR*wOh^+WbR}BZfN`Ql+=fvo$*E`|4>SW;IFJUnBM*K-PDANw8K6`%`%aXws zeJ336M+Cv`0@+FZOXT{FE9Rp7E`alPn!pb#$rqt_TO8FQ-}nbzJ#V|Kzh+{msN zc|`fRR=(#Ov>{+J)SEa>;%J{V>--|Cih^y2!QMi$dueR^|%JIV)jQ z6M#3}Eb)Q+&g}5nTlv(s9m%OA`5o7~mDh@-rvMO1e9+L6-U_aw@%0hb_d zlw!*FNyP{EJ6xq%w`p()ZwbEfZ?#MS8{3l`jzFCuoW!rz|Flj-*jYhuyzc6wRwoLx zot{8MbHYF8X&lh3jx9`lMSm8~YwGD!X5Q|OyGo;>AxJ6uR#77VVy-;6H7v(J?6Kh* zY|oVBOyYQAeNwHaIL+`pOYE>>q?qXkWxnXlk#+1zS;mnk z04kYZfE}E9$&GA&Q$3bD+t>}>mvTSrR7gF|YP!0g6dxgfRT*&KN{MSJRLOL#eq~sD zZ}X^P$G(*qG3t_iE%|^(0OtfW*;Ja5Sk&LLGb}e9JpY5d$54)K(yF|Q=*;=dWgul5 z+_qKmbu#rznhr%Nc@GJ+mAh|b`7C<*Yy4`_N<+U%21W-RONClFMfHZAw++|sk?UQr z(EqHXC2_!XKkocb+g;#PtZeBe5 z$m#vhN1(>}+hJo&-R+eR7hbqml1}?^A4)E~@RL)IPWhJLa)Sh1XIknzRALDZ#Gxle zUuAmM{FCzOOIyVcUuEd6EVi*-Km*+~@_E%Mv7EIsE$iFX6^~4>>h!%H{6UTLMV$b<#Pthe1}aj zc~ka&4(5XcLO~fP++#mL%r?z$VPlg{iiB^XS2w2X6FC@uCzUyC!$Z|IfSuz!num(`qZMp-O??4^N*BF zQn&6=Qhyr2<+-Lw*mU_39>ewv#{`r)Evf1Cp8udREJkB2hK zE9mblZ2kb(Wcka$h@$YzCj`YG}P1H?|YIRq|$$VIO4NA0vrjB>UT9c>w3$c^f4l9Tl- zX$4P2G+e&@s0fqEqcdK5YJ&=HAjxd=I7d5t_u=s`mZ25!Yg?a*F>a=Vh@9-^t}CuR z&5O%VcYeouT(J!>7=7Zr4a#3qpm#rrryKuDuRMFLEW+KM<+P&X=gOfsMr3*M;47$= zjPd(s_J<{6f?J8bW=<`N@o;@?(d^Zi_=!RF@Z?`0xK4}Uf<>T-Rz^COe$`5poy?<%bN&B|wYLtdqI(~Hhn6k_0a<`_gMcC}U82&U z~ZJ8R#&Z_cUmBAoxLoom)clUvNJ z@6IG;rZ0Jx{h_lYba06SB;t>9zTnYo8itST{0VFH+M)C$y6YdB_ES0H#S#IlF|hCs zDwy6oMd(@e++S9W{=Uj9AghvA#bA<@R#5kgI}22e&Mo~WXXHy?JK=v`-!rp%26${- za=y_p#3bcQJ+T0^A7H&{hS47<1Jf6-h$-H8Xu{=rT>RyF%jo1*N;&od*kB0Wj=2({ zl)M%oBiCJu7O)ZDME;UrF)g< z>QQ|DH2-ugg#)Luj&(|Q^Xat*z$`9jgDHREB5Neg%<6@(cg+OU+)k-foRTUJpJg<( zw1dgT->26lv>#a{7YxnpBKYigZIie76nz5r4@2`lEnESbCXOGE{@inZ$;=*Cj$p4S zo`>0y`ujdzW8+*r7EgW)))1?)&v~4`Gwj-MsVQ$;RAMu%tP91E@H&zbkHiP zy7WZGHP7G>U8H5ZAsYCqtdCR?I0UA?X>8kOmeGBjlK$!IxtxJTRN2(>j+%y9WX-mo zEAYl+yk$RQnRC-fIjE-r1|MU@tp}Cz$aKq@W_%{p4M*{j>z$Ny&7T;}R=Xcr+Zt-vDz|@dLPn^;P zDv?$bVpiuv2GG38lUvNrBU?Q76LBo&5Yx8|YVI69V-qs64vi@s`2x;Ny+R|NzpUFl z$MOh?Zy#rtHwvG=_|UDaPvSxF^XZ4c+_XaOK6XV!#{~?-HSUM_sCNd&u@@}u8Xu1= z=vubO0+Mxt0neR_C9o;i-w_^6%)!-L?_;E8a?}WpFm{~M{O-i8w ze8!SeWZ+-}sbpT`E%+zRI3j!1yEF)oWpW?2maFbPSn+|zmN9{aMWeT-OC#?~ji za15V{F*31YXl7ym5)jHF)2r{=IK>2abX_-&%Rl^PzMtO0AlUp2X`{Dr{Q^?|$bUk{ zrCzE=7Ol&hx`yOqMMR`-J}M*{9wV{9e3%Ma*#n~&84T=enm0L%s_NS(wlM8e(n{La zeqZ7cbAfkPUlo$IjvHYY3LHBkB#~3>`DqaJrh4V=&kszW19KkEVFT`Y!a;E}=eNJl zb=DbE4_ViL>1_+L^WOU$9k%S~oIL{0Z#=MR8D9BKOs}jP+B&y?Th1dYKEHDwkD-W0dw=X63Zg%3nm!J{XI>&z>Ec_f z;#4v!J;odQl3gl%(Lh+j)c`P?`3(iO;$HFT8jvrO#?eTt=-V(cv&s`g(2OWXHOA@MQM^leG2gs%1bTsrYi} zjFjt+c~De&OV1Ftfu;Mx4=Q2Lx-S?wml+j7NbleeX3pp}S_hXJX6forJi717Z+zMg zuBQ8{lDLR@1EjdsbdtX79j3S9R$eJ7uM;hxKWL$Q64f9g>k_{B10UQ`)-tlK>*}BS zEo0*|cZ?+}<=oh`aa+?gBI$MU$j&jqV7z79PA;I7nASC1GNGkgRkrlU75n~p+E1Lq z>e+oPz$|*#$!!`3}k& zgry!G-w9~o_kH%VaQ(M+WaT;4&9pK#r|-``%EugWQiCOT)r+GmoDS>PcpT!}b||!r zU3{M?pgHL0_WVAh`jnh)R*lTGlJyrrvGLjEAmMMWcLztEx)0!FFa>V}V>`gUjl2hUv{ zTmR79%13rZ^P9qA@@a=2Yg+Mnb=o{sPiUPxbv*Zapb6ZqP9qoOP(GJ0NEi*EF|E{l#TjRNVx6$Fb%8p1(}* z+B{Kxl~UR=_w$&Plh0-1h?PSwdXe%z(Dn^}{oSl=oLI>AY1>7@0cqWA0yZ@VQ`($e$vU-x1`UiY^ne&Z*}@Ej#H{&kg*)WF^6Wy;b8 z0%i_jt=BcJiw9&JPo5{uulz9pPRY6Ns%wY7P|@=|+qk~If#>+HiBGpg?c0rBqb&=P zX28ARR(H3!?R~zyGP7jyXPE6xl&JfFarNQ6CI#%z<8JNcJN~oCld|%$d zCtjtlBV8s&93GPyN0%}Obi|B<(o1(jn;y;2ezPZ+|2)->d^y_kXL=1G&;d+2Ly}) z7G1qxwwSp2!k<-x-hKq1P3VrsBa4ip!PNr{w%e3I3*{M^THMsi=@6O>CYN^bxs5CO zCkO=|nj~hG)O=mRAz%zl>g=Dk*ghmv2gxx_9G_oQZu-&3S7TD+ODQ(f+>5UquVp8a zjW`Tcb_KXsuM=M6y8?V)RMyEVMi+kSn_!Sq*EV&z_r&w_IC2H>C>XzMomtuXB_Jl_ z9T4`qgiSS7MpoVeQk9Uaw6Y?FXeBg*LjCO%J3F)>HXXrra`JqhkdOqqpJW*S;FT>9 zuM9wt*RZ{T?X59_q{GJyV}vpj9T2t5%`5x|uY_nNgc|$N@h@XGH1zLOz}Fu;`w*r z{pHN~Z``;cEUXP7%l`nDj|}Iq(S|+bFJg(9z>n}RV+sBN&mehb@z~lv5{e7akR@7? z3?W|_ahLw_-$Jl7>2-A@j1TB&3P5nsrq1RTbf2v)2+|gSU_;=ty(0iYI*k#kkLdU- zY3%M9SVj9m&<@m{o!xW<*$Y6fp^-~y)BRia{`l-7>!-8Mt&p`^1 zDIEc5))K91LmjnJ<;6+!x4tS z77E`z3^JVmQkM`cjf#qnd07HgtFT^U1++P%?VeRNq-dLS?LQ*trmx@Tz6U_Br!gci z;r2_AZ$$IU%Pa87D(oE_(rRn#EeP@h4i+tPM3Z+v_kTmlCp!LWJ}>{ZKtq0+gqoU~ z<{At}#=rD3{GrRq$;rd573L5qUW6-3aDe~Nn2=-pOJt&5xzG};ZSz<2iPlD6{8#en z13A;wzc_DBPEKw*)O*5~4}UrZ=qNNs@Qcv#FOG@*m&SzM|3ht8RMbFWEu`F_3>Hd1 z|7t(~;g_k=(&>Ky=I>DZiH8RT!Z7~w%f^t+L?2Fn;mkRBK$1W_6TV0mfB^D;)TU^y z=~V$J8HNg3NHU|nL-27p9U=b* z-2992jl&N&{rfoB6J?3gqJUm$G@%S9)QCvnlo&)r$7V~=dq==jjdfs*t2ISnQZxB#Q+Q>)))G!z6<7*P;6XExK(*$p_y-I#IXrAfDWd1~ySbsGAr&JHetTVdN(q#Qq$D z;Gn_egF`4;g~gs+Glw8ZZ3{vK?YC&vF*-vU8qAXkAbtvk$7n!#F<3WS8|}MQ##moR$r7Q{ z0e-UGUl)kTDNy1V7cT|;rTWnzCl&1SY72r;!(_!@_J;vI zzi|+=HD7Xu)5OA6jok(&0pE0D_3NAcz=T7dJ*Q zBqTawG2!8lN-)MCyaPi(_*YZ?d>@+ z#u_&E+yO=poMjfl=#`#3$HG8-=eGVIz$;E!CDSwGrb!M;h5H|`?6=(swGzMb!j)1( zx-oiZ9R617zn@QwH_juDEty#PVY}n3G&}T;2vv4e<=NfWH_rB{Kp9U`6o2Ye5SMaU zRX-EM=>&70sY)tQ<&RdHzS^mfFF-o3bs0_df>D1CN~L({3h5;N63IAj80y?Q1bbY-KcP?Wd6^dZ=I z$6N85Oq`-o(fuiVhP7bqeI~ayx8Pxg*|(iCjNgvH@4T`^54@t~O-7RgQ!`yXC+Qikr9(%>b|;44C~A;lnjLoe zIPS6>W~bJ?bI?2DrbUyyn^qXN8d*hOc#8%BT|B9Udx0!Tdbg7$u@qLz(-!k7`c^_B zV>R3(aS2bz!R}P;FNN7V86OgKCO)pDHISxOn>1Y~tG1MXc=hSR*l$qTdxJnxPjpc+ z{Q(_&&{wI)rDhOqieIch{crDnfC@Hq815z(GmEPnlQ-KYJjKTcw6wthdX_G9F; z#CMRZ2jUHzG$VKyxNqd#zx{6cqPcLmRD=H6?TO363lWRPZmEE8k8cfY4L{AA9MMpI zqp9}J#%ZWc8Y($gXGS$1R<;23%Nhh#NfCGw9~(#No`z7wbmq%#WqpjMeH?K6HlyS$ zXnjz3)MB6Ltswn+hF!btQrNR$to|@w?BCZC!u7JumBEPV5NLKb3#0dh^m1!%@KbnfdovbQH_U8^ic58vkAQSg(tZ6Y-FK%cBr_wK{U}BHSg$ ziIgtBTLjk)Tc3-K*dhx1*&n*t66{8&VHN&1kSU5%CRVE+B4mr(mCq3{p%>G+i@Kn^ zjTA_(jBww12`utVniujOsNZijk5j>N6AA+_6BwlXrZDKcoz z`@--A+++JFZGVq9cA0tH*OGU=l82c41NEJVE2`v|uoPp`Ex?G9A!i^y>1Zs;m+Pzb zj~0l7t0J&@^XFD=v=6Z!9&~}nQJ2>m(@a6c>dnCq6zv{dIN^#=l=Hk>6t_e7ltCK^ zWxf`2W^ZMf*J}Jdu8+MQS{)*!#=DBE_@3B@@KHYs7)S=sM$Bk>Pk*gcHDFIPODw?6 zv=_^p`+zAGg+XPo3#c^;h1JT}Hk?9&0v|HrjutQmaPjEw%Ct<0UG0=Sv85SylmU&%}xxBRGD9m$^?v#7|H zxX;z@n&*3gT=fESO(PNo0ir7E+h2Au@A71*ai4kzU99fMvFDBx04)(;WO`NY=grau z$Jp(@m-hIsjx|1spUwzv4pmruCJjz0C8I?L2p92~^(vYy5fc;qO&h0BPg)Vfm`h8~ ztTn*ytLyFdzlUdn>qB=l1?=T0^L%W`3$I}2cV(7@%MyP9e!ugN=YFOZn_-+=Q>}47 z3U+e*Y{2#GgX*0-TXgR^-GNM7{pBXwunO-^BHw&QpTKcAA{$q#P*6> z1@7^_v$ycSTi*-yHO=mQd2@|!fE6pz*~lI!hh}75HBy=;vN4zR#(j$)Vn)#AytX$O zSKi5SVU+U#Y#y-K4vS*@8}*k6ZBpgwG-wS`9NoD!DY&drd-nc2{6hH%$LqV$_xy{q zv|GN9jA-&-ee=J5C4hMCBFWR+++XHEJ);xlm8n}E*|tLToL&cyjvnj`kU+!vmL=FQtw}|W(YceR+>(=Q?snK@{_bM z1~-XnxomtQiyygJ(kD83O^%0J_07$=3`&+uwwS1BQ-E;sw~4HjfRb?Rmy{GP4~AVA zd#D>PD;~ja^OLksSfb!%AFr{0Xj4Q)*ux0t^YVe~0-54dMc&R!!YEPeMnP3Tye_`} zEymrqGmoVWqghQs_%8oaQRLIhUVFEKcUbvjfzQshwB@$+7&aNhz4V2m#F>!7hn^nK z%cqpoesA_bPy@82pLBMQh4xfFzhWB}AWp68z@RC7AxjIURg zM3eQs{nb`Bg>Zc$ez;Tb^kAh1!fdB0c)cH<$s@;huLe+SvHl?R5#ghHE{3ArpL-{R zX5P7~dC`pA%v{8M`5)y4?u594oh$s9x!Q@kfl{puXA3&mOr@Cu1Nu~2`Cr3dCwZ@{ zgUgCES2=D+&JP8XL~@clsEQ77U+6B^98dox5@Inpwg?UvE?8KGJwKw}D6LYPVc3mn zw?Uou1!Z4Xp_5p1-faSy*VrV9Ipt+ImaCR5Y?Ffn2suVLJNJjeIp}pYcDU$(J=WI3 z-IxcRRd}UsjlPfjW0{_i6tvAaTw`<=O_S-_18k)OpB?xUY;U)}kvL<>nT{mDBDLdH z;BoM1%)q0UT?ct8VQb2^f{6QeD`g{dt@isn;!*r~+EMpLT?Qv9&I!Q1dzR#yObRQZ zCKA}JYMnA!WaiQjo>Ym+aX)-?dQ>64<;MiaHV|Ka1*zm$eWHBvrLLRIiTv1y1Sy!I?@bH#U1oQ2EC9) zFXZ52_MF>gZW~->3iQwTsCA_WJ+-n%4`Q z|6s3Z-Ru8X?A5)5)=1%{cu_)}F+cIM?p#;zbQF(muI%xV){$q3{bBG_+nxuq+NS8om^mfX%9K^?>pi79Cr(x2zt&jIY29 z!f47KB>11Z3b8ZJ+v&s_@W-nPP&+?W2y^_j@wytr8Pr@lWhOh2T`8FjYB%QXFg}Rr z6)h?CQDa-}@iJcJT><-xay_ig(Y)2gHhfxd)_7W2^V^cFAI?4bj!%47xO)MtJha)L zO?4OD4*_$i+HFZGVHPfPO%K2F-Dq4VEQ39Ejd{8`?eNsnKO$M4%oc}p@?IbO-?$)vJ|*8nPlfOa=J7M5Q6wh-#M ztRmWq3XiOS<9me*$jo3})sOlp~m>_3hwR&;HeGD3z| z7iK;SQRzQ?YbrZq3Ih1CPVV)Nx8n{_*bUN`dOS&1%&RHP>$I};xxXTra0brwDQwm! zC`KY~HZ~V&*>6eyQfg%Rk#BCJ*6$*)1;@Z~xh4a-wjGFRssfGPB=YWwhYDF$?DJGn9r{N*~+X zV#}U&x3XQo_2}lI=*g@Rj!XzOBA5rXu-OR`{YDEQ~^UnHbo3Oey; zyM zcu9YJH2HFZRjt7d`T24{e6(UDWH7>^$kMdIYWH%$eWAXOMVp%5B>hq#gK{Z7*d%fv z_D}I?GUoSSZOfNtXQ!+Pu&r{JeBMv+l?m&bH0aR8y=R6>E_R9t`x3KCJ=TAVR(+n= zgqgF#rRrCADI1`CgsI`6=*l(4^dMr}x37^kDXQ<_yjaYZG+o2zkFfxt=1>OAkrQFI zm$;k1$}3p)oHvx?Yc!wj-HNIAiW^@Ag!itVPxO6|{T4b|9lBUm&f}d&syFrig_B*d zC5-@I1Ss#uiOifxtYj<~n)xb)iRlu?tt6;D&rUsh(1(%xI1XI?R55_bXiT&JdB}a# zo|u2ja!zdEWjvk7BvzbUt&JKm?-<8;zojxXxixn|tUQ2g!N5WJ;JzcyZ`J*QL8F=p zpmkS6XhSLL%IB3>)2xxP$Ln9P1zE1}ey^)7OBDuVQy=EOS9I?nNd8Q8Nx*o-D6uazNcE6V~GNctkTezR;QOKRL`E zQn;JoN^*}iPJ&b?uRxKJXT6;KZZ1(GptoM<;trNDWbb%S61vJ#l*>?{UQfAmFetNM zZ`0G?3~n$qY*z>g66qV^_DYkA-;Qh;Fq=Gl=%C`tblrttj~Ec!TL!(R_A~xr(x&ww zjY=eDpVV|o`wrUMmTRE8{v!5?IqK`;vu3kUtZ5yet7cRLQ zm<+b(vHmb(+MZJ%Ace;sq7=XFZ(3b=TU32Y-^FF=8F=&dbyEEVbyEMxT>FAj`cAmZ z06W)5coiPXe)=$Ezz960{9IqrfA4rDJ?jM*p`$Xp7HgwcwlNTXVxVFOsM-_?v_aDqHy$ zPlZ-xEn+VfUje)K){Mt!lNIzSq`g+=Rx|^)#K-x$?Kcis`P6N0x^4q44utm^_Y$U! zuKKmlyBD+FYy&FB;29kskB%<4b0C8B8x3HoYlVgjzw=O<+VX5c29-K7MC~(B^tn zrck`{O%Xb$Vnt^=_TWg0GGd@b=iJgdrLe_)Ebi^hK>Y634y(!6rR>9>QW11J3mC^> zA4^&xd8JH|UO=`(+N&tI?Z@QRlnWg+O_-OfSxzT-z!XN&;7)HebHv-9CcmQ6+#2m8k3AeS2O037*Azp@|-&qL)bjAxHToxQukR;rhyzBH9wJB2wRy90>#6(WVO zs>MgPqS8zBBEOi4{M?tKl3d1#qUfi)BuWq3iD;#&!rAGZRb13I#R5LEpi*owmCQxG z_rD1U(M8#Uo9aAt>{*O+9S^=bl3kfH?v>&YNziKVSNU0&QFwvS0N!ubi}QnYshTi9 zw@&%I6Nl!!>W9^8h|Yla_g~xXu*tnjQ`pv=ePlrxF&2#Ki!rj2QQH{WuI3yF3S{4HTJ-} zT)uvaEvD?GWpUHHl7;{Bf|8>@K~HgathHvtoPfsssfGK;9g>xsOb6GQegO?{dX0*N z8##f3)0QHfx5CaF#F9&&I&LC-rb7M_Z*PEx>`+gQjkod6RQQR; zCAmWCi`(!4Fr7blupH2PLQ%*wIj10$#YVu3bL5r6N%+obLaEax0=P6ke=o60+^gcN zKb-I@FblPv!tH7MwqBL7%VW{HxOeQ>8U~Kvv-0-U3pAXPPe9>iX$k&R z0T-v1Whr5EeCv=@9-&)~&Bu9{Og?mvsJMaP1+AX>D?XdJ0=g;v3+y|@p&4X@zlyup zxI`rG8M*m^%n@7Pn2zxcam7a{m*M=1ZwuELn0Rze9>=B>*3KOffoq&zA^AVBWk1Z{ zeQ4$G^=*OmRpTs$phvWs zBwxRRudCu7c?la=87@@`4RV>ZOw4coMEGT1WK}j#?X#G8favu0^`qk}R;~d_HM<;w z8t;2o&KN}H5;H&H5Rip54}-ZyW+Rk`cSstdYwQv+a40V4+L%}~yZvGJi+ew?A}$k0DX#PQ zGmqAzpq3>}YQS&q7t+C@`gl<72lfr^7q#?v^^s4+HFc{IrhBz@}Xyd#@hJHFsw1VZAfruB`>3_5x#&6l3! z_6Zn7fRCda$2u0FaaDu(A6{ei`|V5J#7fTzfDl z_Q1x!MpiTIDq?PHpDC{I5t@=kD5<2^ zDs7?`O;>8A7FTBVv>0q$+2|_RlW>=~}_UGtE!6Cfg^F@JcXQ};ts>6Lam_0ieP>a%xyCO#oAXXc0uJ4e7D%r*7s#?!?+ zC8@R4Dzk}rZ-@7=m~ze^O)ko5xC9jez2vvQ&lq{!W18DG_Rm%HQ*v;4CG`!R-Jj;j zt2sKSfx4E?%>z+cEvtZt*ll9Ed!D}a-+rb$MI(4@BH6ePzXERO??eo?j&9{OS2^56 z%QpIt5Il$Q_Jn?Jr-Ik~MvGt|V(R5`w2V}-KE6K z=O5-gNSvpdCB=I$1QyzUKZ>Z>J3R3ViA?#(X5k){bxy}Cr)m<0LwiG5UJ)3^5CBHL z=>>l8@YJ^-$pq!^y7H=|-xv&wrfr)GDM0~s~X#A6yDq43bd zC$;25%lr9)~}rgm(3SNG=~C)yq%r`Hv|Uly#NvqsZ! z+z9}c^L7#|VLEIXR~roMoihI@pyE?toe|^fH2-~xCx}y zO>Lu+vZfexi|f{;Eo|jB3vY)UUYOQ3v$a>j5$Ok*_!L2F+XoIAbrNoo1;Yx4GwXhV zp=nt2ie6t0!_V>H-H@VAEnP!WMsL!~-gk{6#AIA|oACLh?(3UaVv>p|gRp}WoD2N7 zjBQ`+oKbP1Y@J+75H7os%C5ol>w000_~3p>d0QVQziMm+N>DlV#a#=IA;~|*SMK`n zc@J%0V^{(B0gc2a6+QN?sy7T8PEQE9-gnNh@Ci!m8zl};iAKEyX^U%oLL!QHwIA7g zO`ecT>Nw=A{9u>4r=izykyS$N0Wk3hOKJJkzd=bwEr5Dk$ib^TvbO7)oVWM`MO`su z8V>YPV;B0zL`=N`Dmz((L={fNHSFhGtvt#WSpsPs=G?$Bv%ORErE8`Q!;{;;S@|A0B@7D3j_1yeJ@|wQhP}Q6kllMt3AHrvn1#QXM z@(C<2UEYegir+Pyz$GDn6urT&8d681_T0kb@Eb_5{u2D|^P|oQc7FyY-n+(r7>Sq6O6wFr)>8~du#azUqOj6=!&*8RSj8YAh8 zPt>ah!>Z)KBg=AZMloZ*3FD8Xa@w{oUfr`;dJp@Tw@FxvJ`+n8fa;DN;Ri2M%g2bR zXbtQgeZvyo)u`)jO6c>LajM&_WdQGGAL9)v2oae9-H ziuQpA&RuG@70XA2xf3`P)QsG^v9GFz5o*B6D;xYWt)&MnEbm7N!4-`6%zHgXtXPff`$q6Mrd z=Td3!sPyWW&3IC@V*;)%TYtzBbIUp>k7?MAB2&TM0SWV?oaQky`N!5z0^7P4KhFI@ zNNDI-ZmSvO_x*w;Uc5`j<+f;f9vElUlhG<@nPLR9a|e9J;1Rdg*E=E}0>6>m5KKep9sey8yr!*BLo2Y&}WcYuaZK?-PDsmy)w;?&y+H(#-$* z?GOQYge(pb^Yo0Nsc*(+pyZY4vbgMheaDug6AW>`)$KFz(9|(>_Zm2-wd-ZdfEFA+ zmYR2^V=fi#W4YCM9BQuMpleb1^_KGyWt`!#^)u^{B+?IR2EMh!$TgG6-&Bl}z``rL zwqtbS0Dcb}67eFjw7j!h-PAQRZ<&OQ@)8w?8qj}{oZ0pHPeb=|YC&cF=mM4016{|$ zVb0Xt50^!y6|^tA1o!YhoZ%jkjFtbzmCAs$3F|6*uR+;xC;e0+k6YJcfX_>O4`=-ksvs56@Xm%*O>_6)!5iXG{#v418Ovlk;`ZW?Z32I1H@NbJ3h^Q zhX@zkcnNm03{g3p6?Ck^uGZkI>n)JvL<4jiaBzRwHMB-?d-vdg5TcxaK~6NfhL+J% z(_qlh8Y9FI=Y;g?b++4(AI{V3+?Y75{N6qA^xY zZ5=l^HxC$pi?`GMQ@-5?@@o)X3knL0dYc8=PPCFXFE1bV_b=Pof?%P^PDs7sl%V4s ze9uzYf7w6m9;EjwYa7QW{#JAU`W14WXz?a2+lTRO2Fh2_QqlQ&s4ZMQ$HK=aFh(vA zLYNI5#Ks6h5`Yjwq|wxP|m5TS;zIKZAA;hx3&hwOBAfusFfx!%hg)^|r6IiZsC&6|HT zwdw!x(^vo6Mssp<@_YW3)BZ1f8og_W(jn^^fM7yJXA6Ri!Z#U%L@nf5$4&SEx=7*d z?Ee1mKc}Z>=jU(|2L`m${&jXjn+iS=2`Mvl(?UBf92qaVKfXBx;-B!DKntw&mkta4 z!F3_{C=7{Iw59jfEith>@~{HDv9YlU{L!O1YEv_`zZ1@VS(vC_MibQkL8#FLb?kpY zQ0%`TDD3v-9D*RPV4q3p$R)_NroPV1u5LjKJX_Ju+IBd_WsGF!pk80-86IETM03-| z2(pVxL8W0rY8q~MFoz(>VLE~;F~-O)2k1Qfb8-ql* z!s_S)4Q3oX|XdrGH6Kc#2_wXB9RX zm|yY=ii=1LqMRLssAjvM90@fwwPF6aqr)Q|!l1^G1r2~GC~Q702;vLLStzcB$%H0B zAqxtvu4pj)X;4T=WG2k2dPdIn?~=RZ;%f5LuS$E zg*n*qJUsoOX;*0eTwKPuK+q9~5NAiDqDUzq^?ms=6^*b6WS=4ZoDK<4^!5+|MI>O8 zgiWdi&O)Mc$^W51(F$vL-hk3l8=H>A;8%zPDuf7Dv2^wHO@L_uWwinD27`2Xg8|(1 zZ90OJj*blYB(nuUvH}n!8@3#{%h4x@y!^8AkKKJR`a80NQ!YUWdKAXk_?Jm&-JL%= zIfHQy_xV$7bT(kZwPzUE*r*p+kn_fcBPGGZ!^6iXCM74QgtNfBd>ui-{uqLS!7g}^ z=hjAxno;&BN5pgvK@c-Ea*KlRZk~=H7RCt9V{}-;lL`amzBh#*5kBginq!ds96N+cpU z9*N9;xrR{U#>6HU7nf8PTttOq)YU~05q)TCYU%9inw^6x^Q8h@WCe~p0^1k^^%PnA zgd2bX5A;|}2tEfO$d(nZF$T`g-cNYtD8RPD3&x!j!9e`x&Lt4Uf9@OyK?3H^FC&jj z=gx0qAW!Gkk(lYZb6$*Lin(Kq0yyi;j8Vvg_P!RWw_yC=wXc<)h7}bFPh>UmN7g(I z7f)%1zWcveNk8MUe*alflra{(4jK;b$HnPUDvICcY&kA{ak1FP%gRTePA|CSrCF8YyDP2#{BugYs!8;@XOYCQ2Fk!M`c=s~W9d7)dhqr)jpvc{c18fax8O2pVC#M8@W9!}G!ExtdC7h*xwnduUEroA zt3neqV8OO34BgkGa%kSOe+*{K)>JKk%Sj?%k(^kD13Jl4cvh9mg4Ph~v;m$X- zTDe<$o41?X&wPy#G7nMNl7h1NifWNa#j4wppI-cm$}#QYe6U<^(xLh7tM1_W9hE1A8+bv#Iu3Nq0(-CgD6qTV@baR%#i_n&B z+~m#Ys@1rsb0$KP<_TFY(o(9M*wsp<0&ZSl<&d!6)<8329Q(V#m{Zp)fd|bs-kd)8!zOjW z_uk-XEWO>0tL`LM-0m=`(VxDQ)~NOUet7dyWe&gS2_UAL6MT1wlg8G~#$9QmNXSas z&<&s>Az4Pas;`!k@3` zL7n$4Jo6CV4q)?XpI@ga^`(a5lW?*e%tzCso`lczciw1hf0mO>+B^kbBc)wl976P; z!gC+iKYNi~EihDm4!P>OlDSY zi>I2fvrA@TgW64h&jMcH@MY1`e_=DF|5E>;%V|A!VMQtaB}dK>qr!!-Wga`=r<(DL zERJK0JZYfU>|{=zi*1;|ZvD*r*zob2riSuP;P;yMsUfylDRu1@kmU}nWu4SD&91Gv zu4(#EGB?sj6?_(Kk@XCk8dlq&UdA2yAv@bEO*4MWC}3zUoXAwqs0Up7EG%{=!!4~b zI+i`*?mH6d{aQsKdjyM6iA;#^C+|COlgn2kTR`I#kNEiy67cIHsu5GylIAGCDopO*0;D&&Yk9p4ROy?dgikB2=xMpK zr0AoxRs;fcB(-;b*#WPvNf8Ia*w12ru0EmC={~F0EgNo>HNAU2K}jW1%=p2X==o_1ATer4rV9}v7YeyF()ZzyKZayQ2Spm~ z#T(T!6pz*XAA{5`pZ=>YmwMeqmpH}O#>W*~gW;7uk z^DiDXX3%m+_@P!%UD`BKV*E^X|2;Bo&V1&r%@tuUrfD9eQx7)#*C(75*YnAk_vk+i z7pu*@3C>)9TBq`)`FlNIqhl?w1fNSZo`hZ%?rrrY!Lu-p@>yl4I9cd5EEwkQeo#CN z>YH@37m{atC<*+3iPZJkXndJJ&`=rt?vGLsCPn%(fc96@1KAy!?)|;H#NDTFlO047 z4h*uegYU>U`yCcYm4T`uYNvX&30y0Vupk;4azA0>vYkw3t)APthbZPtqfbC!xNy%8 ziPFSCaz8=7bG!83g$~R!Pt|0Bp{ku^AXR#vVz4ttPi<{-)ipr zu&1lCOQgIMpKja^uJ`6V8@~ba6+Z)Ct^A9YJQ0o(v2siPzgc?)aK*J}XPXm3c<7zu zo(g^f;(B_Yoo5eU@ZHkz@VLJen}nj2px*Wt;MH^`3C$7@1tqPq$w#sjW9oEUdxQCW zU$~~I*36!Y`G|3EBa8!*d;sTYKtj8mWlnw*z-ZGJ<&AdKvf(`^@k(% zL;~&?`0EOELHCa>#VNXHPs-P-GlUyCT2mzaNoV(zLowWFN z_YUoo-+WQwl+Ve;jFuBis~>yaQEvb@CC7y13^}Y%wT;Hk_{R&oge`t_lAKz9nxPM^ zP3e*Y!Vj{sc+`tTdB1L0k9{d?>Ey-gG_ z-n5G26=bgqmz6w^)w-}~Xf-UEWqH90Gr(Q+6A95vU`prZAcUtgbJHX)MkNhn|K_5f zae=T#&Zm-Q4D3&Stf1<8xS5d}*_@D`kW5D5WBFZ?FZ#IgA>B2DNi%OrL%0F;pZe|I?!noGEl4|FJ^tkw*(iCC&R0&&|Y_&Kk=efobf_ufHKHS449BIg_=Nt3fAB`8P^ zk~2t7gMb7DM9E16Bnn6r5ClYWMkIp>l5-Fcksu(Th$2CO+rzi_*}r@5u2Z+_{Bz%` z?wNs_p4CJ5EN1o7&&xk|IQ>mNEhq@Tb)0biqik68mUm@R=Kr38g}c!*pJip|JkN#u zMgNPR6`(i2!pswG?H%xY_kZ!Tf|=P5=t~GN0n2A}hKDcf8~=AdD>yhjf?q@6wFLxb z0{Jg~R&aq1UL#_H7l&BjPR9S@X9W_HSFcG)Uzd@UgUO7Pl;L+2%;}u={hJk3J`Bp7-rH!t1(3LK_ z(nDAJ=*j?H8KNtrC5iw4aj?dgUzstQI-uopI$d_7~Qz$4w$*_c*;e&^L~iC_)f#ve9?lWDc5-pSH;vc zkR;MK({h26+PyhR=YLj7p5SR64G8FXf6v zV35|L+Q;1}GP29a1FI`OOIP(kQD8(9g^No4snEi_)aZ&^a08}8{A}TYblc&YK&sM9 zKq_9wl0Q;VLXpOkM36*M5g>72MtTns)<4^TMge|#a0bH=Fn`8wy|x{D;h#Ybt>AJ0~py6Sik+_tCg_98v;B8HVK<%uHg4+B+l>8L%6xcd9SJ%8`BS%i=IcMEnXQgb;IF>? z0}A08mdDdbS;w02*yMTYTzjW?2}NUZl90+&qx0`iS7_G6;-uXzi(5{7=1bTG?j5e$hv0gje5g3Fs{15#Zfazm_T zX}$W@Uc5vHpOdfl+0oZv#)Iv*A0GbvzP&+#ijxV?=vIFuP%4Me45>)M^cE63ENiXDY2{C8^S z5jnF;qv+>n8N1cB*g*2j_p`{HH+gDud`k6fujN^%%sOsc@h;HYjGY{!1UtblJ`SOU zXZw5FYh4kI+od>LD+k(`;>mKsATMmEVMtks-&h$ z#7!D|!;{zlfS31ziRRkAuaWSYTiwaI(S}+#f4#U)v^z*peUoghdj^ygQwrK2Q(SS< zM@_tx;Z(bs!i7h3P}h}?Vh=YZ^)Ue@j2_X$#0fRGCiG%fv@UK+KVG`4f!d&ZJQB>= zc;Dj@NTT-m{8iumi;2dw+bd;ZuTu`3$IXWI35GPfJ5F7KuK-S^U@~EqgA4r=F%Q|} zjekZe-+K7c=F+u~JUM*bjWsucov0+ji?d?JuQRP=c=u)nBj;7pV9$VOixpz?Zhd|o zfcnPIM^ls*VVa(}tOr^I8b&!r=F8J%_m*fvVk;^ZcR(LD^=#<1JB`gx7KdXl=w8Yk`b(jZS@`CwKhp=*;XGYGo;ZYoDqMquT8?s<;alTY$+D41~+!PYEzQ$P|lca*K|Dz*YxM>xfI!36l0%GDOpuq znG<|Cin_yi=-L3rbGgP*j;sZl72S=`oGUYawU%ohhQ$dAKiA1li2Q}XWXu~PHZspM z%ZwDZNJ*LHSEr%}ONRrW1&oMuBfb-6fg#@0yAox;0|e8;-Wm9oe7B9q`#8kq_@JeA znwg*M!XdD^wCso^YdoHNQY(0E>$U{8+FK{{%;2mK`PG#QYI0&=`w?k8%Y1anp1EVa zEB4}HKN+D=H}SVh+T4cZ>3o+YWNP4bE^mj~z`@j3L1HJ^Q2Y+0-GAGvttEl&y@S7A<8CisGfJt8?K<{iz3g^~T&-3M z*jICkdPbEZGm$Jp_w^am-|YUI%28C7xS71a6YeK9s)CyEiQ}#!1xy?y#WXanD@_dJ z*OY;SWntmx!;I^)wBNxiLWl39<^#U60ml}zF=wT&_Du1wvQx~|j-!wJ7*AlZB&-1i?fBcd` z%{nQy#2+QN$k~38YI#IK+aL=tqQIr~p1QmZtFQH)2J5Iu@@kLNnwML6xwVu(o>trj z(nLp;UmiZ!$fJvhbU$6=ze}F6=%fhh$lvqCPlefDE5;g=) zJj?d67-_F%gMbPwHlYW3O9qcJRO^Uq1UHj^17*djusN&}dYLUjQ=ez}%&c5ji>rQf zT~|`&%-vy*_5iO2urI&q^AAlP{Ds-Pw)Oo?KvwZV$IANFMK%sORed`UoYgom`sFvf zgmOv!jIpa%a9qLY!l&I6TxM~du-Mh_fJVnE^~J)kV@aRPNqiAYZiR(ED{;}}0ZwyH z!uh=)2*K0F6=G$gL7{6OPDnM=K13yRd!!FP$zS_@BGo-L2SGS{*Qbr-j56M1cwFLo zw|s+>s*cE+p5;}rvfD-GR&Az$uD%Ttr$?DD-;7L>F}Zlh5n4C3zas&<4=tHi*Cei& zf>uiV;dLpC3`))$o=QizoZk)0Xln$2sQ=>YE>$u@c?&#y{<3j?`2t%}N!{YN{p(hC z4nb{wM;Od}5;}LhD%Zq;-trC;%(m>4+WHOms^QbF5lSGe8sD))^%MXFhqY;Dn~3{$p<0J$ALNN|!CQ z>PIX0qTZqsX1;+#n+H1>WMZm*&+8U7bgi8HY=GTOm;O*m|3WdyXUuh;NWdVe6@U`wcDNWs-xyy)iQ8U_ygov?)KmZA8XD*Co7 zybSEw!C+x8KXQD2qkdw0m)36Q?_2dw~&oMcsQB~;6po7a1l+Tn5X?kPp% zA-0N<*K^gS&Yo!kL7nk=G9l$#t)IZRAA}^zfr)7i@A`jX6Dv8nhdyfW)4vdTcTTULxZ|$6MB%xW%&keIC&%xXOmfulnFY-Eyur`;WxkS6H(m@_75>GvI{EQC~g@3u!G4h z9-Exo)G^M^b6G~yCa<(<7o41tiOQSX|D@p;F>v>Nn*R2LmhYO&ovQU;x1yfq6tV#h zF$tI2l%FY&-K&kN@Y4Oi$mPj*26re5^hG#C!q!X zBRu?4&hI~{0Otl=GEp^6`$tm?6lQnl{tzj|zG)uZ#=ou^IySo~tPXC6JW|#~!O{ zOxs!-On3UQpl)>OqM*J-Xie{DHA7q1k=iuK!S-k|vD$qKAr2%R5qW+doNf9Tq63Fi6rOGa_XG zv!do}w0ue$hW5^00rCA~Kcq~f83k{s8&}qkNo$z70^icwcT*df(t7qDCAA&h4MA&rp&7Cpi4_n#5f!$zy96w84F2zK19YTtYZ?HyNm-a^4Ua0 z&sAFA`Xw2V(a2_yknzgKB`T(U;f$1yS1BP0yY~xUyz)otV-sxNDrAS<1~b@Z%R65hZIo@A6s}J`>;?@I%l_x;^!B ztYbfe5^~)y`MqaS#laq4vqVV*i2Vr%bVWr z>D-~XXf?l)b&P3PS7_uoZxIXqQN%pfj#WQM+h_NguN_|?lf5mkH#;L%b$11uOXT4$ zV7J)7=8;uU)4rXSb%M{vd0EFiY3C38u=enJ`FadD#Nyt=-*jF}OmJ~CO%<(plUmc&{J!4k2C_f^)P}>TIzV2hI zYu8``?#q%|PF@ww3!gWCk_c$uatkgWU&iGG4{F|hMHp>eAJV7>z`X7C90hdkOc&+1CoepVe_wtc99uW7arxCeks^oqfft4w6^uL@x#*3m>w`V`GL+WDZQoh zk7w}1r1$ewoJy+d1r0m2+zMGIlyq01P}n=QoLhQk5trCLK9ihRd2LVc_1qDohAQt` zVf8yvaO=tQ4|fwn5?gqp=T7P6)J=W9M z(4)CJ10y3e!g|-^-hH&8)7SSrZ8}8!(C``L&cbrhbQTP>nG>z%L}yAz(EKJ`$3&0{ z$Pgiw@F!Kd2!IIZxqlI@ByD)p1nJFrw2>3$n}#mJrKM#63D&iZuQ>lwauULT_~yR> zP7)Fl3=+~M2%VxCPBL;x<(*TUu;G6hN&f}k(J{cqhyU0)<>ch3pq*1e;a^Cas+zX0 zJ* zr#PfJA%OZXNvV_+%*(Byg62AHZEgRBbUMJGLMuMcu{P-WbcsB7<0is`O@i+#Ivx8{ zcs~Vw(5dJOVx0gAKGV-lh>-0>^LziKn}_1k-28LE6D9+MfyUinO2GG!bc4k8(D?e+ zH^^J>p#uvo$A#3|znN=DyrFw=7=jQ&`{xnNK@Z~(Jodj3`b6`5r!$Gvw z+Q!bo!O<}cNKyL)>2`o5rH>y1qth*?8TGQ{N20TF9x^Pr$Xqt*2AR}8QL zgc03sCdgbC1mt2M0REW81|ef*g-dBXJp6L1s&KhXO|2jVLsK(6m;?=0>*}JNz{cJW zd{AzvJ2n`IKWwKU05<^Q)DWl+fzX`|f_;vGLSPaNfubWkJUlYDxC9ji1+gC8&Lp+9 z^^J{V(`aTIPCWEMe1xyP*a5Q)+91qm6DT@c{pZjB5TGlNCPxRPT)%F8BP@svf7Bg7 z1*vIBOw(MrC?R?7z(q6DxsU;cjmU;T26PF55NIxfeGY+!AQVb4pa~c*0jjF1q1X5B zc6%b8;jRUaI1hX>GPAN!*5P)!7zIukxp^H3Hkyvkw>f7&ao~i4fOrUkQB;HqLV06C zV6xj1nL=q3ewhCRM+jO(gP?RmFi?ge7zkDeat60DT}E)=({Yy&1i{NiFrnS|(GVaY zAR-|nhf@bmn#<693zeYf&@*IN(H|4CSf+4(p!9LkGX%~NG~|!!fcPgYw_tI!K@g{0 zgz_8$cR}m3Ap&~W18tH<-N%I*T(klgWlRKT1zebY^#(4ycA>OTI>@^Y1P2O2;e-e| z--^cZ{KrV$Kg%ubgqP9HP>RM1+RB)Tp)YSA}I}M<_$i~4hH2l#ja$^a> zz(U)ha}ksY96mAVmSUk9{s{u6a4{MJNtO3Mp0~x@EjQ0KeP9qcnfgu@a(*OBCDrdMh zAJtl*j$c$%Tv|B@#poMIE5_K^2J(6tzWd`CNYv7qFjDYr=}a8M0p=0H_=0pUol#*F z%`dHBAaP4)Vh9qybS8ix2}_Fz@?`15|4SB&ohKAp?i0=tzCYzF%y4PxA(^JY{@MI9 zB4e4wAHndOX*rz??hVUbac@(abj65RWpMNR0jW@=6^2aQoVwgWAl#Xg&~)xW)8 zjGwf35t_ewmNw(1-FwB%S0;O4lJp6PeqKknGMRI-8yM)Sl&6$#5=6OhM37l{jOQNy zyEWu4_`vwfEjr_@?3h2J^7qqjn}xT3xZ8iN;l@Q6VT3fqR)gh~_^WwJBheN0G<+o? zdCob%0{Z%!i$#~plS~Je54WKz<~jWye_m*Ev$?eB$TcUJJS;eSHLTt)f6m7?+Vlm8 z%d{R+EwN-f?d+r6Bfpnr(vkdg zj(_{`i@@~cwhYT4H4$)seMh{l@tP!ya=JpG6@lH%hB4KrpPK6GGU6-cOdjNbNk(Nd zE5g!Z=N}jD8 z{mQC1DSa#ReavKuH7C<(!ly|>{VBByfTLXZ(3pWhpNW53gl_5J4(?+8EIt-|{Y2(w6c(`l??p7cd5XQNAwVf6&U9`wEQT5!&82&Nc68a+{$bKR)&q z4XN*^%of*5lQV16m)`~h-`ivG^$T86Qti#oW(PjIx@#jQN;Wv^H26zD&g)4kSbH_= z`ihO=jX+m%`8;5GGHw_n7_a;8vo$T#Pfeu!6;R5*a=&0;4>LE1%-D#!qzjtfFWmAh z->9u>y2#O+7XWHWG_4IeLYM-T@@77MOq`7kbRf60+#5A6;_3K|%+G`Lw4Et_;vd{| zPBs)l^Y+ya$$tc;2U_F`PajJdDM)w&Hl2too>^oYJTBZx9K-?7yagEwp6L5Z9UO#9s4ZGNx9*wyQpkft{Hx|9B<_{ZF3V!lH^;pYpUdOoskyltE=POoBMI|J|%m)(WCdW9tHZkiROU*G(&*xt%e1I?F;_3h#ZLy zA<4P-9JP-~nxS3x7cUCTJg#o(%z%#mC7PQ~934B~L&*B+^0)0zrw#%KQHf_@VJ!d{ zvs8abA)w3oY_1rl#8;AvA0TOWMM4m0c^4;-0 zzOLenf=J9k|C}DrS9-Ml)(X0~nWH6uT5yXkOQRS+;|jL0)Sd9%8($~B$ljP*_}ks( z|2_udU)(ni_qZ4no;E9pQ1ja`y$dhjA!p2|`r{_4w~(L&UC|z9Rn3c!>ubowM8zd? zQ?K=gKb1=#^yi2i%wRMdq+bI>&P}HX2mFbxVX^0ZmgC1CuuV1x zj8|oSJn(H;`@M{!7>yH;V zOtNrmN%enNHtbP;SoH<*zpKXA^G$P1<3k4(ukzKL9N@ETvuni7Igg^4eJ(Tsrqu>3 z0%hJ{Il7r|rs~g{yk5QgaAPDWLLXIyZ$zmDIa+xir+AJI;@$E5P%1l%E6bGPg27u# z%eR~!m`8rd@C3Omsqc4own=Jl^(?UEzRj#y5On3i3saHgY^FvkX+42ygvA?Pk#z?S zB1!tUYlDJMaAMS7YM>euB!m)^lB?Q*xB5%6r-~a{%^*0T2po4!nld0bLB8-~(@Tu+G zil!D51(zt6Hb>1&vb5H560i`9VYg0O-cwjb1){(KseetrOJk~@T=nBy3{H9=+`qpc(31{si7+!cbLE`M)X?59F53KB@sjM&~*UkdPis^aodu^!yZlhDIY6|94E(bQUf0QV?NZ+_^ zx)7C&$B@n?UM!gy7R1!Lum36j^#w!VcM>((q*>tjvYfzM43E5LC#vmUP;N+pJjNl zMSnFJ1?h!nZ^r7Jo_T^mMP~AGDFvBu?qS<0lZXqlt^&)S3K^3GT{5D_PcC$W>fCEI zVj{*t&Dj3MdqULyzNaqxBoTWtDOYu~q;BiDfB`GmO%5!hRaY96hj@JcRN0QR_oj~1FC6DaDCUqC5!EVARISjTTwuxK1nCz{31oI0LKBmC; zaArnWFeHah<@@MGLERfZV9a|})IFYZ`$cgA!)Q5RRKLW?+6LI2$K1bueM8vczHbmL z=5;$XVrSr|b6G|wm|+bnFOn7CeXB!bw80?5;D-vz$4gAt=fgM?|G{s;f9G%igWrPx&foqAzXkuDzx@w> z`#&*RNV?X;-LYuq)dW_b&Ui=BGFa2|`~27CH%gIU}8|v?ZRth=rYIm4m(#Thv&2WzAw z$|OO<^Frt%QhUgT>=in&7PJ{fOy3gF*VP&Lj^17H9BHMwNUZqvIVm& zhxFdKu|r2!2qJPXP4OMM$eRpDSd?RX++gM+XLBYG$Bb)!>4Fkk{R%1?D{cDa=&p1w z|GnIACDG?i5p>ecN8mN^_BvPC3H48Kby)OiN-P)4O~T$XfmP}DG47#1nuh5C->4b0 zeV&MPg3LlMzT@jyypP!X9(PHjlVxJSz-qr({Sw!RXRcAm-h~b!8So>>fKh+~BUOtWMJMr&m?{F7 zI#yB%*J9g{L)JM_`72oI9v-lMq~wM5+2T?lV{2x0)rSfF$i1Mer+p)5_E=qDO8rBv zqK0wgc0B{rd?o?u*Cg5A?(aM`vTFu6jYbpSgJyGKTpqdgr^@l`C(Q<)*z$MpEaxaI zN|71qds*yBLJChfPb@fGC*gt9f{{)^_9Ygc&wVu~eb4c5HZEM`5$6ZHjw>u~L*e>V zj3qj{fhyMxV|xPr@HKDS@JOh0uqm|wEQzfZJj0hXr=P7^>YDsGe-GTtc_rC{-zQxb zym~RN2ke;SuYGL$mP5i4G)0~eAM)aL?rpnNqrRIvTW_!Ftk(ls$Gx|00lmVJwbC~W z->ahBu%1U^IC=#=DE(G^r}1LNgR2a6#$GvRLS{gqbLAG3L^1xs4 zH^{+j?-5yJzTfwRT3hUX7SDByAOgM|w#j|y?7vKwfe)UnhD;fFz9kK&Q`1w~Ypzvl zd&^+8wtI)NCd`JZ-HHPEE(gvK)M#%VYw;n)KbGh94Xo8vIZ4EJ%5Gd&#xWrTYc?al z$LL}{eLTF~`6~9QVjK6-04Xl#pPuxtWfQ^|20-v^y-3*L$CcW%0qU<*3y0b}zfmS? z4Rhl9i_@p>5&D1^TSw%GNKbn#N3tnvkp0q|;!EQPAF3ZQ8!{OiiDkV9S9R)lwuXK# zFE2zBTuN1@PLkU;Y4HoD!zSI#=-G@E0bX-`Rjrvx)g!KS+fod1_EDKkUjCHtwR-AW zj_IinB*A@&{n}%eO1jWJ+VJi-*2AiCo9_cY8;U5p2*11aEw&#}lrC2O4%j)p7qr1~ zl;XqVxmOex)KB>mWB4AkLNr1R6fGWR_tN$Wd~eq=)u4XwuzTor(A_})_icCu*7_n( zBETKi@AI@Q&~eW%we8(*JeDBkbj0x1$XvPD37k_2nf^1)n1gz@rV+-ywG zas6C{19HbVn@mD@p&|#g1yBd^kQ7l;6{4S!l0AJx(PJ(^v12y}glKH* zNt5OqXkFwO^>eh(bBp{T7$>z~NcV!zn}~g{3nVK&FRnK|X83V&TEt^qN$5)RJwFfMg7^v0a3s3SBUV&zG@T{si*1PR}eq}rN2GVD_gQP z9h4i6M~-Cij2)OlgC##^bSt$eV`Y4EK%6#X)jbgx#2XuBg?pVS*Nc5 zZSuGkL8C-_d{3I$p-9?A`h#%boBSk@4YO*BuOvs4=BNJlqouf$;>iBv4f2Px+_V9A z!1I&d$IoLl>ZNcFC5U=aWRy=0c$g}p74aRE@(MyfZ@c_00g7_HU z0(OjoVu!Qe$yeLcu6BaxZ(g6fD%Fvix3nUcDs@jHmy0er$6&N1vPJ3!j~R}Dz#j%o zt*vqgikTx;FEi|CgEH`&QwLsK1_pF(Rzw`~f;gmyiYeU-#ledZsq*0yUNdI);GU1d-@jux3D?CIRo|+)7WT!a-?|fMQ;s)vio`@8 z+#5)n?>Gv3&0UwBP>MN&5d59HO!5*JB&pRKQ`2T`M8)HseMsB&>Ch&V3Fu;&=wYsk zuZ_=m0m@Zo!WwK89+=mb31_d4xw7?Bees>tEq58zS-I|4r3>CSxPQogv+$AqLUD2G zC!KDek5VB4ido9&`OV8b}^^`CD+9w9KuWx5Z$1MH^iVb_@2XmLQj!6nQ}Du+JgJ0h6VRS zg@{6TP^D10S!)=>%0WxELqPHspA` z;(omEBhmR&yO*0qbd^~7)mOnMg0{ePtq*@Un*7rB)<>w>Mr5^w=l$ zi8y}0PRq3&b0+#fuKYGnHBch_k*q5qi=;pJ#`72{0!$+d_lKQ?Z?VL%FKGEBXW>)o z$j2F61^T3~#8gbN!gCMwU-G9t(zw}np=!4PbTvG3arWtAVm#;9fv*&A8Z@ll3tj-FxUheGj z1fd5@8uVgP22r>Hy%pMS8+&iEtdLtDR{a)w%l&B=TVS$jRfYKzr0imtZd5RRcH57y zgJz1A7h_h`Qq-S;rzAj%?ahESW8L~?&%zATd%3Jpt0cCl*vrP(1Y7>reN?yxhCT&+ zVr3u#LR^E5! zA7T;=;Q_PD;^3w!nfZLz)4HnqNF(iuSx1unTb;foI-lS_lhLN%!sGw}6-P=Z-MdFh zd}*fdpR}Cjd*Hk>z;Kj%VpqMsSK(g|49H_{tkHb`d@9<>QM$R)ElU^a^hq)=-|}Re z$?YzoAJ9lE2wqwt(MZMWUD`cWa0rb~864d@U=xYy?EQsD%>jIZ223sQ&TsQXXO#~f z{9$B!`f$xI%B>>nv5xe->`Dmuek3gATWs%~^mfBlwH&iM-;r?gDL;O367(=BJv+Z_We=Nx#u0e<)^zQ0E2-!kwb4~65ahE5v z%iAWoS;kiviTlEuXLm7FG+m+-+Hll83T98}Kw$ItpMU5%#h}B_+9^B^OEtE(rEiar zo`>H&D7KJR9z?wxz3AZN7nA({fYj4BAokU}u~j+_k6#yA?gV&a;yQtjb&c+kl;*!W zne-`bOIEM@r*~-T8b)>r*ff2%)VxH2jMkh* zHS-5pfLZotUHd00x#6i#24QgrB1$SIE}rGp?LVnxouc#Z+yf61OWy6BNZzibXKnD9 zxip4Pv(FTrHIg;DX`V6g-L4vpc>51Czq%heWjR`i>K@PdLB-B1;uie)o4o$dE51=N zplo$>kH#=Ks=RW8OiD?^Ej%f+v~}d*n1W8!!oTkQHqdl>7?qk`-8s$Rn#scdYJ>A2 zoyNT3HQ8@er=-!&A1c6orF#DRV>WqaRlSfZ$JH%+;i=whE0~NtLRI5G1vO28WotJc zwS-&vlUGecUx;}xU(>&j}N z+3mub>~{wWK`Vg2q5o60Mp&(ILjADB^}9)Fb;sB*$G!y*Y`>#x8aOqEKs0kmHW|OF znr&pm>JM_^+a6i@9V7c(0$M%^ZT;ihfS6tQa#~j764jMEJI8u=qhB|F5|Olf{q2-T zTGOCsiw7uFb$_6-x~FCv*VwL~NzTeI@BZc#UonN8UWPGkJK+}yId*^Yru`3)GI#LK zs&8&5pt-Ie@#@QEsT)C$Vv|Y;01KBK%IQvEYRy*?rfZcuyBBn#lNvg^=NN<)HC>-g zwSj(23hLXw1^hhnQ%@?(_ltX`>H9w7Q->HO{Ni(95_1Bb1K+R%r0g<((8l%?Di{1A zxyUc;;`ZQ8$Lt?kMqVQyP+HzJPC!B{t{ae1+R(i5^FYKbeS+WE-1g3c;BK0mmY^6B zyppe#FP)nE%LLdYW2!JHFNiDNEozy^rVtX7R=9mX_GQ~oN(m_$6K4+)QMy_fy-Zv?E?00}2{?uBuk{8$Le*D@Bd?KdoOjwM@<ufj+rlqGne>0>MXdUs#N4HLy+d?O+a>ES1%qzj4!#D^^Lx<>c&-|lM`pIZ z-#kVHLWZ}#!}*Ih^|9)88cUkooz3=_mUrYwU2${^=Uw}qb#{NNAMs5G_I5Vq|j;C*A z=8LAb*{uU^K}o#_^=;t8Dz}ifUT9hEtdz}zsrh}G_|&PH9WnvU`)~Ru&AebL`E^W% z)J9#TWGriW&@9Iwcezd8uVUomDKRs9;VQIp3Y(VmCLVDK^$-v%IAxP?<`MHg)#SM8 zbwTE79qR>NX^`2K|9VYCR?&GBL)xBJ(8AjBPC{B~;}7wxx|W?|#6my=6&_R4(e(~$ za&KDM`ajEQ`g1C+q-kpD{zpPx*WMqLR!*<)iJHYE=8Jb|O;Yb@u1@c;`9`-V4&Z(e zK7uMji7z5jj> zLr6@mJtDf^4@|NO==GnW?g9U#?A|f4nG;eLo=3CPgob{{fdzG&DO8Ms#OfP8weIpAz0%Gn@Qwz(xhQHy^Gjk-D zwNCFM*w6A`O|9dy0WQ_Zgp`5t#h+Msq)uLsOKUp^xcMZq3yc2ZvGU49KLIzArruQl z9{%#HX>i)Y;|;z^-_Y*!!d7BhKJn76I9p#($hXeuvXW@9eD&8KrWwt+x^GMZdWM#P zPhYi3Xu98j2p*SAFR)j1ef)KVN5wBGC99+x_pG|DYxb9N?VQEW zQ5u+rg7_v(Zb5N}2R(z#RyN)N57Rpb56M}1E`>ZR9ND1e76D?4{=r$L6^9%Hqq9o~ zSgJ{BbbLY*L$hCldnZ2*)&2w){^QI>6AKOxGFN>3pC#dwN49U_bEOh+J?qm^LvfUX zwskF3PTSBN@kQaw5>hs|-0C&}C$Ey8rCUx#Jq8gq5HYoT{%&!ToIyxdF}-(_(cJQB z!QlJ`x9%jSkf@3KCg8pFx_3(;fuVSrin^PEHXVO%LuMW0n6m7nV>3s9Rt+uhmo0eEptDJg`^eCvHWYu?TGo6)4+|ymGWH%VKzx4 zAqj8)89W+p$$|Ll4}aCts+$Q!^g@B{>fhY_u8E7hNx3cjg4ZM4I*xEDX@y)u9wk0(}#KHuturAVOO_bA;{A4X0ZsycHe5eNeA_?^D`&LFLm`Wyy zFY*S&4iL~>RJ|87Mt>u3`s1$ya6w61*VrmCtGweI8JF<=xalKARoB8gw{v3gI~D_b z0vMm-5!E-1>7P*6GYjpQ-5vev_i}3K9T3UMV6__i{0ETbb$+}oelMxL=V+{GM2MT| znti^-!-#&fID)RUW=puK>p+jzG{5Z_OWV;aDJ!p%Oi0NpDDKJgmu;V^gd8fRfrb{! ztK}1o@YU;aX?bNmGk9E@e$koTQ^$X;qKB+ACc$snUFC?@u0D>DW%gig!yYyzt9MK$ z{ZGPrun9+iZ3SR4Pz^>@886>08kxnpVQlAv5K(GddL^c}41B^TlGg!7=1&V+dlr8I zS>3q0hE`Ne8VwzjVsK>L=J!)9jhpu19`jZ2pya&9or9~v5!qA56t8g+dFiCgUg1;* zVe<`yPE6IarTgfbm1alx>^zgv^G%j3b>|7yAqq5UbFSIML6e!&Ga(50Cv`kJQ8rXz zKrj;%^E|;i+9-&N&Kys6o;V)znPilFf+CPDgAH8Hg>uahDA(+Oa!ptyV3AzHJO?t* z)rsfSocuYA2?d)l&K;W$4!97=ghZy34MGe#GZ)u;kIxmG$*`@bHju@HC3OjbC}zmH zVG{?Yq|V65%terA9SA@t)rRm5LNX2C^_+qB^Ye!-1cX2nXD$NGhj4iUGMGq6E<|2g4 zFd&NwVazo+HqI&2Er3fK z6wt@07=j>5xiB>#?5PT5!C|0Ta5Xh8*g+>3H@7=?AfpMx!@~o^!xNIMUg&@%G+Y{k z9Y+Ih&vRkhX!bepg$-17qMI#%cM2i)33a|Ni(uc-K=`?Uw5+1Kb_6ZE97DNbOirR4 zFs5e;%t${#XYbMAqWk6nw_(I5QHWr zhX5)KFC25>si&kiBR%0BNN=|{d}iMgJgR@d zO~|yOEu@g>8=u(yu?G#(aQ3Vso-}A#EtKY*o+8+|Of0Mr=7qt9a_qo>;3hm2-VP}4 zgh#-SejorFMAMrHRP^GascsP?SZr3#HMqhe4INNd4E{LZ)i1OLX7A+re$&P@Ne0h2gJ=(VE(l(vcBp86O+l#3sg=n9N0-Nin9T9#a;R zawbF^9^+HPlLgF4icU)U50LEU?(LKKm=9K5>N^0PAMsAkS^gazUSP>^SjR(_n+s#XO6MwUNBsf zy*EtvUTeMP`?LHD7XD`W4g=2W-SRn{k1jTp}RYF0Ya#JO#`v&RI#l6$R&{^fJD7hw$8R8pk0 zWcprP>;pf(ZPjC%+(Z^?l3V2KVsl_XTu93Z?4F|x#`BrNNbLCwk zzk*j}LK5^%2Ek(VzgvrF{;VUvnr>LO34YIwQ$g7)j|dd)5`~ETmVhqr;m|3&AL_dX zoa4o+!&Q|huJ%jVE5 zdrJZ`el-6;o#|EILDzmxl+upud6Mp``tXnNV-Ck?YJXKuupLZg5b!d7Y>2T?%RtMZ z_N3f}SH^JZb%gX2|GDpHmw+yS1Cz>$XVZ!2_-uv9S({CQgskb=)?hrCx8P!+Ue9Eq)Fgf-Alyq#k`@92tjt|Q!8!9eCdO$#*2Fp|kMeZB z(;`&R1b!K@c2z0@XDhE)0|WMCRheyl1k>wYD?jk^8wqWtUUXceE86&y2~NW}S(MI? zV{H0OX4?a0m{MY2>dhEs8R)6YmL4PcFakE!k1Stpwcfn@&D^yU^%Q{-dc*%k7!Nv>h8bL>bQ8V-~_JbR{(|preLB zDqg;IqL@RY&-Yi|J4g2J!h^4(8P!k2cgq&@9l=Q?rl0hqkTeN56Lx6wfV3S|;~0DU!3r2x>Ngj_;fpU^ zz5X4>!1i1w`Vn=6&q66C8pXWU=X3A@1#q|KtiSYbJG5vLlhE+fLZ?r}OLGK8H1QfM;giF}$M#%!;RZ`*-i+b7<}rh$*r+Tnek4cXZzekbH>Y*INB#0L)cnak`KxH?iZ zs~^EAuj-L}y2KM-SM^!Cu1AM<<(r}JCEPyrVn6iak-(yY3jcM$`M@z!9y)|wZ{jPI z$cifa=feJ=ZyS!(j`Za212(h|m#v~CSOlHGHdk%RaF&a$`htc-zYewaFosA;5hxhN zPzwrur5K`ID86fI&f!}}ZG26CTlb^IjaaVWPd1QhMr5mR*N^ZBr%Yvf7hzm0^Es-A zfbDo4X({lnZJ8GMaKJ`a=kOIHi#*`ZZ}YDq7(tw`3Xr~k5urNqo?}n%0nl0oXY>!> z4psP@<;l4u2xIq`D_TPGnsJBDs$JpQ6~Ug=gg+|&vL7L3@*5X%3K^#XAIpnc2D+&+ z$@R)C?Pe&!x)8ZtLd@~0^?1vRug0~EM$F4^a!H&Y;B_0*EJVK6GaU@hd zY`wFBXNZdI@UOSt7ezn5E^fGm0n9Xh1ngSSkHwJ-^Qf4&sKlsrIu|;S{zLoiR~w>g zG4MX&RI@T&DiNZuEJ)mYvKoEaFA^`(?P~QJ9WgbxcNcj7;Gp)o>~hrNpQ$(SxbB=Z z#SdZ^RaBSL5=5dbunz@{tKtE1R;MI6Pm6=CCL60^Lo@pCY7ZkxFllne=)S;%1WGKN zlM@M?3K{lJ?pXw)mQrcQ8cE8wNq89sK9{^0Kz?DF|2E5Lbi*so$i7#m| zL^C7$48P)SDds#f@Wq;y+KPoBn47x?ld^Hd3&MPl~0`LhWf!|cG#`MiM-jf zniKfEhF0S~Td9SYB=Qp$u47*6n*pRCH^_GD(}cHU4}Rfxg)jO1nRXDp*4EO;R1|ZM z`3|NOVCtDhkN9;pIrA1JX)F!i{sy)n)Kwc{sAm2v+PNh+5AZWQ-i=|N(-7hK@e4bP zWGpR~m2=74;&d-g@g1!djTF$G%M$fLBVY^@G<-GhAR+Ea>`W$Z>B(E)BC0H{TdEI= z`5vTGRa(d7kvuk9+!4A7XkHUET_86nFLH zVjr+Oh*_979dya&nZj z#a_Skdn-T65w6Ri=XbyYa$P=+#@T!5Dl7kNAn@H{avl(~eX+=t{)nr%nPaUm8HA9~ zrj0#wS}P&0me3Jqo8jW1`J8BaSs=g=H!Fty@;#t>_JtbZN#e`OLyj`0&Y9CW%%mm8 zd4i`xpWmRs<6c9j2)UIa;5;ksiyC?CvkTAayADVq9`x#yuS`z$8J;7#0UEKuKBATD zwWyVhb+lpwa#tR;gU70Z6Nb-}4n-=rC4i1MS>af>FxM51!<$g<9R?|0Gnuaz!@MTT z)MZDOoR@$yb;c|6j@R&K2X!P*vz_+_bC?1NRl%GzzFZo4dUy($r>C=;9aVEn%ZRX} zWsFTRO`m(G^ue<-AqA6c$vMja&{Rzd52U*p(zmOUM-E|2TL@yxul*|MJ=8p_!n+}S z2)0YsS&#f#IoCfamM6u#tP`J_B#-6%c@0cz+@3^*z66CL^)w>({VEm=eFHUjj@d9; zc?z*A(`QUO_PCryCXV0<;l6MKuVN(|kt_1P&dSz=%==4S{YO#gNh;c}1Be~~vnIWC zbraS-KV-N}>T&MTTRpFEOVKZKjFwx}T#A3hL7}wWOOKbm%cwdy%k3TsK|SiZOt6JB2?S?xc%T%LO%d`(9uZ- zw-jk$RwhDt*K&^erlxO^60Qp^SKJneth4obShwC5VBHxD?D)JXnJ8Q?=|5!gG*vcn z*5H;6Gzp|8m*CS{7J9s~>G_?d+ji9?**L|946&2n&yhgihnc zLI|ZSl`k~`%=rC$*94hefm&^XuvVV^litiww ziUEV^-Ah&d`|jO+XK>#c-gid#oiWrwA+c=lm-pacruW}5yYI}G!=SSde+RoOhW!t+ z`u_vj+9V+(g2H-Y)66;Qf#2}0DB6iVw+~T3qJ-b%n@h-hM$p&%;19D{HfeR2@{@H+ zPdMjs4hhju1CnCk!`yQrd-=r36;Tg zD~_1`A^9pI!@Rg zDc0aI`Rwn~2i`TYAl|weFPv_3M(;#r^iAwx4#A&|wQVb|KRX&dE*i9Y_8`yBh@w1^`h%L_;YS{{% zi8DyKpbw#no{K0Uc5(YM%>W&Z#4gO2`R$+ZAlJN_&DRI7hM5s|f^;1WA_i|mkKM5S zY+Nzbw`>K?Kc)E{T7{+o=k|;-kr$XBvIe|O82nluFH+>h=szvyc|V$!LouGO3{o}W z;*kox$X@QV`&i_*i8Pyq2Pz_MjK77~DI0>{!~n*H&k#%pgJo?8v{xmW_ZoPyy7bVB zm=oFTdwwM<@eTlY9-%q^MkJ;%%fa!Xx_Uf)eTR_$A+`9GDqb_D0H_EvHAVh0lRX^zcq8h*pCya_j;>jB+UCAY=)pdiD z-f7*A1|}&sNu5TejLm)fn8nwM>e&9sB4a$-^2$HHXy3oEJOi`_VSK~^Qs3Cx=_rfK zJtq&hP2rFlZ3a{$^oDuzp9cYa0@|Uo(uLRaPjG9FzmYThIv8^|JE24j2m`j;k%_;A&Ve1nf|Rcr+6j~eT)m!+sjbX*(ZAR${7v0oeHPaukFFGgDcj}L zCG7yljlU@Q4eW5LH3*K>vuhg2jaW+K;$80&>F-d*hZBbbW1UPXm^mUHz4dUerO?;l zgF7Fio3FEn=yiyzfyWJhK&r)~#HR|A1aUoVMpUzHjVi}N0p3m{2c=5#LJKz)*FdCA zSh3eqnl*Buzu|i6d1*tm+%yOI0b2%}7uBG`=QHTj(n5iv_}d@n>}z!5^4%-Z?r+TW zwWY$R^pWHy${xFe;s&DHr|kMA`z%}3UnLq@MLktv`HY)h6DVoP(+(U{KnFi^sP`?c zS!LOBG>fl$ao7BHL1@==>|Z~f0z~$*b^xwmg;+IZnFRGuHWRthR*v7C2*1kGIG^Vg zSS_`$Y&ruw`BVid->=tZRNu+d&!rV3M4sk%Krb?mv0068q;3%45sAf{G-{D}?nZba zl|95Kv2^=Z*h5$nTfkr)0YP38SfaO`($5fu+^BC2ZDGU+Y+&-@_~)cI;bAN9ZXD}< z1nk}n5e6aAJi-anSDryLYIt9MQOrw_z0v;a^+0uWsvp$H<*D2V@!!BR>=qy(%E!)) z82m0jwer{c8ljWicee&Ut-j%O`tnF&Z*q(AeXj9Qs?5hF`Wt>Z2f0z5H@uksKutrx zUb?2gqq!wnDBq3iib3C>0*yp`KG#8W@kra<40ORQ>K>Z)W86{0I;WmWyalB}cp~zwYNvPZ_J6?&3YsJ=PJ-rj(g}$+89pqFwh;kPCoa&N;%j3KxqzUWnqfva z<)# z2?2mvCi(VOpz^S|VQhFY_*+(X&pLAWHN2n_dpfmxEYT4t_U3d7r$CzJdR88Nxzzk# z@+y+WQX%cjDgJomy9KN?Fo#}Ci{?Btr{k=Bd4!%)ApG2Oz*(XDO-@ zY`0sGF~j_}4S zIV(xpY#_>BF7Pw48NXD{tBDUIGkxFNlbFt$!^B0F%&DI5sS;q`pMD^V%-NcG<6U1F zS$fL7!*d&|T4eOwlj?v!Yo{IvZTd?VTO35cuh3AusN{OhA5Dj!X6#@P>&K1wOWD>A zAOlWm0unl5xSG{M_Ke3IC+05$trnLhoey;h6(fe_!Kq(4#gnsfEc?t4`gHSteDlv8 ze1)?v1yMQzm)n-2pMcluO;RkJ-(H(vwTzIJ>lXw(B7SSv$-Rcsl-Ws7U!w|;wUd_O zZ9OgCvxGL)zNOUqm0SPVF13KFsr8&#RyT+MNE+vVSv^p#J2=N7VOG`gt0JZ2;CW#l z+ccwOYT3Ja1emy7{D0ixJXbTcj95Kdlt|R@OrN7QHG6(o)_;p%a}AJ|_XX~mn&!vmzQG^o ze{df%f=A*SHW@iJjcv9(-^w9j&(nYRKUj^`zE?I-k>%0?*tL; zmqf~z3nWjq%^OiL$Py~1F|)u!QL$33qf7YaxK);Acy1-HIsG?AwlVoNy`u+kh!|cV zuW@VzjZod8v3Fwm6iHleYIzqPiAUeUKevDHl9UpFNBqt|;2+=w$5wA7=YQV8pb2`S?3T?(+P=)Ny)xOer4e78$WoXX}ZPm%Eloov(O=+9RA!C5S05w9HIg)+s@N3 zle^Lmi5sFJ-!8Iw0Z=9|OBg3yU0jt@Firm4hvhW8yz&6=nY4LwQPbqY zD%i&$eCp-@aqp0G$2B}PJ8uF5&}v_C^0-y4!ej;ILxFHg&m`Y4LeJ}4suLkG^ZfdW zXYR*A_{|mx<~c*12{ebzu;o9u}LK5 z8k3M!Hn>J9EbdvnipeNwYS+1s>z~{HeTg5cFhwJ4?%M`qHu36gS9Uw_T3B0$e zILG)cpkdk44~$*v2Yy`|EL<9&3c%8)3S0V(l0grA zO2q*sE3b)d$__cZxU`D4-8eO?f(r2R+t`z|nteb(8eZH#v5AaL^F+?hCpfX5HLS9( zd1wJ_Ufy!GFWpL+p597x8%O*5n3FyQ4bA++D;~xPLxxm}1cc&%1r9>;Ad( zh(|y!`uO^-Yf@bk=$*r*GjbZ6=9h%znL|Kyd{Tb>_nliro|kXzeY;OE0h!oK2v%@$H-D=r4rWUVu@SM>mZI@0^dQkA; z9H4)%We9p%R^AR{jKDc2R5txVvyJ~gwNLiueNo@aI*zcIf@>-0+Wo`8&1dhE+|g@g z_px|(gPA+EptNb>=g}n!J#&06=)SlXPWn{R(4?jx9*vllf6+5R&*b}kZy;ZK`OyOg zZ;(am z5wq?)_l)w^fz5MRM0q`!Ke!VT_m4<8gD0n!3CgR5F>*Z7c3IPoE&Pe{RHc0kq&3i8 zMNHG_e%K)iNv!-1Pd7*!dO=O-~py3P0X0O?S&qK$zuPEr%z00Cfdo~CapQNN*>c^UgB}`Mt zm6YF*0P06N=8iwH={cWR+J>i>QZk#ndIZ)^&0XP;v57ZK!Jt1Fhp^UeBs4ruQ5YMy zq?&CAvmRVh(^+Cg_i3`O!5l!;a5SyPww}F0{5-aGg>%(5i4V`G$)_f!fZV`7A$tjO z72Rj9k6(4JUfwc#*URa?3(ejKJTG1u7k}=e5HJH#Ff88d6Ah?OltwcthWkD0wA zY=K$j+n5Z{k`b1iXKhZShPc(wG#@hR*rL3aGk)K~RXTWr7uHN`0^Wa%Kk1R7b8wBA zXOPlQ{Q^STk##_D?BdSe3E(iZbaV?DS|p%lS9ohv*E9BG_Z*Lyj-CIFv3Jq8 z)kC2FzD>!wsOu7mo+UF6-C*)l9gg%)c%f%+;1xBE?WGVfiyVC}5mZ=GzfbhO@XPQu zp;A&-VG~12mZ4?v4UXSOuti406u^{R?G@Cp!1+ekqmxMA@ne49k3CFIWBcIZMlkW4 zSkT%jG#Q^r^tq0;{m0Koe{eY-+r@wC9=v%-Cmsf(s*b2Q6@04d-=5$ArcZ?poIZvN z;Zj?8ZZ%8KKYv#Q+LmW|R|BZ{Oj5ez;Vh|5gp(Tj;e8|z`Q;z7ar3FZ1^Hz^u$kHU zg^hhb5ZFOFTQUaP8L-3BXWI&8HW@l)mYpGVt9$m@?IpmU>ya}=T)|JP4C$RRkcqeqv1>E zpI$%GKqO;eW|IiGMZ+NjkAxMB%|fCF1BS8tykvMZ6PE7HzW2Z3a!5qd%3jc zoQIVw{6WNsqua>jA7Rt5wCudr_HW#hT2_Ta==7`{!e&8T`q zY*T7`u23q*+ZCv6xJS*cH#JP&hYbC}#P*6WYFI$U036RPBU5i+*4@K+ERtCjyZFrf z8Vy8@I(n`lxn;w=!k}d?54{nQL7YX(?C1VD6HIsgOtsyztb2&rvI9*>Dgji*BjHwW z?ta?xC<*X%?_F7=(TZC`!`4pj+b7rY^zj1`pMPwSOT4zoDyr>XV;0x44jvkvyul;2 zkIAY2v2#KV7{t#sRTu2m)En!WtO${qw#2(#-*0q1E=>xtOk4%8TH}{ zS+ti_nH#WGTw!@@+rBpzK!r~1JK%in=$`Uw{Pa;L2cNvQbu-b!51Bc2^Ep@8m&`mcJ%A)*t1R^8> ztv^6{fgzz`Pzw*g*Jk%NlQ1?eF|)F+zWzQR^S=En9}_}S|EiFFucg``y$EZE2JCjRB=unM5Z=^-kwJPB0(#Q-PhL*R1fp!v7vf}mE@tT zHBj?RO1~GJuq+74L2;YeInZFang94rgnPaTZ5A%WV6Y;n0}xxicdMoMX0@sYD!M-T zPi!qzLJ%@}D|awVNN?W5Xn6Sh#M+L3<4wr)!BBi5GzYy{|H7LPa{DLB_uj2Oz{7v^ zPYfq?35t&opC78gF8B|Ne){y;v*-6J+E5R=as%ye4BbqiZBxiR!pt(EOEw)am<9Bz zqW%kTT3K1^zXXmUad8O=|DCJ?} z`k=4-mtip20QAM69*hKHokI}e8y>kwe50e|Q_}}$P&DVor5=pn3KE|8#`B+eTIj+N z9F$7S1P#Cp?fWm-2@k<(NXm<4vtU`ZQThR0hj5LhujD_3V>->laDd_zJXuLyT7}7oP{C(sL!?~Yi z&@_YE+4U)6mq__4M=!^hJcUv%i0!B^o5Ua|%mKp=lDRi+G>QSqbe{Yiou!c+d>l+}+*BfFhpnX9v`9mysdf znF$MY_l1KIK)-zw!uy$W?>q0?KeQW)8KsA2OJE3`n0TiPOkxem#n2V^AGIp2X!>u| z44LL!r!|->!o6tLgBf~5<2gf5$_09au6i(-n_&X#JzDh%Nd1%*7>RP9>6{16h(IUA zB4`$r8&DzsKZ^x2p~V8wLxG3Z3K#}?cNPJpCbKKS$K0ZDn6NUtZ&qDFDzOX<;SaPmuCLGeeVoptie&Z1Mgvh5a z3>lgOS-E*%bDcUZVSTwK@KEqDa_2BX2_8Hhb|;@8EPUveypgXYKCA2cOSaEg^aj`gbdT^ zMMltr3^O#&J7|AsN<)*{+|t_b{w*am%+TJ@G0+MYWkwFGGQ)bh z_N4=c2)$=~{buwTO3&^2-n&(V0NV@HHGCVWit*1o#MuQd1o_FoU)(Ex(^JIzY;({7 z3qFUZrltTl-4i&Q;^jLEI9N7xeL1Fgxd9Hw_dl*LgCjN7oG$*??NpVBOp@Q& z&(;F0UXiPA8Zk{(2$vnDd;yFkNe@+To2X(shus_9=3L-__AK3ss# zi27a36w*u`2jR!Obg&8Y4Zf;AHmX|9ZN~3R=X3XY z&6GsE1p{0xCzZuzKpm+@OTv!j^%Rvv#)|Tiso2as_Tr}u2aHFo<5QRlbPA3xSW?Dw znY~D9A+7Bk^pTIjQk-kFy3Doz0q%7ko<_lBr%6iHI^Y92;qq#VNF|KtKnL<3Usoa#PwP zod8iC#9qoE`SKIvp$e)dMc00eGQON1edr!IGbT^ zJ=+pF+ce#}nAEj3;z0#+8oaVT@ZqmM_%>7IxoavI;;#FfLu8eORxs2;00&kGP!t!g z9d+tvRRWPOrm74I+0gpV8`PEqCfeL-{78OY0usAygW?QC0fIFnqrtR_hR*G>lb0q= z$`5I#|0L9qd4Z6}Bf@0`*L_uwBkMQKpV{I~@cSaVyR);vU2BEr^HBqtSad>7?TO(9 zZ4ZQ5{O=rE9=W=xPO}a#ZtKc!g{CQh_fe_20sgo!@=@W(&&OF~6G%J+v30vEN+v`jAIFsb&IjjcP*xNXc~vVpNr53YiD07B_56Kg;x^J8<@WNgWUFIUY!-z}W2V-i)!Z~wF))dk6A5i~hL zDzzsv1w|pde5B!ePfm~gaJ-Wa;W_m96V$nBN{%Ax@Uxx9^a@Dq_`q?UoEt26#3CWEfMf!xC>o z3w6Wi-s2l62lgV8e|M`2n$Jf8-2h4AgUX-X73Lq2JSN_#zH`4CLc%|(Uit~Y-?7@M zPizK~KP(9`5-;|nIdf1H)=Wo@QgfvS#)u5Obk~B^g1^E6ch%J$XTNR{vScffUM!CSe{)F5c&Qvb?M+4 z)pA@oH_oIsKoJmBY%*aTt=AMu;#-X;0;!S}7;k<3#l8!!z3>ul)>yN%j(do!CC89S zLg~GT%>fJ^?Yvm#4Z`CWD@ zHT%)67EyeCBzXq6bGmUhdPOV?#9hd%b;SeQhzHw7F?wA_&#aiu$`AF3ZGM?D$)0j) z0@Z^Rzgesrv^(b@PrnJ+fFNV)Gv-<{u8UVefzFQXQs71S@snLcuF{rDw522$e1VJ= z!{>)Y&t>xNcv21CmFRgAH)cMZAeTGP#^7?c=0^ycmgNo4};pp4`EsL zCnSkP7MRz-@#bUe#K^`%Bq63mdgoVmrTKGTUE}_%0c^yTLdTN}P>B_s=@zqV&XC3> z5#oS}In?Z#rd;;~dh(8MYF8NzfHg-ZcO28x*0%z0j4G86wn|wj$xLOnt2o%vr61BV z#Db5U96d#MrM6V8NeZOg)p)`Wlye)S9{yyR4%V49cs&m+7KIJBSQ!*r1fb&!RX7E- zcd-=fx?ks8IG*89Pk(+0D(Gb7y=T9#QbTA?B$qFPt6EY;IK6q0B6*! zi1?ySSlHthcC_w5_x4@CA%f!;!5{_d=xC33C_vH{`wiJ5ofIE)nNmLnhOCGl$>7na zvZ*{1fg5YfX?!qq9&_OS`G_=HwCaRHoIZs;9RbN~e2+*=vJ)ZXqQVa>Oz(N3A{+}< z?qpJZ7c!xR3B)B@wJ&Q;QJ=`@qiW0m(xUoz(MeEp+5VpwSaDA!h4kOAv%3;T#GuE| z3UA#003LhNOETT#dZ6sIBKJ}?e26=r=N-Oekucr9?Q&1c4{(zlJJsbefATJ@o~y=T zSRen!lIslVgoI+3AbDXNE+0hV$Nfp(X8vM}>x=u&E#k{-I2y4luc2zgYo+JRPMnKC zle4}7$!L3S?xScO9zQ}1)-{uH*J@AO91J60ZAcylbOQ5)W6r1gDH=#?a(}RI(p6Z5 zt8+3EJPiu!?Wd2X23Q|^tTlB$?2e7+&uq2fe#~WzqfnzX~Sy#@_nTR*>kdbiDAc(zj-qOu)9o=S*0FP&g zBWc8|=04RSlC1q4C!JlAe<~Bg`wZhmO}S2P2@Yg7ck^nkt7va=GY>c><9$@cThMgN zn=FX#Z+9bg|55@R_tI3v!laxYE|$SGR1j+9;U3MuzJbGR8dK{FZu-dtNc*qK(92lq z03rVm@(_e(4x}dDZCOpZ(z6*oYtJWH=&|k#MFyj)ya}ZLci#Hnz35*q3jVtn{mVtc zfA^w)*(~_)ZuT#m1^?a6{$;b^zq{GLY!;}f{x>)Km(7Cz?q>h8*}q2&5N|bK*1tdH z0dZB!`_AgVv%c?a?mOH2&hEamzwaFGJIDLZX_@E$w5>4M-+}+bx&G%S!T$uXJo5js zN9lj&x&G%)f42$l2o?TgkK+F`v-Oq*1|xvMU>nf=|JTe`sxCy4eU}f^w;XRu1{C23 ztSOJ~u85>s-!y$H@C^~F22*xzT90m=wI6+EDWyF+IeEyDo&rtn;$&F6@97nkVa$${|HKr41v3^*vthII+FvXUZdr2g=Fb%*9a;6X^WjHn4+LIHfOTY7%9yuR z^7WCc54$OSGG0q)=z5o)SNE2rxC;2rp8&7yTfRZhGsincJ7@omtDrPe3dUO(-uH?1~@R-G7NR(bex` z>3n<F7wlRo!OO+fMl zDAC;Dr>>Y`+LyOwBb|s|cPpR7g~PJ~w)PO4G^o3fYU=rYCwVjmW0{+IJ@wDZKqulGb4N401y99WA2VKggvhqf-+3(L@=*&xz(d*_j zEXHP5UMUn=Ohq2GsPNMre&)qI_=veE%_7E~*Vg69XjMDPq%f$}z#rIdk8U)@huwJQ z23)UX#veERq1XOVc%$|5w3Lb`E2&nclZ}Jn&eXroM**nheds%*%FKulo``91)LkoN z^olqc4p_nv!J;@s;iCbUtXswQ#8SMKlP(yvnX=xSc^A4&`2vF^@ihJ;`p9*&%+HD7w>IuF0N(#WNeavDt&_Z&dh{(50 zE9b!Rf_&z*|*Vf0oYtruo zR#%ogv7bghZ9qL=t6lE4t4w=W|Ea^CD%G_leJt_C0yx>j{>UstDiXg-#ZHW3ftl+| z6^2(Cdi9`hF(h>PRVaA=^!U%$LvbRP0CNtv^*4O0iF8w#b%R#V%6mn(@2pBd_Gvm! zU9h~QJI@*~I-clLJ!V4xpp(~L?-IK|{Tg`01aLQh(wQI8b4$0A6^<&lFn7HS-z_%o zS%wIxh-;~H2AEiB-E7y{mlL2_ajBa~w2<)nmGaE+jL^W~QS$BfTV;SF*L$5juAhQ9 z@5ggHsjspAA=`%PPy9o(Iy%+->0)KT{B8V?^|b#HBjPV#3yz~i_k4SF2sEpIUl;8knp77hv6L(uti%xz zzl>}@$09E}6KJoaO9VdB?ru9(e+FRmi9CzyZ&GVrSQ{(&a4OprC(i=mQ`v#tS^2i= zuijsWHsOAmVMp9f7w*@xuNHQt;UiFNGE-21PA2&#tI=L=ov7o&-WCr`t`R|)@)eX*t1 z>Cwd}IQ`6!lV-LrAJpXkyShG|R`anZy zBEG=Jo18|(%7;0^CG`>b`CFZn!moB;^j%2*FAwqRR)KV&#ES_#d;FUSBitSjD4@fj5R@l}5|Sr4 zI7jg?GQCG9bs86x#{m;3pS3WCdC4;XyU@j^G7Cjass>$o@!<(dwcc%yuJnZ0(C7TV zj?>g*fH_btrxTyl8Ah1d5Qxy25}c2p{qnbq&`ZM*7AqY8LNH@?;5N&!u)?Ny^};7M zV^1+*U&e9RprI#S+-@Pg7Yzj4B7P8!I5ZnZBNC#`cHqa~i9K%!Ag8=zc=NniR9zhG z`c{SWS3Dg}Shsk|U#YmkszY zMf_;mW{1*nM4*u{p%#oN31#P>Ivw4dnse%fQRH0anw#7LNb)gT6P%2^}I#$1b&I(imIA~MF^ z!t;;x?9+4Fw@^K^s@DF1J48Y<8fHVg(#;(uBd4Ua8B78uCEX8+JA0h3jbf|5fb|n3 zY`XqQWJ+2_uGh8+U5EHOwn-Oo>1Eb2yIk6&%V6HPx?$g;hGD)e*10ij{_`5a=HvH)$#ZUiq%k@C!(q?Ge2($1TDP>fAB24i&%op^t3m z0L%06hZ~#O;6|e1cw7DJ{p|eq+#dmQQrQ|FAf^99$7D2FFCvY?Bs8gIcn9$z=g8?F z1Xg~Hh>tz1&>ABSKCu_CG{Yk++J;W~f--AbJ0@oq*02~kUuoHShUS3I-l^HE7m67L z^?Q`m0xt1ry3qw=YnRNfU)VTp+$d_m1G1L1sG(*Fr|iPIu1o@UCs!E#3w*xF0>PHukc_Y@6_$~tFt?+BdXGs?S!FRgvw{9<8)jvugkMoss;-9EK5a+TIAc~}5Q zsstWCG4gEb+7NgttxD~%c%x;y&suk)E8aUy8CClm;0ninouqsIM#JRG@YL=N1~Hla z$64$*MhVSrXY_1B!Ql4;Vm5uNf~xvO7&;L-t7Bqv&A>c~n7VCV1HEh3*C}wsQV4^$ z$4@~P&|P3s_vQ8oDrH+AnvJOKJku)m-FpoLjPj9p!?D=Yil!cj`F@CL{zFKv=@uA5b(7NJ=l?_;UCQT%={-a(z~{ z#&$(DFf693ZTv$Tljeb6<+^9>_Gr??3V3B`Ucu^~TwGO0b$x34%9eTj?F+Iv!D3NE zwr*d!elY?y`aMdEa$+Vg9+9NZH*}X+WJ14SNI7~uD|>Z}A`w#te#LDQ>nFmx&nxP? z#65fmM!!LQB%efhE+(@%`}wta@awiivA+55Cz2k$zv=j!-YLJ_`_6ZNj@dMCijYy+ zApi^?NjgN))lHeTRNIggw`dLM*#?K_)ngOVDyvyKMKJ;4+s{*qx~FD7@8eL=vygHN znmaVZDc49WYG^fa*UAMD(a}21^NMF2>=tTkU=O1mrQc;(jjGT&%CUnPDP84X#Ff9H7v96Q3`0s{jji(uAX6< zUOU0A8{In6IHMQQjQyPtEjldy zUlhxRR=+!^xM=6et)Aa7wjT~Y)pi`H?p%#au*DSfNNt_e(FD23qw+F{(zz_W*Ok_SteR`Pt2?qt!mxye)ey4LK^J1nt)n1n`c z)Mw-;8UJ0+Bkn~KeHS@%zw}Z}9wYalk~hCoUb~R#d4G z3!ZKV5}(@8Cj%_4eHN0C z(e%oyB=s3uN+}=yw9Y6c;~e~H{|u8cAZZ-T2)eSoJQR9jFk5@0^j7yz^-xZ`%iKyP z)XBZYWYe3rz+a_OG4lP~M_?Map<4Xy2%k>J%_Fz8>F69w)WtiX9So4NJ7<@FKoMzM z1x|nAkqS%_6SwIZ!xc;@C-#p{F#zS*zcdX!wc|wwuoJ7>Nw~!ByJzK2;9irzWfvLJ zwMzr;hQz#_4Q>{>*0P(Ae@XhyPmaJ3N2D?;8cCUza8u&JyOoXKS2%Uso!oDi&792A?2DZLiJ3blPsOcD6+WYb60$andTsR z?%GRwwK?sbUr$1reb~gq4b%@@_X|pwbS6+%fjkwfb%7 z^SD>FvwJ@QJ~ijFka+?+Rw+x{)E)vR3%ADUxy?fiQW2$q=rq{F90q}wh07rx$+gF! zMbq0HLMCQ*eMcumTw*TI3uN_|K}VM2Wde0a=Xr{|CExva6mHXV?HrR|R_?d()JTxJ zPXP3t+XpbOK5+MoY8l?)e3n%58Y2~5%Q^y$q$X5U;z7&|tq-iOf#6Op}{>3t9W@n*iaQ@?`PuMGHAQST(IVWO7u+h7WgKlth zAe0F^{zs|lhoA_F-~oeB=3kp4Dd`1D5s`mtqeCbYh9snOub~4Hsc0BgPEOg#62fR! z)^MY2U}v^D2&Nqr)^@?06n^j?g&%_D2*YU!fp;h%`2|IyaA(~;pC|qm5~e{T>R&t! z7D`8>nJ``!LN*w3UU2O}Q;21DK`e6#^M7%fXp$6ynb>GB^WCT)0>=J9Gbbh{;U3R@ zgc=ld{Q3>!FP%w^#xtRY6W*0Tp;-SyUmP3|TKh|A!v6mPny^ zLn<0_(SFd(371{;`g=#ND*KiZCAQD|$*M%VUISA6Q zgdj*Ge9{D;kU~(rwXLJG8%{(hwq0K7O$~}?gfujI!hQM6gzxMgLgo{Vdy+#S8pZ`v zc((|ye-W;aP{ALl{Sbr(60vAH9O8fUXh|spBQqOxdfvDZl#eI|Wgtp^2nH0|T1Mfj zS=u|I#~xhKJ?9^hXMTodHa47VF!Bq?Aps8KFaPc5AA$DMSSI7ZNd~7`Nl*+i#8}I# z>&7M~VL)+hH1a+uEw*Oc{SagxKK}?GKLsD+FD@>xtbE48!om*9uuMkq zd*dmL0N+6-SR1^#U+92J%+ekddz{*bYRAV_8of(^xv>*7o}y0wbV1Y;9yF-p zg>&~W&E16%z}>*XB_QMAiFifYjqa{`?4i2wuL%%aj8Q9PFp+L)b zkI!l8;W$7upfH$B5fn3X&@)VQhCt3=0u=ur0`v;xwc#%~Oc8ueF0=-TUqD^MG8(0= zZ5eP8og#tGjxc_L9ujc;`@!(fcZORO=m&EFOc5j)K86JOP{8qzzWl&|(?2pQDk{3* z4S6^P`qG2c^}67oFFh^opX{(u%gdjU--CO|+7f~w>*!_!Hqg+Dj~?>qslK~s>4sd` z!oUjBz`ex4B5@s_VT;dEH*VzXSnB5M!(n1Hc!H?Fy;oJWbbv=(%@o1DeOp~!OE<_H zabLr*MIQMf6mUTQlhfemADWT%7wRv;+`_@he~2n7+Cq_FKpC?svW0m83tl$L%Hi7s zMbwqGbq#QIPrctl=#URV9t0mhEiG-HU}3}9LWpp1(Se8eCUnUiW%_4n5oN!$#y)AQR{ZnZP6*Wbbu>c z_H$VUctlJ%9EkqziVWj8jD0%roYoYhr@*?H;82`(^~Z<>EfCl?lTjWtA2VNgf0%~( zibU*V7%lG=A9JCbibTtuOqam(LWzzWCST|If@)vp$5AVNR(t>s1#|8gMjmWmLOOH;2Bh+e^NMhEF4R<4!K? zTlw3N=nIZ9J+=qkEut*4S4?cWkt7*<|6iK@tTpbXl*DtA>0|9n&jU+99ll#du+#^~ zs9&e4cS=+hTF%|}UV$g^sphLDH32f`pv}u3rTXf`va@W}h1jgmeEdV;y)X6oR()Tr z*6O&I(!m%uMRnmft=t^XhzREjx)Va8Z2O~~)myDalqHXrG~_^F4#nDM^Sbde!O5bJMml6 zq}x|SbJr#EHJ(yk;IjtJe8>0ZeFY|mZ;CVl+TVQ(2peW01q%G7@0PZFSP41K65h@REc~5-gD)^pVm}JoI z+)%-v5btsh^{#FST%|$*(JKcfAGz83r$#fPc}Db-uPO$r5RMBa&d`C!VuQjDZXVpv z_EtR;{(N&LRf&)={XD9W*m3+1j^f*UAdl=v*=0Mv42RfylUDq@l9DmL8pNgkBobm> z0i90IN5H5c*^`CnnEOSiC&iWIHeU)xh0};)_HFmqJf#0mtvMDTGUTAAR{yT-qyHmSC#vdHu{u*AM zFq`<~z+$pw)1;YCg>mYjVD=V&T_rDP{LbV6=x+nQsjIuhgxC*KAMHy&-l7xV>)#tHIgIq zK!KbcC~M#|c-@hI`Ico7$;;+hLplUoqb zwXMm+HaRNN)=#zTt5mpex%OO2omWPq3ywvE>!fjq+2;MU%r76gT-bdH+k<9-;BZ! z4f3%bBVV!F4R+WDlkZ5J121#0TlGyxGuAV8QUqIXNLNTPxF+~VFR^e`ei-21UjcMX zr(AoPOPvh3E0o+*d~`Rh+LjBON^HfqlK1MKn3sSCMfM+JCy%w>`d2s?4lhU;_K2@n z3{0%W(Aj4jU*--3yIDEZVQt&JA8JgFW@Jh3h7MAQI^hJX3y=hsb`RqXfShTmq3gjO zcOJG{*gN3*Zse{iEIVWjKAHnMtR8duxfAwee>=(pEz!!BAgBE zd>0bdBw7jNKdL61+AaiqF1M8OY9Q{MHF`yHLKpv8=$27KN|m%aaNx)^p8G-}wx20< zC>mp*q+#P;WE%W>HSlxVVphtq5_nhhpyFXf+QctPPTh-MT{hyXg^siAcKN>^8;j_i zC*}egq8%NFlrqh32SQnLqv2M=W#nJ%x>378EAEmR!d z%35i13>S$0(U( zL5A&wX-?(LVCqx#g%YC22lWzg05wwHCp z2m7%8o7#unyVX{jCHP{mpQweod@SJ*oE!tUu-t2&)ibiQn)9&*-~=1J6WR>-3{W1< z9sV&_?a-kC)=O$PHTZ7N(TfhOIEI_kZwrXZzJBgq$%S>>CK_k^JLr!s4s?v7a_gVM zw4VLqzKd!*CKij`>X@q;CrFcX5&{W<0uvI>6l8P)H19)#6?=-Lq`zow_0@bDwuw$G(pZ}UVc8XD4IO*I<&Xz{;EKEw$%>*)T^~ueN%wH z;5E0brDH^36cecu9S-MPzw15GG|3B70@YhX?9SXk)I#~X)RiEogEwN~r_#K|D|L+I zB~{LY<6P6qa?iCXfZBG8^*N&D`-k4kb0)QeR!ukjlf!G zwIt3d3yc{2fAg=fI)(fV1z49t8K(29!NN0|u;R>}e|b^>Ynzx`SX#l#59ks>|8EZ~ z@b&W#2n-4iL4P~({D1JU0+t7M;zhLdZTrF6HpWav3 z;P+)Pm-}C^_Ft|RoWoKPm{{1bL=ry1zhLcu<7xpDOfzM>46|oBx&8%f|K)1`{lriT zzr;DeOtw@3^EA;^s~5U?gl-GEH_y>sfBF?oy`t&Y zckuE5lzyEM#vVx>HEqu)U>%xqcHdd55R=`p3~>v;Y9?WpbX6I=-e8w(68ji1twK_6 zL(xKK-5zZ>HrG{75-F(MzNuFR4pp-Cehzf)T-x?I-SR8V@;915m?hYPJ1U}I3VmN3 z1`!)RC~7`_3U!3p?Gm}zi}dnWU)V`$4x1{fZ`?M`NC2Y&)b6ee4ODx54l7w=Tg1|9 z_av_gYU<|yQS06Ro`eh12(BkPJf95x6Sdvqv@NZ3aygh$J*DJX3cr-y?(736kmdij zl-h=Ww@VX~Wpz4(;-y*JANxN_G^xBcybn`Fa{=!0egCiyjg_h{-s@9~$8?RFc&Cnk z>>r-!EYn+0Um^#Qs!vF1mwHB=4O=*3H4k1_`8lvX807iR=uQ1_n%0XQM2%>U@#2mx zFx(zbd-w2h-{lXdkJaUJEQjp^$v^Z?PXPR}SJMMaFKaRVF24;gc*skody(<$Q)&K6 z#JcvIa=j0TwR2uNp6lW;r!2qjqk#0+lF3P%i8F!xZy9omb~o5T({9`qxu0z=GpTNp z)o*^ADISkjJYEo)9GIfS(iq_0E4{#K>$++|#`Zx&e7wWH&0y!H4i@zsW|$c}^BhnF%G zEW}6MWf8;c}6P92%QF4|4NeCNTEgy+$31FIv<29Sj<1tkEcy ztuj~qj&Td2lq&6#4ds7kXt9P#wp+c^Obyaay{p79@VsnZL|gZ;(rwFs!N6fnSk#iu zbeyltao+;;yoa8$UKd&B#9szoy+J8KpC=imTXC(w=S;E79?!Y~+@0d7lMCg%G@2YW zk|Q?!Ju=V?o4;& zzB*j2N!}0i?x|J^N`T&do@D=Qg%MR)3^_xvc)!nG#-QYg|2%Rcm-*7{sm7y zG%nCp46yzoSvhODCL32?4H{FEUzuEIJKL(cI!1q3*}fNf8ixu4Ybg*A^85dQJOkyDWvxH_oZ; zwJWuLQMCYUSCwRqI5!>dfAsqHCH*D;uf(Gr9=c0!rl(7(mHXl!1NsKOCkzK|C%L-u zB;RLWIK1Rg67wG%88ED`xxIBaJPy>{v9|mE= z`|?BTJ;W6ksaU0RMgYfbD#RL_X;-%L6J_KS9$wOHh>c(R>i@7{utO z^Cbg`m?T&E60Uk1t>fuMKK}i*)S8qrCW-8mzz>~ujuF}nu&E#6RP5+}mnY(S$}-V_ zoo*{po97FpuvtW<2|37NB#vD(FsPd$j~=?8QbLNzjC-t@nu~JunG1>)&V; zTa)FIqSGMCQQOCt`B21~Fbl#GvndoFNC48zG?yK*GDogtYIaQDZ{%dp94RLqn8XX4 zK3)}1<4XV+K9b1?23^l_wqmmB?%ZqU3cWx4t!p%5sk-e(W}Sf?I6FVyQYO7{K}-5Q z!@#&yVA;+Jwu}i&nK+Y?^PG93J`k?K&u(|HYE$#P_qbk{z6UNVZgcUs#5FY~P}mx87;Nl+M#*oBeaGkx>`8YfJXrECOAX_^5 zjiOP_QKI@&cXHYb-X%PFu~@Y{?3Qp#quF0H-@psux{CgVV~-K23Dmv9o>^O99n)ob_iWIqnwJ2TR|Oqtk2^gR(Wpo z$XMaezc1%+%qw@18^`@<#>C5y(ddrH1CmyT0~GEFoBk<^j$_&^BD2EO8@k?Sdc@+Z zzLhtl=mhq13~BIu@t(f9+-++c=+v&OfB1zdXZ?78J(BMUSI2WV-#pE^04_Z%n)&VdsPsE-iJX!yG!cj2~L9-Vt&RK zbmpAG(Pt7H@r>eZ$W~H#cJa06Lgc0CjC$>ItvXp?hV;oLH$J19HZd4mDvUdjswu-2 z9-bStPQkbmy+?`b-+c>u zfwQbbN?S)87-mDqo|{33*%-;v!V~r`WFI(ja4>DW{!Nu9_@MBh*0>E-V-SkN$M4O! z_iFmFM*S6VysN7ELTIo1`qQ0Bp`G`wQ;gX$d7hG&o~#dCTKL@D3X(1qYq`l^Sf|9O zr5p*6n~zuyN;@#$qrOW-Z*AIWCIUp5k<43FdOz-VCCRfy?*}kPPlmDgot4h(rTiQk zFslI=CQSDw(!IUjAQ8yHn(zIU{@E4q$9KM1XcttO zS@Nv0qgEfkL1OyT-?ySvPFD>MNHv=2k`Rm-ooM% zmQix@@bU?Z{Je8Yq#54b|M8Sp?xxQ3f>O}%<`Z4hn_b&$@@7V#RbR0fXLjM}ReL4N zDC%Us{sc?I?FyQ8Y{~dwaK>(Z-97b{yrG3G$=$d0`b+?BYUs`HL2lG3WR6DHHfRGw z&Z@fXS|yNu!Oamvv+WQP4|cWb1XS4d;jp^gP@4W%z@R-eFAD$z;j(g z`+gK2vzSgs1L>9P?xi6ECt^&!dA-#}Sla1@6F{9KX9at561_{z6K6gl8m(R&y<_nk`CFQRG#dP7l0HZCdI1w*4>a9k^zTSvhf0S8yntMT=3 zA~(#Qyq_nN_0Oli>5ih;co-4;DLXw6XuN)s&!FATt7DT-{INBASbIN1xE|V81jBHR&eCJ!Y3*%tbKY%23c$cJ& zM&epU@6>lxOy}2U9c(r}0YyDivr9j*8Pq^P{+Hc@yU)HoYM=PHa;$jEKe@OKhxLl7 zrA>S-Yest~7@EeCxuNMBUOlt>i;_l2)56+;TFnn?e(&h3zD7Oi1{eaN<TtWtH3eP-kEFD`4v(=mUNP};k+{cR5un}q+W zhN%ni2rPcJu(m@$N_B}v>RxzMMb#)71COA%x=(Zh!&T4A!2kUMK8=KQR2&usw}M?5 zIRgvF{Gpm3V;GiT2#Pyz8f-#azxG4#Q}-t=os%e&8u{XC>idq$@!HlIC5N|*tHFnF z7M_tWJKmpSnwZ84UI?7ju0ch^AN+z-8 z6im1ZrRK$z@NQobv8`Czpt&q+@BemmX%&3sSJE;FZGN-4ja;N<(&8|5a(N!xH2UQi z4$(!Sn|EnoW*h3vYh>*U+nrGDjKc9bcDay-k8TfBM-Pd@gk}9tz;&vGct(|a%$Bsc z4&9ex)?TqCxQ-rvQ6)X^&M^hAs{l=B_k`^B)%~lnS$Wk>7>o)Qj&6@jy9T*3c7?^Q z;$dkxcA3}}cdxKWgz<{C=htJhkAG;Q8Tg191p{mPl7HO-{&NQRybq8Uw+;E@?W^Bn z5vV=NS=;yluG}~FOv+o{hlQ|bSGGwXE$-d4sz=dr%g8^@tL$155Ro?WsQ-M$mI$Qn zwwsb9ioRFixYW72b0a*q?G)c^tqVE(YP(VMjDJYoIR>p`a3-hpRMYU|#m*EKPJ^4+Qp z%lb)d&PO&)JI@)sa?mx0XYKmDdRFjedP(!a8I^9=^eTAraF}hO;+v7#M)nfE`It+ z&MvHZ$I9I^03=kj_Kp3<1ZVjtcJiZHFY@{V(o|M@%`tc`&T*1!I zTe)4Yfs(56%Wa~YuI?du^=(t1q@xp4+QAiJNqzgku=f6~Ag}~1AI7piFYQ~UVlaEq z_5L9HG+(T3QnPJj&U%f^$PIWm57%P;ZbS^QNO4|anfRA}KA_~*(oZd|?__4%K0OCy zwAUVZw!b42xUQgMl~!2RJoiQ|)=vPpoPv^pbJOgn&&PzE5_-X9t-Z%)Wuu4Z zIEtakWsQ?y|8c~~H$q+kY2SdPp=F2pA2}I2cN4Z4Ip&4cQ!{IoKy1x|ELnrT*vGG| z(&Ov$24$xE+&AyN+&emDQ&_{Nqz7vKqAE|{j(=GHeNMqEB%&UjSzLQSe??kB+u~7F zW(y;@X=EFfJjW*J@}zZ<%Ox^y>gcj->b6$T7eqN7N7K;+Or1~i-n@NDR+IROf!p}@ zb(8I9__{S48DR&6;*VZ&%LBt{Bfn;*E3Px!G`>^EN+!<&Tb8jXF5T9!PMBQBCSw9D zGPf)Ol1gU}2{@h8v#KtBGlQbq^_G|~>r}rqK!R-M<|6}=}BoY1xp(HFV zV=KC@Mr{mkzAu_(z%A|PgSbZ=6b`OOyL9dYO!moX{gWHGH=IIJ(sN6ypv8M^YM+rs zR>#vfA$$IafZ5J9ASwgzmXX85z!%Zk^~-=y`ElsN;g8=JxYb><^Da5p9tb^+&h6B1 zx$pDz+eIBc5Zy(=F73JgOQMU$)g!&Ms(S4!DLLhJ>nHgG>P{7#RiI`4+L2ze_>e%6 zeg<~!8)qtiq0EE~LF7$02Kh0qfH2Em1 ztsAy|sai_SQ?o{gJ6tTZc^b_9RI_?{5;M24vyV$|?T}pX8kPWk^z@gZiJ47wrKk#U z@C{twzUUd8+dXnfk~Z_e{ZYrj#2=J|OmzRJqm`RI0E=n@V-Z)fb!^()Huj56$?Q0_jZMVE!^20@oCHfK1R+Etl0<$8 zLJZlWizG1qLUc63qEN00fkw1l6CzJ&f)kCKNz3>lgpin%Q&d7b1#N8o5Ml^$LWC0? z=wj07P9065Wdd@6{}h9V$m%~nQE0AsoCJ%dr>6Y_ab{4VYfAqGIpJ*uh@F+RcfKAN z9DD;;AA-v;dMn?eY0eS!vv)ZNG76WmE(94jMF3i+i013i04utj-Cv0FuV3>IWQO2g zp=-mVP?(rlkl*Bn{qevRdEvshg#6WOA_6%O-h@50dGKu1SMCz{**OK$#k6#he}^+DF|&FJ>_g|9m>(AG@|sKNNFwS{;d8s0oO z>_PzAy!ltX`4?RKORhroA_gNP6c;i<{pNotPH5qT_eapd!38Nbbq(mTgQ!V;EuS44(>(NJ~0opzP@pCpc!+&joODjCc9i6XNR##Wi!1P~Y`d^zZ8sgmE zCjLf3@)zB_fDTGOI2>~zxry$Z8a)PRau5L7O{n%o2c$PIL4Fg0drZu%=wM^xy`g}i zpr8mntT%5$dKz7H{;$54b6wO9;FZ)P+Ej6UJloPu_6r{#tz@(D@AR zd`KEvWc!!o{9gjwa!Ocru%fcAuCA&7?f8F?>FJp+1e!bHF3*`lq7!z7IS=KXrU)Ly z#{Y8Ti>3%J`i2*pgbVVubRZ=DYb7NozbGOuo_`lZQu10Cf&o>Xy%xQen2?Z`h4V=c z-p0G3pse;!f%aZg#4$*Z#2HQ@FYhOC@}MIPzHmBx&3_0^xCN-JEK6%s6gC=(&Uu-G zAh|gRMqd7qr9JBHTT6Z7zhYWQaH18wKYm#XU_sjX1>Y#%h@-0wtars6B5amoxNlR~RYj3xdK|1qq zEFmbQ+Z4fo22WE2)!S>SjnUWFZ~E7~OEQR#H$%g3N5?lH#TlfZuXzzp2}p6m697B| zpy0SbqnwPu56Ra+SWFQMf+Z{zav8mcSC$~gjuv^sJBP48E_hnw=H=(VsiC2z1*=8m zn-M|7HDpuo-7_|^^7ez&q`&_f%Fxm%2ZaIQ&CGEP4uKmAYshsBMa7$NEhY30D8o_vPd-2nf(7#d z)NXenI2xMTI(m*CmL`^3lxQ>=3TV+}G9*v~0)sZ}I!E(fnleIUf=7Ll9v<1QQKc`ymAAtKC&eeM5N451Yn>B(epZ#t_zr z-rNTc;g&W*c77;JXWRGYIA~8RQn7T-gdmkmzYwHq=^Wmdt%f&_9YvPTsZsEBc+QJH zAFd*M7=}ydlBn^FrKkT*<%)sCe?#A-PDKF%1@{1~(oZ4U42oo})f)DnA~Qb)sT7gn zmVG|>k=efncp|!p=5y{`%EU@lDWzs%O!lig9o(HT414x<+Nq2y9dzk43eQ3cb!ZqRzkKvDwb#@BZ5LAqq3FB4Hi`=6PH{5C={E{* z$a=ZwXRRR*K2~{Pz5c|R51!)~u{PB7wr{M-Q3}WFll?}FgV=nUC$Rl0oD{x05`xxO z_rH%PS6tOTuGCTL!rjC`& zplL`UWs^`mc11f#4th5oFt8lu5cq@Tg*1lm17@SIg}BQa;@Eu0msG>>0Pd<^O84eB zIjNxsI=kK+_WACfX{u&91GR(6k}p>u7J=PAI8N82q6 z)xJBSBzwW9PPW`1pYF_e|Gv!p^@#X~J2c$jWT*(eQn@`eb8CSDm_DJzzPapG>Q-=y z@}l?eQPnw zWG#p)=4-D$eM(pw3g*5xsV&y?av)Ju<9J2)J*n0=yNaJE$f$G7lwdlc8iDLE^J*gd zbXl`jZQcc5HVJZC#upOz8jjyP_+PUgxwj7lpGiec)IVlN7IAbMWDRyX1TMt6=1Aw! z=lH#0n}~}6nRhi!z83G8l$Yl}94nIZ^pe^3=;g|j_V_%awG4})f!K+f)?3!84-4Zj zy=|EC&Yb>;Bd4NdrSU`JB%(ytS`HZC;yGdCNgBVHWFGq=y*5xshet`{x|`jR(afW5 zaaIE;RInT}Ht_i7pI$NC_LZP}UBs49FBDq97)vfXb4B$ls6VZA>NU~GIb&`fQ<80o zX>i#iS8AnGwy(VPCdrwK58wl~Qbbws+XE@Njr#)^5{(K*oqVh&Ez^Peb~!~&%| zI)yQMKYZmMt6jKJ5+OAAj>dU$HaR>4r&nMBuW1P&HAw--t^#r@c+>>W5A@8+tti|U z+`Q2=>M7AMoGhJmf%X{0>y;J<-A1@1xg>rMJ037-ApBz^v zy$p%keqAbG7j_gf*u{ON*nf=GP_ zUo+6rI5k(@cvWA%8v&52Eh>|m^fq2C_ z(%-Qecn1Yti7asnl#@4Or^^LTV|~Mg!zSIJp6lpdOZ?dh>#4E(Yb;$pt&cA@$jkGh z3(sJ-T58Hq;2P6={nBd_rUMF9iI)zK@t!`tR$d>fVBPv-rkAfiWEofzd}zFDc=w^> z3tO2lr|u8iMM zx{-Z!AM6U4s@@c@&Fm5s_V-XdPdJvk!(fr2&@)q6dha}in-vi7pBdK6HV7LsDzSw! z^9!jJ7k_N`GcEisGscj2v1|d@2_;n&=`__d5t1qx>%puhL2 zx#4_xKJ)iQWg~Nya#Z67quylNXHO0hPUZU;fL>}bKH-JuACj9s8+Y%zJ7Jz@2Es*kJ z?HcIdua3rk@BU0(L}ze3a$2|MEmgWUkW!tdku%nt>2aQ~J*u4eMNnoXl{Q#PH~rZ_ z$w37J3uJY49(^2;pOB<@wWT#LcQkX9DAFd zV9WkgjlaWJAk|XzXoeE3(TXNr=rDAF!nU(&RyD85QM#z#_Z8h3AnG`c{Vo?+?Y$#; zAQ{nXOye1)^VElC3CAJstmn>-UdA!5?5;F3=tIO}co?{|)Wm-&Qm`m8acsbnj?bM3 z90&Fd++{6|fGana$Y|7cv&sOJl#_95`;EH`2fwv=_bte@VN!nU^ z$%*3u%PhGql~~>!|GlPsCboi@`7vpvImOPR8-eLBx}*F++po0?WNi@I)#98!#7=x# z*x#P-S={1DNUOI2H1#I|AyfPlH3=5W>N1@ko%c*pG(Xq1PU)^lGg->sn^k(t4KkIU zIpEbT2*`*^K0R@!Hi-GWk^KGQ1Gki199dIHiiAlg0cb((4(y7V6(?Ly~OjRoYI;(YC2(;0C3;H z`0k{m$5<%DWy&J1!6e~&7&~HaPjNFP{bs^YzAuO?()dQ;*)GP@q#opFpSHy@bHf_X zQp!HF{J|@cHPSxt?nvF#u=a<@@9(&X8KcCZa#i2a2Z3APD9>|Aj=s|ArF%FGv*p&y?uDuq^nWY1w~aS@1v8vj4)e;D4rN|Al42|4hsN z3(ErZXWEf>qhsR}@awGU8CW0(reVWm*Z&2}{(DfM)YW&17(x8dq^du<2|zc2=q3o= z1f!b}bQ6kh!q81Px`|i{NB$pJ>*Bej>%U#YH{gE?;{Q^&Vw{U=pu}MYANrm8@}IxO zEI~iXI+yu{6#VB`|N95x^NXtg?FFmhr(*;IMNYE^zpq0tf4KgkT-V{_|0(5~FRhrE z?`JK##)T4jj&H0`6SEmYrAj_t^@A0oJ3YAJJ!urgZuRHt$bmx216W zTyZWrD~;|yDVCR@uK{-^cxR*EFOofCC)6K`zZq4|Dl}p1+q~^Hq@bOATk!-i@_1z> zJXT}8YIdgLf z*B1+Pxz_{=09;>(w(PM`R&7V!$4=cLWq3El2QpII8QyZu@A}>0Aq6+0kUbMHTmKdrIV|e)1x=_4cn1v!3HTa2D#KUi>`~TgkZQP^QZdd5;|iqH2ozldM=oWPd8@5l*BH0M7nbeXBr|+N?rY4K%5oLVy#7_n z`z!cWW{3~_H$4ffv+H>|Rs24ZQ7hs6;9H}H1qJaf?>|1ncc&;Np5;Xt6NPFw3s-pH$cWt^P1d*O1-3Wq6i=;{k2qGX2k^&OaT?(Rvigc%- zbcnQobV?)AAl)H%ZvD>hJLBH-#~I_kW2}Xn;d=L4bIl3tHJ|ys^)VjfPNhN=+f8B; z<)BgS@u~mv6$8~{=`%W)wN$R~ym))E0$B>{-PQS590Y(mJt$&n_O6Nc3v)w(D2_;$ zs>ZLa?L<2(lKE-o0}Jb*HU2!{rHyKLOi0B9d+0t}n8CY0Q^6O%4=TIlvk2OY0&dSc z2E6Asl~w_Zh|lxlHhHx&iLWj zb7A|kkE1iK18QCiuTJG~^5WkbPN>zI0WrpBuQ41M_G8N~*9NzFr{xTut*%&Hzl1BHBG^P~uw<~`04QHy@HpOV?l^Xh z2T#^g>d7{!Cl=~07jt+NE;O@GH}X`=oMkO|RwTN;>j5eElTyw~;#qn0livAr`h0u(Bu@1_xcMEr_yFD?ZQ1pz3|&9nR!p)A+}{)1_XZJGEEY~H~wk?WQ-Zv zIhKN!Yu=~PjyBH~NHfr;TzmV5sOIxR&Xw`qc0d|ZGj_&(I;ZaFC%?Obc_-%6rgPei zp_~-43Qv)HQx>=?-br3aA^vcKfb`FcYQpX6yBj9Iq^}(_e;!W5?$FBuc8ipFSE{H# z9LmH|J}JP>NOC|pT$_kJ!$Zb$a$kCt15Eo_#SC%ZX1S)wZ7#!Afr1NULO z`OldQ4Y#k!Jo+PZcdcsm3hvS9*N*x z@Di;N@QA80qiO+p<`0)Gm9E(3U)|kjWg%ZS@p*gAe|0^zt7Jca^eFHHXxtuTEPT=6 zdGT$Y;s=B>ci*^GMzph;pm#X;OfV!*9n?JxPv)WpyZL`iB0Xzv6OZ1htk z_)*D+-2|FnnIpsW2;5)4ZJN^bCSK#R%@N>CA%4loGB>l^p&|(y8~Oq(AM<_V%WF!y zW;2}Rt=ngb>d8|*C7B2vR0#0_PrrC<9_F-m@Tj zMakz__K_Y%wSx7F`ItrgDwP0*u7GE9|h5dNNnfXcCKJpEMSvn|)P z^4r~Y9H-Z_&qaahqWg>+LXSL1RsXz6ln=b}}SPuJ4w;)Q7 zn^ug{FK(K@P1h5SHmNu2F%D3Qd~*{!9`rnNuzX}A-qbZc9>D4ETIJfrt>`lo*|0vf zi+j~4Fd2Ah_bYa9y*Rq-adPQ!Xpa(Wi%pgDMk%+*<%1UW2Tk0?AD}nm%ZKn1 zo1$COgT*N}%yMNWCGy+&tEJ-^=jB*O5j?-ZL`~9|=zw9*s2Z0N4^FE(1Y3*~(xh(> zjdwhddKh!5=j@h+X6O`&!DtvV`2V#AXjJZm+n+-^40k=+C7rFP*w4F&F zEz4&giyd$-$nFqH#dJ;0!G%k{1E}6(xy_sNR1@#I+Rl;}ZP^cZ0*+6LIIq=cUtO-9 zxxWY`gJ{0m6*K&xALM^I#Fs=VJsi%UT#~1pr;*1g#}Gdc6!bsrH?~kTKYHS26In=b z7#0HI*UOcS8paqu?ySxupk%IiAz!#DO4S5A-}gRQew$ZJo82$oT=U=0KTKcH$_3jW z7C$>L_*&UT>v`9GS6W%Hhhxu&^=TXFr5x<~^IY5E-ypy48XLvE zaewTr^YosmqX;#9w?MP}0gJAm%zoitx-`I7QC>ElS(Oo=t6vUjxNqq_b`5`(-qhB8 zfs~$EK5z^daE29};2C?oNp2bl?G|}#8AD}m7xM}C(PpgGX#V}WOMqTh_o0Q)!LA*# z*FYVKN(Qf%_2SyO@T1bI@m+i(vq+FVzH^FCdRa)t<0TP;q+@W(;VJ$lu6u4TV&0~& zZ=tXNB^`_SZPkY;V)8rM#;&ocpC?iJLHq{wlY1Nw++zC)pt4h1)$CO^AS5ZNbBh>(WK_fQscn2;*jubeiROymX>f^O z#Z>GvE4yaoi3J{C0zl^Ic5nmbN@P-Dhs<-nLsP4j?Y8w3gVGHOZb8{cVPGCZ%%q5d z;|zmS;D(W{m*1=A&6A6Kx9@5?C1%$yBY1#TKuqQi%$GAbf`9vo?Q^f9iW&;5s9h;D z@5JPf6Wrk14eglBRcUz}p4;WqbFZVH*$3y<4{{I4q_7Jq6%Sc}Q6dtt51K0qxqYp; z_jRD11xV%eQ@D2l>>zew7VgsIvXLvd$xLSKqFD zlIOZp?&(eAtlGN)I{SA^Khu-X1ZH^cEG zZbI02SUl(b7T}b4ic3JxcI~>PwngyBA~loZli;w*F)RC5--hQg$msyHh?w@pc{sglzlqL%qn((L=k_bM3UX4Q}`tD_O*VaEy~#8%pj!%D8-Zr!x`q{?_1SB7rgftxqsTewX ze;a{an2d#!_nNwSa(e3}7Jc9yQarH@8y26-_&@?d2D4OZrG0@WaAnNGS3+9n?3Ofc-QoUiT!!o;yNBN z8Iz1^WB2emCZ3GncQCiGvb}%I$s1QWg+tuU-ZJoG_t-!7M-BJ<)1kF0J0w@roK+UO&HXWaHUP zWg8p=@_KeqqN=#wGDP_uT!1KxlSu!*^!x2c|C)f8r2Z z+tv`$+c|90+o25Vu09G5p9JSbq_j?{1^sjiXHjgtlEz5`f2j0wJ^fRjE5!cJN?Hdz z3Yy05X`d$z4BdE@JX5omsaW~c0^e7TADrP3(FiJo2^<1uS;Gt9(Q~i<1<%)Y9qhN{ z9V@2xvE=d&bqR#7fy~RJeEv*&rTb^)fw4uSJ3LMvt&7Wh*q%~EA7q_9Nr78hNm-kG zOn$|W6$F!xML8Is@}9a&Y%&U;Sgd2|@FKW&^S15N($UESc40+#Z{NccVKLtoCLWb1 zK7Q{<8E!}cZP&QTgX)Os97`kWuq^8@trwU$pVTs`+?G=o*2y&Q17r#&W%Wtu&Rwz{8Cy9*BxUXzxq3&HcYxuG$~r-bS*5#w=xngSRTg;zpTO+S6StyqTuv+h zq%2TS(?3kgBdHgWQqc0m9exM`PJeBpKK*Zw0ZT_f99Rj6CC_k3S`=$^%85Yc|-76(2y ze4n2EjWG8R@`}hk?C6@_M5x4!%!4z2kXZP%PS67TwvAhc-ASdW%gM#f^F)_u=ra#i?w?J&RP|x0I}S})*iLy>q)WBNkX=O0|HX&tD>^cp1-N>00S7fL~@U9 z>>OPOe{E24TE3_{Jf*S|3rI*k!?gDdjQ|x?iq5aH|Hw+tc;Y-WL`nHM<%n3;tm`~| z-aCAd)BXpfS}%OUo!W9M#_6iD7K*}kEwXVc%d@9^`{@NaXc&?LdKDjJ*A2k{xs zt+Sx-Xk<#>evYf~qkYKN?)^&=W(fr)RZUa#yEvEFRqls_-&BD)c_?~zN%`>M)iZ?T zrkJJuo5CJxRj=ggPFm$?psJNtUc+}=#{-l8)hRAM@v?orfsLJrW1VM*uryQ52Qc+Z z+R=|Tb=`KU(2G_?S?7XpY-a7ilDLePM{-79=McE$9#Gl$b8+S5@^v*GufT|!xrJrq zy23;E7mcfDx77c@>MSZR5_8G~qpR=Uw@sbrx)WK@*d}!M>*ZG}?!hf=_kctT%G@O~ zx8>)ONNaR5N=W&A<22}x%+iQGEfB0=!UUXZ(VP6DRz4TL5nT_;Ew293+&hCo%=>0) zVfTcQ)j1!ukN?^gRDT}vp%Mc-DDHhm%h)QXW^xY2!}Qi}I;+@sptFCR_}X5~DvEHv z>37-p?x_uuZ)Yf?23B47E$8sk4d6k<>r^)#(lzjitugILb02wZYL~pAVU(QNJ!_la zJ^-fvU{gAUM7+-}D*xIuieN}+xOu&(+@<1;|H>!w#H$-jx)xM-&tTFiD4Y78U(&SA zu3Fk9@NOcu`@D2Bi;_kH#C%TQ)QL5aQdmf!=FJVEh+kUoTyp&U6w@V|rFwK?8m!8C zpa{r#w9TyEe5~M+($RZt?EU7)>KTfF7I2722Z8wVx~|Ktx0PJ{D<;UPEALq&WFHM3{Z+U+Xc&lm!Rz+?r3@K(E~ z;n!`>h2MJ@SmE+SUj9}2u}vy_KTn1Sx^Mx?J!jAU<#lplNB^j@`tOrFsCRiEYg@kU zA6*x__c#`uF|t7;toQeUn4ZZS>BSF29K(bSLZ zGO1}LJix%GV)0t$2;i8zMYt#S3KS2MgZr&rm+2=?xP^ksYN`2U>_bwf*3QWKg&NNr=+uYf77roWCh+P);3@=i^P<1J$&6r=;(j?FlOTM zC59PRd!Il_9a=#k<>EcWGpIN4<>aEYPvDOOzcD#O*%|z)dF;A{euKuY zAd-m@GBYxdG{*O}K5PAjq~a zg6zPryJ-GuuO316;Xf{*akKx>mykO1MTkyLp-YpI5h^qxh-io)ED+E_^OtOf$c4Z9 zP)_a}vI-ExRQH7zO^DEHXlS4%ny`Yj)&ux0?G%V$8X`o0>9a?V42&Rv833uoKy*O% z>>q&%&4K^%211DLucE2|`Es~}`gk1i1ZgD_JNyi4dn7fXi?32UWO zQBlK0Xin`fhxxxS%>Nn`86kPf2IS;nKnPP2&8tBW6OCd*4iloNYHAt}o<76>E3b7y z%WDnMIt~PZb&X;1blAECp6EcMm|ouSt)NU1ZBz8~4-7=B6QO$q8aAPR1O{40Sa7ir z4Q3V(Ar$w`lA~#_!QFyr641yry@P$M@f=HsfgcN)sJp<=3Am5gP z0Lbjg-7$WG=AfZp#SYCsquFOzFy7fS8e-2FAJC=MkwkcSk|6>MeKR-WO#R>UGL0Ci{9YVs8c7qoxE~BTXZ;%2} z&J=k3Un?ifYz(uRz&6E#Je;W+x^c~Y5fTd+PtdYnyQh&*&H368$#BAmj*gx~SrDSf z*}M3ZQph{kry!V6RNDx1G|eCg(rk!ex1gid7s2`lqYaLq_I5Y{*45S54>{)@Q%ej8 zbT;b}phcr_WTE}E@aU^>V=_cc_2-bs23b^ddh2EHgHs2(x3tVbcCfe!FhILvbq?cjYG0RtML;gv+k z#C;C*HuWPbN4q%D!v{)6>zbNd$7W6Mq2}fdp@SE<{!VE z*^RNee<{N_!@yLB1dS=fM(_jgOn^A(N&WSZH_kjHwdV+&L~jezOd`ZbDzgR0X+^f*{23GDu*vnj&PT z7Rbexx3GpKEuAO?{vqs-<~GqZ@@-jJs1!2)OZi*-LQyk3$QEvPGbku(u17GR!j2BV z?`ZlE1A^9u2!XS+vx~cTH0W$RH$3``YThXGmsdIWiEh@jrRvuwwJ^fkAKjOsF1LSh#c~>RYin!UvNyD=t!)XT137@_b(n&Lb|NQcmAL=p(x|J= zwg^k0B^K<%Z0v#e@wm7J1O$bYRqxLsYNq#>kguo*50)_Mk%#pN^?#hwe-|ad5sD52 zD9bf9_YbsySwlj*k?;%^yqA%0Vq&vDm6Vt&A!VlWuw5(KN|CA=*io^{Q8l&jzQCXV zU%tSF2ykSY6=O9twS1elYzQxKCSnvJI0Jfd1v5x|VrCGU+&j$ANX-Wk>?f7;a? z3p7OeEtRJ8-zvJw9pS#S^aml4bcGihwatJ6*Tw8KRnQfPc*r{v^opH&GJRFh_WJGc zUBvP{)Qi>knfm*RHy?m<<%dWMX_*3sYVWdvr^k;|mHb1@`jQ`eo$f<>zT{U>`8x2T zpskz3xo?k3T#J<9jgH11iIgkM6fN(Dtn<`Yz)W@!L-s+f|4ac`U=3U#gk6H9B-K&)ZAYv8_K8>7*MTNzOVEgvxN`}d|%2L#gA&MBmfkKqo7I z*as61LgtymV~J1HMg-*nF1JDI!=^W3j!L5PSC1Cjsdj;$ z=DSdw#D}VoUwd9`!i;Tbxux%S2T7!H=Mj2Dx$YFs`(f|4mM+i>$PS2~58VMBaSrMXJ@h3KWx`4M5yRKK<|9{(TR4;VK=>sBr#)^j?Yu$CyNtSp z=cqM-$^ORg><6f?Z(pLwzJNR-Y&u6wS4-$Rua0lpa}B*OGC7o!OsQ>hJyE(<4FhCV zmx!=>3(*Be-VQZWStdy`^^D_PkpI&4S?Z0Q>~IxuT3e3EnZmDeRhTw<^KFQ^+BwBI zCS{v!QL*83#G7MBz#g{H;77d@9?9@kfyDY@=|+#@R;R&E}rP(W;xr_X%S zo%{`dz}+bGKElpMgja`UWN_z}$}Ok7C2DXg+fHIB(^%q#|HH;@6|Obw~uEwqSA&VvqR}`7y8m8Z4Cpz$5$n77u1tN+lvFt}!6j?|L1%!uOqEd~`5f5- zK>{#4NbCC@?$IA_G^eW)AnitZlSS#$V%G{uqF!(Kmm_iT`ci90uS5sidVKk_P~6FQ z*%|+^g2xUo@CX~`bS4!&fY8CC71E1wY1ScS#xJ_#$@>%U)O(Iy&{`rL!wq7z^#$3A z3lcacYNqSFH?()gFC3)IO2q~4)vyMUd%SdK$(VtYQQxcX#LAk~YBDBB)E8F?CaH2> z@%MU}rms6A>bv+ANM8<2W*jV24=C_`C2^zsU0Y_-YGou6D8|yOb&06uDp*rpXveBw zF-V`BYZwpwXnkj@p2qeH^^?T#$E|P{y%mg-MRvK!Fu!;5$@oc!wSK?b>a9MlL6qA5 z3ogmn;Vl=SF`2(>WS47z+{EJG`o3?hAh&tZt&GlQP4!*ipDX#LfB>K-&V~b#>*uU8 zher4HXCefKHfjm(a}dV2P0QTf25mXFX`ZW@X>XJ7hCO8BVKLk9d2)4V%(eMl=<{Ma z&es6nTua);(Cn-Xe=z+Tn>-Q2^-4+6$z4*#D<7ELF5h_t5{sfo`!;vQe=%l7?PxWb z#r>W+E9QEk%v?n>y07h!0ECOkf2wNCsJlpK6Fd{Bq-03hXTupLrkowwn}4K>3I;-( zm7O_t$O(!Hlo()hp}08GoDCd;j}%fzYCac@MqZN zWUS4H#VNXZ`4Rw9XkLz2k^4;)OL9eU>ruf~iQ7t_TQidEF!TmD2-x*=tO(mCe=6wkd2vthBy*p^$l z$e&7f!{|5faIFeI*^3FhPfy}-D?r%<_aNO{dFGJUE2LU`sZNf~Jij`uo>1`82%}iPgaIG8)wAi`}ram5D zYrfQ=-K;jMl3Vr}Og=px*l3N77>wx40n)C87C(19pMUv)8I`)n{R#IfDY!oP(zE*H zMaIiiIs=(XWo;AkxciwW7jLesvN3A6#g%}&G1VTkqIHBr6wz~}=9L*#JzHOx9^KGV zWv&wB@Y8kzQRG2G5xYJGi?ka4eNn=H5+8)TK6E$VA$<}0!d7Ls2bfPx{4A#LRL7Z! z>%1|SA*8HtEiPy{Bg#-Ho^Bbeu?C8q%VT=KWU+1HfJJ5(Vc9!A6wk$0qB!ESm$WpC zD;R)r$(4a0?N40{`F8nug8>(2S@}h#yKyc>Tj$RP?^50cK7aB9`h8>NQMA}IlGy3h z!X}~DM0!!*CBZpOliO)RPj4Yn0SwYxR(qC>d*}LD`<9DenoeF%vB9vk4xjAvUno%a?D!4RCen1u% z@zm)n_4I|5!RnhFj62h`0@8J7mjsS_=aBY0IeBmudL)H!U66! zd_SO3Zg{zOR|lVQ+$%!oFo6L+qq`^IF}TLWf4A;&Vv`I|=_d^oi$5$(zfQyx#F|@h z{Zj?!;7arq|NaPehU|L=(5w_B5kEO^Ja%_ndeT|cb&!F6Z+SBGbW*zJo5?8UXOQGd zUX(Tv_A^kUODCu`JL7vO?RzgivOpryt3)B;l)j+ITP~H|VAOG2&gTI}yO||DqjO?vDjRb-jsuo&K zZr{!!EduUX6gX8^hI?^d&E~uP@}5vtHD_d{&eUAzv$i5k*LPmvdh%(xiK5H}_w-M^ z#l5(J(@#bp*vZN_Jv&l9xa#R}ji8?CeaREr@SGB=ztrph{55=zoDV-n~NaqR_k7=-nH*n?C1Mh96eWG0wSUXVy?i?2I6M zTJqnF5HtS2(z~KdSNu=pA^-Ew^Ygs_JNT92{F3_rp5p%vzfN)fgI`m6NT*s`y-S(zg{Uo^S4H4OGy z`cf}DreoZ5FM|;`*G}Ww$61h{mkq)pj!F#lZPr*7Q|0H!MeU!mG+xhlYK)+5q~4dx-FEnS!qKy za7W&jehFNj<&M<1`}I1kLS2Gr;ZN@La)1Tt{QdR`HG}NPFGe0$0IOU zhd{P0W8GqME7loiX!2Nt;EtSB)c}3h5tnn$ibY#qTMjUaq#4oIB-G7QGtE0{aHe!w znfuyBsy*~8g!jxRTYU|1oVuqU3>XdwrJcHWf4_63H-o(RF_j>~U|rX9a!=Y5tPa!I zX=#jXkqUWNa3~AVOD(p9?U%Jsol5c2DAL9r818%uKEzh#Yp zQaj(Ha=igpLIB)4#2{Fs&p^yN=IfK*aL!}VkYCY~l)1zH-S$i>^=1GNemZ9srYpvG zk7A&fWs!>0V034h+4jB7m5k?`hUeT@0oO0KH^jjnRkbfJCJZ@us@0m%zI2J{xK`bM zKh)a#@dnVTa&zw(Z(k`ujxed4c!JFzJ^;Hj0-tTal`iY;NV2N}oLzr9t-*XAfqTB;A2)ZEW<7)&(C5kI(ZVUicVfA7XhbYBD;ef!1Sd+&loLG?{1 z{^TzwSKbzA#FLnZPA7Q_oUD@;wKv;&(cc_@1I=S=qC!$}ygs9uISHSX9)%7r%?~|! z-Jn;{wp2W}K&STQkFO`^F;mp!1xf4CE3AEVkB{aBj!_3chFr_ZFScqWhdkUkvaD!?;+axXuEzoEh%$FAWNp zmhLqUeT&5q-{TUci;)J&e9E(bh}OgpZgS>C#ov7MiJ$ti2>$O%-7-0CKenA7@Y-ON zKem6ljf0H;X1Rh<;LrQRkqD1`IF7x_`%bH_ZV*hE*y6i?K}ZH+Rao+v-=HCm$HFgl zdAsvm+g`lQ=n0rHJ|U;V`hxp((Pubds~t1Mn=0&j|6J^GiidJ1UmGzPeWK|fz>uuI z^YRlG#Xvmyq~H|FcVRS{)&P7-Gtp6JV7 zH(h&P1?%Xcwo9A&PF_XOMPf~?OPkNLT|1gsRO=|qENQjqt=s{9>gUxhsmzs5`R`f9 z^7g#K37kyCwZ;4h{n{vB9ak3u9L%Kc3i%9gcF!A2%Z}Nqr<-yIgyg(+xv^oGdGVxe zV1^)Ur@Xjhhr~Zsl!=OM6szg*j3(BCJFzg`TJ9?S1i1Uulubb7>26BkZ>2I5(e0yJ z$4AS2Dt3lk$Ltg?p@+X=}2}EJVH*>yud)6Gl1E`&Js+eSahpm&mYSXPb$GQc)Oh$l$ zrB0Sm2%_Vn4f-B9I{8wo%T2d3NU9GX&vKowI&=9KFJ)?VG&{+=Jp$i*+nIH~2`l07 zmDtB`3+Z_5Dc#X+OTq2^F_a_sYGn;@+9&*eb92N#9P4o;NSxTm8PmV5x842pyH7{8 zgsdzNkPwtBl)>ZYd@+QGXnF)%oLQsupfR3f98wXPdlS-x?nx^Ixky( zzS`A`HwOtbUmbpb>);w|d4V@O&_x$T#61L39)wBV&C?3Qt`xF=r5B5-IjoH-ydGBA zo|a$hA7gqKprjig_rR-n<`&hz{aDTnM~;Bk*?T2bGZuH|QKi@kkQv@>%dU|KGZ0Rl zFrBI98}kW!_Z(0oDJu-B@AWy>!KY_D^k10+Ykbb=+B<@7m2p}6vF|#%tu{iAJ;Pi5 zHn1=*!i(appI;#G>-E0)OM`yx_o7)om>yoP|GFCV<{~(gYFFk$1>7FL+Ua)3h{{D{ z7{jDGia1|Md;H5e`9K9Ys1=;NI;SppeQ=!Qt+cagfn3!9v#q0FVES^K7vZotXze}g z?Amw4Aj~Icl#{makj z_U@)%E5CZEW|M>Rt@TvF`J0TJ&0JI5+{VP);mwK)VzQMZC9Vwzr8$~FFy)zA8Ha&@ zQhBL&qG+t8RM1@`{tt}H-ye$06#K3B!3FpCiVqu_)24(e@oS|r2n{v*D;KC@RHw;| z!UDXw7eOel`N`GifjJL32p{xr7n(ZZS|oy5tU-g%j*;%HxtJh;XS>|pvgf!WO?<=k zTNQX~7tV#@bt&Zwh2*7fin*q`&ozL{{BEUDI0`Ev&AwR?*@Q zV7N)a=AzKEnA&C!!{j*=)gUiTY~`=CW|*CPv{LV?hk=@V5Vzxx#8Sey;vnrgmtDMl zb!P$jf@O=*a=6TE5_uqEO4F2)Eb)u)@sdQ?LG912>~j`@nxD#}_8e1L?c8}_)U2qg zSJOn|bph_tW3|z2@~fL<7-o$97EF=fzfUrG00XVXlR>qN zdZXeLzm^|)egg-K>q`+6+JWC4j(!vto#buaunQ9jv76k>NDX$ria7+{N1XROEuyK< zMJWVdrW*c@!^E2&a%Hpii++UXqpZsS*jSus=Es^F-le$x?K)ejfgY*jeRtMHEJM+f!}V#EIrp{j_mZJIDK80?K1~ z6!@vGUQ(;4HL00C`eT*9PbIvlG{J95U4}IUx1WDx-ae zM-IVcv<_Z6c{B=kdiuqbDk!y$f^$ZWFLS#FjV$9BgwFC=g?$@Y-#;S;WLMa2eVT@7 zlvVYOoJ%i2`CWWkp>c8Fib07{F}<8KMD&a^?$x&5CF9h3)VTa4#W16&XW&Gom-Mbv zbZwu21kg@MZJyfo#j<_%qG0MY`|NaN?tWa)=Anp+?pqX{cA?wX{Y zL3+{9!7(A7ol|I3Zr>m-mAF2zfAOVhie53|ebHA;Dc8JPT2(SOVXfbH9Nrca$p>z^ zf%t;a&ulK=PaZA1myFD~1dqQxv>2QH6ZAS^b4l!$EDF5LxPVQ_Tf0dk=<%s(lug}x z{@{$7UMnCrF}rz;mdzYExdomQa%n}p{#eo3KetQb6OE0ps%7@nr>axQ@QGI(NXS1? zQ0X|JyQ-icQ8d3rymQ3-UBMN%Zt+9(C`rt|3TSAbz9dIT-{ADR2Ak!{!U1#Co1GIq zYsVj96^|mbGJC-$9k+7t{Kt~E8CpjE`wz@w-;a`tYa5tGzy&g6M3Q>IH!ZhufLBso zGyluJj7iKsm9kwzQtdVggNkd&=<(%hAYQzMqpx^NLS-fW(~;5z?bt+0{m48K{m`q9 z#h2tdq`?pMCBGy**<|?GPUC^4dur=E=DUp1pO$W~at4WkY6T#qW{57TscWC);5U5! zs;<4$FX;7$3^C1!*sc95JZ6cY94}~u`<_n%Tl(+aKVFxp*Xa0n3PUmClcx#Y+jUZP z0F$J?R%p+6H-_$`L9F+jn?O!W#aeiEuT1HwJiQ^e$=R5;fqCE^GYO zF*3V!c;*)IJ|EVYmI8*3Mc7m!pH@h*JYKyG`36uEx$a_vKgIHRuNPngb|g1~hl61r_@0?7_yN_uV$ZR!exQDH;vR$hI4fu3 za#~$+9Wed0>k;>%Ls(Mh`KtN!7WO5&>r$pqiWo)Rv&y_G{zB+#x++<-4Y?W&A88J*&E|nM_&N*g7VuscRBZ4k{oDDJ5+N zp*_a}!;ziiOEfRJC$BxhA!0O+P!D@Ut*=GcbO%_5RQ)7o=asr^o7scU%q5inv9z^)_pXj}Skk(V?o)s8gIUPR^G(W^ zwk`%1K0DW>I&vn~-*>)vMgE?pP41<41GQSY#n#?!D+jEv^Pj|yACVcXyZjFHrZtOn z>0>#yj4B9^!Z7FYG{-1E+4)zV04sMw=oNj$D8(l(ut+*-IGqZghe z3p5^vHTI2KrxmPVUE!68{=sSO@hTx_4U1aR#?Ha5z85UOJ{27KrP<<15tUOqiHfsd z>*U%d<7pF{^bUh>lpRPNJW#S6ky3IG**8e55YDTbbInAto?Cyr9zA#d>%I~2>S3{c zJO*r(K8Qg5v{_$&nx5^%{G_VQ%;*OdH5kFi6dv2a;MQ zFD}q9Fy2rxatm*n;0sI3$SSP+Mo0xDA3ljmE?eKE=DIE-W%4Sicy@`BTI{Y`)G7hH zkjQ;nAZ!bz(^=Vc;ow+zfvFJCc^iR`ok#X@Y5kMyxOAdQKM%0@WOb58##6rb169F?KM8pf_%&MD?dDer#bruc z$T?L4zK~gyxt7wO3j^^#j+f+Kyi3UbjY-WWq54pN?!q0@u#$4@+&)6Pki<`*cLYZ( ztao^n_6pC7!fibI+e!~!#^7jv+1A>~3Lz611Y?`GSKstl`_C<+0@!*Otg(3&kMEV% z|H-uqNkaxY@A|0^y1))O59V`Gj$G)&K(4Qu!%+GpLxD+ z?wR~KFDPSR?2<%nm{#8N=`pOZc7SsWs$LPvJuQ33y!Q;kXlK`G zeF0v_7Z$f1u{bzI7uFA8kFj#)m;O{eYar8@W*&1txgrHR zusJjeXvD__+uwe9=-~cxh>-NUj%iX{!wQvpcxuj9uy#nNsO^xR(?7a&cv)WYo=w~L zQx?u^&*GpNdvb1%1)NbtRkcq4w#sgwWfr-m8`_4+B(uVb6Uibwgu8>>!v+MNQ|X08 z&EsbJwr}SR?0=G5_2f4l`&Iv97gy3V4eA8D3iqCRM>ce`32EutJPZF&{$+NHKrg!W zOwI6Rd}4VwxNwn?|E}iyqNd+_SXV_Jn|cJNXBSk=5t-Zi7glusmI2nwIL}VVQ)Rzj zESNs>#$?~?Y-}T<@QR#qS>O*Bfouwyz|h;FX;W(sb%nc14AU@ZGPj=%|n@w zt>EVE+^L0&SD4IWGx7;3H z4e$q(@M;?w_0zb9U$pdG;z|YnZUN4A0E&N=5CVod* z&&fM9s&Z(YSm^PGoF5a6!0vfL)#WSCLu+VN3A)xg*zE?*l(kn=aPN#7+0S0)DgrBr zeeN&JU=DZk+e!iBe<()g2+gem6SHX4ookP@%^biX2haWVd{SBlCG*nC-l0=OC8KO) zZR;Xu_^YaAJjMs$u^Ecz{`=Nn2O1xj)~OMjt-6Wr^2G!1pv+pI@-|jE&_|)8rqO@& z@Xm6Ue{fB^L+UNRu$eQQYZA{&2KEj`48WJ>q4A%Kq)IMPtrzLp?>x_IoZLD!dlr;f zGj)j1bVCnB6wk6rDCp>?_5L8R@UJ1fEGA_!K|x#Oa6)GA&FqOANL}8;y&ksGVUERga7m}EU{{b-}?c)hIFZex3VbcDsXAPyGf0dv?Az_i|3UL1z%mSDQ zGMI)?hnIp-{&j+ul=MST@h^)BX-pX75W<{52c$8PDY#9;4Z@hX5XMB)n7`op&dotB z=)%(P4LmsY00W|!Fo^%^1<^$2-^%U~$%KMI5m9uA8A2EnZhv7+U&vz4pb$)H=o+j? z5C~&pL2T`|EQB&4bSJL_FBtl1A(e>+7XOi%5Y7DSrKLslnUGq0_RQt^bEu|GNJvOT z$KRspFjvY9f*`3W(5VTDMX0Gw&+vu15?=)Tm4u>M)PjP7P6%uEz<{VG)NDdp6N)@8 zpj95|W*D11IXnB~ixB^vGl1FP`~wUKcOjS%w8TOOHf(}_xF%W_iY8LgZ2P<^D z<7VZHT(Y*dgQ%YKD+mw2ehq2Of7R4sk~nlg$c^+L9vvSaUyWAPLUI#LDK@1*auaSX zu#J&c_%7ezck$6OPDpNcbbN=cfoA!j!vtL>et2seS~++3{+Ff}){8$rrba7A|8c7* z*ehW`Yb)B@%FF^2v%-yS2KR4)b%diH@|@_);F>`YTy7Y!hCHv49S{)y%S^*!>*#9h zIy#VxgG`*hfk!|B zm6iQd)mBy2)S&U`x~~llv;X|Gxc@QKF4aSGC(OK(0?nN>2vm2%=K67eZ|6SC2wS4gVTDk&EyW z$YE1mfZ9&V%QQ41qGE6sPr@_`15V^+xZ~Z1r+nwJjg3v9Im*r+-KI}d5X4~yfv_j~ zm6I<*1xF5a>!QO2VykFw)x$F=F)=x%**?$)1G;og%}D<0bRn@_^p9%phJbEQ&m3ZJ zh(h{(5o7=+9jr%?p&0~0h7A#9#23Lw)7)cY}>EzFYeV3iRV zZ=$0E4d$?oW)Kwe7TyV*|G0I1k<2f+Nh#?Ke-{R*1u9&Eq$}qt>z*n{CQ~H8Fle!cH_4R8@H3?kg(9(*=tvey@4~@&sDv6zM!4GBSK0_suZMI+*HyRh0qxy2tCYUxsPICXgjt%GejX*A@vHq(uzv|YKzVx zqIhr>!vm5kb%r>OKmHtnV+x)W@nd>4LrD1VSaIW9giS% z8590GAP!x)aPcDiEdV<#B^|w(m{`t4=*SXk6eTUag!u!xwS@6w340tRCvS-0qE{f? zQ&BY!e2R-klpSF=wPL|^clUbT)*bpSrwK_7ZNfxz_ps42LK`tNGc&W$OgAL0>t}u= zNCO&hUB(!gITuFdlg^y;qLAj9LF6}zcIKQ06)8S*&WPH;Fr8UOA#F3~r;yxIKd;fRA{p;kNGuw~;EW=cY+-F$$3D6+_-k*oMCMpH^mccmt9m z8NY@AM~Wi@D>e%&SHa}Ff}*%FF7&+KA5PzI^K*fmA%UM)b%F46+b*O${01V zW8Lo2(Q!(-vLv~Ka?^w4#>)4`cDEO+uWs^Pb8B6f4Y1k288Ss#*-1M)2{5AB>YN^O zFoRwV($iDBx0&F6iRWawze3|{x4NcdHGrv2FIme!E8=_Q9!-h>?NqNWcVFWu-*}A3 zhjMnKL4Cmcf;!Y*`ZG>XKt?l`6|;X`k=sg2kk7nPuC27IDEth-vHg+q3Q--k1kHuV zQa4OIN=PY#bgm}1C|vJ+y(pjOMPV$Abma zn}YYV?vm{g95Bz)_Px(mnLXCijdFE(CRnJluAIDXXlLodx62x5$- z_rXZ=9t$DHO~3hE*K!}?z>W{H?_K0FWW%+G9nkcgZ3XVgUQtuVk z1N{+q7C^Eo-u5*}uCwfpG<8izS5;ap4PWd`{ho57uj7df!y0JSB5*8AiY{999aLP~ zVxKn|w6Vs*I?>XY8=VWKbYBB`O$;0>EesLz(iewt0@}L-^2aLQXx=rJm)m$sR`CCj z_7+f8b#J5Zra>AJkZ_VB-QA6(bSMZ)H~?;P*= z&i~$X$34#&d$Px7thLt~YtFs*T=Vz*9yDcezh(KG5v#M?mBCLRZHq`vYawTgMJdJ^ zkmJbxm{C9tSl55Gl3-~(4{jqy-$0PcFzcquv*cnskT*T~<=^_I0c1`K7t&1YH5bq! zNbyRp%qDM#3e5Ih!+sWKpPZM!)B~7jKGoHpDEuo6SdA{*-iy;0{YRWi41t|V51HCh zv_yddHNfwh$y@X4XPb#Xwe`eX{L$?%((TRQ^yPw4QV17lg&2+y&vE1*l$xp&8`^VV z5rsabd?y`_^C3i;#^?QSFsY8r;oT}{p%daAfjc#-n`NLDK;%>ANi6?P2E$x&6jYbG z(Pk-_zid6lo$9@}cMoy+s%-wyYlQHff9+w5PzBgY;~cTZ-XGdLUE28i<)YZB$%Wm9%lA9SJO&36& z-C@st6vSt+#VoY;qy#A>m%UvoU4Lb(9F*p?C}gRu2|)sTn85D>7`-ePFAGqOR8ffO zNww_WKGm(6Ao8>4&8%5iWC3XVo%F6pDg(^Y$HBbVR+g0aM4za2$RIws=}m5>qIClC ztjXlfsjsts8dNSK5){@L&wF(+vu7qB*<6Sb5==n#ydNQpX3rA}m7rf!aLl#t7)XuU z-Lr32b8%+nx1fDz1f-@FT1ZxwG~~`&7{N zG3mN2RGD1BuHYz)A9pE5Al~V{Q6 z?EPRs$<@v4#81BR)6xF10!!Q^50D+*&|a>KK1(QL?4rkizaSWdLQOC<`)zpDd&swq zN*`c!EBR1t6}RF(t&1*pSIf@A@sxQ6qJHqy;L|xOX?p`tnZOSut8;kLuGFmx9dEMh zFV`4Ll=p7ze0N`ft_*ZwIh#s0^rOsMI1IBa;`N8oBRb^dl_noiVeNUMx zPnS=&_+fr=w0HXNo7XsJxP<_Ir1|eM-VX@DF^5m}rwQ`$OOX2!JI!C@gb}|AJXv1$?o241@kGzMx7KP6u9-v}V zA1KxE?eoEMg{0p@JYe0p=OlG3$I4Etze2b_m&2Js$x1euB8^$_E8H+nOLEL8U6^A?8#gdX} z_)`<2bgYNw6XgQ0#=5v0E?V>_Ujvzbh0(yxVA9i&S84XNzH`MvEEm5ek@t9!jHrKH zCLV(~b;`fTuQUi!G7`5`i=IjMGd}p4Fr!@BzKMbatshr|h3*Jx5+tLa>$j2_6SVJ* z#49_3iU|#kLh0{k6MlxV0~QzBMD&5ehlTfF*0xokbkru^VFj} z1v{qDUqvP$^=>tvDvHuEGVw{URQV%k6Xyr%B!9#~oM^rQx~0zRSNePtO^Te=p3cfn zA3E3_xs+QOzyBc$10%$CIt0Hgp2^kg)?sdN1YJ@gmpIdlZ3o@fAH`;#8?Dv zvH_pFc-}Rhe&YqJcw-f^mAdB-TIu#(D5>kO?CM-b}b;XLvH4^)~baf8d3 zl4)mb-vs1lw9P$`NFfoLJkor;R58cPj}c~N*YRtF#A$Nnf)pPvaIb#X#YsoDKf<;cl(5E?m9v?rOfUfz`&fB|PjuEDmU&gaZjLj@yo@dx?|w0de$ z(Ymh#m17ZT1)F&u-p81>LZee`;E2JjRqY1a=}enV#8KA^pj= zb6^G{6>FNg88dOBB!L)Oey^r~{Qy6WOBx>CYgJUfM~*g}L8I(-#z!}|p>;nnadY%N z!3`(4Jwzm;nG;71*RkJTk#6&O!pGZ|r7%z5$}ds(=LK^&kXy1KNUL;vQF1ShkDj5d zGZiD+Nn8V0Ip38{Lp(N}9y}@_M9Fdbf9K4Y zQvCAD;i;;ry)wfPU~)|;;Lq#e_Yr$cy7VB2o->jL#QL*6d(^MKwM)#j435gHxF;}v z;)KsRSY6%RTT{(~(o!_ceJjR}wA8+>NPzFzG7qF9T|bE$#N9ez5L7&Unlf-$sj4qL z%09;Bmg5L!-qiHwwI{rru9!hMIDgk^ChnqkzgcINkogFw%&nmeBq%Tk{Bdikus1e? z)x=sW2uZ^ph^Cc3?2}(1T%T7l1WMb^KNgKhhOh+vjgR^1O?;ZOR`x_*6KOacnM`>Y zlY&(%h9l;8N(Vfx2P<<|4_;=^eNKEdLHkvhfK>RMT3!U0r&8`nk0vFml!)s&h(IOb zzIGmF!g-09{@SA=_c*s4IFTDHDc~HsZhI!R7Hw|O#DphUbp}kUoiV;G(zPd%0u^MO zSZVY{+V!?(90QM<)jp}k(ztNNwEAcgEqi^${s4l;?6zrs#{61c;+T|K{Pc5h9f{}y zNysEg$kueq*~A9eM75?4st+tb;vlP~3mTfm33H7e99>hM!)ZnNRWte>WK$_r2Q*)Z zF)rv}ZeR|V)jKG`o_~C^d++(SdRh{WCm3IPWROq*-1q!g<7!ZJ+oBaWIK9X9rd^UI za1B4pEr3Q6b;h-KX^M}BvCv$ajzrP2y98an;|6ncvBMtD{9FNeB(FBPNY7>J)N-@Dz? z05cSl6#H^1To>P^I>xuhu_mwYN7U41ShrZ+3X?hb@&bau)U6&dsp}&lXd&aLTC)2z zg-mCnUG_AwV?=9kDHgD-GWtRhhwRy4y(shx1vO1Q>k@BO#@ta0KT7;&T7nvM+cFgj z*0ls!BR*Zf@XT!I&`1&MLP@nN*WEE3?=kBHWrD3=TkQ@bn$`;+C8Yjp@^3aoCT;rM zDOT!EYTJ-G55~6Mr1E~z&DLC5FCO*nh={^WBQ7D^_A2|22@9i@hHiWYc+ zUSMQszI_0jdh0gT$W}>V-hQ-^SfcZdK&Fqbt2!UF8d8VgcB(aWAfc#tG=5n`5jELc z6T_@{Cmpx&u(~B0JR?thZ}KgEo|-t;uZPtZzouk|5`i4QFIG%9?&V&EB*^Heto}5+ zedLZwJ|%y~5y@e|Feb+ThedP|Ek5PZBreeP_4*iQUKJxYCcM<+(G=acdlYTns2%v8 zmkoEYLIE;VUSEE1$tQ~2d08U!$b~QT!&m?stnEh#O^0g2Sbx(GkZ^L!=y2=Ti`AX0 z@VVQ!=t3Z7oe(BvE$T_Tc$+7D4dm}WqP(!5^rwE7Ml338_4ufQvxH z*pFc>RxE=V)YPklg}QpM<{f5$R>X!_&ek)@q(bL%w9wTLW4tZCbDet?SfM5iuGD2* zaL-w6JYDCc77qkwusRc?kR?xNWaz_JxSrQ7=Iu(mv0U-09?yOb9ZuSSKU zTJ+}QLt2TPEJ2HpjJLlCXL9g5GyU)g*4+_~?^pE#-8`&t-yDlva&$0c?&=(}Z-=*G zuz24<>Wl{}n9iKIFl}4@<(0P4f3U&YvB2+$Ju6Z-cXHuL`SbNHJ#5YO zR;B47AoZnow*Ij{A`SDW`K>J1l02kSiGah|x#v5wl)uxmvVft*gsEM0ggk8JMqkZo zTWkgsVf}~W?XUx8%trwZEDNw;eE2*=e+lKEXRgIR4`Y*lMH8+P?DkEWqjj!KOprP_kUOF*?cZf0AuMu2L!%!efi|tBGEeLq= zjAhJhw}?n(jS;;i&<8&YFV!gc(lz|b{5QLmvoS!C3(Lrdy$O#YB3aQrf46Xp?Dmnm zWWxqcRkw)G^y4{L_#P-sb9fK=hfwmNLesN;M07naAQz1}_tM1Gm9J+I_>6lN6t8%9 z^lG`}!mhikE_9ev_$|$vsdrs!KJu>918ta)KGAcA$631smd~>=`o{QbFZ(w0O)EKecJ}t}xY$I=a zMaMPP6u0wh=%mC9mhg2J(^i;!jC(a>8%LMd!T!5Ym^UnXVPy>|l3GPKavNC%gJ5Cz z$DfeQ+96_rmms5Y4n`;`>)I4~JUnB4(oZ=)OIbT!XvYuY$?IG!#)|*xg$)r)! z>?R^VU>kSbI^i8oFW!pqn8|7rm-~fr=ixP)S8VV0E|s3oB6y&nu4nA{@l)wlCh;Pc_As4>s~Fk$h*T~7t%3w390CKD5_)T6JFLexp0GoPUT;`je+e0I&I(P*6J7?3M^eUAG)Zqh%?C9ZE~@Akd(gzyoq;7c=yrS+|I znb#mJ<;%pM9V(UXD|AU!JtNn|s01Xv-ae_Y+}p-UjO@Bjn10ZJ_h~K7CgA&e zL(b_@)ZX{|wSCLpDGsf)UP?{h$mSWKXSe>4TM9Zy=63L@IJE5|7xqt(u(eV$YhWyr zZZWkK2GJQElQ+OL#%e3dOT#I3V-1t&E#4FU14;qimkU}2Wvh?5r9w)iH7wG6?D z9ZTE$C*UEMUQCWo;xrk(ut7-J=ZP(L0rA}8njS)J&%UR3FeBiAm)$N`agV^d$+F=G za#Ofcu%MI=t-7L@yN6#f0k1ajN=TNmar_VxzO;c#>(bsk^LqoGR@Ka-W@HLUKbI z)naAmp9PduvRq;F&H>p7goi2YLTj=b#(8yp<7)@#_*~Cz(mE*lpJn|7IKJ$C7_fs&s&%XMX>K{TS<=^ zHxL@pL!dh-=rA}c%#ljNo7NPX+U0#2mek#|Og4up9Ruon7IzMLJmOQENu1h-rvw!Y zEJG4dc`cn?1HP^k3TXqE(46v?wgZ@eQO_SirY<_}RBCM_7SX$`ief ziTedV?Zkf`^UL~;TI98Y%4d!p44-y@82o~DRwKR!@xDRt=xXQI0X8L*VRrrGY7{QN z(W}q`&~%GUK*qvt;uwi5ss4Kh%g{3-F~7QR2eDb#uo#zF9>ku};p)v5WHpQaVkqLx z$Zd1tQk~5nrCOR=SQOujvjaSVP1{&{1x&&(kIOcZnS-J-a$81rFK%dAwF0y6koX~L z)xgdzzjJVGX&D#f78KPCIECh(m+Z4^M8zGDiAaIxP655!VI@_&4kI;-+LwevFHWfr z>CUTqu^)N-m`1__2BY+>hLe~iGxFIluCdNA&_D7DN`#~j%yGT8bD3X907Mc>hR)%U z3%3|V%=U5lUE?!E)ZB_5pWDY6ZDTWw0eF#IvvQwOC9iK0$f|1SWEQt0^5`u`t)dy3 z)fNjn7J@qG*iZNm9#ZHp(aNdXZV@~ULVW0%w}~=)QA$dHB=8F)mC2YKF^hWX4E$PA z@J;w!P&Kf*bIr&lC}C_?-KSs=O8W;MaXTk8_HLe$(LE85`nFEN^&$V7IQl!=w@vG6 zV;~VaCg3~a);NF?ReSW<=MIa>mXOG8)rM>D_*MWcXoDWB?P(Hm3w>L+xBVlNzgdNp zoN9Y_?#oy@dL{0my|4xEbE+424^fE81tlyUo!VESREOW{x+iF#`lnBknLYf#9;sH; zt)5%TJPKY$1C$4+?=>>3c1-bZN3PJM1H2yrYQvx<#v-0I_LIH(<+^*g~B)~g4kC=A${2srs zzK?EArYuVHoXCfU`yFJoqudd_XqEsoDc$lKg~?#CkZf13$;Sgs9xima_{El>2PfZrSs(pFrN& zT+GD6J~h9FiBCXE?g1{HoT82c8RdrsVm_n5$TYwx_&gveO}M;4RK~jVpq%%881J$J8bMAtWX-tI55gb7B`CFt7RSb2 z2pE|Ahs8J22r6p01;&*1Y~ef*wQ_O`1mg>&l#1GQefy-pj~{YoA}cd02hCLT))M=8 z4`bkE34qY(;WKwl_vL#Ufzc_@g+4{Dy*y1!w^%@By7z9}GwWtX1U;!%JB_No&1*e&fYB$QUfXGNqK}-E!|`lJtM0+w@(q(!)qHa?^DDsq3YOy zpVvYu)zgI|o4sGGxo5;1dj*6SP}#+qm3_h+xtiKWK?6dLM=iR!9=47}1c|VMi%-G= zikPbQ3->M*OeQW7S)gX^yVs{TTn*xd1Ar%p^Um+K>X0}Kc@f#n_EFu z`=xu>*(EE#o#O*`8>hOd-DAGsZY**>@u?+%FWMRKT(sMOsQh*hk5c-T+q<&*VcUWk zx71~h>UO3k(8Gbh>N$0S^fKrcQCTgpL{Yn8dWD3O_qChn$g2;Tt0262<1c_8z^&Y`dV4^2qrW)$>H-3^Hsdw_o#5?A$wvlhJY(94h%XE zRZJ|t-$Z=+=$6xuDQ%7c1m(=~%Nyg8Z%o`TSpkQPK9n&ta8FUYg^cEjcU(^1z&MxU zP$1nU+>8ki^i~*vD?s56(0{N~EF4@s$dC!y`2I_e$-_hTFL%iY83Y9dA*@V9Oxy_0 zT*BiY=F$j;Yzl?h67+87tuUAcoPM&*gu$#JJP^`>{zIPH*+oXh#Kb`^TFB|~kA@a< z2||Gh@uumu|B7fk;d|)vg#(t*lb%+HC^CY<`g~z%|Jo9N3}nIpqy-(`gQ%^43ZKJO zwEqB>mzS3iUU>@zq!>g%BZpuu2vmeyXz8E8Q7{GwY=SXDdt`zi9J3J&KvX5XtUD{5 zF=b=p;)TOpkT3IJrV@fnvEh6vWY6^f$3PndZTc@&nF&Mw2t_D-hhdqJeglH_;9wd= zra^3)5sU=F(_&(v9}Y=L->TsT&ANZUG>EW-_)@rjumy^?_Nmo>P&8i{3fw-s`7c`u zKeip{opy~Nwi0^mL-a8uQ#`yQgfo>;eRpySDBv~TA*&{YI73cDDr$Oq7HN6NGph(~ zs02SMgbs;*f;1=k_p3r%A=RU+2*ox=!FXC8bG#Ph#rLqyy|L5s@pa(J3l|a zumBfrLPh(aAcPBM|7Dt2A<6DG3LIztN45!_FT^uLL^J$U3E)@eek-&z(h39rx^{{G z)$BebgU*tY2NJ447EVb>!YM6-38zP&D%e6|S^Ix5%vKok8z`KNU@&LsApZx0>FNf( z;0|$-@Otpjb^fO!yqB+EMn-04CR{WNhnPP@s9S#iKMXfUQE3O9UWU-+j#ucAXch{{ zy_su?Ffj?8?$i==h!BhkA5_q&nuAw`htBW}X7Uz>v;wn(4<{3AY^cimJxn~j2Sm(p zyR0v)!_Wu;#^Vcv@j~0f_@L_uuL%!oF?`GW-tpAHEbIj zh|>!TkA(A?v2oBz#6!a+6K0|fOES^-ML>a%n3PYgFzkOYZMa!96RHpY*~B5>H4+?0 z4p7E}ytzXoySvZ;fiO)dPXBR?{)=crUE&fFFkeCOKL||-oQ1Dx!C=Vf0cJ=Lqsf>n zK?olk@KFJs3a_x3Dnx5S%rSH_dPWea2|uCdtuWXNsB=LsPRPayMSvy3OQTE}?msm4 zYg4FhydxY$4hb;GeMtoQnjv;GG7@606OulaK(=Ct)UB;E5y=%rhPdO#rc4+jWaSJ{ zM23%zR>+A9Npm6ix()uZ(Aj0og1Wu|4Uz3_6EWCcfB@#dAnMuqB`yhxi7*7{Lv~K+ zK>CLJ!~!XEh|t zt#2Cnw{j)ixC}A=h|pkIg274&1CV(<``fId+q;qec|4;__^5e)esr?e3aCT|48`bR6RsH&!^>G0-_$rG51FAT{Q zB9Bc-FyFoNiH(PMbEu<3V+~I1=j3KW$UgM=vIK*{@>*fAd|wzM+@mXk{tr-HQc^Ku zk%Iis7f5hYCIU8GBMo(Rs0ioj*&WP;b{#3ngcb>IorQo}G_-YCG7JOS-#sH33^O1w4F$`-Ci9!RavGTsCaDZx;Ht5P?>>p-jr2cmxwuU)VY<31$|+y^fUxvkXpx z46A9r2uSd(1n7ed(nY^|H6-^nDtRu!D zgoUlcLJ`8lEnSzW5HfFqCpNNu9JbaxK{Hn?>67zP`Hle5E1cbJgR zcWDV0YqNAGi~t*3dJp>w)!l?b6anV>Uu(@Tph{i)9oEda+fJSO&m>34zjpIdN(~Yf zV8wH<%kE;3SgV5+Cv5xV+AQRKtCP$>hn2*!)Mm=GVTuX(49}a#eWKrk$_I{w3dh>l z_DaX-w|91kPgR-)h!N*JJx;YR!(Kx6n_;f6D?;P!%R2}8xt^BELk7ku>(@MS2D>$+ z{?7@qO29X_4RpQXRVUNdfWt>Wd#e&TXpf5dIy?9SiUc08NymXxWPi14u^@Jb>`1R@ z7u-{~+WM}yftqC|;`O_$Gu~VPKf&}Eb^LIU&BmQ;SM^bd$FcOmB(@UayBMw`UeuTb z;Qrd6|H)`xAkG@nMU|=cBL+Lc!oYw${6TZK*pRV~b}(#H$j0{7j&^-@1$Ffqk{D;1 z<5dZE?!#ky@^eX!gF4`!3?&A&xU<`1rB0f(*>M)D=$2m@Mo;=&I-g_r9Vh|Hvl9MP zyhS-H46UYtGG2S5M9m5x~IQw)oW z$XITYow8-ssyO zL2NppnAIs(`yTT9=_js+jz4Pv{|0tPC385==w)3{z4dh!5c!bME(DSyI)$DlRyF4k>sgJ#Ltus2?qH zHW-sLZt5=<8h!tCsoLbgp2zry*{F`@7#+l5T*(+p=^(lOym_I+>fiR@m3?Wh?<@5e z1n6dbmknva>%dt(^bRXe31+-_m7e@dP3h^3Y)I$hbPh5ZJu@SEAgAWsOB9Cr+3eNO z03Z4~D}6u+g~RLBjVAJ~CsSYNIDsq=Fmb8kji>TT+IEbO-C;pv6?fylKU%Gr%jE#< z<3O2Au9Cz<{FAsp2%lU_gy@rhON4I=a;Yw|+xd3s8R`JDr3r;Zd^fqcE2$ZW;!4hl z7s=Zh`0Y+6smoSZ-zd%i`37F7b}&jkZTfdnB$2@z>Oq|!%s4oySWKE&wsWc4z^FIX zCjPE{HUBDGy~%lk?vMjU7-_aY{l4F;Ht|h+3V6OsNZBlEE15L#vdh;+DCs+S@_o0X z&N)-zv9((i8Z$7^y1S@@^ex2tiJ%PTEh(RIK8&bkI4;SFiow*t()kd4#AqjF{(kSt z*I_Hk+KmDR>bo%Vbbeg*lLvgTN5$E^Al!CcjKH8!+$^D&>WZK76UQ>|iJyo7Ugr zb5BZ`IA}a$K{|dk`wX0feN2e=@vWQAk`kUrI2`2QX}U+;WQXtSrN{WNoEZ&tMGN${ z-MvfKda9V!YhJ3c;mVX1kip^QyNL?@$k;am7eS+I1-Vk~n6uvtSjJe5Qr!(r&m>Q% zsDyH!$8G4Ff@d!Arp_aKuarNE=T376l39SCOUFOMt6v-qH}BWi8P*!RePicEEG?*wy8nje zO>p!$=na0jUhv_9LtDc0fto@{g@3kAcU!eIkKpU4(U%#W7hvAtJF)&JKPQZ8ex&he z>)p;~`_*f;8)A`~Un*tAqI95yVJugcUtN4yRHO4p1+4n=?IwT6w1ZD_N5wnJi12PO zGVqq-d4yqMysW zlaB8UlXUMCZ2LO$8{}|FE~%jZ9!C9en<#GbOFmM^1NDoMboS>xVvrwh;#(|RLkl{3 zBN-xG?Rc|dn14}cTGeG3(m1dkzW`F`o*xQ^J><>5=t|U6dofp$TjYP%qA)0WwdbJ5 z&aVUB$T^lQ_Tga--VY>rK$y-=Z~l>(kg2C**TQ{wIGF7U)YiVuV7DVi8dxwWo!nDx z6v>%?hJ`R+t@mb8dQDYp0Ms;PzFr?s??<&RdSby*;A}|1Z(f%<Q8Gvl$}^@ z;gC{4<{XgzM~WOqAUj-DtgOB-T9+(VKcuvK(kw{=0)htxr;w9;TC&OsYY}`o@iFIk^jJZg?}i4` zX-w+Dpg<6By5R}&;+)w{V`W0O|sIPbPfIneG z@_SN0`0xhNM&C5`T^mS$bRO}E#B%wofqjg=6HdZ=!P0t{GUP7^og&KX3(BVu( z5RI_%)Q7FQA2ETm_q`(uwOQ6aB3WD4%((4yKw9Xzc&+%s-nO@bC5W9Qe2`{ZL^^ei z*CJWvI+Q=^F|hI7d#bETUP`B(umTgsD3lS`lTfm%YT-0R;@{fa9S0){1AK&qJyi;5 zR<-R@ou97TrepMuG|{#>ZV)sxX__#)cOD|}%pDb*!g{V#a? zm%9Z}i3})t8oKWQP0jy;w|}`?5cJQS5GtS&1x?%kgR&OD^Tmsx#J`f#vhu&+?O*N| z{Acj?FL(QwO@;W_j3r!nUN(fiX2F|mc#{Kfa-rr9ny`&S^z0J>XkxN>$G`@!RFMz= zZvnh1Tnd8j2>#vO|5yF%-R>PO``^tf5TN%VUHVuf$!&fzCfN%{~y#Xd=f$W%<>gK!&Wl(c;ys9e1L`hCu=cgBO0o=MKE zLeA6QccNB8(heL`0U}7^VH&hT7-JZ858)Rmo9hf`#zrLzIDF}Jg9iZrbCqfr_FgAn1 z3d9@C&qA+#@kZS6uMH!W4^BBmog=9;@z49mAruv&qZ;#ALpO zh?`prlV7{AQe5(_Jo~^!Nuy|s^ir0*4CNR~CtjMbGPqw|;A5qj(i1+G8ha$|N(>tefM15#6=msX3zYWGy%)_qZnaV~TCbP= zv9^iVE9HAcf%PNZ03~oyAmC@G{^VSbaCiQsx8dg_f#2c1j*Clo6CnJgCfnacWzxf+#zaX$fXX5f)e9+)nuymwOGapq_eY^P`2 z_zMVqt>brk=Cys&r>?w{k_)u)d9gMH-1H*cu^Rx+LTG+wuk@$9mjnl=GXBwF+MWQ9 zJ(M&n#p=S79`|v~c<+0{syHFN70Xls^hU9pkkB`vKz6;uJv=7sL~g4*`5`~C*i=dA z4~mUzP5Kw|?RqQxV8pi%qyDohj&4dblB@J^2yLTKn2t|_ubTc_Xu>c?Zxo-|;k{p7s!9+$4e zqTlZFx8_0c`ew)IQ*Ou<+q=?T^Mww*QxmMg6a#IcQA_eki&`9&kt_$^buioCh zc-ur51BuUBX2#A;yNkXw^~n*d+(33!m2l5-Dyiw=yfPI@|za%fQN8&w)(2IuUFu}EuXY}%yVU!7TJ27qK4&X~; zJ^iJ~5VhW81*(YY1yy+NGZ9v>Y&-cSn++jG_H=XauL_4dnu>Yb9e16BIWvgQ_ zt&cpya=d0oUx<<;4N7b&0ZCViJKcsaa6F& z5eDU*rYV>k%5i3%Q4C*~jzexAD{QI=q7@U?s~Vc?%awwX578;l0{ns0grb?ye!p1i zK=d4@2-(d1fNh$u?vXx7WpHd$b~5&OD99Y&^JS5`fc#0n*y(x_a|)?sD)s0HSR4@? zU0RQhRJmwh6|ukgytzYfOK~O?gv+3dr?RFd50azP;$4^xqIRYJjIYSAJydTcjrPy} zBG>teE>v6Tx()1WyArmnx;Li@wszp^+g87@F z+iNu@VseWpYT!i7N(V}Gh04;^8^|z&gbW2LbUXU9;}5d>vGW_IS3gY}rFa{cN(fH+59GI&$-mS%NB8CC!8{zQ3?~P{sPI)%!*Ww-1;|a#UaE=p5Y4rJ$b7XT3OP3L&~9t-k~D#D*S?s#0!GF}ACqxGB-)~wz(?8T?x z1*y`(*#zhef7rU3c&PRT54mbOirxU}?;p)~Rbs$%aWdhfekp)FeHmh$u zg$SE3DPB!HV_+X0dlhBXAX|BNa9j?&cE|YL9`HJ{v_3=+$JUC^N6N#A%l7A7sBhns zs`3~H0aKDDblzm4>bggHsryLtZVZFnk9?*a&bx~_Mp^K}0E{BMgpw7*yv$;*lQCMY zhnh3M=>5R^?v(|+a~$c-2q5=0HYlbI1zTO3+wvjvx8hfUK*~3ekchJ5H`MywX#YwueUQS})Sr0h z>i(Pq@1^%x_;}z;Fwv<*p~Yx3uVH2QL9?U6rUU`)D+Zo`Yo41j79v60C3y55Vd7av zyjkW&E_QnFX7Bxwmm@x;(=A_&y=3Ger6)*z5r-L##eEu2vs5QCakWv5a#V@u6s;{_ z?_#qX_(ugyhJ4s$8$8US|90Q3z-pv%gl`DDGU*%k4kCK%Z`VTyXz)f}f6}?Sze0HK ze{tOslNt1LZyA^AKG+T)`KuY z0zbfmPdq1W+*wY3wMog#bYLIXhJR@A>5TgR%h;p=#qmC12%d|UG2M?~6hTU?k$Y2% zyJE^{+u|rH{ow2Y?Go)h5F%;0+T|iPU8NF!cx5`w#bgJB}|3K}x<0d$(g)~D# z`h_Xoq74<4`66JM8}>_D;$sZDTkrGmJEC}oGK$o71zcCdPe`r6>ytLUj#-WF z0k(+c;Gy0K%b1tD==YOE^ycEG;$c!WP$;c2ig)tf)pwZr;=_oT)(iYh)KlWb&z%pf zC=ld4ltIW5xg`;5i(opzkHmlrSW5+OAYXKa-bW@Wzfg+L9L9j0#Jj5S^P}1oKO3gb zLz0NcSLlMY=18u(?r$Eq1L&v{8nMEn21s}Y2R4<=St?2&9kR{#iw|o0%ACQ-@rmNL5Z!Y!3Etx&oiOvI9-Z? zY^GloY&oriNN=7!harHAEJ`XX&b0GGvlQ8ty((D-l-q1({6TCUz3HU7%>qdRx9i4^7@(R`?!u9u$gW4_3bK&+92nmoLY2lelwBg?zvdN zpF=)jIkrYmKM+=drqA*7mL=gFnOM|%m6G4WH$Eq?WA4uu3ZJ~Tg)^vXnnA(mXdGOA zZfN1zxpHxfg3Eu5qN`stFn7+bYA_C_SFTB#7K!^NVcuyoTy?+9V_tpfaU)Vme*r_G zQZMTPrF4zM{L2hBT6B6e)3K0h42VEdmkk z+xSWhY$6F&b;kib4gJI(0^sHuad3V`Dq}Pc)Jl)gcuv}LyjMh}v~n*+?7NS#I6(`c zzHe~CN#Vd2e+QSnQ!T{{iz1<=TWo*)T@-pkRw2+dabafP{o~Iu8VRSSv13xsmx95W z?LGV#Hl9h1KbQBxF*YesHt?4{+@yJ+2m5pt^!@hU*q6-1JH%SE>KveOW{!4ei z#Po`J`_ARHkob)3K5|+G755%+OC;p+`Rl%bp&20$pEzewR@kotfx2H?rv#5^Ikckx z0GZ@D8n@eLhI=}q7TtsTG)!Wj>L+*3sd%ckpX$Hwp9YlgyhBSz7S^`tc!YdDTw_qs zGx3Vqge5`j;wd>0wgp8a6Nt{AGOB7C@To+soG2a(b#GkTLOJ0{rSerzfoS<=S$^1Npmag^0`x%xoePE+V;RJj?5BG zLpPLQLriP@j8Rjg8<>>evO^n?l=5|CcJcSh=E)_ulBR9_?*shT0U)8^JH67gyu!u~`o0}0 z83ZDyAr1OERJGQ{nFApPw*nBJ{J8$MI;>M0C--`=ce^U2fK|ZQGcvdP0*Q!5>A4qZ zo|VvXbP34Y!l2}iE@}I@NJ`GY%Ja&re0uj0pQ644@cUBOhQ@FDWqNT9kujP^uI%8E zh*P)3QnaZ%Qi*I_9wEB(|UB=Mo- z7}YZ)hstI&!5|P*($=wp$Mn=MX7WlzS=+U)Yx?jQi4Ur!gCuU}8&CrvDI$%Ck@eem za|8~Q1^nsnYtdn{>T9TA_H z)A`8wV@4^2{y&YV9b4PFLj&G1KSqy{qdrwmT-+!PL1@pc|gqRZIIfvd_(3vugTLi3MufX4jDPObRJ^B-F*fQ0NqQhU+f)Ld5_Cy^`yVB=ZAo%e*8Vtj}?Qb z6wI2scJBUQ^%fNihgU>HH+YwgOV~bo9Ywr;gH<~`F(apB@Zj_wpr#kswsP{_JVkvd z;G-Fwj`Jugy`ydbU!dW9;!b%W0(8qNOgerc5uvjvZgTF{2&$y4>*7qxJKVcPCD*of z8340N_Os%O8oHLmeA@Q41az9EbqzlkHZRG9-qUgN_>X}{Pvkv9st-sXXGTuFL+&Of zwqFZyD;Q!`xACie#3ZB)-r(yyhlElyL#DUlAyk*3yrP?GiYV`={}*d-0aeA*#`iY%{N(MaxwK`;eHTp?Se;Q|pHq z)CvyoKhOgWgSc^-AUJ} zZh5bp0jX8uDP>21E1O)h2Lm$#_DVh>!{4N3{x1ke#a|SOy7x~~m^}0VIn)=0uBpZ4 zjh_nM@@iV&-qp6qEUMl^C;;D81vMiJ-~{zlrtiD3T_SUvFbL1sJfj?wgA?sxA+o2zXb)%=SWju<% zew)K3mPyPgs&3vtCIv#Ww_8Vl|aJ&Svl+&;0-f7#yIGxFt!-fv5*l!78aT*jfP zOIktews~RmXw2(HOnxa1L(BZ~W|rtt-^5dDP(qMM=%*Jr!6qNCalhc}!XGiS??G%b zaT8PXG%T9&FG0#Xer4Y%9?>p_Cx!L*`>t(OlD!us9-PAvd=M6u)jbCYnb`$3E3PY{ zY(f*#3kDW(=zvo~nR{|c z`QgtOfNak#S?{U*1A758o6?`AsNL4yfrTxe3R&NCV?4GoIbea7i~x#-9iT> zF&T7VoT(7??ixZG6QY<9#)N?e&rA{Oe{{3KXZUGIXlTTt0t+0G*f+UxWbToGC(&<}=ZB=9v+3g^&p9 zW=To^UpSM190oMbQczH$DNQOr1fhm+2xwsZ!)U@i^ri?o0|OJdEGsK7FOOEvqC>$C zAw`=$p?(k!t!SLN2O*&OFVC#A^OFVyaWO>@S2UvP28(frq}o5`PgtH8On?U&O-MDO z0}3>Aa^a_TmiQfxp%nL?31BV7kKx8iI)XscE(LlV2JSl3?7|vj;Sh53_#t$>Xp<~tp3(kL$i1Pxx?*Ctp-lEJ zJkG!rQk`%y@eU61-LX$3R&;Q_@El|Y?qrDxvb79?Peg?VEW4fH* zL=W)65x(7BI%tkXgU;|=nA2gShUdf50G}Sw!PWrBhTu+)280~aoRH^42j3-rc*}=A zXOu67rWVSZ>7O2k?p`{2`UZx^P;Knxh31{n#IsM9J468e8xT|=d<}%91^FRJu<4mS z7kLWZ!J(mHVPWAA@`N`MIA{k)?NCAZ5b{Jr&lQzH_Cz(GJ~cEopYhH!rf5@VmMt=e za)8zS0gGCI%c38Gv9yE=Cs~GpzO$^aZ^6!WKFc42k}}H`p@wc)*o{yRu^46KhJyhF7919YMOM;a#~0ZuG*_YcWGULtOgH!y;rL z_ya9kQL(>&1d(PqEPQ&3fr*L9$%T$AIfU2mjP*w@!9)2QAoK|r!3G2qGTJBZWIO3Hv=Ftv4cC~c%ENF8ZLspGe`!&Icbb3a!*I?`cn{s9508%_YtG0NWDkYl7$%rd5FMn33mZ6>pwi8bc0|*n z9ky6)c-$>`Jwm_uVIVr`2%?*gVCg|CbOVCuKP7XZvvH&X3bNl+^PPpST}e+muP@w{L!09iFJVv3I;(kUW)EF^(%`q z+3gpwL|f>u={LxOSD4G|xY{y~af=K;s$GDBp z>prOx=|-W`rM|u+4X0Zsp}{q_&sAHhAAnzBG?a+j9?xQfPI%8rGk$awX(fwB61U$c zU&U2?mK-3udTZpJhGfoC_5#spK9xb|fIGoXQ*5jK1Hq}v{>W(HFUd0cfk43Cc+ zJ_X_i@>i#7B=M2vMKMXil&9G1kqvr6pPQcA)nkfmt;d1)J+`0kN!duct4vg!J#oQOg78d#>ICX)Pf+s0XCCiA=ub1#H2{_Ha zm6NAAgE{KlC2JWp<239zU`ovIozN61Eh@q1!~?Vfs$V=VyK4~}Yr8qrQAlO+!i?od zK$~4{J%57AuE{bu!lZpOv4{AT>9&oezf0wa_0VbUH4u@|GpHU_UFgaNm)>;WHfuw+ zSAVB64UXX6P6&E@#K)1g!S1?uS~XbU04#!v>~sSgNLVSx8al5hsJ(2i7`CM-;Z7(> ze${tktPKtm7#H4|XIOa>IB8hz-&#H`Hym{rygkvFRDX(+cq{-yS{B~_#&yq^6<^76 zr(x``$DreWJ{7ja`}*^ea}LzAEUv3@IuPlUBc|V?4}A2%uH*_6(65dscw>L zd8vx6J=!+Jp1xn=v8qT34pT=SPWiCmh15$iAj?zLHSOg*_w9Z^G<~b{P3GWUKlnKd z0n6aB#Br)lBZb@Mn4W`v^Kc9; zX52}RGY5(BwMJ#w9|j+E{;$z=I<^KHPvH0q zr^l3F&ep}+J*h`-Ip`H74gA1qO<7kkWe4^)%3Ds~We6O}A9U)L zsL|vVR5XLnV%MHFzBCreSwEk#5nJ>AJNdZ>hQ^W>hgYE`ag~T>2h0>GCU9im7s6xLWn?F*OA3`BrQ^Egh#P zuTlZkgH4K%OSm*kRK{)tFB+LJjK1;arFP6cU}R&xPehFeN{&cr#s{#PU*qvdxm5jj zW$|eCvdt4I$VVmzVoI>P0q*ZWPiNzR**9EHnrdHLw#XN#F!+hzGzW8gDTV35i4SuP z2_~4eW->xH!?HeurT$hIJS}q=OfZP|hM12`YyyDQml%KD1%>RsDkr0I0W}9jnY66S z+96npaW}}n4k-m@p*0U4oU<_UEZFtNHL)+(I!(v9#5Z~QB<=W=ETnQB=#t(0#pZaG;XPT> zYF&&+&z<&XF_L;EKeJ3Zg0w0Hc>o`W{eYHaGx7qwrfjCX%v5T!v6%$sUky@iR_PxPUyvf_<5z-Ivq<+gcBU zG{-O*?+g95B?D&Q6Q%gQ&@F7!tLv!K%H^r|yijQlicX0+iv4QkRa^WyaOcwnJ?GbQ zqT~Y-1@{*Ptn;>F3zHhFzkMwV+N66rW(dC8`PELut-m{%Re3^-jXTRpsnWyYbfHlF z`NX#fU79#hqbgKONfm5MqlJ2Ol~%!F>QZ_xijTBQZs_Q1)i=qjz-a$Sv!?0Zb#k%p zr(Hsz&baP1J{EEIq_zK~Y z3~tRqJNtM+{DGtQ-1)UaV06z+9$Q^CDoDH!?}_`R4RJGfM6B}U|Ks;SZKfWZAG)dwem zoQ9$-qmfdFWp`*1B1`T{M!8DSuda&s@IhidcgB&N@GC-F-j8oU1moGf%|U_AH|g;&^Oz^)`nf%-XkC#5WZt#6q!jVSbpOi1$)^u!7Duj zZs6+O{yhWCFqbF;{R4IEjK$!lIIGnoYwbSr%8XFS>pj5YMt0?g7e(`UmX7<`%xkO8 z@~(5~Ti*F2u|eKeKQ6ojjQa9SUtBq#_4epvXJgld7@dr~$*pgHZ12Y6fLl%A3@}A? zHWJcniC?gp7Hj^RY!ZoetXxsrqZO4oyzF6xvkp{d<9#^oLwnURltltK$0Aa=2hXd1 z5{Vw{qzk{2Z`==76FG{ssKz$uKObFb;5%t@e;7kbd7-pC4zp_QXEoPPK%Cd``{1If zbJ+NYfkmuEB7@#px)w|}uc$>u-1=OONRV+zSnFsJwBwHV?emQ}%~@v$X6Yr#w{l%^ z;&daDKX$n5R3sa-ONyz^OY{7pgZ2tnb z;J*{Le*s${B>dk9+rNM<`0s@6U%(dpcf$5BVEgwPK@c{7`XPe6Lu0M)(W?UVst~;@ zf~!;PQ~urQ6%5G23M@hv7XC~IcVe7!9Zs)dAZ6%3E1woZ{{O(Ra3Ur87nXk_ANlt? z_?mwzdx&KJ$BzI0_J4=M;_?22!fyOuKwr?yL@Fw6Yy1sCL)zNnoS@t$IRUXzJ z&8OBhk8a1h=3*%8ygmU~!;N)oRxy5uVX$92#EWhq`_k&y8ZpH1^bYC5MSoQtK&bpk zMa)c9D=BTRTHMI5X-nl(M!@5jH+H@x^BK61(}1^OGY90_#T)|b676wCX;W@*L%rWH z%{4lQN%k_XZwv!@WkT-tbjPnfBx@T_n0f_gGC8TT9CR~qhLyH8zCTR^k+Pms8j>G1 zgIn@2B(N9dQ=-FPa5;;xu~sF2x2Vt614(Xk8o|K@S0q$0)AxN8o$jZ8%{HL&BCFoX ziLj@G3M%fqzNI7gm|m1pX%g|sssBt^PrUV>DlV<|(aPjSAG%a-tOfO7Kwks%7Hn?Hg&Tb&n@1>pOs;O_sY-$K-celQj-nUUSQPBd<(8XUkK6Povje2>V_MMk<%|1IFp^ znM#x@nwTbPlh-Vo{3OmiN^lC1J32=Mi7h)h{1QOea6`!;P2

    Hb4FBP|)EDXQ z*mYS)x)`Xrk*M2H6;aS#qJ1L0DsacD%p#I)P1Cnhp&M67liC;@()?DTa3`xmsc58h zY6tqR)TG=wu#BxyBS{otEfD7b#vyN0q8P_RuRkaJQu?qRxpk_lvDa0I`sT!&Ldrn>&V7hj`vwQBRBX`HZW>@^0kFo;=$o$4Q_5Jn8=RNJ0oFLd> zmf=#k@5hrr)s!#n#!}}BzBqfDoX%=V@1}Qu_SGMCFk?%~KFC$8Kl5g(*dn=YysA_l{RAWj&s0IEHvnDn>M`xu=e{^TzJbeK6WnH>0P`Aem`d23v=spk|cZKrG(g+v~R|?j`d)jkZ>~3S0hbyoP~tYH~P#dR|7heAF@y zzHSYA6$XT}e2h=7p&H_y`zy_lmbotBlMuvir|UDC+?OE%gE7^PY4wzu<3m0ds(E@S ze~lmJ`x6znkuBm-cNw+QgK@Dwt@ev=aCoM3s%WE{XRHR9E4z8?GyO`h7nlF+qXh|% zT8-^YI?THqZoU42&807HwdV5KxW4Y`)c%t4yH7PBv4lwVQZSvF$~B|JYlh1F72+7G zw4&<1CF*gkVr4-O0Owkzf!Kxppe3jH=#Oq5Qe)k;8CR*q9?ssFFs9^=ss>LY2g3W? z$ToMWpXRoo;|kmPP~RGHu2%S|3=@d3Tj~Rz2`!+~=gS2_emIq7L^zZW4k~f;mT3&R ziwrtHk+BB#QT!GfuFKPboc^1G0lR$(!Cv{_*9H5m4(e`&mIbc@s*z%T<8iu&5f7Qh z@h0vJXd8+NQ%RHDqQ9Jjo0#Dp3btN8@G^b*%t|~heTZM>w`r|cdxkL2W;nZH7=58q zFE-Hl(OT4}k+xmk#}Qm>-$4@PGX0FdxO;g~g7kyMR0bz_RKfpAeYr4NcCCY~I;_64 zr}pjZB~ooY>bO6=uLpk0gOJxv_|j}o2HspCI;VkAvoW0)xo2r)P#ZS}WQasEeL$A? z($^F6=~s$~UZI|##l0$Qc9B~`5eAQRyJLeiCGG;#Bvc^}=_ArF%w!#yDwn49@A>K+ z)h{zm>>5fi3B3z}VAAJj(q>;f4iz+heN#0_u-w^sD)s=c`4! zYtOAoJ4pjfa8ev}o+t&~pgeX;p9v3p$YwkIjH6&BlKIK28aJ*TAZ+QQ8|mf?f&`NB zk8g1+DnxwOcTV}x%pI`4ZLHp93#2!F=dj31u#rU@A3w*T=q_S2Hhi|9nMsVCv#bmG_#xHyb_~l;Z84g;kpK9=V#TstQ-fcz`nqi z_A`OHL5;M#%T@w7JLw1V3&l3Rrx?{N|>`%q=Yofz`YRg|~6`rlw3Jw2ydf$dxbnEkZ z5VaWPY{o-nICnZ&P8w-$hs3;GklrVsy+Sf5bg)A(1?pc_co9dajtLiBqzCo(KSzIy z(K=q+RA;Unsk>w%44qVdQWRyTa_joxq80}BQk|-H@c}BC#P+kOOq<=Al_{V$cB?bZ zI5aJ!H_u&ApOeqpgLZz_u{#8#e>{8t=etPo%3W`#JE=E3lfQ3{|NU0tTJ|xQYWL4m zRF^0Ije?prkk%QEJrw=1Q1}s>`aE@5@Rf&4jg4*M-;Astg)I$Ri-DG6YeG%7Tl!{< z#Kq<~Kd#>#*pI8G=jnKi8KmULQ!oRR5FfT5~kre_hX_essF>Eor?4NN4vx2fZ7`xi^gr!(hL>dNI~+<>vM$dJlv8MNh;zkrpS5V&px>{^TY| zs+eo#dKY;4RI4u$n}4cgmt)EEASj(LkUG~%(kDZ?f4gM7-H>yvRu4=0GD{hAVlIoer4y(+s18XR zqz}ot$MWoyG8R*;*m1Ue^N1i~<%{EDiWDJ8BxSp~G-_29Y9b)o?>E5z;zW^B>3uEM z48PqXew+Ah;PWmp?COOOkBN&Vt7I~dTow*bB+MQ2W_OO1jXI$^3(#;22#a4_;c3ckfzj{ZP<7P)I3T zvA_iQ))foFpCltvi5zpQ=&Yvr1T1N!MuW>z%eJcJGgl^UGwcfM<&5hHcc~@Fb>DD6c^L0JD_on@x6oqxkofATU%s7wnc} z^EaU^&#Cijnx5nEXd0PXKF8mN!g*-DB4izKXAacJ@MOL%?t~fNFUDgKh%2SkU|_Lx z3p5SRbBRmqT6%z;BcFlEm0yyN-qZ|j@8L^0y;?fJqmvKK+Pr$#{6)hYxT2ByHA2NZ zvf$SXm!z*DGwR9HT{DMfjzjR^iV=s*RiV8(U23?tRzP#$K>~C?Kon`#e6iV{l$d*TC9qmXb#% zF|V-m2$zCg$2bza?;1EHqi2;gaE>XdZ2XK6P~Y$f9G{Vtz565}D4kOX=oi&@PaKFV zwa<6W9kELJD*#;kiW%0*Mxn6ON#z)Nz!cRoSIsFdujtWv${d-|?*EkDzJNW3^qPKF z!5$u2GMJ=z;1luMz_OrmX@iu7gX_WbjMY7%dyaV}Ep0Qa!XAKJF|HDuMcr=ePu^f` z@ z()*H)6H!GiBeRD#*5}+43X4L13UMKik`9W zyXU#JfQe_?`;wZb6&C)xIqUd(#@4$RokPp&e`+MGAJZ`?tAG>@ZL{duu0woTl{cSO zpaG4B?dg{bKBfw`#UEx(=Mq8n_`wOWn%jwybl-W!Od?AulX62-vxA6omt zvamzj*FPA9JR+LbUQa(Ze&fjM`HYZxc!x$-f1OzW1305!b&f@C5ete+8@mw=iK}bPG*WfzI;mF{O(PEUtl1qvFf) zQooqE#3W@DgF(AeQhJWj1ynny3e}ivA?;+j-~_Ep(f4u&lvvJ(7SMmJZWUWv{axg` zYvG6P(M38TNlopj%)Chj9q*iqfnh*Or*Dz^T|oMVlKGQaVzW>}70dS!FyaZ!t{)yA z7L*4uZ#j6>+;c>(-;T{FS$WAP@zkT)uG7uxJwB<~qpx_6LGF@_zO#FD{Oj3W26@}M z<{nZ*6m+v@6OpqDNM85*2x^BWrsp?y?t3-uP`{3Z01@u_2t(s_cc0Y=a`q|I&oFB zS_vI80Y8xQw^4D;pmPuNl5=ou6A{0@wRb=;x1fT(Q+DN?{DbNtp_FmzbWpYz-Pp#( zFL5t@j{L53>1n&!>%c;ZJEm6_1IS-jw&+8X15HfF%j!v`7ZZWHf_>|*uij7Wkyzcp$Oi7#nRbgYhZJ_l=9T=`d-=nM{XhUA1Ygw)QnBt z1N(-+&#fa~;oBwwK?%jBpCLPWfMDT~Ul6cW#ZE8Ev+gra2>Z|94ts7f>?Z(Wm!sFx{PAv6Pl z>whR{t(_u9e(sY}%HK&WsvX!m#i199SltwGFRz^j$6PunmuF?|6RU^p66&?RJc`yY z@T5Z<2WSKoUrt|A1Xs4_S+-tey}Q=7r{)^b5?NaD_%NjV`^~o(tm#m1@V)^e&c3M) z9$|Is&zKa>-k)bK$?N&OsbAa2BXtant^9Nd@UF@|Pb{fo=QcF93k>Cxy{T*B+E7)~ z(jh9L{lF?X0<6$=f1kS`dKpEK?mLC*m7z~-N;Z@WXj zbKfC<7YrdeQAv$=hkt19J+yR6Z{0ouckkQ2E*qL(p=0rG8$ThU7M4;AdSBDIMSnr) znugokdNBP9n_KE;MMv-CqueEYtJ?EA`etd_19(JfBiMBgWgWn&u7}CF41+<%z@zQu zBlh5#8!5_1Y4Jusu6xfdGhT25SuNjiC~N`?%eywOsn|p&msNKyG4kDeXy^8vky%S8 z2o#O|KBscW?Pbdl#RCgxuZ;e$OH2yxRB|_;mo8xliid!xn1oLOYf7{%`dJz|it2`s zaIW7j#(ae8wF)6t)NxJ)t>cT^{HCsRckU-de%Tqvlz;4>k$plVrD|kaIjNy%3o<(< zaA~-1cn5?g6#qV_!RQY-<~1{lz`PIw*IP1X zk4q*uch3uJ>zN9?B- zli7n=LqJVXS_M6iOz=$bm)(1jwL%|{T~vDVD=s#E=XUalm|6hq1(jR;(XUd6Rs_VJ z1O&%dQ>z#kH+Ep%b7~yN=F%(#ReOg-B7y1k2N>FxVX+^oI=^dL{8++Na-rw0s44xd z1)}Jt-1G3+EUceYZ|q?o;ofp-z{C@|{>bBX$ybu4Uz9*r-eWFq(Wl3UN|{coq-4;_4BmfQX!eQbK-Z&DbyTtGeciMI#tMUfnO| z?fd@W%|Dpep2bfYS-r?;{&U^B(tKQtf=tr86}-TW6*X5%X1>xZgn7fNEWVP;=;v7; zc1A`P_&dTiYDi~7i{KfU3CT>Y+j=(kj!sU_kl=X&mw$*%1QMAIXGA9A;fG*Cv@9J# zywec?RiXcgLIVRs($D;vIWVnx9t=pDL1!rG8Dv)U0qvoM_wC^kSmY?0BO7Z#u+M-@ zNIU+;F{dCs2LnQx(~w7PK zLJ!9$u5Ph$@&7i`B8jl1Bs2q;42w=dpMPpPf}}Md0NO*LR}rSmeuFlMLO?Y;7g{~u z6?goDYIfuIz&JxSAwmdcqWxdL?V;VYhfqxm<+QNeV@PcwC)3Coq=}ruJxBv`9!+V& z?CvM2mPKk}4r7cS{FyU4};JB8<^Rn83sDr6Y(bdgDHN z_XD_P=7%6C_-UQ_c+JgW-EADsK*oRRX<_bk7_o5x>P6#TKsXiRsR^&p*d`Ry{sp5O z8jwa)1ZiqOkY-r*e~?WynTm$;V10W(_ph#QKxPxh_VzXf1f$Q`%{_=k9~}HXK||3H z{bNA~>okHOY-n1U9Tvxd-n!t2Ae;>dauJ?3BP8|Eso{BG#nEJ{h9-m@&xEy5Sqmv? zx-&)^&9|Wgt>`uKLUYn*j5JOlI)bJV2yns`P6#@lnj%OjM2682C*)Kiq#6^0wn}C~ z8WJjOOaDc3rXxs&DME9mB0Zy|(VE^*bxmh_*V#GrM?VkGj}SJ^ACQxVv1p1AKv){m z;%KPT4?%wVAz&39n>)YGpW)J!|HwSgAZa=}NQW~+ljpy&XbAf$Dx$)s5saW%xWYq& zohquTY7GdbIyy99z}joyc6N1jb#rqM3dV=Ps;^&2SaQ0V0Lm4~L3zOA(E3hjbAfF% zAJ*ZWDIEUtL$C`93k!>2%PB4?uk0Nf8Xg@Ro0vfNGT6UnmwzHSC`WYfA}~dQJWyVK z7zi;e4iMyBTPs)r~iv?h8j;8Xiyb)BX}zr9UY&Xfovq&?+gJ?l<9d$ctWNb z_85E~US3{47%fKFXIwM-6a>*1MhKpruqi?T9oA^38zQS>;*v1!i>v`8JWVm~-$$uX zK$iI-n%Rc;19Tff!@e=_^G2Sdn`$gPwK!P47p4g28Np2i8Bb_*ew~~OCl8?Xv()L( zodR};&aTg22Il5}u0g(YV*~by%}talWIR!t@S^O%^Y{gq-Dw0NhMfa;4;W~6`{6r$ zSf%6RKbVXFWlg~bgPj8!YSF%G*dKU=mDEtYS$tS%l(|I+x_L=P@^;KvPp zrD$v$8w#rp3|mWZ9{2^oKwZnaf)N_pB8D9i30rytf|NBNS;9y;N*JS}avDL9kLXoZ zI)V!uJDSw?LkOUg8s`6Ofy2S5E69Q=LbSLHf#ucJwe4TTWM}OL9jLFH4uS>`X+|qLB5GFL2qqImMqKt;CVGD+{6(}W_kapxsUL#5# zQ%Xw8q7ji^!feEV(sBfmhh6a6wTD3jN@#_ys-~%x)jV<=v6;tJLL5+1c#e+F&h8O$ zFP1R7&|d%@4d}anM=eHLT4;ksGwgd9Z{BqDkip3b@Eb5E7k=#(Hn%}gAA<3QC|yXk z_9MvD^r;|%KoAxWL8hlqEdYGUv`6Ph=6 zJ<`o3MM{}BKdIiD`nEUeUKK`k><*MEl@rKyoa za(AsaepQ}N0*5#Gl3mR@{q}92wO)Ml5suoJH!J46?(8b@gA<3V&C7n-#tR(sRlX#)}nD{cKDpvzF!7*0(jZa0vk1ko1 zh!IUZxsehYR;CpFJK3c(^mpV~-xDJwZ`bVgp(WnZa=1^;1U_IY~omwM<<19@yKBy``V>QDnF z!CiLv$K260Z@CKZmDDx%41L|)ef)eRI91M_EaiDC*hAfWhpD&uSVtg`gg0;lC$-hA zZr1*CfS=1$L2QFq9`FiM!XcTsq1qxC!Hsd}J)%nqEQfS=dPjia=dZXZ zJlk0t+ls-4gF@WuMJFP5rV6R=S!I%@|Cqb{QGv+#<;UH&=G2wOXTak;iJUi4#hgnxwTezMUNnzO zpP^)K(Rqv!zvA>`3>_e`OB;vXIN7DyzECXL7q>`|{LH-W=95=RJz-1ugPD$C)BmHi zv}BL+1c|m=0ozasx4_-acty|D$&?cfk7K;m+>^ z_xG2!0Ka3Xb^1tRipA$-_m>QOVlq7sM%|+C6f56e_~z$(SsVoWle24v2Rcwc9CXp$ zZ+t<%JrOYW@(%&s+=PDapO`GbH^)YhpRX(@uNP)ZL7=IuA+*YfeYGck@PllpRAD~4i*9LM`k|5zfY zOLnVEb9zDir>@0IAu@mvhl}6a>6ZXC(LHh^xq+;tjFlTh%C?ntV02z zn{(r%Id0G7i7!-Yr=k-$Twab=RA%~axA(0Gf#)sq3z#wAhuk8Hit0Tku;s}LEV;Z4 zSPOCV^4{-)zQT^K07Ia!lR+#UPC$9-MH`MD5icF3Jc|G3vB z`etWQk!kZaJ@q{6YXDdAan_OiBGc@ zp2oUiIRw)&6Pk1tRuCpt^xunQ;F(m`YQv->=_i%q|4ABArcTvGj*1)?f2!f^f4&+8iQnv}ejAzLRe@c7B%7^R24;u2gLQk6QA)Xr7(jFj zq~NhkS&}%=F*scFezSY2WOB~<&DsOH+x!P5nL;88xj@rp*vgZ5a3Q8IHzoG-blaK^ z7Z1P|J=bI}OKn_R`x6W*X~#2F<`?&8_0E&A_;oGTm)|8T!nM$Fk+1Sv63zrtn@#V$ zg`DRPyx!cRz4|6=M@GCN7$QV^Y+p+kZqvko@-RZb&)a##b*Ld!{f(u^&fj;lxV*&l z3$FfYIp`h)FlQ6VGfT>Q!`9amEQ{!aa|d4c{prigFycAQ>fqQA%mKPDWk;5tls?il zQ|9U1V%fL4;Fr^6Y{6h0Z@(zN@I?%;Vr9D-cD`%7+ioze%(|Wu%=3QQz=2KrfF_F7 z-=01gxb&7N3H2Nrb~Kp17-4**^GJP}>54K2rSncQ3(xtNT0n4$l~@)_-S7F#jiH?} z#(Gj=Ih^St+IU)dcO6ZieRd$Gt0Yrn`=@T~aIEn*wi^<(K6om*1PnMo2ry#Dzuxj0UcK9ODih@WI<>^xP6wqAzc%fC_Lf=8g(c z8r6=sA>{i?Zg_ep4P-vq*nc@o{&DY;Y0xaz{yQnoHqWw^=F$o^-Vu$_;8}1q?BMgr z{o$M5!`~6VBVKTUsnb726OK8-`G@^jOP^MN^r@_%w0Vf2U!1Aw%($vH&sDrzZGDHv zc>Iry?%2JO1_d*7k6FK!&Zc+Y%3Bk^lx67}&$c)b;?YDWPtU}HHw#{`?dn*3BrMK- z*ZtvvMbgvianG@$%>035-JZ9J*)I9O?3zAnY`whVP|I31}saTRBhyM!`oHUIC3iL@Px>Kk6dAzOKxTCK>Xb zAGptSfhT7Zxn|dJS?Mkq#DP?^Laha&}W;@x4ZZVWe64Nq% z|3jnSGRoUp+6wz2O&O9{yjU_ z+b2rfKq`USFtaE8WR*ipGo{M^wO<)1zaVRS)qm(&JrND-ol+sMU$egPn@(I<(O4b$ zS~pVnz=eeKA6>~R+2W|TS#6llQNAk|nNTL03)B&LfB#RFUnjVc%k^|bL4LELJB~^t z{ly>qggY$DfgeZSvvf)=g?ao0lnd&uzZhywoxO#ti5TxGr%~g-SA=UzuQ4py|?r6;qT zrREG8VE7|LGDlkKYH*H_t&siK%m4@Gx0nClDC||KzxLL@Z0TRL6c`%)wYUCdOaG#! z=#(&Lzh(sn1&91)OaCIaASpQoKCr@6FZ2uy*f1eogPrskCIZT&fAQfoF z6*=YLgup9&y}*bDU#rlpYc+aRgI?95SD(TVSvK)^=xC7dZKK zx2tHtquch0TJiAZtVWwG)_gx|mP@X`?yVV?Xqy2!&cz>>++!|qdwkax9hLAIDv2fd zsO3Gk&{B9!YWAi9aK0OJg=~;M8@WF)@SgZi{&_CrXT;c01slO?Vj)Ph4NUSX>BTZw zDbh`~KC_=nYRdQ0GVlifvDcsvjr%eTo`a}wP10kc8&gb0{Ty{p!`Rn*IrAct0@%Zb zUZ3kJa+L$WzrKygGNZ2PJk~wMU=47ib--St+yAJvP$Xi#U%B`e*eJc9m}@()W+io) zcs;O{bc@I%$Qd=H!-Kcb@ijbI5HKS7s4fBP$s!4!N@dy{8s8>X4e@EF+Y)+CxZhrJ zz&0DNN4>doyDX@JLS9Uww{&ehT4*|v;_u3NjtXHnm$aVbm70xWhgZ#Z) zIkFM53JxEvtPn87o+T5uxI4cZQYOey5nSsUr6WbN*L0Wec^siRkwPR8>8%<$V5oT~ zn{qY9J@~+%v*ppfb2m-zSDUrS-jHP#27AJZ53IpcLPuuD!i4-zdCu4RafzSE?+8CC zDZj8m@f;{ovp@PxGVs`?cS}%Y?A+mJ$Awkm8kT@Y+*Fcos`my!l7P>8Z9I3*$*YYk zZ1Vk!O2bvN27HutJ%`(<5B2yJ;2uAG>Rs;RyK0Z$oX?uJ5O`ka{(_2sjO?~`I_Bf6 z$>8yPoFA*Y-I{qGmhA_Q{d|cm$Mz;#jG$f0=^@shY z6nnSYOxH`ob(ya@Q*ru$g%qUgyt$Ce)rDW}TZDe4tJSYh-4|l6a>R3f3c4#|0NyFE zHa2WfU(<`!W17Z(TOhi5zv(?WLukM=LcQFN$ID>j-S_aFyBVp|U+zlQdUSr@nLFV6 zF_YW&Rz1X%WIL?{Oh0=^A*oPFcwHddURZ>$!4I#k{7>GwnIErJG6Rkb+Q88H%bZ`I z*JWhs-%6N??4OkrzKP!#HF{|eR3(XaK4Y(qnXw<(OCDRV0?FW0DKJ8$un%tsO2-^ z6Xs)`%zw0Aa#=<2&8pGcOS(6#^r=Dr609IucXu zCCtE_JU*A8q*zORT9=B)cT7Q!$?tL6386n54$U8&uKZSNTIf#LXMdr%$>oI^8m~4D zk|Q_oX2?*7hBH(7UL9f(_E&r~%E`*w?r&aYxRBCF4v5NPi|^}>5(SBRKH=)~kjQxH z(0{$AmabLG@`9oH;Tm9Q7hda$IF+$Bee`|DNQa-YyF`$R*V^;Bl0KK~Jd{HDw%J>a zsV&A%dY`!YO65Ui!86_*C#H#h$*C!8L>I+&s~P4Htuakac;tQ`bJ3di(8UQlP-&ed?f4 z;s_4U${8$4`U4TMcL29_N$O7Njff@t#qK+o@Js{_-xYGm!DkQd&YOH?e*1u)NLOuP z!&O=D!D#8ryg9;!&=7{7k$cq~V-KxI0z>QOM`%P zNh_&Higb4?Asq%Oh=d^0Ee(Q%gmgja$I|VUrT0(?X14@}de$@b)?QO#Jy2GAmp*UR_D7l; z_>wrKX9{xuYB)syt7)+`LXpO@nP~UxQH31oyi{1eI~Y5%i0j@t@YPjA?y7^a4kg-i1Qn} z&uFZAGW(awu<%n>EzztbQCT7tZ{^5h7kKHeeWN+C5trUeY+R{{y;r$X$LS#Qc19d$ zos5fCjuf~{GG}8gtX$GolcI{H^iwYRdg^4+fa)Mdc}8Hz@c|#O*uzYyJzi{7x9yOP zV??A9hNDi*+!p`>4Dx5D=D2VP?4@^P^n)J*lg}ybf20wlSu1q?GR%1Sn|@Jn;gfDD zC1{Qt0n=Ry0-6jSkKTBTRTxM29H!s=Iq)Og=8XSF4%*hBVq@g}6h(}6RPY)9`;*_P8v470v}+(h9V1-9@!elakrl0HVzOenAJ~o7 zb~+^Qo;6&$3wb#JhCspCt;^rex4LyEqPks0v>k|pdxZr|lY=^La?QNb0;pK?(-k7S zzeCPg!Yyp=_B_?>zxY0E31Qqsb15W{b_UmDEQTsQG>43cm90GHC2-!%^Im$k($xxwQ21a>sTdmg6^GP8I zmESdmTD5MyureBtc+)pHX8mkK75Jac@fY*yY^Z+<;C6d$hEe@C+o9maYuA_yn;%1G zukb)V{giq}p;p2P|LP#~Y$(itX#0zvq|a!%ir0>1&&dw>G7IkHa*cLLu%Nq}YVbcA zlN5rFvsrDNm4vYBzl6 zN$X17)40c*X-B?5uXM}`^SU=^_J17pQ_58S%f;_@GB46~0oMrn#QW9$z-EPJx5t^o z-D~=D>YrJa6#Bz-*+_wTNjby-HAmlO2td<0j!}00g`T0#d@}avb3TH0{M(jH{5E5o z&r7d)>H(0#+4r1XTyou2BHXq;xYOuE!s)6{??%yZKqdbf8R`hYRVq8W0heTL zyKaXsO7Ji|Gp&Cx<+mo)DyC}Dnqq7}} zS!~uc>=+)n13Xd=?aH>lnL{q#tRtkq(YWu%lzhRWiD{ZXBa(UO;R%v{9ir%a_mTo- zkFONMV)AYYo!`dS_@xu_YmUj7-*;u zjtO~#n!&lXKl%^N(I{?1xBXs<`$nZM=7YXW zhR(T{v|%$+_P)4n_mmxY<}m5n`$NJQ3y)H9 zD*ggf%-%OL_Tyg;+gf6YUvxY``(bE8%{FIscX0J(Sjq*z&*wU>o#meTu70w6_GRA& z01mJJ+scg_LRP`yRU3B|@;>*DEL;+JguTk@ncjU`D+V;QV`mgqjk|j(b3Um*j_p~Y zIe@i;MrigQtgYTuBfkbr%XNh~?>ftdTuuVEE zo8weaRoB+Hc@~+}GHvab^KOhtQ0cKp;IWjJ5it4qRZJ$WX>Ip_SEhYWSo%vRmqm2? zg^2w9(8iyzMk)|zSKMUKpQ=}mKBm8U>h2vjetKOcz6(Ry#v^4j$Tc0@x4JE3yeysm*it z#LVK}bHLu+x3GAETPzTp;pp^(!YFfUK};*v=afiM2dY_`2f-FeGp3g933X8BmaO|G zAz8jl%NIE_76u)$`i`4VzwiOepq4WX4yBw5a9dF1Mf2duH9=|Rh{SC+IW714rk{%d zesiGZvO~kETGYHi&F+}gv&t%}ZQguNEFh_B5t#4++>h}MTs~uxl4I|mCu7xkI6QZV zM$B##e}br=e^FX<3cn3KL6{_NFA4T+k@~#(V@vMRzbB2y>=zMRHB7}~^$aAW=1w0A zX?X_})`90)ojZp&T!RxUr(|j|u(jS+HK_vwpY|J~mX~xiUUz;j6;+HP*O+DE+{bBe_d&a!Z? z42=b?eai@(Ols0sB(h1JBl>rX8JMjioq7ijj?sma-mTCAiDf)uQAIUd$LzYU#kb$* z2sjLbM<)MV;OXZSbwQ(21Gk<}mMV41f@= zvK8W7&;g&ESy)pyw@XNF(f)OY_ce9hpl@atHK~1p zQOmz+ExUfKDj>`p%WrCFn}PMo_|HXtb#Ub4FDq{rhUO5Pt&@;O%RJ@%uF>i3k9D1g zo__g%@rePmgz@LTJzQoZtFV$&G-7&TE1!^fI_8_2PR<^_31y!^?-8kp_QS%?dv>0M zr?jP2a~RBzGUsJ9#f?6Xjp??SfVTZx&x*fH@3l^1@=B}NpW#b1E50~{<-uk)uloic zC4jEio16;z9RdcvV=aTYbcRVEnmT6xUJ_6X`3EQFw#))HhwS376kK;T3?5nqydB%& z?d%_&L!+0;8o<6Skdgll3}8KYSrMk!A^TarmA8xg@RHKR>0?X4f=GQcNm%;@K6p7! z&wjJmJ`cXRckBEyF41i@kH#%5YBgO;8>h_t5>Wqba$87L!|LRQM{?FzYR=NO;nm-A zQT4wuSdE|e_K$%%8}De;h@>LC&coEHJ{j}sb5$+>pbpM}B>f2bcx7#1I6?1Gt*IZL zp2IOrA*804{B9eMg;&AY)SK;T%l>tBU~2Ki=gTz-S$UQFCRUH_rgx4AxkN03vzq#T zuJ7S6SpfI+4|M}$1XOmx@9W!!|DdTlhNiNFZZY$kzyG|%W)%wVQGdEvW_MzK8FR#B z7+7iQaT=VA`IcYxBh|A;2|lz?kUnng7ItcVN}?WIge$M2^SGdATg~%h?f4EF29bpg zgn4hqCN>X`p5fDpNxS+53#&TR1_sAJcD&@oCeeOoUj$A+?}>OH+dAD=9WeCt3CmO1 zw4fBOe$dd?HiH`y3$bYLyt+Mp(e@=0R(2b|u)I=qrK}HA%aX=V3!09vrF=aB5zV;x zG<3Jr1EL;9#1sz>htobXVm<7gup1{%Ju(A!DljbVIuK?ApxgeR!Q#_cWOFOMSbdgKdjh|Kp@b};4`?V2n;g>fI>v5 zM8w9yC%AP5C;qoL6H;YJ4INj0v&VSFe&GWA-|$_A^{vfQ8V_5a`V?T5=$U32$w1 zQ|(V2rlbisGMV(EJO2S)y3G2uR6v6%l>mjA{QR5-@|frhFc|$2 zXizW647b8!hCr|)p}Cvz0oxn`fnfJXP;tQchpyx1=NHt36xx+eQwNrUhg=;5F(HWw zS#aC2Zp_out z3x%~cI9I+}C?Tx>Vum1qOxoARmW731zkVS#wQw8D&>*`40|{WRuFWA3h;{hvh8cne z31Dt*!5V?ENZ|g_DH$0B#e*`5G#Dt;oKwC#w&)}K6yN9PIG}LEzkr1Km}aXMO=6GDg+sz>4Y!ons*T4`Y)MB(AF(waj> zLGU44jvsDJ0B%eW-h|8$L=XTM5rJH)=oR`cA*;WL=F#R%47H;W^tQFNcXka4kBWt) zvy}}xa%?3OI2Jf9qPSqayaJtHCByBcz*{Q3rNQp>`gKNbej}9Awk#t2tb9<~+Jm1V zx~y!ld;10l*CEWg6Ks#z_rKC~A`an;j^OPW0;?W3;E004(bF>+7jXFu4Gqo{G!Wrr zVF`A$vJ5sLMDonHIeGZuvLrIJ;Z}4OQFZ-M5c)X?6a#|-OEe_TZC!R zgBlVV8hpP^86OUh*x00`lJbubR<@FFQ$$o-Dd(V|)j~`;2QKBoy{MlMujTt0v{?kP$09-$jE#Xsc?pvq>{2SQaualZ45fM*c49unzS?~Q25%Y5WWwgS!js|8ImlTs7mhGQUK1>-*@VD`oadE?`mGs)5IMx**hbdzg#Et1-zoxj zc_gW9vxYW4@$<+A@~EpA{qK7aX#R-z-8Rj5uwz5u8uuCj2{-pDqNzXwMM%g>8BNOQ zq3QeD@H&KL4#K7mwpbmC^`lkHFW2Ce!5$_jIJvmR#X-Mpy_Fac;w<$q%1&d=MX=-STh8-F?BHl+k7`vi4`B0^TtLd{TYBAZaG@CV&67* ziI3ti4pCSX#OB-~RH`FcSHw1Q#d&A$4_x1!OGj*>!598_|?ubdF{*8;~eS^D*mP-+k&-(kd$t{C7XRfGaLgcNjuAvj%KMieq9oV}z8pcQ* zK$h^0jt9g9ft2i>_a*Kz7Ra=FCd;D|w&qq-5nK|m+5w9->@rF^X@_U#(-Ei^7zWB0 z1k35;E)7bxE&`j|va=w$Cd7Ad-tm&9X6?~ZVZQnW$?nXuKTozv289*fI)yPn>B5^7 zA$0w@&3e;J?L8sZq*tSvc15SD*m`8o(jD3;uu^`*ckMu6bn<75bk%a(N#Oh)+2EY= zNbEk|`P|Cg=YWaKaZ|M{$GH*x@w;1D%F?zmGcpncBAXVgFU;HPyM=)72DiTGEBQa# zwpG8;Nhil=R2Ej-ms;~XWo7EWwxd1-)fR@ruMm&PEzfUq2{{!1kpPbr^~^0;>oXS| z$?o@QgMNBFviG-azP97n{alFYET-L#i0bveTNo#shVxT5`zP?UHc6Cqeo@=7X6cHG za?B;aUAzs1cUZo9itw*pyQ2cKgbbejy7{(N!6#pj(Im#En{7??jgS>yl-|>KvZHW` zReoH0woHxvARviaaia#)ly$P_`^v4DP~V^Wbz`VLw4m1{##m-}M18l?i|pfAhJlO? z8|H>UEq|KBWtUN_*9nmL8#FM{^lZ4yuw1Tu@Hsv&DRDG&ev3q<^Aar?-Iy8>co>KN z(e0s1p6QHyuFd0GTTPvkH;fxtXt!8XB)yCTfXjBvGzX7pk+aOx6R**uSk;mm@57Z7 zYH8I{<4RQg1OV`^iIh|_5v5W^Cz2c;CvGpwGX~MtU#mH=xBVix_XQ-~?Ua8>xSHaB z*2{fjv(ZQ%qpWvlfC?+_)jQ!}YurV!Zo&^CF+LuPnEq2=Mblzf?7Mf!TDnzlaw#=~ z3;zK4XMXi`FLNRLMNpDYN}rT7Ff9R} z^y%tYXU~m%byoCaLWm3+Kz`-Z0OHy2rnP_Rlu&6=gt!u@3dY`TlRSMx`QT}4b{q&B zWp!YsTXY<+d2Je@X2E!MGH<<#Nf^aYg_ zh3Eu!4QuY_3Du1bAka)JhH;*>Jcc^0MvvMoBt6n+ds&}&;Ty%4N?C_B4Jz0b76ZPJ za(s1aA>w&FJ^$gQPx6ZIe$WLT2Vli{b01uyNBC_f9coXpru?xwpza61zbE_qNNW9x zy6dU2GY(e%B3+zJK~0w=#JH6L%P>tDrsg(ZgTK zK?V3@Il5dojR}HuJCkEmul;x@eUIw(@!*Y?e(|}M_*O#DNq9IjyOzJ`FJCJ;<;@0ovoGH?b&;~*CJA@~(b>GrX zFzQdUP=sH5Ut$XaJ<72&=OHOQi`#a6Z@|~Xs;EoML>i3E9PWbvOST>OedeGWo7XWSvp|%9v!9&yy-YbkN-7-H)a zM}ZF#-KRfbp=d8U4L6}*KV26lE3rJgdu>ttZA%in!S_a^rOCJz@KWcD@{4q*5f=9Q z!&wqj%DJz!ey0#w1B=Cv`u`-c=b8HKbTNVw7BL0n5_mV7tCL&r0=18!WaR!KZlh#JlE0)uKqSS&C)Hk9T4m- zYV$1aQ`k$>Hv##DAk0P&lZa#{L)}*#1Gk2SWno9p_w}=Pi!JPZ(y|@C04~QqAp#U)lTkXlB%3#?6++72bD`J0BO>v zN-mh(F+XUQ$p5I^#b}Aq*nJ!of8I0neW}Ur*%<*u_~*;N6~^lE5nmT=^ba*mnpgU&!pxwwvGIS4)6PHvnN?v0LOtT z=Zeq+A7S;tr=z%bQ!^ha3t@RWo)S?SKw?OLAp{5A- z`j)!#5`)vo)JEXzrr+q4=wea!LV3#YV#=|FjdfL7(@E9@swD1gxgq`lszBk=CnDc{AK3I*#AHE z`ae~z|6-*7Ql#L2$4LLBNWuS(k^W250+=+d2(#i;)$YS2)CXF~uRin*4F9ER0W5N3 z13#lUzydcgb=n1fj`Dc!`Ql%i7X0rx?Y}fF_}_8be`#9qzvHz3(zO46V1NSH#yMgH zq6zt#q8WMDg1l=*-nAj`+Tq>oC3hkGJc8&%KGB7|>qg%7%!R;D?f-5ImYF-NSEOJCV-u_iizg$9~J<(;Yw+b-El6W?6P20`8HYzxLW{q6hk_&Mj_0I~s; zYR4~2Hb$&&q^z^WXYu8cUK#Y+BE+bthi>n1qrtqw08{NFvf)xnae^r~XO)L3>V)D1K-@S}ap|$36OG|mrem@QY4Jc7ed0CUw z?mERc{S#;E9-zz_6I_n!87X4vb2Yx21fSOocOHAT)j!?ZM#(p#Io#tL<9*yKDqxm{ z@%$#gh#+{G7vJhjD14fwW{E(>h*$~DbFg~%zG(F2d5{oaQLO`*X5MkW*JjVbn_qUc zl*>HKr#0Zi<)wtx+FFNUB$zq9H7AIA;F+|?Ho!e%Hzblt<>hwS56aq)piTyTP@ z?~8JJla~*1dlp$g1U?@OpHH0TO4_TXjXGNZttBT#0 zYw1_5H9y!fQasAAlVqb=yPnba*K(!{;I7kVQABjI<#<$aaFNyLdL499aioWSJre7h&YGiW%mOGP!$YQJ(^|hb0yQVZ zKbc)B9YvSQnUUVm$yS%^S2_aME7T0UKgzpvn<$R?Cv0>JEa8=A85J%?+LxitHg_C@ zI8n6_`co?tB98(;5vxOf;vYq`{OJPo6HS4hR816mVDA;*pZ8-H`vtz$N=6p?=77}) zJL=Z!kNX~mbz*JH;oyV6p2v%fE%Yzu3eINoHaa=i^)TA)v`?cv3l8_L-C+b+VXIxO z&f-A^)(k34uD&18T~yy(@9K(6CrQXD8@7hIrEUm$l2EF2Ka`VO)8dY)OizG#^?ubt zstE!4DNE8z5U?E;oC$fNw3@l8RhIM+|OQ3Es9r;fF%ex^X zMP`rMuST~0F81kZp20`=&{b?#qi8|G?Wo#Y4WlU!p5SDQWhV^tkG``iNouEPNUq6S z+kyL#oSsxBbvD+k^5b;MIxZ_Zr-!%BS8MSFn#?eZp{yPeFsZjYJy>=SNWEknfdwNh z8~-R}^755cS@vVgQ#UI`fC+7eCVb*?+8=@PbSC3I4Vzl-l@U}sE;quBcmnU(3;~tx zTY(P`lF24%ct)^u#x@G9g=A&Q%N{pLzon7RrxgIzo)lBn*h8w%q~$|8gv_HK)c@YD zW-+k~7GtbaQB@ZKaf9(G_V~MN&;2#}TPT^DN0MgPo^s1zqmI-r%`z|i1U#=FVq3|k zQFhI~(M+&=n_t6q8G5~cw_>f-yOeQgTNltuWPq|iq<;F&?dK3WO>Vx%p%H_lEV?#d0(Uyp9-=8RZ3YGpR(7V&A-+y zq!x+e{^E}7kxlR9K_-b=`k9p3MEDFYfO979q{} zCuu;7W#NUu*0l)i!r7u!18Kt8YiUxY;;3%+ZyxNEt)zH>>(@QSE=JfKycEP{obJ=d z7zy8IJ3k%z6JuR-ZkU^O12AB8@(JE7evZIFbJoY2F!SsK1S$dZ>O7lEq)Bv#Ouz>9 zAaV-TZF;6MI)0^lq#YCYv*^~Q>Z5D8g z`XXhMPk4UW2q#43 zyh!v8)#G26#8oopY>Ilf_@3`$E70BymqodGcZ%PBa)2;C2315dN+EgL_<>D+isJE0 zg9iYIJt4f}=SQYI`u94OpSldIKlWH5d}G?aTzcw}^{lm&R{W}XYl7_&o=e0m=b_vNoO#{Q`si7lT!5o>t z(hfCQ(}OU{rqWH2$7a{IddE>d;FrkFneXJB!w=8#L#^;AqkZM`+TN`e0h)XL!@f-s zbRE5n5llKaWV*i+&V1H2%IB7$#>w_b7Y2EW@+$%{dK0rpmBR()QM-mOM}KZfNIns? z`#`X4J}(HS1|4eM*Ghh0bWdM+4eyn0UHb1ebS1WvHjKyw+fVI+Jy(*gng=3B;%jy4tsDjbWh#SjT!{E$TCZG07o(11+?A&G7;)^HlvAjCLlg83|U&Y{dQr(&tYLX z3TArB84K12+g%HY?28!a>npDRF>TwTBvTW5&1l5$WDNT6YP239YT6E315r42UkaOb z$%L(0t?-WE)>C9pd}#v|&wMMg+l#kcMHV>lH+O&aKOB~@ZA?&?%zDhM;?$oH(z!8& zFpfS`k#KS(P90zTtfn%e5awp-GO{!$j68ho3mU_v*LXga##ndVF-o0Ld06q=y>#DK zLq(s>IfbFW9~)e1Qd%n!M0?T?Xpz1Q2&YW3@LLq9{G_d6n75=@Ws+uPJDN?Z~Gdq;&q|>*Y3Fx)lDvbeD3qG zzZn>s8esN15g#^-wQlgypJ)8K^&|DxQV5$Q$G%XG!>37G3Hv~4nxVbidgEi2T;Yy7HHttV=uKqu(_mNw6;o2lr|(bOU9F>CRD}+ zSeLV>^2=7b0}=DfdR&1#3qu=g>@q2tpXgE>ipzr8z(_=beN z^3=jEWb(_Mx`!$aJoM}rho4?2i7}fBe|)0=bn{sA*NfR+eUvDg{aAV&;5(*}MK+O) zhf((=WvD~*6mER3L$Ahw-rOkeP4 z#OCw>JtZP;e)N#yNn&2W9-S0fEPMK6x!p&d9~Hl?ntn-i1~P|7#T$=IakV$r%VLxQ z>RpJ1h$wr)(0%X5$-F03B>p8^m{0D9G{5Bc-b~8 zrl5SxUBzZJNF9=So{Q;) z#?8>Wd~O({V+ned_r9e!p=V(g4f~!;Ovx&sVbinmNhdVIUj#->C%S)X@Bazbwxo4uNm^&JOo&`OdRT34+xzEe$EUC zQio-46Or&Ks7J>){l=nyTRRTC>pOdvayolZo+anvW%V~=;5ZJ=Tq7pS|Ec_oM!I?s z%$?%%h)6qmPi^m_0k*qR4lZGDi@z-&om@m0SM>hc0u&P36^$oPN2kjd@zm(QbA~6s zwpJmTZHz>rQ)Jv^kp_|DW~py3(eCv9L9vg|pg3iqvfV3Ox@H|;Jo(V9Xq33Ls8pXi zs)K^no8{?S4iI+u`tQ_VqaAUQ5&{zR_^zb0If;g)8tNM2 z@Q-%;`EW1)fw*n(=0-)qAl4nduKCD*Z2CaG zJYx)>x6j_5tnf=dNXi=(1DxUtHeZj5%QMJwC^{F816z*xj2}%nx?-w#yliJQvIomw z@~;I*E~~V7VcMT4IdUd#S4tRmarjF(1PXlFe#HqGqQ^Pq+#=XRW5w)tc&Kl%{bDV? z?U34xw{Wh%dy4iHY>-JQ1QyjP*nbc)`htFo->+U^+}g(DD?Y}Nu);a6JzzV&Ms(+C zEJk3_1ck%<;v42MtGoD&uH9rM^Sh^b=j@=gS=_HZWQxqFEVL)RpWc7^!5JGxyM!N| z?pekg>2D7J$MpsLHgW4N@Dgk;TRl2I=d7GX3Q9rb)L>%1Bepx$qu>q=}|k0Hn5vsZSIwW(^d zXs-^&%lxV`GgPA~@6`>8t=fz^NzGy3(tiJ#&d9beJjJ^Zv}3tek4-a5X}ft-m%Dm# zmuNWOn#x+H!6S4@K^OR}38L0yZ3v$kZy_k}2-J-nw_OrEaapf*G$=5_?U`IT*wPt!Ie94$E4o|X0t$Gj|G zEM*-zWVxpm<67DY2<0vqc<2)d*caIbrhpp>a;7U(?na1RVDw9fo@rw?T?QH zj|uMXTz(_J(ZFPfFRoO3S9xm3aP75=<2x|6BdF3gGfAJce0r&zX%ipunSk6m;3vOH zx7ki-Xo3&8$sTR=gC}QVzF0;%CSEn-6BP?*R4KlQ`L#W{e)RNu;3k&*`(_AT(Uj}# z=BkI&3~I>Z4PGxYlbD|K>n`ipRKcg(wzIh8>qJhb3 zmCHcW`6Tr;gTpc(gTF%0C@KGMfa!DlM8o)!BNV<|JU$aJ_{{AGVNz*C(WFqrURK}e z8!G3&KJ@A)-Jj_t7PblA1NuvG3tt24iid<&{j+FjKP?y5rQHYG^!4q6O-y){n-Zmh$C1c|0a9Kw5fn4cb`gqMEI5SVixci%^ zXw1H-vVwmJ}|Q$)3lH@ol9Eofq?@ zMfDmzeVe?(H2hunwTI`VA_d>$foHkoK4}#b9)x$K(O(i-a_HO39!`14YgesnBr<;vy<)(vko1u!xchR&)*<(VEh1->N`dC~U(lRP0p5;P zg>2xN=54#41#hxtO}Alv{Z>9zDgVe%geqNmQ5;l$JAN|vsQ1?n7rIzs9tLA2!{?dL zf_EQbN;;fAC8F^JJkt@_U$i{mBn=hN-Pz=|{Y^e|YbEmw7X9&E?$Nk3O{g3nVOuC-1axUBE zVjBYH#DCcb*>+QeW(`d%yK$%YT!82A`CT|`B^+E2vH3W`%OWeEOBxYODoaM6=bgKe zAH}yn8r4g`wtSqrt`S$t25?sRakSD%ajrGIuGSpVpjn74VNMNb_dDWh*DqvF4FU1P zY{}i6Vg|7;Rg=eLM-ob5+=PwtBNtjjW^Pl0a}B^QX<(P&FFm(W`mHA)d$Ha|-X2f< z(p8NvplMIVdWt~+xE{L3o+tS|-pKWTP3?kGb{796vC|~^DD{GnSB^%~0ARWE6muNh zE?y!L4CVb-1vjLhXm0~pT*M3alb)G$gCMrv_Q?;UZu z{4F8(AXz!9$hw{hE)h+u=K(tiKxy_kEcP&RSg)XAV1jEdcnj4k^|!)xwouHqzDj6lEKo?NQ$g;W^Nv7yoAb2G^Fz~ z2Z4S?TtYr-JqH4pNIYs2@~n`!gv=#;b_dpCzcPU$6`&^<6cn(o`St5J%n;;Mu#!8n zlsgnz(9zK|vaqs2`|K5S316mchD0tQ<)@0gQ-hE0!)Mi-5NJsIr$2%K5~sR`M$bKA zAjwO(zt9*6;Y(!v!eJiXnE+_<$(4wT2 zxurE+Lb11Zfal>q)bD@LM1TZ;(_X($uWf;dS9|B^-v0jn;o;E{(jW@~t}}3cj)Ka> z%Fc0{_lnPgI#i?q5-!e|n3x7fM8+YK%)W!qpFcyVBcuY6_-td-3ast-`vw)%kitMx zu(N7!;gUTv}RIj>J*TsQ_g0ej;JiIcVqohrop{ zULqohmP$wdzMV*9kPJzNLnM52cW>|D=#+#4Iml?{5f@ZQ#u>?O zGBQH28@fcfl~v$Cf~$DXJuU4~e0(jg4Pz; zD2q#0cKDmyJJ1A6Mn+CaCn0G;3}j`I#PpRn8nU2T&s^NHks?TGb#z@hgdwun+xx9={Kw((pYu!f zE9)sF&dHz$_#YOLkMH3_$i@aC$#Ls@;2%XR963oZGwNUmhyA+)NeyXndiR{<#i!#WBLI4`F&u;yL z$P@vp@d+f`4`tJL;E6+;TK}FJ7#JBngb|R4hkRSu-mqUW_yjiss4bnoVpZYfu_Ot3 zYpB?UcIYc=6gdriq3!~9MlwoDB%ckj?B~y~>UWO+nB)dmc3#-wlG9$NXJ(!t{n9WXT79W& zY-aYg5x~ZQSTo#BIBa~8<2xuQBxG)G0ZG&^F0HHpDOq?<6%`fXzP^Q6>nSEYZ!qBW zhldZn*|yYhofn=s2S<34kgRuK|G)r5nz^{3lsY;f4#dYNCT<~f4(@AcJb-J(u)VLm z%2icxpJC@gAt!(225z`O)D}q!Bd76^?+|*2)kg(IMd3iXasz`5WI)#vJOIdrUtAzi z9>U^u78YOOScY;X3IL!0i3wuWtVohLI3xtFFo%UL!q#3{Lv~6a<@}d&# zoK_+{=z>M^@kmA(UWjN#%^ZQ0%73KaPn@9dJ3T!+_stvF^N=$))N~IG0azJz{^S%2 zhLH0VE;|Po4-YR=94sUx4)?|}9_xxGhlv^?n`lW;C2cheUgx$VW!U{YzkefVYNYl0 zjDdyYHjm~5m?NR13!t%DU*FKg$~^!|rXnEmUky#uaC(N9*~T__b;Vp+-Q3#QAv5Ph zg*bLt0fPE*CJ`LKa0y;TRTXm9Fy#P#12|3=5D*fLR8SSeUR?319@&JGlaDS?X6GKA z0eC)_vEUVpfS8m5IsG7K=qvhPR#p}+(?7v+aq)PO0Gl{FC#WB-aPY^4^$Z#+lulU= z04!`=JbcLf^74y_h$2&0%*~<47EZ=+{<2F~Gd8R;vAfixDw(aXWX#jB&MYY68T2(rVogb$NHqL3(ieB!I*q6jjq&tJYS zu0VCR%}>J3t>32<)YNqHkS>P?Y&DxF0BXOHX(n3FpTF=%c3DVUmmo(jM8zwore^1` zR$=$Vhg$fsU3??}PD)NGE~B7i>tOyD4PKa?f)0sb1_N@g_Jx*aISX|tvDj4esH#-+-?n3;7`|l!o zh2L{K2*lpp9Ry;3P6;L@%t1ona84SgCCuG~c?oke2*k0A(@x+15coIfjieIuYLH>aa0igmM1Ojai3xPnN!$u%5kVFOM96kbpHAjR% zV9#AcAaEdCkHAGf#6$isKJxFiYsiNLbC?JO;oJ=bf@qEafgnb1g=FqJ0zr!WJu>9q zVB~WoP#lf?J<2&zsE(c!LpT%7F{8lp#_|XR^&BfqKA4k)0%_!N&>?pS%*i1T^mAGW z1jC#!0^$8Xn2aJQ`1flyyIITkY4x6B8{ts1+MDNLlI?G9*}iss!swKCO$m^O8J&~0 zJ-0rXxl`?({D4l(GqczD&3{&Z zN56LWiCfz>BXCw%&d2X@BQ|b4l%>e;_N)0-uM(U_i&c-tx)U_}D0SdP`>@DU(6Q&> zmFI_(NQND?8XXg($azfvCojm)cS`(#_g^*<+*nq%NKgK+ixiI6SpP1n6qH(43QaUj z#&>uUfm2kbuO;h>;uT(L7#w`szLX$fzeb$g>Q`F8Of~0EH=s+<#~Io5RsQu0(OK#z ze;aeiOq6Q+@k}&B#S(8&PU!=Es}h!nrgC_wY&j8rC|VSh?4@?IdviVU?bV`{0ix01 zdz_tDH9zSl9Y&$wbIIn9sf}8^8v0blo_5oMrY|+f0HuFMEf1UhL0k0<9S4Sax?HmB zSkSlTl+Fs0C7%Zt#^A|aRIv|O&&{)>bYJsKmB;#6?e&>qy2=@tZGXodl@12qBqY83 zrUgN#FuJ$=;QBCacTv|qd;Tt68md%bHiJ57tu(+QO}XCp%f~bqk5KDf72WdU=rM^= zsyy|i1HTFmxZ6Ji%;%iWZ~|kEd$K5Q#}bM$BuZN&c8Rh!tN4x#L420ogaxnYrQqA6 zB1Xp8ns&@4<*~X0!3z&nU)sO}DR9AJJm}>p6Z&TP$9&lKSJE4=BK2>4{;qO9X6#BK z`{En8PH3B*a9I2O$7f&S%GauTSlKcU6|m}3wnhmVbU*vl17|wF&|R;)45u4{S5$tm+IV~$W3T2a} zm`l)dqiclpFV$d5H6U@JJs7d07cz^AJ`rFTyR6BuAGs$=OvK+neDjWF?gFUnX|5%( zaIi4J_=Gc*)oV98^EJetKvPB(!_bw~^A9y>ET5m^0sf+Ao`1RSn8Y@luv>=A4$L$Q zGHg%85Ifj{0q?PRoVS!5t!UG{k375v_})~yya=D#C~IJBEw zS3W|yb+bsrvPC-$0mY@ z6YO^2!b)=SuJhw2;+N-b!XHi;c#S&rbY;EY1iWoz-umbl2C~Hkqkn1G$=o`Wl73bi zuQY{&MH9}gL(NT(=R+k}+YkJBi4}BnwH}Sv;Y--(tMNBsVt8(_ytU)Z8I2TK+t>$v z_tBP&F$$kuFuY5$uk>_Q;z+o6LQ*P^=;9s4yBI|P`3Pz3bk$fLVznXu@c}P!3;PHu zqVKO-dbaWnwF zr|3#{@G=s-h_-6WJGxiig)=&u5f-pD?kUZk^`nOkW9)VK;Qt}*EugCS`bYgkOAC?` z8)*>fMj8nT=|+%F=|)02q)WP`8$_hLTN>#G1wo{_gYSFq_x=CYyY5>5bw6v(p2Jzr zoS8YZ&$#z~KF>xG7zOo&2TzvmKjoSw%{SPpQfAUhFH-ZD6Tw!`NIRc#yDb3q8h%mt zL~wW;iB7!^zje|tIYextrpFSyZS>Hf>Y*dZ7S8z6QA{87U_o{}ZD@Hm46ZJx^wgzI zaeyfAs6Pe?FgQI#Q?m&Cy?R)Wy>f9=6zv2z;%!b7#ORB~rP^Bk70fJOGo?TOZX(ga z7&Mr;5yaeLvgRj>aO2@w;zNFue+zyGeMNisg==~IT$pyXZtEMI&DShG#DmUpMOSY6 zG@IB*YnU4_91P0IuYyYl{yim6cyv2$T=7LMn~LzfTRCvmWrCBbvm z=Whj9j7^z8du1#m^>}N`#U=klk8i2~1Ix>o{J7wJZ0~J{m%z{u)MURcWJAwHdUe(&=~9kfSUmVJCxs#B;B=z+H47CYh>84-3ty57chkB2MWB85pt(%4gC zZF9B8SC{|+erIhrg8T*1z>0@}^uVJS0uuF+kRTJSvZH1?xc(ln@2^(+ImMBmPhGuU z(1$2RtHI-4u=TQ>f*2K2mAu(^BV=) z65!+c!`{k?MH~7m9o5%}8u@U0{%G5=lYuX$hHfZqi*bS7LptTGGF5yjdFS7<&xsvh zntn4`-)j?8UBvBZT(Q*zRMS-YOTXNrcR1$iyrcbWsFU8wr^&Ijn}+5QK|SL%Kwcq< zDsWmTDKo5ru|!C1&U z=N$_JRU08oB0Qr4k!(K+E6exF9vWT%RV*~Nd^!EOMHCy=f(9B5+Kz&nC}+iRlJ>VY)5N0m7zCWZA8Ya=~5_sB)+k)N#>+iAGb=!~r;<*&t}AB9@&bf6?ekWJDsy6*u)_JJuIpsP>~|mxahEFrlAk zV2+gNk~EEvX^Qk`bDCPb2oEwM6%a8fYkK6|3qF$NGhni8TjEDQ>BY1x`jzJ07Nxil zBjJb|QFY=w75YY5yv7(sCQ5ASZS%2~sW4_cT|Vm%bBotjN+I2V!FbbBB^L@H$KmC)U4HxJeDZwV07@F&0P1@VULla+}S4v z1%HtD(#RfHujW0#&U`^{$U{I@I!Aprwl`L!VeR0LiFVh>`4I8>Y}ncU{~Zy(?^T3a zr%(?X)GhE|491}1KKC5z@`4(oP@gZHwVPP!|Kpwi4;%i!{}}my79)?`;bZ*Ar~HJ2y4?TMi;N64^6;RR;SZ=e zM+FbXfBfVBzh2}B>Pr?O%izzxnOy5GbDuDJ9it3_YMK|Q(yP8)hPu^)wDq2TuM?_E zelK}HzQot9-T8dn8%y=_pD)v_ZM}0@z|bnwt0mX~9rWLN+ox`Q7gh0($k9st+Q#@~ z2dfI;^#B6lr`kLMk#6XzAGSx1)!bJ&w4{Q|E`p*lqh zP^NiZ0sA5T2Z6?m z6Ss32)U&t8=#tWt2pfRKj$q4-x7xi-(R^^47%4vBQjo;ntyViw$kAdVos(jy|C(3r9FSt*O_a6GSA!_JPedD=J3RzJTzPZ zvGHeczbtujp9{Dbet&?iqbxQ-LDv)~S?CFW-?#Ow>Z0|Oj-0@Kp>xWMlQb?t|=~MY)i8x?X zF``G^QPbzj!<4lW$b;0ftv`gnsVU1p5m@_rL+czUP6#Gk^0)Om<|4+)ipOw%F6}Z! z3}Nx{&<-Q{LV$`5)Q&So&|eENZ6Ax;g4Ubgd7&N{7pX}0O)1E1-Z^(YlAjnjdJBiL5 z>|Rj#FmnvTrbbt*8TLaP(&W+FudzCo21K4tu=w68fEYQ3R4ZE$G<=oD`|ws?^I-}> z6@Te|o{|Gx@Y%zC3(;_BkU#7#h&5rp`EVRco6|F0G2Cj0^yg^1FYc!g@fD#%rBgw* z7tmK3_gkx<)=Q&|SGz^eg%gn~Q{=s3d3@Sr)^n<;Z4C~@NULT#u&5c4{EyAyX6>jS zR_T3N-j`IW$E}#itHuGWhH{F(UQsYqFv-)~2$ZmdcT2GcV<4;>--^^JWNKr9>vmVP zv{yQC=Y#P^SE$vdyX?|0jFP=Jy*Zp%s0He@ftn+roNFM&m8Vk6(zyThGy%Jv$S_X$#=vPRQtkOO_{*? z&-Oll%jyfy<2mZGA2-gIc$zc$l==PXFZ}ffh*sPIt}2@$GP%D{ejvwZM5}7I)9iAN zOYiUE&)Whst*JtpL8We|eP(|u_w%BW!rhkggfH**G9|&hx<|3?RhLn_%yWJ(ids^kkj!v#LlsT) zG>vbC;n$BqeB zG$$ZnFMev*g0tlRq98>ip^yZg90lo7NK&3y&zeTw;JFrnC9h9tvqy!{S8;x_!0UtM zo0`;aUeuq}<4C5p3cjQOj2nu1=Vl>tG1~gL;&V?H>&oAb>V*^PniglB6)le(f}Xsn ziUuczo+3E8k%VWtvmA=P>Do-CBN>H%j3#RD@_-V5XcpnZsAM#<2yK$hTdF8MG4$^` zVpvP%1JcwVDo?;#1utKO{+gcq|uByyD<;x5MD3C08AR{HGv`dd%m| zOXY&qIl^ZwixUoFa=`@q7#yOvMEor&;Qg_=%U7!WG@^*^va#xO5Y=@_@BywE&soPL z(0F?x5>R&RL}6lU=?EaJ2tP(sP3*1vqasC&PDXWFYlAfewjpNGt55{Oh?E$P1!mwa z?p`k#w&Ruwc7@$sL<;f?T>`aA%wa)|2#yA>e$=$NelLNvK2M?)3g)NSQFP@}DfiR68Aqj1`rmDBaDeKo5-mF+susL6nHqF&$N zYTJaEM*40W+oH|R=iXH|VH{hZvA&k6Dz2mfsigP*o~)bVomD+%Zi_QXk>xI0x8uuk z_H$KtE|PqRV1%6i7wY@9D%n32jO&=)O34U(zw_`eXKzu;=u9&xZ2*%>q0*G7&0&o& z+>5tyO4T$#rO-0C5g~f30OvarJ8}>h2hjbiV)5Rn2YBLaQ|cjqOoaaptUR ztAtv%=I;!ta66g~D6994oAtO{5O1J44XbIjPyB|{GxyF#&9|w{tJDpzi@{e6{8-)aYi|2Nz-Cg_IllVy7GGfwY}}e>%xvz! z5K%B0SlC74Qgf?jPFT34RCJBp5-RFvz#ajmVA8-03Y(dme|^`=iDF1rc|S4^Gq3u{ zNm>cR}Y9>Y8>&4qf}~$w7R}m@n7wFBu&w!&|H6tVW1| zYC=`*L*+QDmsX7GRRQ$dNiat}*TJ=4Nc52hNEl+?Ahn>WW%-!kv9`Wz=BLue={sb= zs2^U{FtP`)?&7fUxi0^tzHs~NWIjZq+w99 z4Enlxj0F-Q1@+45V{VY( zgd~trvak4leD|14U}*)PhFRG>IIXDq0u>8bysMbBEuRHSdZvjxSC0ce7MEJO&^*oZ z&7bD+9(ZK(R{d?o;LR8vh&gyml~B{Rg-IV#cY|&pv3B(sFshqcw$5#yVgUvrpl%*q zRQ`yDomX1UA*HZ-^Yk2>oLA1xt8-x0IC}mP3p|&Ln_VE}N^kb_pWEenenG6z_qNlU z+vtk_CnA|@^gKAbd!k@qY!y7daAq2KZ8C{3hQd2c`mSnRwsY(;w{8GPom#Q-s~E<_ z7LbxLcgSzJ!s3&%nG;mhNiA;f9mi4uyFcKNOvps#^84qv1FGll+^T-uDidI6@O$*V zkL1$z1gD(*1|h?9s|dD5c&b*})arFq%nqKHr+aV{Z3?`8roiTgRm7rbj)1$orlWV^ z2j*j%_?ni*rvX7}^|#oXHgRD6@Ro|tzgJ{Ytp_r*ntm8=v>lnF#2ZdX69R z%f~m+!Bb8P;FY{|ii5(1z;OCe>Y`-AV$x$ ziTo_?nUVA2v2L&7;KqSkJ4d-raO) zI&%8l#)w>ygTW>#Z_>BI0Jsurzi+_}U6)}!mdrt#z;)$P7nGi*5oWdvt*X5sV+5Rn zD*CIH&D>F2+sTT6e3yF4YS4$A5rm0N~`E3eC=I=$EV~jYNe5%#^Dip z@dmgZUBliK&99*1Q95)^t}_VBIC{Ph|M>kkoO((De~mL(9tAOG`Edi@%0e1`s)A@QK3 z#+!XHi?DKCOE?Ho9k@X1CVE`l%|gy5*o3{dh0GB_Gp_wQFc0Z5hgAbuJw`;abPW#s zI6i$z2t7z_!zK=RW%b^656<0U;!x-Umx|5fn-`9;ZD$CteHJ*1mSjT^&^|AjX%GKC z${$W!20vfCaW1IsoBX3yy&$PH`itoYhT0MxqjyyDIopTCIu@XAnD(Im*EO@TPjL?l zX=r)BYx>L!$AM{%SEiPMA$fh^m|jH7?0w_Z4NmF>G8w)pE9xu-z` z7~>D^*RJx43@o}PzVM7Q)eLEeO`fy;nNv>MqUMy42fj7eFlp1Y%#tg#r~KNMw$TYk zIP8ic<-PQh3g#Y(?SPh9)+zi1m!31Lww0P!&^)bvdaI~+ZHGiAeG{QlYXJOEwjIz6 z-Xs;2N*OSWoWP`W@4ewV_Zp@OAb0CwZ!H6=f{H2)qbKMh39AnuN!E=n{UCX2>k`w_ zKevs+Xl7*t-X+7K;pti0Wq&0RmQYOEyCbGdZzrJ=5Xmjyd+-#S3RrI+RLP_1IgrPd zuft(8<g zH}H|l<}ZYmj1diLZ9eor1dm8^XV210m-a7^rIZkP{1j3@yO3`fnAfLv)3IzHumR3j zB_pR)&ouOWqf1vW;AvTvB8r<|nN_zBAS)PU7QX`w`Z+U;8}9DrzE~NT)bmD`(^87* zb-if3S{mk4gPNa!v&yE}YbIgT!0L_U#@6XG#DrxoJ{?y`GY=TWExvSsYcg4vh@|QH z2Y6)cn)=CgZBzT$_#Em6?-Fu`*Uk};L4DgFVpiMyj@XtHp7O?1n1GN|Nk`{5_4&H+ zQ6oo>AP_Dnn^AtCkCs>d)s|NE@B}@eoK1b1@G;L5!Tb&_8yDbL`W+F{HCK+(X_!FvTf~}CaBo-@)-wks_6xCpBpDxD*NGS0wZ>Ww=#Vl{qryHsOhkUbw=z# z%LI?f#ttmc{uWM@-qK}JY;5ifk%V1J=gr314FRJL@NPM{rhEO?!7ZVwZ+Pv;B^u@v z26?^E$h?Z>L&j%XM!?3S^7F*BSIU?913I6Ca|B9}O;j=lNy|7T;b`XiF8Jd* z3d2+TcPYj7VD$v~rAEJm_NVoe3rtcGm7QB;bmbkS$3PmDmD?_r25{*HR~9AUCuVzK zAzu?sP!3IG|mx0z>8Bch1A3pb;zT_8RprZ|)IGD8x&RCCm`6E{7_N4jJS0 zi4Rgj>^DSz`$Cff8bEUbLX0?sfJ1~M1P^xieqY;y;OU*+y}iA^jN)I%?)@b9zahL1 z@`w`rwTQOC(C%fs_qJV#M7<}4NbmU|GH8F~Z7?JVNrjj;Ul@e({hi;Qj!p`K4)2|! ze?2n_uauNDt?eD|RibG3m^TD^L*WH&{SI2Zp~c4+hWJ;s3mwco#t8A=ArYAn@C|8b zAwKLL?kxWci9wV%R&Cwq@q2{%-l+>A-Yc;C>DZy(^JVBTA(k1Ung6oh&~De;VCWD= zxUmV%b$@t>fC;g__t@aS7+`1``Fn~HAG#m}_vcB>4WV`Sa$R2-ObFUoI12_7@r5Bl zBs3)1&4NLUEChZ+ZefT>l!UaYva<3JJNYl;C`6{&+mruAOz+_|NUrMv;doF$h*~BT zf91OO$Cv}zN0D;xBX0!;gXR0e5Fmo|6Li{z5a14JM=LveMo0h6EKWc%39;tWvvVuA z==ZEO6!%jk!rj5$QHsD2+F*BxcbJftw+#j)_Lr5Jo7L}dbc+U~ugM<)Tmj%grpik{FfIQZ|FdT>n z?t{qKzZ%njQNe96Fbu`W$mG=iU;6G}?A`fa8vY)KzrJ|@gGau%-!@S23X`#K+ zWx*hj7=jU@_ZXpg3VjL_bOrydH-(UVc1~`Uf3x%d;jhNleXXzm#>mLz9$kdk#SrMo zLRVlgSXdhj77m$JBktobfouRnfFQkSNNAjp3K5-90tMu(ZVaG;hoF1oN9bMMTTs%( zza-VrPWGVf?^7n=4h{n7;0_N1p0>e}LaZ-DEZ+yj&q9ddJwJL04VXbAW+bG>_+5mL z?kmj`DDEo_J>$KIS6T+z+e=?4XTku62>E#B>s~bzfR-sC9j}b#v2RhL`2p2ZygTusvw!*%@ ziys<5AYi~N7;b=yu^J3-1Ez%mNr&+XAr_aEl$>0sO93X5_X7LA!a%wysh9GKmevrR zYb>yW2nVx+elZ{)H3Vd@z+f=PEEp0b>xGz3=rpk)W$!&n457pjOYH5_WdqCJfN3D) zLt*?9392Hc?IXtGaQ`w;-)}yd1&!rF%eOWd5mZs2ybLJ*E#01Mew$f2U6qvJp|0;&>uvc}TJFW{l-&<2C?X2B33Sd@PSs*`cp!XnUd%l^$wP_VHL5|l^*Wf}yibSXTDiA^l4fNR~bVI&>Hb6th z1~6m@&F&jq-GOAwnpn_d0eU7tck(Hu#74k0cYq@%4zVe;EHr|V`NI12VdTd0ZE)sh za8I5XYr{kJ)g<%*tssP+Lk|Jj06FLcL<4vbRaJFd+;<+@!$X-pA&whxVtEn|0`75d zs6rN*yTX;08b3$8*QZw*1Ee}=1iNuA#Bm2^U1$kgf$k1thAcR97XvtR4|7*|D0j&s z2W@M6dwXYh|KP_ls<9;c-&~%1oE~~^nY*D75tC4`bMW$+d%%RcXhQ5@B883yaByN` z#vE|s;^wZ168Fh1eO?dmYu^0>OHM%n%B3)RYisV)MP`hP0(s9MIy*C~&>b!(C-0E~ z+zyJZxqYAGV+cgQ|G9=l(Gal>siG^Y>!GiB9Qw6>vcd&}QLfyfLxZ-^ofm4gaz_UT zqglB_yZ7&3!%7QQ?ug(*-R{fC?Ue~Q7~{$vJ`DDBr4Qy5^B+UJ1(5Jj=|N>Yb#+r; zlM6%0Rfp;qvFe#ndTTa%JGk9PMe^Vk)Y?=^60 z<;z>;{8ej!A$~rrXJr4G#%PBOrd4C?<_Di|v>)!Il%lt~;tO4PfRV(T_gx>AJ8BJU zomk$V2R?oFI%Avnn~=bw$b+QfUSIG>=hA@OhIRBI3;C7BFJk-}Q3H0wxy+e*RHpvSoX_L&K08=i$6-WFW| z>Cytl>qzvy_&MJ9-54}&88Z*Z1@Ik8DqQ@`^Wurx+D`*0v zcuPh}f;~R%xd@bj%6YP*t@!u`Q;WG-n=CPBLRCp1UYq8k`dDNk$4**2|}Y(c!hL%A8x3yp{|(-mQp$>P;n#;+FAvL&o93 zjdT)05auNRqu$|^)QVl*pDZHPAj?fiV`M2Y_}d6o<~6M$pUN5C&#JsW#!EylyN_rf z2>^=>YlkC*Jo1$Ap5V`e{)JQ3TU_03`@E$O*0m#0%|Hzw$^~sgsFxwCY(RpL`0jkO z?aHb^DZZI>U4qg)h8B<`kcIz>TVRUe#fr3rFg>j}edo2`z!iQHP1K8Jg8v(Qr+htu z_IdlPTg89NU*vhWpkF;vry{qcZp4anZVP!L&_JofmoI;Mw9T!|C-oaI><`-fTY(j6 zk2H3ZT0Lf0D&QUF)vKm1twO}(7?qN%x<4!bQirW^CzN`fAVC;e`UAiv$@_fdk$4wr zs$vArYI8H4b;Atuc*G*ay9|nwtu(>+X~8}_>_%Gd1oJ1YZ$mV`UrBqq+IqpKH(w!K zibQ_`ll*6`b;D1OPV=OeI|XeTWP@33i!`aa-;8e0LkMMjZy4akZ;le&UD(X6*rs5+jjcF{L zd6pX&^JJjhf7hmys)EIcc?Sa@Bbmdz~VR}BI-8()z@IkZ-7Yi zoAy%6xGn-tEh@{O10DBIpT7DtG-`zrEPlML&GiJ4dfmmNiaBX}cXF|wZY)#J7MFr? zwdFo04U}?WDpu$M_$LTcHBXx|7Bfa-JIo6>+icNA(|E9>oC=6nm2CzE0bzMH!L+pC zLR7yAuT!&F*|b*0+36du6OPUYC;1WZT;N?%9!jjI@jI6sH(bJ&>{3;LNvKzzBJoNH z`C~tAIu5|z9f{#*voM%7co)1(yH;PMfS2QRPOSJ&(%)UJm^THG5DwM)d^r%4j9~kM zO{yMN;n>C1dB@=Q%)lrhQw!2O%s*8e8zcWtNLFxK~yoA zz-7|IBd9`$_xsz^eS=?Dx{?NK&$nr3v!vRaD|4!8MF28^r?)7r&WU=nOLRp-167Og zA>|e+*5HvcgI}@7ML&2$WjE%Zg36U4`uM}MOdrqdgzK`ZJ4=Bq=)&v!*T{8&kl<`6h}Ap z<<2FhE)ARf*2J_C$^)MMNVFS8sa0kL%vC~9&36F);UEXwV<}@ICEu*D*<~g8xs}$cx{C4IloApjiSN&bV`?`uBlC1t< zXEci0!3!0w%xUfIFn#&Wr6r|Jt$zOsVyh3#vBhl%OpVnK_F#Q>IKY~9^sa+*Vp2=H zb$uj|R9V2{Ej_xR3raqdv2jg$h%lceR*V?bH{~VeU4BBTki6bG?+%H&)d!*Z=w!dp zNf4Oor%5@zoDZg0PQBG%lWu=#v#SY>0#3k~e*9Sd+SgzBGooV{TgX*Yp+sJv{rYIz z&hMtlc`r*)luq?Es6O{99E=$mF?R zSGd-U-1J%YoXI3DG&O$h{8PYqGL}6XMSCus90&^qW8&i1(acedtLZc!h8I$5uZSTj zJ^lK#e!FiVngj^EtR@%aK&Dca+uXjw=}TGk-y0KQc355_%ZxZ+$w30Qu35h;aZT6f ztc-Ksq9T+JuDj4u4kDR7kdmj5T6`J;GIxBA@w72oiL4eXXuEn9IPdbmeTcc_tO4@D z_DhGRpr5k-{h61F6%WqPNN#8Znm0af(xCB13dy9cfCFmb9>7#TP?v@$=sDf(W~Y=o zlWP}TG2C2L+LiUxH&fW|(g2(zCJ~w%wOLF`qELZiNL-A`@g2r*v|l`KD!d`O-Xl+`jvN$6)_ESNacD z3jWh+{0~?94^|5P(`oz4K<{pmdf7# z0W^ix|G{g)f9Gre!E3>P=WGALYyW966yGi1Q9oL#hl5Suv#m4t#q51CcVEok7Yp~r zB2+*Vp|NSN{D6bj4C~Muw!AV2`yZ-g80?>Y|8MH`f2<)q6>84>pD2d?pO<%c#dpFN zu>Ajcz#f>u0(0Y-d$-YO~n@AJS;yr|>)+78*xkAC>G0 z^#dyWIn0)pvF=Q+A0FZ2|p9 zuYG;oRBV^#)i+h9gw=Pwbm%Uco=rYT-P;)vYy!YKYz@CCiS%U>S=CQ3gNkWrHuqxRjF3++Cv32=4#w8U(|gRVs0Q1}-kxYQ0q*w>w|<|f zr1(#A*fY$TX4H&6qv>43D^N_i48}aZaRCQfLg^Ju{pi-^Mz%8iZ@H;2CoXWy8V}tY z+)d&?%*z7}fe5ZE80AYhnMUG1p#eD!JUc&JNtJhVP4dN?`9hJvr$o-HWW%s??!gyU zTl1imVlhL{U zVqhV26h?l!QfP*{{d~f7U!YzKzN%5s?CKKhd9_L~gY2I z{$pBI!;O67?*!mBv%g;EAx<7xy(+d0Jfv~%b zbq)RpFI5;4GUq8E=zd<~EZSCGZm8L$WMb&o5bz`}K#@yQ@cr`K1M{q|%|{O}p8lFx zabWJ&YSCQzx(xJtYm6`2heyQe$qrz?U1rw{`W#?yF?3bEea13u=%)u_gH&4?TTPR= z8Lju^x`tDYo<#P?-KHNkU5QdCTGu`Tnl+3~=Mf0|>t>1~da>`TZFJKPe3_p7e3bew zob~e80(hc){vwFAcz#gEM+EbC&*ZDfJ2#*y)M>Gm|1eKY#Rk0kc2Ry6VGt#GXHslk z_L54Dd*H^Thdqr6ErAw{}iXGs4E?JBDU zaXr7}CEK;{CWD;WlulM&Cio)>$NLN&HE?96>K?uz0nc`jCanFL%C<|)U8%61r5Qx` zytf^TY#w`aZh*H0NWoyH-(#B1G*9I3l<0GGe*5Lg_M}_kJh_a!GTEC2>oQ>C@`E-z zoWO5}X24Zb>*7IVhPM}-fc+saJ@nO|D}zEHV)6{Xb%@(9zC`C658f#$;l5lH#SQMy^2)`Ov^DjgoS#K(6PeBz;T-te$6Qk3z`t^em zDmBy@QiEit=4bIj)9T<=JGyPsO67uoudk!-oB3MmWjj3|kl`o4KlMsApxIQxe@9xr z|8jD?PEt_$BQtIMj!CmfIN&4^*TAObYhb9u)wJSgSJpV5z%cz(cF4bdvi&G6%@5dk zEe$GY*N3PGY(BNQI%1fo*da2Vly-WV(o1izm7N1}lal&i35Th2#NMxPOJv#M!>qd| z3_laES{_=~PFZS$+3w5@g5mnlI2R1W8bpd)#+@vUGc(-EeXfDDtB61Jz~Pd;aiqTZ zD3LT5D{egEG(Sd3on2XNp}m)DEG2wuKPZu~tkO`x)=@VMh)6xl#crbz`1?!I)uU`yzV#uyX91mJ{Lav*eoIA;h9%b8MqA z;JS@=ET@>NOY#`4R7|bM_@yO_@`-eiP}9t+GF*yCF?cX4Vf^kKBN4;JZcxEH`5mwA zC*@}y`JHt`4h5vuOFRJk8~!WTk_m3|H4)Y&f zd40b0Gwp3D*;y?4ay*MJ%&38fQI8NY}U)gwE zbJ~nfLjh4W#jH%mF(yu90@bMj;VVAC_p7}}rAWY8vy42-zMr>OttI~rXM6Q~dTUcU z+h=y1Ao;XtK4frv*;C1nbVQT%ccIQTX|Bkk0)<_iv%u7lAZU75w#1XQZ|0eNM#B)X zS(K4|p+9w+%Mmr$G^ll4M-hVav3vcpS(s=jl; zWKp?*Xf!}VYMK2vP?voT`-&)x|tBf0xh`PfvC(1-b{>h;d8cs1r@nd;|jUww*vVI3lRv@};L89EEjl6q--IIan|bzAlVfQo?X&e>Ve9<4 z8+zR{Qf(X%1N-_hf#wN8=Q9ol5B1`OIVMZuyW*$@_$aKK6{+2iAf}yc{gpP8DSI6o z`WY^!$jP~Erq33^L|Z^A;?}Sc4BVMrg_iDPvy+_|c$4rfewzzfA|z$ouWA>D8jdXq zUSLRN$Ezp#VIee+kCtSBKEsA*p4H66N}POty|z%~ye`Q2WE)}CAn>z;GV^l6{vDdl zDmuLzS5^F*Q?-w-Y4n5OCgT7`pq{)Iu(6G%C?#);&@kjXqK>~#J7)4q%44nwv>c(M zbNtTRZXZD6E{;wlja6)TlM#y=fe@Z7_ys4p2*`dBpE+3Uh+AxJlQ7YF)|g*Sr#JEJ z#pHEr&L(np-#kbN{?-uB)}SYhK)ZGICn*6dv2?l zWI}VKB`=~lJ3NJ$xawC`sZII1%hOqa4(Fss3{8FS=VO;AwpsM&N_AgP+i%qy=F{bq z4et^PKpL7Db#L3?la3|k=aQ}aUX1DWjQy7$vdyDY?T8^fMc{*xBvK|5U&kvcWVs)) zdk7!04gXO0*KuVG&N6>F&*%gCMO;A$wlb^jrcNLlqxdGt?Hz9RVQ~;?!x``F}n=Y4`n#G%C#yF=QlZP4T8Z4ljn*qaJ zdPHg3Op(&0lBTRRAxN)`;oTEN=fMz>Z!WDs_mpC3VeTb=o3OO^vO(qvgSy^#NGljfV{d;|Yt?`Gd~W%TdbhPdX9CssC~D0# z&xQxkIE+unBCz@#w4WU-Qo9tcsH{O@)lwTlfEhj+yJw*Fui5SmycQ_^t=**gX}q9!F{Yq+m0{bTBYu5)za*P#hC zTpDH_*U8zXOL7wsTrswBa>gPg>nER6HF`cb%%F@0l_Wm(r`GkNqNZCds0bFvH zVP$hi51EX-a~lb*xDrs{X)QA}shG-j0AbJfHFyFUe-_afzUl45$85%y9+~Ak=Sb*; zvi=b*9bjY=6^}wt!hUf6h}$l?Q*T{^3=7ry?Lew3Ny{Ku@(##@2Ttj7xS;jXowPNymyeakbnzVUymm>HVzp`CK^#P0z zM6Dk=#V2NTj+|4{i>c{&gv70*VX+Bly{X@a$B3$?1dIwF@|q?N4Wg1VYr3A$$cA?h z;*wD-=v#P?86?s>$bip`UEj9u>}s}>&z^{yJACcB22AhmJOXtE`hGvQQv!9ejltgpR)ck^%URk&Y#IK;lode56_-`IQkKgAh zRkcx`&EaMhj;~>{**LULteudt>X-xn;Jo(v^?i%_IZ9@3D~E!WEevX54YQ>D0Ss&c z?pHeC^+yW%f)a@Zz{(#{a;*?9JyPqH`O}!%P|?;aLdeqxWOdA6F&f*()(}0BGWwuK zpjv@LDp|Bg9v>gy`CEdW43J?JH7+a*zRxVI8C`iS@ye@Xh=yC!*)1yeW7g6dDkTt+ z(zSCgs6gUTO|9QNxHJuIN2QXMH??!{xaLeM=zx;xG4b-2_fF6_HFa7msg*uczsY_7 z8Hd@{sT%vWVcw(ffMK4A{2C7gT_}3w*544*88}Vj27V}S?C2f3BnyBf6I-VNNokq+ zEgdVHCvXb(-hq_^XXq5P{5E!BM^7Z@aG{K*bxytfTlWuNq)*U%CQ&tR5NY=Ky#s1_ z-Lvw#tn; zg9H4)ZoAY)66vsAQ>%2dPZ_(Nb1qG9a=7n@3E_ymb8F0gs`1WW|^H z==j3Qx|T&2KKqZkWy@gu;1msy&nLHT@bqivEgFaTyZS?YQ;)RbOMc;)UDh|Fh?vD3{yWR8!{vXsle-OvVshT&?atVTI47!T#$-)B{A&LDh zGGrSVB~AT6uAW&${b}h6G6tu|jAdj5o12?Cwq)${PpkjH zOy@&|lQ6!2;qC{ZQ8*P(zmT)qAZZ^?^zL3^=9G`Uo?PaY_5Z@GG6=u@ z`C%9(7vT5uho(^yO+(k|o@JFZ-^UDGeN5B(!Xcm4I=hkT{3DodXx%=b7f{*WFT0{< z(F$r~d}iktQ}}gVRzc^joofvESiQvS?1q9X6`1!&Li=4ncF)){HQiJF@RI3M5_)k( z5KunOW1Wy0xe9;&$a+LPv=&_}%Y=YYC2&hkPqpdN6#%B>Y0dJQ;qRLiW??mxJpz6r zjMoJd(9l-m0lTz{Bj_6c&8(scho@itwG#!0TTR={IwHH5o>w$(6_G+NV+`Eby$k-* z77;h4)+rm@yEKJlz&66jy5zH8<6kdH=PiO6x zUetWaE8tNH+71cmg?fX(pT(4<3pTHm0BY44cOz?usZ559W zZgW7BSJph9sdqq7OyBH2pyaeHtgIP9PRwlX+C)SqfSkBygCpp8{2u<{^^H5fDY z-fh3$3rQ%X_4>=TY4A)7y?vk8C!#H*XVSJxAPmIhRrF1w%Bql*G_?)v6RWy@o?pGl z9s0I>$sLkX`io8#14aj=?bs1BarKmA#uMDX(`5C`PS6bNkIx zGybxkvUo<%*mm;L{2K3pUyO|2illF$7%=RA()#!b%k)y|XH(0EieLYrar0F*?p{0* zb@K_vrB|**3eT zWpHeQ(`T9>IbYE_w?zexG5O`kb};r2?=$x~r*w|4<)3v?WPm^)~n>nCi2)zz*8 zyU~Gr*%}6g84;6^f{9I9Wdj_FnXP+#Qfg*FAv`Isdu9!&?^;^|E%@Q3C?V0VDFiAW`6tk$iX8@ zM)&9DU$mSrEb2w=+wa^p>A$N(Iz(IQ%m287DS*i zajBWOcx8OzGr+(Z&dr#_>{S)*=jnM>-CxfLIRs?1tUMPe7_Z6MI==+Rm~tsU&aiO_ zoqTe>k?NVc7lcPQ5I(f%D%wrOc(#%X5IQky-!L|v)E%-rJ*b3&Mk5Q`kIUK_Zz>yy zfrPO+@bQm)kyhRLJvFcH2nUbix~|K!_}Yd68a8Ezz@*&D8n7oWqwyrIdwvy1yRdHT zR7E?cedRhat4z3K;fcJt^jjb{!^+C_y0E0|dkve~!=%a~q*MHsS?U(Art{knjAsa- z)XwTKvB+;8zdLn!M#jo5DW##~5m(Xrb?LEFF*d6x5SNa8{^1)jtA=M6vq$GI5^_#% zU6(^4so<;vJe+u+GU;lisy~jZ?}i91_x6k5baR*EdfwXj!-fMBv%0 zxV-WFb#wXa$*@RdR(DYb5FffJv4{Gj>66)?@nC#bBWk~c|_ zl!al|!|ifAA$Lg5Ka_$$a3x*r=4UXlN^5A9!zif#x{ZMLGLv&;|JD&}#EbG)*6X)> zrj`H@()Y}MTin|B6XSKqz#ffJbZ+zZnffgMtE!1zse@ni)c7~WA`3_S@_x$c9-!VV zlSLIqC;w6RW*|@$`1L<2F?e}?eBwKlEAAftI{gb)LTe&aEu8a15KM?tVZp@CKx^_4 zsL9JfF#p&yjSw;j#6d|RT0n_b$x`v6eS`G~odAR@|KOESdjUgCLh>fsbBWg9nwVxF zh#5@tX#_zm>Jco+SNhW!dMm!)N})pGa(15$3VaY*4o$n;aqI9k&S@#Q}TR|sFu&O**~ zesKwBX=4WptN#L+a8(jNw1W|W4o0}Ee;HqU%q4;Aj5&i5Rv>g4TsO@C|YGYo`Del zrM2PS{hWnv(ri-<92}f%brLB1gh4{ea)sj$m&wPMt&9l3mIMV&@6@9(g<*)GdmtLl zq!vTRUj?e9v^*SIXqTy(xw%EQ1}-dGYGeD@-YN8XvotF41-d`HG=hXCTn|Y`_q2M2a@lB>d2a@JnkPJ8O@x%x)T!>Ly$f zxdj(k5CDY(0^O>727>p80#*Doalxz>Q$1En7{E+nci=p*lJOZIRAEhiMcluNQK)gGi5QXa))sPC)4E_e0JPLRI$H;cvSK zrZLEIb`WwJ=!^|JIqc$eAp?Qd2)n7B9}0t$le4`E;hKj1pPReA-LjJqo(}&A@rA|j z-Mjz5vK`S6bb{U6Pj;FDg>X)vDZn!c7kv@DF)?`iuubc&1kngm?N( z96|V|=a3ezl+hu^4JwNmLlYl zBv8W-;Ei~T71Eb+@V?Kz?kdJxoU^Og0kU_V8r`g5l5&CH&)FpT?8Ry@k#(WbJ>oA# z{Z;cfdIg~0{K_Ukq|(&2Fys6)y?#;)BUMoc(ib#D4dR-s*ORE*7^UhL0S8Z) zYS{GabKS&Bb?44>R}HFE_@ABRaR{u>J~8Ncq63~8h0rN$kyG&y77e40x3W~F8!;FU zPLgnyc*S08Xi5T_RKMN{IvD}3+L&speendZ=wt642j;XslojsswqYyyxo9EqnMfq> zrPs#xS!4xGTYes2*1U*OwXgT59QAYT;NeB;N2nr0#?L{hMzv%-qMaA@JfbmvsyNn6 z*pa)ujsU~yI>y>>=85?3d=`4=%oLOPYuMK59g?!wMxUn2wCR9s8kWSRYPND_IeOZh zK8<=S(E?IICDMp_-K?7^8p}7pd@stWPI-g>PNG!xK5=4-*=6Q)g!U{?w+FDD&D?0* zK)$T8pUe-k3*Ru$&TL8CfgxkB=aGo#}u?W zanE9a`CgBx+~&Qvn%_$6XYT1?(Cz8((EK3wNQ+w}u(-v(-tg2;Uo(2=!|Sb0 zcxNGU7i`|f#A&VR6dM~UCK@@J=;x-~kQ?+{rMF0ZO?Yv<`~a*CgbhEZzoJ6?noA#f z_tZ&Pcb?6|o5j5HE`zOV!P8@4`lc!$%ay-ffJ4+Q;~d_vlb7CO6x)Y4q89}o3!dEN z0dXRhV^48bT&H=J%MPRq3ub4pvR92!uzHGVlw2y9 zx6tD~NTjRz=8A>TlXiF2-oyJXG*tL9+ko>n<5q22@omur|9ymyeagq^d#%Al2d8rS zn{LAZcR}z&gF#SWY-)$RXj`#Ao&=YLotD)w&9MD*?M^`s_s&&-?HyAVUXR(jBW)S+ zzJMr_ST9oUhT}%i$_1)}_=5gAaM65Ie^05<1o^#{(Eqd3bxk!dC!kBLQcLIDa(lVt zB=}T|yP`NIV{sQ8!7JvQ}v%8{XujOr|6Bib>7EOYC znm=2;m|;vl^ga>rt6YfqV6eDcB<3tFnlf(sLb6pR|E0(WqXbpCY~R@}u=#7<^W~A# zu9%NPpoa)8*DY^GuyQ?7DqaHQgCuVciLgg9IbaJz<>X)s%H5~78 zYWO(jp@(5paDc;$jWWT7ocG`7%6c*{9c&1!+N#(fwN6k z1YUq=>G^*3w$rUcuJ2|*R$@h+Y27@GfW5(ovuE?UJWs$goGj~0aragehWR|tz!81t zzHYooNMN;gXTU?};Utb53`$k`qg?mplQ|x`4Ju5~Uv8T;gHd8zfpq&FU1c9$Q<%qS!y*EXP9E_$6RD=s zKRPFseBR}Y*f+`I=IG~)vwECnah`%BptX?qR9CK^&XubxUgX_<_r>|3a_z%gdk3xl z<|C0$w1D!>?}iy2Ux%<0^44P18+TM?ABYu@Pw=`1zr@Rq#n%HFtxf9Ulaeh|7ogGu z)B1wVnatRb_p#EF_S3U!wV&+3>V<#74198Err+(%#T{u(@FxoGiWR&IsTDp573nD@@5C(rS4h^VeUD$_nv9d7&$yrj~D^Yk|8 zN}H4KClk_$1+5a~D;?VUrHFrx-kpttILL}eszB+=xEC#*@;B-CC)TRL`&X$)%4k1w zz5Z&t5gi^^jha@3I6<>F7d@pk8q6i&!5&y4g|#kpJB;Bov zDcfeRABvVKT1%u_{L*=R@Y0?z9(+6uEi_*JXl!xK-A**|oLWways}-(dG_1k!R=XM z<#oU@{+#0G*R=JFvVKO(DF^GfwrN(rJAr4qUi<^?fk}nn?!7T*j#V1`-j9#88?emOdRq3FYRH3gO~-~(vI?ZiaSYKZvLA24~|S=iI${N;_-+3Jd(xSpTXg3 z)JQX4i_oHkKdJTnCoOB~8H~;31|1no=|g+x8vwkE)K6!;LvdJX&bLRSzTUEx>0rpG z6UbjwwJ7_%`XLMW=&R@$oyb>{C3p^h=ANTW&*h>K{v0jC2nPvga+03{08ijN^Y=Gl z8nvXj&7KPZlUQY1-dI&JwY6yBpBK(s3FIHRd8eMz{T@?jA#qM9MwtuQewY7J2 z{;l)!zglhoAxoho_WLv$WLhEp`u&V)V|oLH%%DkFj5C(+)2k?C7QR5{(C?tm*xIMp zP{;!MokjFw3B6dJ4uIcq{%P?nANfB*WWS&3i2S#;UZegS2>bsClAUM&tBUP~kN;mG zS+>Hnuna%#ErOjZ;lPnz7wsViMU-FcEEvst-#NhLTHWm+Z#$t8p@5$4fQb^jKJI3x=+X-k0&CZWpL zlriLraTC8Zr=~#$xu<4m*5~_=+_DFSp^D? zs~rZ0xS&w!XQ$J?FzDC!6zy8u*3;$U5TW_~q51T4a>*KbToGu%yOh9(w|${}Avv>g zg)okis*%{!$KI}M*RO=sRpuU8aiSMa<`fAN=kvnHbQzrj5PaO^ko-IGLK(#UH1L_1n7FK#hXRsPd=MnU;0ty?N8RU zdUIcgYUHZS^qff$77Io7j{AuQ(e))JP7}$QO3x3Yu9|Hu;J7L6Z&GQYgO4p66^6@r5-!xvbIRArHo3e*mG4$geWr z+aLCpfC;Won7~PeM74v^*(q}0`iz)&D)mGv_(^p!Zf*3~`@7khCiP>1RMJT99IrpM=QDLv#z7`9dfbVJcN~b{vS=NHFi4)#Bbwa~G zVj}5o`^VQ?Vq8IdF9OdAa7fqxVk1q#xghL*=#OAhrw;?SA~ncqaAi!WKMaHW=Sc=y zd95u6A6V*X5o(>$+cC}z8NbDB)EVsKW9%3LP3o2g!P=ci@7{Nh7VAuA*y%Js&Y-i} zXLLWBnQ87e2R}A1XyePt7Y*~OM;N5){x-4Rn|mJb(SA;sGDYk&yd6}E{_0p&-70R0)%6{=)|H;)b z*~Kk$6(l=6#gHgrq_P%F#r)~GrF^Zy)gXwxsbHBK+;m~R$OM{3+&t(mTgr+YzOUVG zn{3e2{ggufuub)}sb3jK!0!#{swpsK>drYFCUX}n|IL$PTl4I@q5?k4NU{Z~MMMT6 z;C?pam_{ug=-c3bj8#ODaq-DJx9s|a3^p;&1|d80L*Q=6#z@iHIGkk&Y-FDk$y!&d zCMrBX{qpodsdDBKeHU1lLD}vl@NV$b-gu{UmS-89t(w5ge62DtJ1E|??hyK>O4&Jd zHY7WDtgpXRnjEEz?6$+=F@1jCuqO91{ntz6;1P|mq4{TJlP`jS_|;zVbb^^nX2B|h z6T7Vi=C>(g2Ep{a&`#v|z@^kz;#do0GwI8fZEVR1(SEg}=gmc%kL}=vQbej2dt3m; z!kC^TgXez2EkCX%e5pl!nH7r%P82=hTo&z&_LTS8V&hyF^&J|I9lOGXH}h^{{#sSt za;s}Kpnb&%mwQ0@Xw!PF$BOl>se*1Nk4@U0FVe((1o$bIH^H$jS5A8k$vJ_vR^7-8 zO9gBzB7_PTj~3G2erA4RH+c%M9i`?H`D2#1<^q4bQ>01 zxuG&Dikj2;hOPtWfi2O7@Jl`OPpAZXyhTPomfn>Y1M)$lQyy9GO-^VM^X(t7-1TQg ztYW+B-q8x_vQY52y##V2!-x1J0|b7*3g4aJvP;g)^!rFCpUoGgOy6i;>~aRxAAIPH z?*6FyhNW((;bqc)p^Adtc5hwjC}gDY0==anu+=N1tQtAmsrgpMsvFX?I;2Ghd|0MF zUi~VqYQHS~8hrOgBI>2h*zYka)y~N~`)rpm9YlEyV9SfmoxjJRPXm&Ua*lOO7F#2w zQ=?IRH`d=0b)=tDM10DY(u&WiP_F^eNW3-TOOzL0doElw8_BTEZ5iS&p-*kl`IM&7 z+u_0hY9nZ(I52vWp!!L*WEfaywZ;J~zuNNGKrfOU?+o=ommYHy))CUHZ3NJW% zxXrcfrxrc>X&uk;x>L*OkyqwZ$KTU00=$3)%T^w{x4!3ykM_&TfZu#qW%0+Lw|0lu zTwXHS(qoo`?e^}E6|q(;5<`+X3F+k9>omF5PL-$Qxny`(lP;mi!O)h-HjX;M@}siZ zPmFOt9LD_=eX^6 z!+;myxyIV4*fi#7{~(gVpIFJ$7(R+|`ABu6zg>OC&0xp^%(P>t-j@&gmbcBh5QA*j zwelB^K0b8$LiQ%H$!nDZI#f5TItUcL#kosqXVx1YsFZ9zt5}dMzMr*6M;m>l{tS%H zEEzszyE0C3m4j)u?cQ)`M@wuytKpcNvPxfsG2bds*-&-I*%)=(6&1-V>ASUmU||)o z%#alsVd5VUevC5+K27(T#=225T{Oy`uu)E=+A4VvG1&6>IL9`dW`1fQXc zxc5Anq)%3}ETVgFF&1;a#G*eGSP_04fz^_tqs_|}a+sb0;tEXXf1aPb@llANg*GN! z%a)p5N2rr2`OUX0=C25POhAdexN#mkD<|gFsJR?;St_f{~7 zmFrVC+K7NmS;dhv7eCKo!Mi5C(B^1-rCaM|ta-Liy;OCW$da7EdGKB9h?vhdTkd}J zcfaRhcal|#jh`f+|7tySDZeLe9<Rk)XdT<7Mv|&;*Wdju@}9>U7wE)2Q*CA?!=}ubMYxC+j8&sey9(>28^uI z1}TzKSIKDXLvRFb17D9SsA)bce(dz@1qM!H7A`PZx$#MYrmK%yTfe?z`$X_=dUfmI z{tfN>xgUndJVRcA_w_yfgU2|OVk)|Bl^ff){7V}8Mvw3UQX2T=*kWE0z0`%x zi-yrZuDO6g#yiQk6PM_9A$U%o*R;|}`uL)$GxN~13_E|pYvX@}%~ zCVC6LOh3wO)i!(bRH(Rn?F{cl$JS--fYd5NT9<}4Nfp;{FoZ|Tdsojjx3U+LgiB88 zu5(ix2C=YpNbEWe%`m=*3h)h^LXpv2<`vOTsJs(cR@z8^9=O{T0E#hh>@ifeQ@@f_ine@G$ zmNpM>|E9i`lmGoEQ1>fq8QwTK<9ZgI*mNu?@A%|a&Om7KzG2m)vm_N~e88{iLw4_% zU3hE@PgMO$Y~LZlvaEbpebicGOR%u4fg1>1+X?wl((Z|>7EU40T(Skrt2?4BgP%B5#ExBY%XYx!!4B=A`dxNUlH!?$t{R(BB#iCfuy7@R&rK}sj7e|Zyyby3%}E#!&_kB}trsQUShWrrlEndqTF>v3@M zhG63(=a*fBcvYAiRel<_Kp~=L8&CD2HI49#vL-4Go0#y+EO8guvbUVgm=+F9;)E|WyuGH2%Rx$-es6K70{cy)m@HscEcm&ennW-z;U{Y`z# z1T~+~T|GnZBrJm4#=(inXP6Xpre05@(oO&_F;F#r9Gq6$G&)bkEUT{R|6+(oTJND% z!Kb;);!3U{BdfY~;f$6!!qTcxttEV<+G&~4tB)^OvUYJ*&|}vH5HQRM+PZ(f{(a3Q zd=Ss0dqwh=bKtYMPffU2jGfaw^6UoKWY_? zC^7wtA-@vwsg_#Me~H|;s2Lo*tlW59){PKQ+WI`r`hehF4vLIP=%u3dO3lnEUBLo) z5U{t+d-oMnTvf~S?Ry*&h2k!Pu1I4Lj?i&>Hk`&wz&2Rs8QQpTtEWe?9a8oz#DtPeNBej4; zTqB}vh({=)OQ#W0IPqdPqTp|z9iUUG>;(gtQ&!f`3l8E^-=Vlk!Yvb6*u{H4ViX|} zzH!Ic*$)DVCFL~rebO@8x)u*{uW;}Q`V`gBGm02J^ltwSuoP9zEo*g*^x0;#N|(`b%0-&A=zPf18+* zOGrdMIBS-kOWrXdt#Hl?q<_*ji>%tjdE`6n!{kt~td#Ig&Su`p?QwXach=C99r!Y0 zGTHmPbxPs((4A+=;gw^VMRh~p@mYBVQ{NV%ZuEe$g{?yr!PN&5(Q&UTS~<>8RIfkv z%wSyeem^5NenxK!3dRT+&Qw#dD->B*lLwAzxofcio4Bm*Be(e0E2`8AKzo7gBHbaL z(nZ6Dt(W4rm_?-Q`~pJ4vl_d(BV$r>-U6)a;%=`RhrV87lT)$x&MmFz-Y28tzNuFu*lmNpKpNK>R2VYvW5T*4b#kFa?}CfPH6EZnE@ zv?lu}SA-Ie^Q&iY$*-sb6Ptu$$zkn_>MI)x56nDQI2RIc+Y^Y~*H72Y`8>9#2m(&7 zh|1r$ix`<*JGrT>ZtN2GDzm7DUCTHkr|lRRMSv}l8&2>jL>Ql@+Op`-LV zD<+2*vPsi%Z3Wy-E7-J6QHXCHy{P2&bjOCUgk9}u`nGQ9^UC#o()*bp=Uv~`ymw!g z52640b@Pv(i|eOngm;`>whr9fit`yb1z=lIj+HWBZ(U9LbW z>z0`Tj?o|37i>l64ZJ~9&&6xcUsh}0^L#moOLg7%Rr7?r;fI>9BZu6^@maa=!26Fa zJu~($ZKF#V*!0pi0iorKhtQQ9UQqvS8xx25DtO)aYypol?&C7w&@Wn%w~b48--ute zRS!I{ue>E^H?|J84u9jz$c4YkZ0{Od$0wyy)i8-n&B!jTZ<=1)+&;bx*zN|ws_oyt zFJlleJ@GF2Sl=~5d_`E-!6_vxdti3|H#pDC74b5qweRcH59{W^uaiuy9Jf6yn}?3Y zB%Z$gy>`lJ^{jd%>g}we-yoy5f-Y~_7`?4Hxctyu5TA3zv!Rnt zaEL?C;mOOI#-6$JBv+)vR`)qoAA@H}DVYT=17E(gXePg1Jz~;)8uy~=E0%&z@T=mc zMW$Hr`P<|)`5u#DXfvA%-V-SXn}oE6{7AxR0&-c0rC$-0o7VDPwWu1osWdyWRA@AC! z$Z0)7+Si{?qey8Uf&B)};IRW*1v96VG@HPL`85=azIp0fi96Z`?or8wji9BEMnp|B zw{nV*nNvvG#K!Gu@9;JbF*UQYrIphx*$r^>E3TR&kBF6BVE4?a&x^7s@|l%@#-n3= z-4+dlxidvDu(W;2d~s8)_6r8TnVil3IX%-XG_VP)Wt*D8%kt;KVC{M%1c`v`9To)9 zAc_V#DO@zJi6+vbWBd>#7XCaA^04vo2`^q{R6}MHl3Ra)%{u5Jtw+aya%s>B+S1X7 z7R|yHS7ENfT(5_`Cd^Ivd@JJ*u!)IwW$qfmve$kHDP&a-Pk&SWVK)B&o0lP)hRq0r zi3wgbCHn)Wv9exeN7qwVQGv*osv2zW4s1%@4?#3w-i7?yUs2{?bE1wP0`9>Z75Wg( z_44-h_5DL`qASn;G0z4D!rKxw5KYT{_pYcI2J{g^Kn)#eap;LE!@-Mv!+08$Q8q{Y(GHnMRn-G#|kWDi}&Xd3(g+T^eAD9sCf?KlmnW!WpIu zY{nI)TRnof!`B|L$^UZh`uhiFLH8^=a&mH@dN%hBjJykZdHv_|M1R2 zDEcw+A5hiF+07$5=C5@&1HnRb(JzzWHYLM+RgWMk=(bbgi!_+&(+K1lGcwS?9^B>J zH*J6Xvz;)yy1RS-N_Gj+-0JY^0i-*jb@tc@K~CynF?B!4cEZQsFwfv~#18>z>n#V02IVW4XQwN3AtY9n>ox`7Y; zP^N|#;W+w(K)1BEwzYNj^!NXj%0Sty>5Xi0ti3%5Inx_KpfgZl8UqD+PWY#1fWMXz zCX*jBi>L=`Vne|D>Q#fSl^hQp9MJtd5S3zJZ%J^mF?7`kL})ppd6rDbRFCc>XrdWCC}3ZK zjm*NFn??`}I5Oa1_$$$cLnJ5(b9HrVi_r8o6v|>qAryfUgw!zLV1T26fmuu%GO{u< zfr8m0P}~VQX7ql^O=Bata5(&jz!QE#>9!GqC__S1MMYiHVfNfZQ~h&jt8Dfil0O_X zR8M<(dHV$fB*9*ht%}P218~A^dIQ(XgKgrYk>-Me0JBA&4c+M{pxBpmaG<2eCGNV1zk-5b z{@}3@Li9&8?cm_#3_ZDVi7!meP|3-r`bbK%YM>lmMpjM^2IgP-gnD9wA zJb>fGx+A0K`2ZJd2(Mz~S;PV#c6kHz$>d>3Xn1=y z5(z)Gh*6z^LY|{P9}O2FT^T6L0Y6mUa0Utk&UMIX#Yjp{GmWvF3k*gzG?+T$!48hL zCZWaTmP75m$a-FHNVgvrzc#lthpV40e&Ce`*v6z@)umn1v zW9L#)4QV%ZG(v^cWP2l;^$4Pc{`J}rhiyBjbMM~0pwC!vcp4abSazF+oeO{gKNp5an z^qBz4IvyghC`5Al0QsL`*D1@lF0(?r@{j|EP4agxs$9!a;cWyVqBKl`;Xf)-H0c8w#(tS)Y^c#wWDer5fLQ zJAttqX!zn`!sS^rQ24cq+rOFQNYwld%LD^;jJ=QW=y=l85eDTt-iS<w)II*W+MHn_O>^=2eLQ9FGIBZ`hV^E4h^|6$^G| zZvBrantn`h+8W$mF?f#?dw2fk4kp=Jn~$L|kx%f+s}QzT*NO8aVCp?>I$d}F`#1X0 zkA%~IOKf8?op;dkHW>1_{u}2-{U-2YzDgq*Hr+B5M9O+Kn8k1>MQV>A)Jom`1?6dg zj#L~ty^!c6h)4BStC4PxNjiWdC6Bb1A$IoV%?Cda;^)jWfS=cM9M|HQ(jiIqkPlyK zXFuBMfe!<%u3XOna7{4W1NwZTsgZ)5tDoO!KkORt85_Sn?`&l?xpl_CmeJMv;yU=f zV|mxojLfH0@%i4->SH2#!Q0ZI<@tGQ6r=94H{pHV!zXoH5}VpTHCMqYt0@gOpHtj@ z;*Y9NbXXqK|Mv3U2Q<&JvLf0XK~q4?MQVG3xUgq>GmnxjKIk$Qd7rvJOa!S0!VD?b z$npBJnROOfn({vi?~7KQ?rNj@gI{{j;L(7SR(A*Ir|-MUwJO3m*_~!wZ_H&E+CO3^$@2bIS&4qKJJAJ|2ZK^q+T1J_8GRYbi3B_I&pWMwrKkZ6Z)UmAJ-MFYa$$x>n`YXej_% z6Z)w<1G8v&lj$Pvx>S8?jYOS$WGGK{cczn~aJ~QwP#TnUs{U+jd)2EhKz;>#`6Zd8 z>yD}~h3fgeD?&YvnSgK>do==App%h%#KOlvu+shw{sozxBMrPV>Pi9TUlM>Fb0{Jq zmEc5o*bp|6;yO zB33zpaAV7wa`&Xq=GShw%lS`mOfdw^6iD-4d2!EJv_6{q0Iq4t=*b$`4aLb!T-Q(9 z*Rs1^)Vwmx|E!Fl`h26_&mhqFo{l)`hrxsVB?3~bmyf@$^E}Kq8TbCtFQ>X(7H#ky z%(iw@K8nSQq^kI+^Ryw<_S%)i@WD0*i%lV-2M1e^#6cqUsg1W4alw&ey&iGN&zUHb z7mt~h?W@i8ofPhW?#u<#_>4T|Wr-KLuMK>s@jJe2rIg{b`{4adlUYWub5Oh?_)Ytz z_Qi8OUX_<7x9HUFD?L9&`M+?cd!Ugi9&*ESnFaKR)rwo+zh6iUJN=S2lQi%7IsH<+Rw%)h0{NwiN`QOGo3ld!h|yo5I|#-!T3 z>t(Q$U6?1@6iK)F_$jwRp2-%a7_aB~5KKr8VN{{aH)c7#o>IjlhLgypO~cncW;p%q z3jA6_9N>K5bN{J3>wL~hDQnjCi)`No4t)m>cFIzbC`gOGGe28}G>!pq(0Zs44WVk*Mb~XNP4PvVEJnPp z=K&fm5gB^@4JO0Fdf-ZM>ccN0Sj#_xkY*M`*_ndhHZ<-oA*4$)jh@Y39ksw(+a-4` zA4gnel0Rx~*2h#VShG3AE3@O+5+|yQ{1+D}4j5JM*$k%q&dTiX_g*C)_e8KEd}$-S zRp?Pi$Egn^I6bl?`*5=>Ea=pFaHpmypedl)!Rwm4ru65V+`f$)__WtmZ0R z^)H8#F`HLTA_Yf*wrdS+VV7ScwtK)@gCIeOHNx01x4Y-jNX1u6LK*7o61xqr^Mq?I zwU1)~`QH^Elw>yc`Y&_74OJtFKL5FtPkui;{sS@Q{%BR$5x9m+tb3^i>+SC!0S475 zZrLBlOjXYv)7^r2=GRVd-FXatGS~;IU7)}t8C+M^rCxL~lcW5JS-9f**z^|xUZJN8 zc>TeFa^c6g)Cqz6D`^ZtatVFb31j)}Ol`c*=`Aq=LhwWB{+n+0!LPBOO)?5g#QU!H zp@M0!?1ZWe9#d+(d+7k4f0_6wAs^ChGVOJt6o&00*C~vD`qsyT*vD7!J zTzM0@NS1zuENTG$wKc~4WhLBwzI%eqH}~p{KkBE3XJb+2Us*c7^2<#1Z> z2fU2ORM|gFA*>;EbqnO$6OCnI_);534+t`^nA>Pva1Z&F25zWc>mUikJg}P0YwG%m zqAUrOZUF?-IsOby-^M3sEk0sTGgqc)yBrkH1uF?up1-TREDSKneD$ug+z_H}U;#26O$KyLuO*i!m38i^Mi%d{ zTva*mSIFWLq)487$SVh!wIyOYtG2paPZylBpR*06%>Hg;oXA+7t{j!mpa0|vUh%M{ zJ?VFGHY(;g`-6@4j-y z1WHQPFhNi1w({dfls~37#jh4D6tS0XF}SYFbz}MBa|5+2`I`N1o&)y$^M$5P{(1!o z^b3PMO;g~ynC=_ycJG#{BGV^=zc?R18>BC8rV_c>(p~&Y#{AJW@ViCpWSBHb(X7>O zl;@SALu`x%-fV(NJN`}=<&(-9O+cS@-}J*}=VAiaLhWk|&E@6$;V_aevO9&VS$Vc2d74jkDiLeu)uioPK z*6*Cw+ANWoGaKp z2*=|Y8sC<<{J}n4LZdT#Mkp0phGl8`9*$VmzYhcFIbt!*-zc0;O6%Xy!@Be1>P3Y( z(Zt!uXKJZUJd!95F!!O-`?y!m*(92LtY*HD>f1&4ir+E}Q(n?snUr1$kszw+ZhRR3 zN1dD|rV?zg6nmTU3POR+FSn{`?Cvh)`kdggYS1hjfBu3|W&6K}UH_dP{RbWe|4xs> zFG{U{;Ze|op6&^+dVC!o`Sx$lR`B1Yt^ZK8|3I|h-znOEAX@P66zx9{E%^Q0nlzAGT}3a}-~!5CnJdt;*A4VLo9M+Bda;dO?4TFB=*8aj zwf`&5_5XJjEEdOK%60Ak0p+SaBQkLiE+C_*{`t-OGw)gNNuzYj&Ku6C+-QgJ2ODG{ zu-We*^F0A zw?7IG0-nXeUs3os&b=~!);%~Nbtz)Dk7MZARqtoVZ^=?4bv3}u`}3FWoMtdy5=Fjt zsp2&4@Ivgwhlx0CevEExdrEGwXMeGfvi`TpHm~M${?x2X`SQJ2ga>kHM9Q0FvaeL$ z1qFxKTd@uqgA?klIcJZ!x3Ty`8efmTs?WVL{yCWwpYxF`F6UTj$74_Rp2d3{keg`v-s^dpO*o+oE>uRbNI}h zM9Tgz(#EAcVwVA>2kS=`cbn;pB$T&@uS*3NJHOf$vk04MjC8(!bNxF#P&v4=IeVY) z-FgoBJ1h-mm&N&Uz6TQ;^A$0;c&7~XE912yG0h>f2i4qf-z3dd1 znPYz|#hnKaAI0ch4)S-u8Iha3BT#pu<@@fP4%G#|H3uWN*&RD|aEbQ-Q!=l@6<4S= z)zy`IiNhj!N#3y&N zs2i3OEN{pZQ0Y(2QH>9ER9s1~t`(HS`V>0;kv@H>c%cP6Y_ko%U7kP7YsT5WG`XN- zn?meyZT6P#;lh_Qy(sZ;5LecML;pZ2%nfw0ses-T z%P)mUY^;S!$rQ&=6?+!=i0UPhouZIV@}kzWT6Vz9)o1%zh@&Tp9o9t351)+VVKqmRpy%)Sw zNnXK5xlCqMeFn7kzee2fCVpHnOwuN7O$XwKgU40#0f8#{$r++#gc%2djK|9rv>%hD z5}jD9JsH7s+}u3LgwPO6_Eou2a5O$B7%TuQvyN+&s}*txv8z*u>@iAR^uU^C951{ekh8#MOA|=hvp+j*f9t z46?N(UpU@9&l_dvVGRBTbc!bDhQnrZsC(CiUzdczuZ%QumNLAwoj1ng$K`KQfm>%! zrpHKpZK@=7?6lp~F$1Z>cG~8*BAX1&aTu{~rGUGe8J-lqZSlL^zs__#jKd!U>)tqOVe*Xu-SkxuU(f~j7OuDqYxgpSryj&1suMMqXL$~0r>uX*{De1I3@Qwfo2N4 z&D(L}S6eMA0v=nJz5T-|@CCJ=R5v@bR%SU=mM6b^ zEUOPZpy+<@tU^9-)nnr;Sd0PkGw4<-I6?;L#krO*X!%Hn<4`;= zD0fL~aS-1oe#+9mV8fU4Iy%} zdEnq)TO_0|5(ETYoS3+Q9Lz7_D3PH?i*AR+wn=GLVMi_Xc92BEbeuKCG{sCR9z zb1WUbAaN4zbZHU(`qAvPiVyJUdV%T$lYWO3#MXcS|I;zoHt| zz@&~5+jc1tJ1VyTcYL2e>$?no37bE!_qxVPcud`+Oc1vCs^bF~4`6(AWe=PFST)31 zGd|R_=f0hAZKG?-Yy$AQ(mr_8qll(QIi}^aH<7|9ejlUogQR0&InH5R-Rd|{Z+tIH zyMl98zFmzpWK=CWku-{rxLVy{E?ixA!@OVt+^~OE+yVwVdIQJF)MAZfgsE5+jrYB) zP6p&7@OfRgfxUy8kDT$>j*?HSm(5eaXkLh7` z_bOKcgp?w(;~o2$oY$ULK+DX328(^N(AXtx&)-8L_|P3 z<;;!WcYog*=ZyQ$z3&)nvDss+x!2sxm$lcP&wSq9u1D`Gfzs2hjc=Tx9C`d|qY^t>W%smXEJ4KBT_((H*-AhD%H&Nshgzj)#I`3s>ZR3i5INZqPq_>T zf|kF_%u?ct)U8$0tlYox#sA0L43dj+d9TKAA;M1<(7)bKqVJVd_;XW+rCIi9?)kCk z#$hb65NVv})|xf!W>1#sIHz-znl{hr)my?;uM2PIVZxiA6#p=L!QRJQDgZa3E1R#> zm0HX@oFw6e`;Ag32gT`ci%&Nn?3*;o>ed16Z?3C&l>*J24VNsZp4+lfU-Gto5FZ)r zzd$ASO~LjOz$s}z-swEr=}&$eVw$8PQCnRl7TIq+ZbrA|_Uh?R3 zq!BYgqGfsbj>e@$CX5MLCaPBD4VWc+mQR2A)0IL8z_oIts^g9C^JJ9m&m<2ACS{k6hIWjts7qB9IO>~_7X_>rQGB?F?Z0(>9jHR8L2$hHwCUaDK+aa z_zG7yrN7>k!woTaL0VAmt&BFa! zk-!>W9%I?0up;L1&A`gQ`pH95JCM5*WuSYtO!@st6!A=3rs#93-6w9`jwRiV(VkOD zI>X=^aZHbi1CJ;sdu-M=QTt}8;Fy!@%baJ|M&`)i+<+Dc#AkF%Ygk?1`im&(KXi|7 z;ovd0_X~=Q%{!D-Fm(yIj$&c8<{wfaLMRA9os!ft?nE`J!0m>!; zn?GVCfs*@l9F`HUkK{Z&r?7Ax$J!U-6pigfjseXzIhEHPGmCqouE8&Ljji2Mayq{( z^N1LsoD-7A;U_U_fqM+hHtvzTn1ok#ZN6V1U}hJwk+LYP`t)T?z$W+&82ZvQ#@w%y zraJaonU2xo^1BwVn3;W#-i?c!Hy+yNEdtlfk7ryKRv(%=@MUz}J%11ykAC~L<>2(x zKdrd!mv%Btf3|BXHaRVQ-)3%^IRwV{;$D#{pIux&pk*;`nDK}Ob=}uGzxnc>B^*6SEVWT+(L1_|tU9eM3k#>}~tdA9fdNf#i+LS-5ZQU zB2yd@=6p@7x(*UXAqj2pbzaOpHiP3@J0^cjbUX6adQ-W=oIW%@yQI3dkzN`Fg0m)n z{60=EYanM6RWo)kDXUrieL{X!th#<_{}dzOHOQj$d)YI77!a9UT-)RBpFqaWB^o>Z zTl;}!IG123tqhn>-gc^)`E{pQLI3seIGZ-rorJ13xuhVL(3KUkRSd74e3*CRRZI2)|E;!T?-J+<`LIv|FW>>{i^gQ8J6*h;6f<{DM$;XDa;mtFksaw?2?J{yj%gEa|vS8r{(RJ~guD|-3 z}~i;hVKub1fyERUZJ?3OHYDQbE}`1pThV@teLFAH>rPp;pwO$^T& zpkjHHTRD5cq@-S0-q`s!w7jzBFEJ@#=aSRVH*)kVtZC?(UlY={`7-fibBC5$HKL?_ zQ%-LHXjfK=A5XuxQgfRI_W`@Ce|Gsg z(PdsO15>{Nvb&YvHutU=KlYo(FC18)hu#mYEg~C7UO6kL1}(kzymo>+)4n~_XOvgO zWy2?3di#dJ&w;Az>{tYJ23C)bNLVD_Hg^s(E5@g!=6(Kkif0V#A3lyv{W3MXw9Rl? zIv^s6Q@CSY=vmhc>UpzZ(Hgj{VFax80}?re1MyM{Is7=O?+uv=Yk3B3hQ~B45n2Ro zgBf7{oU(^RAo%$MDVxv{o5x2?y!s}Pz~qfhO{;2~BBTQ1CT5QU+9qe%)b5&xl(cjW zpODADoSxnA4#=9o;V^=gf3qt3XRe9MJg)A(W%ii+c*R!Hi*Nx^g|o-u*EGr3ss&) zCz5^m`X{4cc3JyKMBCm4q~Qsh&IuHM7$*D6SR?xrp*D?^F?7!Txs8xpJqdmP0nB1D zT9@rJ$Y|?_#Z3Jn(;KQE_IQSCJU+0f4uain?nM5ASTPA&4BV%a3 z>{x<-O$->$;o8M~J$V>*5Cq^luus-N3;d*7i==A zXt};E?<1nSET9}(P&Iad!N`5%9*F$dI<-a1YxE#&=9-+6ik_8=PuTOi#UF=66j~Ox zezD-|9^R#^550WDUcD=;KVZCF(lUMGnzjFv>YA*{=wdAgm|-VGJ@#t2U2}Fr`1#IX zN@leqTG7dvMzLo6rQTId!vG>>^UOPB~=_9g`cfegSEXJ*0d<&^kD$wwXFGHK(+WKsRhXXP2`^ zMN7JA_ws{)8)CV?0LdKa5PNZy+CSK_4vj< zmqz!-J%c>b975%=ayC+TtDJh)yVrazX^^p zWo(;*&f&Tq7+Kik} z!*iPl?Ds8$!pAP~-8Oy_l*_8?>f20qNf@{$U6R)Itp6ML*_lm@kJ&hB8lh(4|IfpH8X=0LPI@EkoW!7C}P z=!MAH$S6Eu3~uKPCdAq%U`#f_T5j;UDR^?z=s>e*kh5F-v2ui_F!Av4(4ty=G=N46 z6(8sP83fSKazt_p`fI#5AeIT)FA)*6TGRiW$3!GxW9N3kTQDGwc@AWvrHRtA@(PMZ z53H=MZJ?Uc7J6#!?EMkSM=%^59MGmgs2X(fh=@&onF(3UrgP2af1{XK=`b?jxn@Fc z6pdo~BNxxzi8(oMOB&(y3k)=m38T07oK71wN3e&#?m?t06Tvw;f~w8q6WH!4-2ToX z5XfvoD>`UX5Da+2|A5R3|M*i}s52oXItSHwuA<4zYrOPmG!reUy#cv2v0H{lFwlNl z6BAQ&1i6Q{ikhLJQFGWb_I-3bfG=->4wOGajTS6Iwdli#_V$5jIx`x|IAdbX5vsVj zb0H^$p3~AleEdf}H^6ta5glk7C(`VXAT3P@(mIEQ;Qr$^+dG;N(Am|~H?Z~_$~d8+ z7P>gMw$5?qb3ZLwH_C7>=iNsWsV9{5jLa8dK=2vrT+!q+8sfxeM+XNaACXI$u&TH} za^at^mlDnDUE$`ve*KQRh6dWtdH1ds^teK4EkrwEps8oreRB&>hg}!}fldL-G&){ArGm!K{P$H=5^LTU%fMv$Kba#Dw}<)YA*Jv~+aA z=J+tbn3>t7AqDJ@Ao6g#(*(_&=)aI6WIL6Va-Pyd>{%5}djDg+VL$KQ)qM;Noo?U!GzN5kWW}pzdN(Pi1=Zgo(6m5*g}#Sb)OKD%;(TH0Rbrw?EckE z1moH@l!ZC;al$j;&pd}Z5dm`q{{}iB&n+kfDNiVSM!T(V%4i1%Bj&+2Ii^HbkIv!c ze@g_K5G2MQK|N@~K;q_*^A!R#3Fv@GGlV>o(1BK3r+#WcJw}>ytT9?!gAIuw%nT=E zVR1|t8~*6&NqFoZ^lr->Jv)g7XD6G`lap{L?3p7(`!GYGyBboTFgt=h5Vl23C#bK6 z{%VvVN*nWrk}^ueA0t=?Q%g%59;bs&NnP~8daybDOaw82!x1X0(FpjD$8S&&NBwqyY3Kg%jSy z$if-n^UKO2;t}jc0!KQOE9vOyKZX|;SJxF}8^gmR*sW_F!|Mq=2XAxa0@UvMgzaEF z^@XSC=Z_GcYp8?K!#+0;6&>ss?1LUS_~<1J)%_zQV_#RWj>8UZ_Kwr(SL5aUm*#MYS>8)N^#zS>2yR{gb< zkrf`$({!ps?3x&_AM8Ey3t-Y(l)WyTTFE9E60pGU%0hQzq-LK4C zvwaFxKKfaoURf(q%>9~OC>Qa;0`Ete6jW{)PYz0T#XAy?O%@9hwLmAaz-k1o-o46F z3&2%+N$+lXRi@47+{b-qf#q}K0~1kE&7%G*;!m4~RiA)rt}~?%gxwQrx6Wi{o>5>z zcnyEmSrIS3fXA4@#C8b~I^CF2GbYjSOR@rJCtr4YKifk7;3jjG;gJ*bvxoD_H-9|2tbpK zj>Pd~n|z+lvqj+q+_s1 zs#kHeb+I?1ab}^jWrrVq83Fz&h9dvPr$&ohMz#H&*BWn$EYVip|yY`R>T75JW^EY0AIv7fAt=Jg1xV)bwGWCWX4Tv!%PTXCmA+t)lRr zE$HHBkV^jmBrC|>DrY1NEN*llFGy=sm zrw6^yvVuzrW9~EZB>Q#gjqf@@gA z!I%3p?UxL6i#h^;u((Q0n-giKI(?EPD6OWc<%}+d;LyVWCMl?Vm;X#UphoaYo&WICpT@>Y@I{DZA=pJt=FB{ z?O}q@lGZ96RWX6VE74kWQjblmOQNN&e3bc)1$=*EDoPW8i#C`nzcF8JBDX`OquI%B zKROzx02g(wiy`sn|Y`b#8Mz0=TS`dWK4zY7^F;_}!$h^N@3oM#$ zO>i7FtNzNY%1bRdzH8aad9xz}c_vmIT}$eqtPKQadpZ3RJM}Fr3_cZLtx9vr1YSG_ z@BBGS(tKYx>9zqO^?c#NvlRw1ie~C0_n*E|l{Gha_d~kBC`hq&SpW6|B(LMeTqtr{ zR;PqSQI#s$FUtR{F9eWsNyoJJZ0)e zeS+Uud@dT(I*zJom)-Rm(`r}&$EZ2qONk!*P_s;`55aE|g$tcqC_4Q|uA~x7%&7v9?bbGjtK5P!Sue@K+Ns`g{(^h8K>fBy7D=<`Y^GfRTn))_pE)TeLoDSTAX%W) zjd(~W>^v#)d282;w}|QC{rCq>bFCUDYeUX9-$1prdQ{3LW2`3Sg@LrgxXl`l{E<{% zRmrt6pXAaDhfct;_0%t}(k0-@O)W`T^~`|F34ZcZU5ANUO*A2kk%x{zQ2&M`C&be= zh;^L8W>$~CU4G5;{MceCD6(Kf;oT}F@E(l4f?@E5(8ROy?az?E+meXV6+?``wX1bD z-wb?O9s>WF9A$UEqpPP0ny!ICrcAB&{+%;)r!PdKmFfn(6K{iG4Z7>9_Ecw%Kh{6q zrzUW%|MY0dkLX19*E{wDO`V5Wmk9z-`3mZ`%$J% z>T2ljQhU(8UVK6mb5A=2C|Ayq-P%fHb63U=O!i3{Ug0jI`5|*axg4YW;_nHuF!+je z(?q6g-v2mVN7swh{xt!_iFY$>zOfa+_|+07^cHjuwR{SB`}a?RvD}qT`Yw{`g6N&! zFYPvkE>swB(Z5c>J_Pj_d=$5UHs?4|; zqrdebCcb{bKGZ#^qUw2-z9#-~24E1%_)XI&Ue>~=X&O6)aa($_n>a=qE!gB%j#B24_v3;Sp4Bdywi&dJ$L&Sh2 zuR6=JI@8LhXs=+DI2D19o$yB*QZubdZk0dR8#zM2o7W-y>O~yp6}2)?-IL^`kKzL` zA2f@8t{A3y?0AjM1CZ~BaUW)w^2W1z?Xy0l7^)5(oXKkb9-I@8d(T+8lMAaZp#Os`99iPuM^MOw+ zo)X;a+}ZNF0bVVYebI3m?oxishW#h#s8mvA_hXd|O8@E2^fZ~u$spi=FYWswpZMcn zYBE1+i?qFMbQUx4Nt=VmB8N#V?iYH4{Ki7uERrX?_R$RNmlyT=lT{)gBw#izC)EGR z_Fvmc27`0g?IWl&Bnr$(qUUz)SrW}zc&Q;e_{F%=O0(0& zrPdyU8TGMfGt=VXfcwgdVy(eITV=T^Tkq2*_1H$ckycT_ui0-IO+D*B+qZuZyMMWJ z1U6YStM69bz@>;nEj?e`PE3>yH~h-`Oi8txgJjvr(F2qXD0W0MYvvryQ)rrG`jB4? z^pSnw&!fs*@1FRTv@j9ua~DxvZyAf{+BvBv{}9P5BL2FY(s^i_2(oxK z;cc}Dh!lPOlFVo4zEl5s57a)3_o{GtCjkq^u57(?RT#(~8fx7~?+(zrL-g(ly*ozlPSCqkxPx@;b#X|?&YlVI z&kbN8|GViGe&ckj;y=y91(N@_AjJ6p48anfQ7itB*QvmOH=vjp7@SYfML_Gf> z*q#3$AlPfc-CYJ_^xu!FCo$@Z5;~K9I4l=iunW*aCAxfEIy*Q^&fQ@AkfXEq?|r-FQu-kM(Ma9A{mJwX zpcDApQ&Vc6Gg?(7Lml6iC_TU=CP8S)`yqD2?33#+lR?8Jt80|wl`{lZU+IPW4aJ}0 zUnc1$sd+B6BadNga2eKyjIcjcv((h-5FZ{0DRlK){K0Uo{6Cel=xk zFgr8F3O+jGDjDCG2G6CD_Nfzjx$*2?s2Cd!9aoyD|jm~J6nTFleAQ&Oyr_WlyU z9{YHOeUJN_JZe}-WW+_UQn_12S5i1|^DVzt&cNU<&~G~)tKkxr$i7#vo7i7{$u1PHCk<2PMYs&}&7Ee*Hi)Z$+O(UjwhaYI7ocO|UJOxNR zYCp2bD)_t50g7MOC+Ci)6Q~V^;k>EXlqAIfHoC@}PdbxsV?|D6t z4!kO6{JO4-@K<2#148cQKghIm$&Ww~bsw3dzzX+$WcZB9<*qzyL!IaeUdg8967q69 z^SCq{d>HaGbRxSE!Tjk*XUC#+Ed$&3X~2!ZhXSRaSDL zgSX0`_qLUgJDWo*y)nMI~?9m_ZE>*vAAAV%9MfS`aQAqPRLaQs>izy z;39ce!@Y;hdhY!VOj>TCvJ6+EU#Qk>e9-)(8<)-%&jn~q)yA{$JisD*K2x_DCG+ei zDyJ{vJ#$f%$HCnE_e%hLyGef~8ZW3r@`83>j;j{7-gmj#dK;$?r7gGp98Zo&fj38S zf9!44n+cc0#|&4FZz~zg**ZdeyN|bO~1LuInH#m;vJ9)&5BSm@U108pW=V`);x&< zvSkQRS^D-O(c>U}EA@tWEatOY#$=MgqGN-*jZT-yL5XE-8u~~wJp!_h{e8aE^A@4YmcabM-w|_BOmR-_0J>>6OyVrfoY5NMfhhe zX|pZSybs;pf=8Ce`#(IJZf*v1y(TZ7!WDY;)}_@=0?$V98-0<=r(`f}uWWMkeWT-- zX7NrOoW5p?D4jTMx?+2xe8f|ueX9a!&$UIU9mmcWEcYgm1J{FbJiKNzTniiPti1iN zyN7Q;Aq79DkGK%6iwpRs{_ zB=Fy`4?Iovx5`%=udMG?ddNlhRvp*7n6q>(n?1D%XpOy&cyO~wqRit_FGebR7^dM1 z5^=2;IK3JXR}NEdGJvQ-yXV-RdLsj^E0-C|d;a2iPh446eT}0yuwpJNBCZ3-+Z|>i zFArhsWc%`m2FQPvASYA*!-sp=O2D2A_<~m9*{9f~VeQoKzuGpnNspYb(0w|a zC1QG6g>msQ+kwKXw_ezS{w0kPncn?YAYaqQrNbjEfcmD+V!5)TNU331VA;JQvpYDamT{_7_Cg;=H-kahwnW9WTQ}#8+#ky* z)9nw7>#a8klPG3wbIb_5-*qYg49RW@Z=w#nHts1=`ZZBnyZtWXd2Z_B zfah`B3P=7=z~u4!gDSrM*N*ARfs5iEh^(g+62Cvg1J;e5kE9N`ZY|7>HDl^uiYIE@ zvGD;L987{QfSqcpU?jiT+& z1hLu0q@K%*zQ~B`D+~IN_p##lFIpmC-SemV^>w=o1Nyfek9(6YDz8Yyf6V>dFPQ6l zIc1`sA7~s1-gM;~pbPiYZDnEp*?%Rznx-8mV1V6hn6?15-v;iyR=oX}@8e^M$M%Ms z%+eaYuQ|evgyJhZHxIp#hVW^+T zGr&=B(iP5YV;)ZS0;@2_kdVp|mv1Pq^qw77G5@~IstCCE0c%j{Go$rQ$58X!p;+2Z zh0KXWpGzVwxp~64jb;lV%JClK_gDf3Px+17%|@h`OWUeAC$#fYhT*6z&68;O&n;Q7 zS--*JUpih=+)T*@wOMnW&ko0eBiA2jcj7%ngFPgtG=$*i&macBC{+|rrE zUA&l*AGmTao(W954l<%Jk2~i zXJYL)!oW&u(d)0j1^x~BX}2%A<(u@y0(9Y|-`h)Pv2JfYD0NmlRku~a*EgUtckIsz z{8M`)i3p76_%A%)ZPI(!{#er4S}07!S`Wkbc40}7v5@nx9+gxO?bqhKRzL@43v(6Z z{HKeI$S-J5IYd|?Fa64|W6ULi#=0oPa-scx{n^4odyprHWd2pcQmubOUl4soL>C1=ZYkV| ztNI(MNBBTut^+Wxy&_*wc$MW%J*MZe#p%D!X#eE9D~oIMYSjP+Q_^ctSam~E!8fbw zL*Jhrgo|HH*8G7>MC_~gV?VBF-OH=~07k!G;TO22?^s;7ynR*J;5{K%==k(^QbDUH zK?$sPBEJ9z^D&y9P<7K1@|!_9T&_N(4c{@Y2YqT8UA`uzx65dt~O<+-9wsLCi+r4~Uw+fOi6gTe} zhkzde>AB@qxavXA+p%B#%HnK&A(Pawdupesph?vq}GTP2D>CBu~@u{D;2mqH( zdDZyB(yCloL_+qwn36%lL2274hE^G8+JH(WmJckbWR#$#W0o7;M8$naSL}dR{h3o? zSZ=wj#|^1LY)CyZ$)$Du#Ip|W++tTye)KG@YG}sL)H5lybA;E$GxpUSSi%(xV--<% z>svl?Ny=~8RUDb#hgY;tDB|-++bO(|Rti z@R*AF&ed&k2Qb8S`_5gv#+H!xIm+pdQ4;#9-SwO8_nz;o}JtbI_2c-pT6V}vwxhlN&1zD=~89Sp^0HnY(SKq zQ`UE0bD%T2OUn5quJpUHqnmdE&9&Rb zI=(2XVBi@RQ_;9V$s-`4>-4S~XeSKpzZzR1u`qWIF4*O{A)DWdeak0Q=z%L`J)Ta$ z8rWQ7Iv(|@(43v&HE3$VkqUZVSVc(5BbAkNh)KpIpbIRA=g)AttwM%JFPT_+`GwcC zkInD$J$zN(=HxNX$SV#UI82JGN&BSLKP+6$%rizcajF>=cB(#oS&RjKVNyQ^bL_c= z+oo0hkH|$x2j_VnXJ1EA@d?R|&t1I4Wn%8#2Kw+=ZJm3+PA^`R(zCJ+9=(`TQB~jE zHor;3BY)4cFaXpy^lixK7+LS*P)f_2$L1bOpkgjRNV<8GM4(@-Pw^0Nirr7C93{WV zt6*UM4b$cAar7|(v$|PdcGQg@QQUTIK>vN^Dg}d_UEJz6!xbJKzoP2C(OW(n`NF^XuDA_&J-2n!7HjxY{wr|Y$`DcyR1dH9Uf=nc82<)VRR3K}zluUe_f78T44(#YdlL74lv~=!43&_)m^RHLU})l%l#!kHv13fJ zwP#2jSU86dQe4w=h@0oWgBL_fDx#4ecp+&O`|aBuJ;T_KM}VoaWmsbI*9GdvtEM_3 zMR;;LPcoW5@0`gfYw54=vEKr+8jn39@(SBmsIMrS*=J8L{$#K(Z62Uz6p+^KB4D`> zd?Mrj5OUV8-;2olzI3Cu&zeY1weD6%{lN>;6Ni!CIv}%lL9ch1#v{4? z6uZ_mval9EOKW}4-879*Unw+qt+e&7aoi0VpyOV90Bn>{b_p*feJ_=bmbz-?H#6~w zsAxO5R7@R{0$%5cwA`-Isb6Hw0?Im$r9)f%cjI5>RgRou-?2?A*asXu{Gzwi?X&WW zC;s4RrDc@1FCCs`_ROnQ)qiGnBEJm`CDW!Nc=cMkgr2_TO?m$(Db1A4tsq2=>Kp6J z$(<2waQ977@%IBZSr?xqf=m29HJ?7uToVqf80Hd|ykp@4%cYU9iQBvSr(_m?-sHG? zTP`r{-S;(OTHE){J164S!J)(8fCebw1uzDG`LT5^W0pzYEr>k#MGZ6OqnL~rRsFVR zAfsK?{Hu2h-Vi@ZOeeAs+DEDG-tQ!qv5$zVnqTF;3+{*Yd|lq5H}Z+k`8+UtM8m+$ zbyeQ*fk%Gz;MAq~u6ZCVsh^HZ$kI%t7#1NqB>Bkmb@%Xi*7g7nrKmD7XFwlFBXRZ2 z{#e*-U$G7hDnBvh8odqUw60mgt)KbSgmYIPm}Ctfh-(^o_(jLPoZ5i2#7*gxckf#V za2XYiYRDOsLDY-a83j#W27jJ%a%*-nvmKIA+<5dP_EpEmi|movO<8b?V&4-RTW5O` zUU5Tdee-WX>b_bj_=5SMXCNFiieCzF^pSI38(7)l;`ZObH}?wt)U*fW?OoG4HV)_& z4UA1ed1XWICbi|mg7P+ay}~?iI{`~y7Z6TLbrYVKq0TmW1qq)hM(82TP75>4+JW&ZT(Fv$Kc(Hws6;e)(WOi zd<$DHz3g1z*N<-*-sTg$^EBvrQp@0`SJns#xnvyZ8(G~v#xjaa93l(JUpr;cHn=}J zw{XORV)lM6D#`c^MA!C?{A9ItZKcyPw=eHnJ-m8_LU1%KKXQv_^auf0fQ)I+!5JN= zmv4AVal`7t38#){+ z1?Zz}aJ3BF!rr!0-;hsWhy@s}Oq2+22W6S`Z)4bbyzao! zeW3d!Yno5&wUErGQA`maVK*?dyeBg(@>Hq!+w9{M_MjM9R2FqVKM*?nyW=VL-+VB1CDB z=Rsbhd8_oye-ceBDACN!GDn!-z{oy#heEa}KOaq?eSzpEnms$O7fm+^p)(i|TAesJ z=QhuEf~Ti{n;^Dnj;^&vy@FuCW-V{qscQNh|-Bj>%mgv<_L!AJ$SrX6T~)~{+rr_PguYwP_R)nvT8Yti5uIzD}B z?)o-&?yQBUxCpJaO$f4_3As(Ut-$V|S62T=ZKAQwwYA^qSl`&(+1cBJCDyTN&`=v4 zq{N`96WT7(_R)(hu&bPKDoRdH-W(_C#;&Ni*A{2K*67n0g*Ft~oKWZ8ZY%#F@@zMma9l}gF z!vZ&Ae*__ELJ(4OgaB5PhxO#qL6M12ql?N@QBl)CF)0J1hzKMh#o^2wglr)+4XJ6g z!WIJ4ib`mKEp&L=+QF9W;n^XN&^rg%wj-KCbb@~Z2u;KI2llzSd&B%oO$!dr@xn;Y z2zExIxcFkSA=GA{oZHp%?Zm z_0_BN^o#;jG$#GBPR(qN(rRqm&_odlz=3x(PuUAvKGL zDTF-XIelzG$YG|`!}x?YeKt3@wl6KA?os}Lv9j_L63xHYH+K*4;Mkys?+6VHlp;dg zri#$R^U=t}Kp0^knfwui*&MO#AcibA3kw_PZTWL?ZH_KF7gW_y(m0x$`uY%LhA9KH zz{A5c6WW{M_5>d74bvUN$0x^v`P|L>|5&R-!k$N>R}Y}q4Zu2??@%g>h!S>1RrS!< zufa;lBz)0t=uS*EAsExs!TNI;7`Xpf&$;hS2npKC3q{q-Yv>gN1cbp4vHz_TK&jzT zQo;FFMm{ldnA%X)ym&z#xrI_{!a#1r(~*LwB@O>pGU$*sM+jl6!(_jsq@rSL7i^D0 z1>bAaMx1iAv0PoBB&UYWEn;b}AYYIS^ezi!egSeQ31Y2PA^(|uD z!#Y>(1`DqspKZGQF>Lx!ijc3y#0*x4FOB7oU|{1kA^1==jf+P>On#Lw$H-<75eU}6 zgqMk6bu1Y@Bh!0fUkcih`*YAS+lBGKA``Lc#6rQaY(fw#e+02^LM}k|+Q#w&uAPGu z%)#iG#S4#-gy2U=Vz4ce3^8X2D5s`nSJr)MTtQaC7ID#|wzajbt!pXA0i&}E!o%p5 z3+E7aPf!2A@W{rN%}-)9z>fx#(aCqhR4`^Ugm9QcOh43TE(`-vnmZ#wej+Sr*DInj zw}AXYT^>FqH#{jYR;X(3-H~!Gfu=|WDe*5fMJ6TSB8fo_BQDf z$p?cq0xIsgw|4Qil%-oK$*~EVywHfHpis8EP9>Q zA_q&SLe9?X*5Aq8l8-Ci3OWiu3V6OkD-PV}M4F{xcmPvw6xzAD1aA z3kpJ;%Mss$dz*zy!Bz6oB)6dH3-kM#^y1)(F1a`l9Z61eEzizQ%;AOiHQ@8XGIg?f z&drx35_v6g#Q}?@wE}58irviG$#$LYe9VBuBaX!+zUZlLUC?fy_piS-SxiuB^Z6szx7u$rn21uGfZg9zMCQGDdRnSAv9%8MAVNJop*O zmr-D*iq)Fqz-M?Z>#ZOM~B^iKD}N+wO()qLHX>*yvc0*LB_Z3Tdc_P zH8?k=1LJbQxcDgD-L6A7&|q>qukgngevvTEO#X>1C(idXAD50pQB=wpJKF@eyukgH zFWtv?6fW0?lI}1BBQu)<`cif{xH2Yvf+QArgq{Fc-5IZ+_O`YCwo(i42q4ER(u*?_*r*bUI|SD(a33328Me^(uZ6Prl+0q zOn=jjb=&HD`Y;`~(w3NpL4s%KkmxHSodLmAo(Ws#Fe^*_=d^T=lzz2KW0Ys^W#E?C z&fgc4($UXv@ub}~Cko8j*Ql}TUKpw7c;EKP3a=dSXz5nZw^=cru&mu+#=7CG7E!GC ztS3K6`ES3*5y6ZEc$v&;B_L<)S0J30CjEM|uS1QL`_JHWI~N`z`{}(wVc_VI=Mu40 zZ_@hVm#nc-z8cBqkx8rTbOw0V|-HoVLY$cJ5$G@nf!tWjtw*$B#F38y6X< zgM0X@<%=AF&9)>(`4fDqGo$J5o-)$*g1Qowlt}iV8r-~RZZn>aCsL2(9pW*7LuO9F zCRfL2%LC-UnX$S`O;HZUJQm%mH5u%gnBS?YzCQBdD7T^g5kd)mQ;m065qq{%FqdM` z98lxfz9_`reA3!Hq-18OUS&fJGz2lr0{r)Fda)xP|A=#qO1BIRu`*Mk^)zpmyO`b? z2&jI$36k&g{(kw070`>gjp@tUZtZWs%ImyIr@6JwEC(K5StsNk^THpBDi54gRdG4{ zJ=`xD*Lg*~yk3RtD(PiFl#l~)&`z$izL&@C zEx$JW&oCk{8ZrUmoXKkw>`cjeM6NO2s|KM{Y#>Ad-&aTP(L$?uUvvd1xV`_Rab574 zC61?y6YU#+9$$^Y+073VIzpY}F^o%1U^LPJ^UsV|OQ99&r_F^_e%ARmftxG-`qL4G z!UHk|F`#3pmnEj;>na4LmGZ(_%e`&A%4L1K%J*8Ta<^lHhZMH0Z+ft{V@yG=f zKM;x`ZBI_J^E@)K()0oWUv)v3IIoC*0QS2pp`Q|Ug2X3Gvjhcs6R6(-<3e@;m-Y^@ z7PBcQpc^M;%C7Ml3$^rKu8~KKX7*FVm(|?pIIkQcASi1#q1uj7WLE4jsdk~G`1K>x zzE}7Sy^`ZgOY|i#ctIE+CQ0|u_*22&mltT;Tp7%*CuGWsHIAGFc=yxQ({X^T2!4f> zBojwsB_1EW+!|Z{*kqeB1&9K)#*Ll&5UV1Im1{KP9O=TU;u>)i_ zhqQVpqE9Sk#_p_JE5ddw8ufNLza?is;!C(ocItpO{j2OyR59uC&jn=?Zqca(V_Xyz zPDE9Tc6o6_C>6_~aMno6xMi?=qr#1A+V!CD^kH1?%c#v&kv7(XUkdeb03~a!�ne z7m(CgUvk^%3}Mlx1(i!U+rsN8rOC+RfQ$UYk*xhyxXaL0lcbw5Tdg_uoPED93YRV& zT0>P$DlqI(`Ms6eJMgDl6TV({%%axZ(n23!`&L9nb-McQ{SuHmXK{E<#8mgJwW0Sl z?Q}&)aqoGkqC){**r)ii+F}{t*`6(iJVAm#>rjU=`2Dx{Jl8v&XKm$Pg*QGtAE-W+ z0-08=^`>wX1CbuTGm2-ZS9O)#)>QXGSGD1%Vmiesc-H&E%)x zt2ko*B9BXH(Fewk{Z}rc^eI6bY~^n;Bi@Q}gSDiO={fIK8$x6!8_}Ko-s4D|c8#j{ zH#W*sZyvBDn1G&V6Jk6z1K9z=VhINgwmn7F@n~@s2U#pq&PP(ltXLqU^%X~vKc!U&xXZWH$FaExs?vqq;3f<=mMFGl*P&1v$nLV)^}9W z2lOWcBQHo3oRCSVhSuBdT`#~7q(1|Mb?HUAqnIZ8yAsC(Nu<9zM+aP`Cf6v5V=s<@ z{{_-w-tNZ+LSC5!^*1DkqdGdzvO7A`EyAnII(E)KP7NWpjcn#Yi zjaWY^__w|&q~jBwe>eXV3441>@_)_3lH3w&{?Ff1_`kETcUb;mVNd>lU}0lMiq(Fz z@7b5SFm!qwJdI&Vd?12Sgr?kN7OX=?@zn-Ua5}y8a=wf{@``FH37k`6f!|5_;fH)5 zYff1v-s6D}^xZ-bh!tj>PMvyTTOqdiLYRu9+y-vblJVQFVtq@>2@Kq{5?Tm8wQ}(Z zzPMX2C&cudy6%}lfH7uHRIjp^Mgw4%nXTvWmovYLu5EbTtX5Tes#rPmF8Zu((kE#+ z;UgxL6Y!%JPf0Uj5T&F7_ClW$|C>Y!6^^-23cc4z_src(0F*WzOE^sA-s*ubU(rd8 zpqi70)+-@7*^eV>B^*@@-@);NaJ~HTxQ>b|b@iJQRQ0LW(2sp59cotORFSm%O%njE zdoLrQLE0f|LY21fbgGZeXP-jpm#aJf?;Qs3i&AoMY((j+z(Rm&QadfJGmalJKjep= zw(XkcVyF%OIfOX`kV_SPWpXIC#JpcK2q8;Tg>~`8?eO_l+S@wO~+a{r_W%& zeGOVE7ave9`u^=U>|6^SM|%r4_lPQ3`Z@@|)A3zRwP>)~aL^9Oy_V!m$Y z2l+f}#R@w2!cx%Y8}asjP+fkQ7rReK?5DJD0Tc1}t^@1C7hX9|dRO-yJUeG_5?9i; z&UeTLI73*sjAo%k5vmdeTal zpCnF4MP*fJrmI{?&1RznCl>wF&b2wJa4tyKC}#c!#R!MiOa?D8p2%pSRczN~bd`K< zLT;+hmG;d=3#fTNZbr@$zI3i8G)N*N$G(Xceb;B~Q^U`DqDeN1EExB|p@~B}CGg|j*Jh+~( zCw2>i2X82OFw(N-I6{&X`pMNyGsT~&`#c(CuO+-Jsre1N1#)Xbq5-OsNnh~{%zbs?NmX0j7WERil-B|DnXi~0(l zC0Q02nG1;YJ|?%nU1Ks<8iLXwcW^wY&n}c>RQ@~)JWe|U;!H6x%x<`VjmisUs*ilD z0s<_kwmO=;%`(T)fI}j1<~#qLJSnQ@iblm3e-?8NxPIf~WQk%-v#Qn+)Pwzlyhjh< zs>nY)Z@(b9LbkaN z)jP=Vu;16JGm^)y*pt(SQmc<{SBq4mhM+BwQ`FAl(7?R{d(5=I5Jf3`Cu=armjop{ znIvByin#3LzQQof+(ts#rCj)uQ^sgzB#_Ddu*)8lJbVAnNP1JD$#&^Zz98pj;uj7H ztJ$RL!j^IjvmYO9f%ophS(XTmP6tkz-Q!;q=hl-R>c&1^%0&kpS^yjvldq#bnc(EmhI~_dj-CG}LJ;J3XLu0L9 zT?2K3KK&O&tT?_V5~hz;I^+^L#rZdNVRT!Wm@`%#`EekAA?YQIZ{%x2%x+{=;ygx+ zZNQX+1QF6F8}z#lr?3j}F0gb!<0wzk2z-IEWD;dRt&fXXMAr9xBC54Rbz6uI9>(m9 zea%%-TNxAL$b>2N+fV*9;s9vZm{CmjSZoqu;3XbX4xMF^U(3SO?k}@Tv?NGT z{?9{y^;Z1&l@js}-p{O=A`D>g`dlxLfHx{GliYEdn$*3m5>-~yU|9c($hiqwP6E+=Auv>ie-nX2-DR@lUY${57^_-mbnZ?ftur~hCbw$L=JWy|Dv33Y4B<*q+ z+pBQCESY=Rx@Fs>6O`+^SQ59hT^P3=b0AHk_`T_KZ|fzH;No$Vvg`g(mI>lY?AzT_ z@fU5!DaI<}8HKw=FKc6P_qW7l1ZF6-C8hzUCuWEG%QKVv+mm z{Z6&%qV+rnVzhZ-i{-L6mQTMBm$RW1;c^`IydXX7r)w2|<52Nv6ch&EXfZ;X(t;k;LCxlNy@a~>}7o*SaRp7Pnc3;;+f~JK}D-w|F-$I4Rl+bT$n^X z6RLil?ED_>8SsrQfLX5Kh>b4$dgO5w>VW~f7yFt55PP{rR{YsU_UMGhCI34POJ3d|M;5*!{|T-aAv;K*MR=&ML8FkyN~(0- z>w#ulDJ#YFc6{<|-uMtc*3Y#pz{mZ7GWVoMnnL^I+v^P9JQ+=A>(&c<-7As$OA;`u z55|ppKG%fb9!#~z%#z-8U&OwlKUyb&i)I;RoSPejhynbHb~OI-(DHRJJ*L}s7sueo zO^C7s^xWzvR*&i=}mFQRGMD6lxU)`VdLKc%HCZ? zOdGG3F@-Xn^EA^XzRQPb1u^Lk44XLNuw&l@Q~ac5@vk(gr)P4>r#sAM*3tyyM6C)!$J)4lCa zZ9hIg0te0azF>H56H|)x_)yTN4Lz0vkQzeZ`sBDr?+xTzU<(ECY4GpwbNM+0}}n`$+zSW72!S+ zG0B4?D;W6fCbn5n!r!B_2Rv?V;E}kpmRr>H(&jlT)pJL$uT+__xWrCZoIu^RZQgI{ z==rbqo3d@WVoX7C35Ko(MU1;I{9R5U!O{ycK?0395gL7M)NixaNi=EJm-H-P-J=8+;>Rwm-Oj>`-=u%?HdK z{AzLVo``?w+@RMmiFixL_*6^hMZ)`($_Y$rc?dl6Dr;P3VCIxlvrZbByTc_P|F)$4 zCkcgJYgbSR18ZbC*s+xRq><7lrDwNxjsMWduGa+%pNMY(UBGJHzFX-O()-p7ubz-; zy1!lF6O}c$cM8sF9-N%JVB-~))-|w7$^ngSGuVn+&%-D72z8R%esANjsTS3>{@y;N zu&}Q`e;^2gKUKGoDaU@OYWJ+ztr>S)NM~}=7 zZ}H@@hIU+jQT>5}Nzj}8v&SmWBHvX_`WG?h?1LcwDl=uRu_!eEcjy+)Kh_B4og?q% zuQ72t{kj~WVU-8->4RubRHTAJduGv8YKLZ*|6JaYP!0{R!Pav9F?NFjI9~nu$t7OeGS<~HBDU=931scl@{KQVttr5(bJRrLYfJ2)z~0caOn)|dS@_#qDr}}>XoYB z0#QYa{C+BC?XJlK5};*vL`E&AmylUde0zt3UrE(C1jKwu`&8ey#NrrP-8}O1ii#V$ z;%H)N?d6yMdHV>PMiG4Y&CCWbEa7ucEnyb)*;7#sEqcq9g^z~qQjr!@woe2iNaZx% z5EfS*Nf@;ZwBz2fU8=f~&i;^7y?IesIz6uj?A-bmuWe&~EnOmW@qgTfJ(19UX5x`w z-Mv9-?pxl}4Q96R?+fUfyy?VM_}EFOln;T`Vg&}T+kfxgq%&Gry#NGa2~&qM&pq0S zcy(uxGe&jdD;Go!-0P=iUGWU&wd(+;BAnxSYPFcGt5@gbUCYkCE86FQkx6-l$gCoo zLCKk*Zg3ozA-$<@^?-n1&CIfJWc=uyo{8<5a~B?+SU_PfVAgn9y?mEOecmpV#gpRh zH6~+7>(~v0yhBK)OX!hU2B6O`G}F)>3qL{Gb(~T=X&J=2&t+8BP0eEDSw_krYYu`! z-%Vpv(ld%nDyiFe6}4?1KIFIlP%t=sreh!7)Jq6t+{04l*KhC1on50o3N5MZ)UC%P z$yDBqmwzbI&N;XP2;-AK_j8*$x%}Qq`g4T4crE80)=VfYsPuSjiTY_650F&Ri;CMj zrq|H5wsWc*o?&}p>E;!Z`FUyWf?3|iyA(|QB%ozV+$WPVvvu2I;uUam^~tFjJ2UDW zx<}y^`nCbw4)~7OjF+S7R`!O)$iRC@WNs*F?R*lLeBuvpaKxg)*No+5HP`!89#PHY z8QFFHLw|Npv1sVDOiFc~B9lv20FRiieIJ&Pv?;u#;`=bZTY6>d4VR>``>(COiD$uY zK7p})6uRJ!aRJfdMnNXgch4b((~~_`euI~524SZfZj8Y94W8?&D#Kt(PSz=_uAD-{ zz&55(aP-@X+U5;h0Z}DjThO_&cLT$@L!b$FEBZ0IP0ws<A_;u#oLH3E_P1YD}4D=>5{7GTjoyMyxT7=x29 zs(#{%_T@XuM_j`4&qwEvFzNX#b+^IIPH!wKUQp?W+;thf6#t)W(bA#eCrFC>wdh`9 zF)5m0YmWu`6!?9L!k}YlZk^rK(R*&<5t*D-Sk^G{6N{D+!0p36=8bJovhm4A$E8=) z4+$5y_bgr@iK_RE;!~|`0uChS{xwX4R)0rsoq7hrcjq?W-qasQw!V7bukx|gxfZmj zn5`AH`b`YFy^j6fDPrh0c}YOWmss)@TJ=HvNUj&0P|91_Uioj0BczP%y3at#HWup>v)ZO#J2afup%IBC9F`Haz5S!7 zcNv)DJMahqrGSY`U{vSe-d$mz>HRx)j#I)WAMnj%E9;uN2grRh0AK854`b(WY6EyE zIw6cchlI<%2pxy?z_Xv=we-*e2Eb=xz4kpNrq{IgYuS`Et7@59c`WW6mYnQLka=6qWXUdh485&bF$3XxG;9b@ceupIdGfzd%Kx5sigK{sk zoB7aHDT=U|o*i?16`86LsN{kdRQVl|%kj?b7v5ZmUQ_4ay-!t53N4DW^v&PF1cXph z&QG{RWbE8V>0cZ7MU-4$hAGUWlCp5|I;XV_je$Ss$ZFc9ohzF++D4~#Rn!k9%vY`w zy-|;?j%59)*Eqld5j~T<#ia48!e8r`_-O#1^0n_BwxvhT3I}nSpy@0KxNT6kE}wHQ zySc}roYpwHdYAa=^Q9APdTwzgl~33dKvB~xG^%*%jEGN2K{FsGDYJcP1DQ|Wz`JVc z;26y;5G0SE^Xc2W`$pz`Y8cssDJ`F4dFK`MVycD3BR&59;tSAe2L&n?5ZU{S);4V5 zk$!{xCZk$d*A^;kGMb!-J~|-Nd|9{+cg-F`p`zm~`?-NCR?s;7YxVRF;FH#McoPfK zvKx_QOl_U!eqZC@QHV>)X7~PFTBYH%@&~M{!BF;~jHb5EUgGzcb^h-Q<`(C5(5dyR z+EgXYrk^%U-`9wm0Be6V10o1#LLPHWmhjImted<@UfYz5{{eNEG3VRB6%dq(PtLBN zJ-lNR7+x_jvb2xE&0p4ciTl*;O=`^*8rOYM*FMT`^Ng<6E5nS`o}FPa*N*QXG7|z8 z1OBp^Xpa!VWD0{ZFF-O_DC;!@&HaPTad2_*@GC=@rluCeENVmX7tcgcW`8Y$CMKSK z(7b+d2qF+@CM*o90u_>JhDRW_B28fcvS}hjiT}{3adGhwiwZd>s}X4CU!f)`0?b5! zW{r?Tqi1#*0x}Wt-$W)45!S>Vgi-YH_zZ&25SKC_V=W~V zfBm5kZ~(p3KM*FADIMBbApizbgleg;Wvy}S?@=6`dT zrZ5V~8VUvEN_-uNNHq>&XN8D>q_v;_QkVfSw0|H>L}$N1^_D@ma_CT1AOeA*Rzbb| zF9!1;0CNuEtzE!|0Mrd6B!spW+Z2X|g9uy*c!S{~0L42{DTjIbcAkB3(#NZ zzciaLS45-TOktSrkWADA3Qx1A0MewUAq2KiARy?!_;VftLM?(Y(~i!5ECl`xnKvPG zE!3u=78nA`MEeKI%!I+lpa;hTVBjAHb#`$X0x}_q2MjWBB9Jy@$iayaoj`%W@9+pM zuu$2d;DC1Jgm#67J5O^x1po_5A znp+?kO;U)`L@?0LAq>?v76CmYe6p^1Xfa4w+1VDF%IA`s2w_t1g-OMgR@W+o)) zMd(`)s9Og@$=L}(nh^7h)jy25j)sucLQVRI&uoGCOavx=3N`i&x&t6U6GAlqN;x6; z`x=THsH4cJsQ;v6XFy_L2$n`-VhWbPKny84Xcz^vqasF;jwuXDS9b-*1Jj3k2YUw9 zXaKRT5VHwEo5rT5rnyQeA)#Sm!H?lju%Nu`2rF$=R1{ngGddyh%U`@1&Vz(to6(># z1ZimlAZQa&Q$2L20dc3%6b5U8;N<@Xy%9WLN7q8IX1g-cUmY#NJi5Doh65p-B+v+g zs)7(-GP3)bFc>+an-rNaG{k_Sq{@WB;gG=}3TkQ^8X8)}#lnXVArUnEIo#|Hq^5<8 zoe1@=x`w8wSFjAs2iid%6`|?$L%hXa1;CK}{ez8A5aS4H8ww;I4T3o1kg)K)fl|UD1OcSTuzpFD)%YWa&rL zwY9b1*tzgrb!15O441)xU}aKHeqrHU9%N{2Ks$&nz#w=N(GG~-gh{r*F#j=*Le5dk zOniBHc@qp$iEc8JU`y!G&Pv z@W%m=%od(2jSRirTOhI%st0Br0J{s}*9ZU`8t;gHxOhayKDQ4xfxUw(B7OMKu7Ht} zmXVPKz12l*P53&AG({TSA@kH>~nK0CW;qk*GxGf5TNrtP! zl~Mn$Z!midnSse5g)3YIg`S@NAyg#;gq-HU7=z7Bkzh;#uK$P)-E8fhhIYAKJ*4Br^vOv^LNbxH7yiOh|fz4Hkf?)KzI*KF}w#!NvXRR zro4_c01>K4FzXQ{HMQVqTGS7; ziSSXR;*w0*I&30*tYFxBFgF~f`fEc=crQ$2;RXiNT=)emeztH+jReVg5sFxyg*`~m zyKqYe33?Z{VcRh8g2F)QI4L3XEbe?8ETrpH)7PijIUHc+!5A_6_qga8 z6Ka|VW$6BN-t{PG8rv&%B|MB1+FYH$JCl@vWhE$Xmsg+ajHwmnsIX`A!Q+wiAvr}x zqiI4o$Z}Bq)-rl4K`;*zQBz9^f>B1sC(Lr{&crImVxr zU`phdJc{f}4ZkIHKJCKP34k(|IUW#qJ}&pA(++0JO9#7%V!och?K2z@~|R{ z@C8v?Wt4>HQQ|@FIbSDjt83|>vz=eA)bf3be7-H(CA-?*3EnlZGp6Yqm>R)D!9X#(sQ~JlbDvd0%-98-zg3ppDq^;$b&*OOA z6^3GmUs>t73YJC2ro;z)&|2M+N&yxxr`RqkUG+$C=07T}2s91ghlZ0K?kIm z6f4JD$w_Bkx}=Of_A5`%i(mJA*S1WiCAX~Rf%kxg0OMk7Om-Uz8OzLHbaggKjDG85 zSL!zD@lkF)mmj4;6QvNh3m@H)v%lD$M92tDVdcJX;GNXG`o1UFl%m8_Ablz5ijeE) z{jHVj0-untDOfMv4w=j%Z&z{U-Dg{)wt>+D43k*fI~LlQP4FbNO=+uEx_wx|lbMPj zeKJZm_;b*=5GdnXX7cMH|C>K-Kdm^2XN-!R+UOTDVz0iBO7@e1WL||wO@5mxHU6&a zT8uw_OpV)0|DJo)vJ4S0f+-(p$q9eh+-BLJ7YqMozcY_r~_KYc7}2ppO?ZY4r;U07dJx zEU?V<5N?M;Mpt!+7GT9YD=UyZ&n&qxYdjoy0$^0$%P|%ugmG2X&9`u#D>D18;~`sm z_B6iLyR1!L=U)D2c4|mYb@}O}zIN%Ld za+SYY;1eC{%gB@*`>U6Hq|P+t`OH5+LDc)uEx#Ce9qnWSEONXv)1X& z-ft!Qz*MRgT!2eC_!S-25!U@~oj|fe+4ay!-kE+$lDn|`W?-F8@RI~{7xH&0zRuVN zPMu55p(?J6AY;vHE~+x-_sF zm^^ay5b`q!u$iM1D%W9yJ`3;cpN5t38)G2#s*x0vgRr-wHj}SK4r2@xT8)1>Axl=7 zPuEKiHRRirj$#FsDuDpU09zkz=^CPMkHI~zAk3jgS`oXqrgXof=Z=3E4uma zZIa_-8N~IAk9GT&*fWFb#=-H}tLg$=lSn}ffvag-*fD8G6e53dNEn9EF%a~}bA-y*XCMqaJgFiToO)D_n z+rQiROulpKUr~?G zGQz~(r!9cjMQ*#{*ptcFeilLndcM&D17|X6$)73tl1kcW7n{BS(!)cJdNlrhPTZ3( zTtrYKd?{-6Eho#kXLWp!WOw+%1*!U#OHkJEKthn_s#4=U;j#<7rVRsE3Tq=8)o!j& zpfKccZhj3eKlk%t-qlEo1v|fbBp1sC`ctu`IC9F}D-e~O{QL{!3_7M9`rPQ#bF~o+N$CL$G8V z&NkW)Bn2yF)PAb-eLwyR2)+}P+8Egq*Pn5LdY9p)<@QyB{zJ4T@-6LdbCtClfrkP_)e4MHW{G2Hcf26 zS@ywm@B8QOD@mc7`-~a*;^QM6=u7PTNxUba*=azHx=bA9ERpFq$?+%_A6mblZD6ax zEbPy;gQV+|p~N8oAE%Gk(?d;DBoIgSC5rd?fpY!+BObd>A+FOCHuB^#5dFG!b&2R= zWGx%h>w(N&?Z+At8Tdk<+r)Ibh8%l3?gRJav*0~c4#8B>AE8#FGsRzmKkg;-pUi$E zvY%cNW|0L_sXROj$}Z{&SC$@=6|MPiDCcOW?14|5@sLYoBt%O;3d&MOMVEFnQ##?+r8B0ax(q0iX(0YC3sN0jg%8!2Q! z;^K*K0eAL1OR}S7RPXi2L`zxJ=MehP>o2k->3hWw-hI2c^QS-j&xFMomh&yrPnVw; zQX1pK8t>utb2m9sMm~KiG9Lbz zsV@<%BaxcqTK`dJ=;XWS71&=0uK|JD*lhOGND(#`gfxm3nm?BLZTrziBJZoUZSnKK z%ke>-OtDp?)^Idmmo7W5b!lqBx+$%sbxTCw=%>&Qx#CzLRr!^Kq2pShH%ylplV+ZK zd&1|}$g1k68=V)Tu~Zq4fPJQit7VdZ#-vx@`}Spl>M!d01H3IYoUJRbEuTF>mI05& zOv3&&vD62>D>M6wYj?MswXk8Fh$pP8s2(%kZ$1$ST?m#0nW7C^w0nKM=nlL4NkrFP`&GIz;}a`r{>;%Nd54OP_xKUEvE2N{b%+G-n8$ZOTmS6`UT93 z8>vSrRj(?^`5SxDyQ?ednc*XXy*(RRJ{nL>hb@sQb7k}??Lh3>)?bQUSNAgK)i3fP ze@UYfMb0&F(2t_CQ6gERFdo zi3Z$M9c@dfAishqz8icQeGn(=wxrc-+`zI~gDY_pL$d>t=Nxa^>St)RGue3O^2^O5 zKltNYEr|Qr8Y;J#Bw+P|LIv(ftOETrmJ&R9-bkK_7S2p>J1aKe@F|22`@48{&R zB)dqHoM$YWjZYp&_hq`23;6W0{Yip|tQVov`T$E}Hgu>(NRQ~KB(2#@=1qWs=5K3f znsQ{ToqOr4r->knpkPzgn^iW4^vj<6YZJ~K4us9D3ND#w z&K|4~ie^-vyS3>Zkgv-|j?ToiXzT3^u)n_!VpzqOwY#R{xt&-gQ##&02@Ij&{$8w+ z#$*eAfjV^Viu#~SpO3-4Pk9}us}+|E;Y-~aw>@$UF6yk`Z^4h#cC33Jcb2@L=~pPH zHuIaT4qLt&-eP{6##Cg=NYXFA8q|q0xb7eTZl&EGFs;Kle66GcRxaq z@B>nsJ~0t%qakU|2|%3_>!sKaM#tc?S1?99a*9AJ%B-Pho->=G0R)3o-C&NT_i}lp za5C`t`7*tL#6|EJGuL$&8a!{zM!`xWULf~xwi%F` z+Xik2H3;3F<1JFE(uS&Oj(5t9g3``zd!~^I8&)Nof|uzjjcwcs-mMcAx4oCmnp#%j zq@Yk=CFwemFaSsQ$t%7gVWVT8-eze@#|TlJIv6SF>jlA@=Q;aHGMi?(Ty5tQG0C*sJ9JrPJs#6;_UlPYo~NOgWJv|DvWB;%Qgyx z9^8;-Jyan_YZI*8&EeG+U|FX6{$}6YhDv!!14;42GmK`+mjcY-z@&bk@}`%= zDP{J=^?smKx#vf(YC#WWC!eIROjT?*0ITmh07cLvrr#7teVoFG)24)A{m1$*3)42z z-y?nGl%UhGOs|fHr^MDUomUXqX5ZW-{_CUa{(NRzzR;~lx8r~{1aB}%v?MQuNAFu6 zP0f#y`0vITNso6eSadoF=0Dv4+6xA(!6(QevnIBQ6)L-nT4_l{B=HmQ&+3(=`Mrhv zATr{`qeV6mn(65PniSJQwazPc`6H zn2}gRM)N~##vJxZ<|7a zuIpRqE^~iF-@!F)6WW``MBz1zeBBbnTzn-0qxFWieJuK znkx-Keo9SE3yO6f?bF+Sb}`k{a19Fk`J}@yqF-hpMA5*r={2lgcmPCX1YQfAJUBMN z7eUjr#D%3W4p~Pu+Or3?BUNCTyyORLC4{nk-FQ}cZq?5&U2$!KwwO;+9xad)sA3iV z+55r)-idl7@-;EEd2`tba$T=BcYJjxSC0ac_BdY>YARN4LGI6&&c>Ik_lSHn=p31A zqln9kSMs*%1Jc*jYd*ia!2_ZlJ1I=Zc%@2>V~MU2JFHseV-g}Z)`7-mQzjmw*)AX} zC|j-lqs7nDat4`j+;?P!f#gS<_fl73R#>*qjkr3%N&;Waf=1zOtq!lGU;{F$6Ay;7 z-lyBPPeY+{_Y0V#z|&KhnGQ_ve5QWkAr;0loVMY=3@MGsUu9c`?P02gFMA<<%6ZU1j(Kg!Fy*tK z025|0B2Y~O_@lpN%!BOQ-lU)4Q13k$f#c-Jxvyu^1V8c_(a;^+6(leC?R7sK#BunmFN zhO7b&>sB=<c1nxGH2OJAK9A~`Q#x*b{6D2L(kyOOy87TX#^$J7@`z%I3-d1eh9-JmeSUHxhXrk1t|=+&~uFHlLz9=`opGQ%8_ zP~U|Nn7vm3`FUlMma+X~6()^e`3UTRIgPTh znRi-0p^ULP1|9)9;1zvW+0{RJ38QBghev(-T(ym_Wa3_2u^WDa(=sHg>4tFtVh2Qzlg7e@|(r)b$DiKxZ#*nf@Oh1o6&d7aSpf4vD z`QaQH(<`}t8eiEnuVrc#mq1EY-+S{67tk}k21yxps}v0K5%1EQhbL#}|6C&J`@H9I z{8GM&M#mpl`3tm-c!%D#2?{UBf$5tR)gOv^r!cdYIi!8Eu?TmivjkL>*l>lOu+z28@U zze1HWZAB9w{-zIECoRX>AIt*aw8+!TrxBod~NXeL8**YO);E+@W zdbV!i@ox*ijQ{+#fWhb<8Jm=oU$uG6XPr;a`_$0E9}GxpIQNe4ku!UQS9XQ$@_XCA zVGw<8m*2eM;uqG?w*d@3_nczBzI9yLx=AgC$&lH~_Hxagj_qI3^C}JDFsFc;(OZ2B zYZsr7P4id8G}4hDK7DB;Bm<9?i%SP4c2H@6ijh}I?I00@nr&g15|L#*d79CKN9JEbKh3lAKlUjaFdLf2YXg}-1dHnMV=f?& z+%B!MZTc49*lbN!$u_tjGLb4pXMwSw^LGTE$Xoj+mQ50HNjrJ{xO(dJA+6^enb>;% z+bAaxl!a_p{1~4KaDGXg(|$ znc~+Et(ZF_APtDVfnnei0Y&4`FC$}#j1Hc@ z_|i8z5lPQ3E(N!J)4qSH(zdq6t>e5-qp1CzR15_)gp2*+=)uBJ2#V;0DH|sg6c5}I zaV*nuI;R~|`xhPn?B^lT3lF)}``Cp;qI%Fk}iUG&JT9}f#D zM71JgnPrsrU0lOR9l^`Y{Ml6`3?^;+cO^CRzcG0f>$-o8&FnD2ZJl4f3T^;>^Dy$q zrb($8rNakekQ}=F+xJsW%dWg9vO0k_1LE_*npl8UCh!jta~0pqO`Dj;l`D)=St zkdohf4sAC!0L^W|yS4Y0QB+I+_c={`!!_!i`!p{TdWL^4GcvJ1je>H!o)a_Z#=L8v zT_m}m(a>e+7+XFyOU~)z-?+TCgHH`ajarc@weeZ`-xY1~=xjB)M8)YO9+~Cc-VLk$ z+BB*QEZavY(<`J7U5$N7bw2xr_Y80D;*iits%rgFGO)0A057A<>xLP{>q5*@`o6oWrUdu)`V`aa?kv}1_ANFpGkw~1_oc@|CWAhGaW z`-Q%z=6<4MV(sRY28M-|9icBw?0b@Odd8ty1$!uX{E=^mE}6xjdw6s3$^)-khn@>c z-ztR(Ivk-2n5z9ir!XWG|udCk3e2|ILU^EX=u`1GoVZW&F3 z)3@kk{OYD4d|k~p{KLuJdx|QRodo_#>Ag!gI8r5*tqbQ^1T?;3ATed=l-1(Z1?=$b z8R{}Dcr$+)Ng}O~l*@DIiZ#2r6H0eh&Az&RaQj%?C?wN1Y{E>~><7Qi1*@6LheKRa zy>769^*}2ip;+88MQ%dI4EQ-Iw#&N}Ae0|3!Vr{w>)?cN)3ay`4i!9 zJ&;oQv7srCXlALBVfLz;4@Xec9?|TtfA}&4nMts)2suGl)-bUDGMTuz{}7oFo`etK zNzlA8RNOU%Cdwgj3UXsY21HXB83}^QBqJxMdVt7E_upDf3CRE$;a?&XLX03GsJyui z#Ai7;2EfoD7p;?%a|;aH1&Y5?OgHxc82AgG{WT%J^oF#DVIL6b=O9M$FNc|%n@jQ! zah6|DQU+0&gNPU!8iEi^)W2Fx1U!oHVj>t!*hD6R!-N9Tf&TS@PXC;lU0A+_p8kJP zOcW#(R8trMLPv{$&oCh&A~OpI2Pf1wNJh)W#SMu8|7S9E7-Y&sg-n@(LXaU6L1ID_ zs>ojkRa_h*F#})^{#pku5h_|lvUdcH2{CC74o+|WK{7L82x}$=#AG6pqrYo`!IGht zzK0G7Ldg5!BXlPP5vh=1F)a;BzL(PsNom_VOkv~*IP)*4*3$#QY3mT43GtbS2qWz= zRO<;;)u|~A^$d#hOc)A8WnMzZ6XMk%DJVh<`WL~2L4hDNvFQ*9BLf2i3gpv#WD03% znIS+E>NuD1Q^-cE^_S5^=x9w5SQfOCJ_Lfno&~@N44^PX#9vYqVc3KLh}VSZJS*$J zTH0{PN&8>C=6_+&F(k1FWoUe25@g>^tN1IWt*x#73e{bQKz_fqK-?yD#1Xp9hDPYo zrc4;D8G;HCdft}rP(TV%v&W$C*YE#_wYLtd;(H&pXHyc=or@5VkQPCZkWK;Vjt$Z! zA<|tE(jZ8Rw1i5k2uOo8(jZ8qNJzsw+t2Tu&+okFd%fq6^RDY!vxkkd_6+-3GtR7g z-49B>373E6w6N|4g}D9RKOvw*`zxhI88{&txCPo)*uV+5k3$a^74b^ZhYyYZ@zVPG!43Pv`w7P-%thxx zXegW$0a3s>Ck%9k!R0Ro9-j;&ot2eU<}eW*1kqK)rKScp)51J7Y~_VPzUI-1f0VSd zbMP+C!>ukXUW12g;I`10mX^N5Z!E*mAgULx{D7S{xNslDNBV|&A&7~QZeb3XsJ z!oy<)-IMUi6JOt;^nY;Yf0WM{f0fVhc13U-uUg?jtRM(_4R;Y3@PyfK6!#4uT-BSe zUy+$Bh&065SWyh_1YF=A1~aSkp(1E7=#2Ca3kX6WLt7CLCVW&7RuF`^hP?={{DXJm zzM8_pCnSN-9en(Pf<>8-P*@H!{Tke{?uftznML%sZ^3-GgtQzSS}^9k0;NM%VOhwW zMF;`em_vw1kBTagZ-Nk%s1Ei&IB%5t+1))Z3DvoZDiG-zVJ`_`87-X0GCL=?;BTVJ z_7y}*5CX-0T3JBd<`C2a*Isxp@nPl@?pSbv{ni76Ht3imW7Dum2wC;FQyn7Dc>NzkB4zt{; zX&LY9k#C@8WDyZOTL5LT{)>UOx6iMxbws11ItJ?Vw}K!P1`6%K^=A--22-HO$~*+Y zZ&ZQRNCzl2(is)92Kfr2N2VfUa8X@>m6e^HOG+s$;+nFu3aY4v#l!q31RF}tAxHzY zq6s&qrKN=|f*#sjBZ1Ej@GJ<_bOB#~)HDH@ZVt=59{P7SL_|b%Sw%&qIfRTeM}$2` zdsAHMT4W0o2?+*q1g+Y`sGLAyFX@yXUP;|$%RNN!cl5Xwg5WC*CW>uF33nmyAP9QW3gMvUI|Kv;g}lt&fNtRzqKM|= z*Qii0FR!v=gFllK8iC_?bTlkE2ocSK7@x?4qM4v?JvRv8h)3zAQN4L?VG)YHNd?=@ zVUQWVj=&5vJ_#E;8~~`xh@jvKFx-6`IhHN1Ae>Scub2@(p%06ai020X zZ7BB@0&F7P6_SQs-CYHHH)iAu)}tLy+x#y-`?A<8F$iJZV*$>arIkGDtDn1_Bq>YQ z>E0|m+`Kre-ABW1k)vQTwnzYZv~2O>CWC_6ybmwB+sgw4Y=@$_R^8@{(=#)_|)`n27$9s7Xp?ndCf zp@&bt=qKKt&Qs4U)g2@G>ALaxnzo}0?`tm;04#7vy$lpT-AlQ5=jq~}^R3E1Locvw zY7K_J<0Aj)#Dg173{JWyzkg$KP+j6M-+dvFdPCKYhG=`3%c@ZL5n31c6{4E$t#lsb z^{zR_eC~zr_~05gMc?d(f_TC!$5!nMz~DtUWbnWfNF(xO8LPxUwgqiycCK z;Nv+NM`$eMK4@*pIpN=eRn7mXe3=1Xh9Dy!>Hmj30}xFl5)Q83*!y+QYRTBGpDA0s zNXta)=5OP#J=2>YVjI}YU*8^f*K>|?BB-`w=@2P;^M#WyC7gAji8|7O?dvl@u5vpL zGJjg`DjUtO*zxq;mk((&`>#s{y=wxv?H&tZf&%*;Ld=^Xnau|7M^ypu4CF4?S=`WY}8@FBUOr; zd_lu$D9&08FQGnNmYVpDe3Sj>ua94W@}%f|E)FpYkFlT!IUQS6WT(~TQ3HK4Ocq+* zU)at8I6LfOGEOB@`?(*M`yFc0w^ z$@ZQfG|I}@-E-6UChD2Ha{@N6DHbeF9efiqaQ7)a3m2Lf;i??$JJ`m~PAJRstd$4G z{SyptnABhKMK>(kV6;x~e<(PQ(@>XOBf9(I##bH+fT6H-Kf@e|GUl7@7PLY0 z$B#&o0}LgArYD3u#y^wI;g%>b^h{eVuI%#Sb^VcUn-zn9rsefQ!0*)KC>KFoBz98u zdAv2#KB+e8A^B=S?(5L6o7`!FYi|+lF z1L??<|iwl_#U0qZ8r1|I4(^bhV9$e zk?NGcZ5t!BymT%O^2tVJ!T8YT7S*nxlO`KWKq`b!CAXG~ynlWkuho~RYm!vh4xCRe zMwaZTDmhj)B9`@NyVS3D4b6Uw!)~*W&+$dF&;n0>^V*s?Ef@T+Cc3Y3f?VB@UV*WniB zSB1}xF9W_2hJ^DGG%HibLW$hy+XagF+F;Sxf!KJL)MickXvIq7RTTPlzNriBC<(Ny zTF>7PIv;~~==q)EynN|hSPhbDkE$fwl-XA5wR8AHdWOFr>$Gcus!!ERA8PET-=s)A zoqMk(!Cmn3u86$Ke7AS$#l8CFVUSDyfci8&txd3~DQv<$u4~Pk4-3M5NmDg(^!h+h zg&Y{{Nf|r5Q;={z;M`rq1AdNj1f{Q2E|ux0(u2y^;HAoWN4u!mE`^g+?v3 zSB~4`I~ZqHrQp)Pr5%|M;4G2fI7g!r&A#(s`3QuKAcEG$^Lm2rukogq6UeZegVe0S ziTyJfXC|v$i!nMh1Vzb4@3%nJ20HTK44vUOZotsZ`Q5E-H(P$WnH!O3sR>LM$5~BJ z%-`{d=J?IEX6FDZicHK(7jj4aw^V{O=^e)poPxx>kE3)552aq$5$t0E{8N`7mk|Po zd*{7>F0r@MeIY>!`i{7}A;`#M8< zrsWFX-XP|8e(i^Zm;)SyR;GNQvjS=ln4fqyrfzu?!}C)3$(JS*bO{fNmpMVSK0PDX zfm&SO?gI+!iyu7<^tb9s?fl#})!l<#aHJYvt`GAQ+JW`=^sXO@Ui($Px%+t1@8NgJ zlF8{^Pvuwmsu0on`}1tz6HNL%Waw#LYqzaU!P1>Sbc4*oJ~NF@ zpj@H)3GMA$(xM4jJYRpjCer1+Q)W6af$_j0dNfD*tQ2TWTO_{`u~NxMrk>@eaA0hO z^t}b%vj*%9_$N5#4gCPg6nkdeo*4|cN^9tSPPeKy#pfeE6y^m#FgXP4g!aP|2>{Q9 zuEC-r1)UkYp%O+1SD zR&KZ6>tO~A>;nEj2=$#&|KY{4Nj{%PCTZY|5&MYX)hPN zjB;Fx1=68>CBHZES6hNZh3svs>We19Qs&CoYFtbbXll`*&pu0M+nP0w`+98Ag?AOAC(L?3oa$zTdEK}RJ zVDwYYOTiN7Lh|hm}o$)e-jG9rz>j$%bCQzAYHubp{FqG(abI9yWE5#THNd;6* zwQfl@Q&EM`PQ%th7K6R5VZ5jBBn@>0iV~9bHl7JZP3o?9$X!ji;dX(Njsk<--(22z z!$nHp=6#^%o?Rx`;-Mh1!^0FYQd5rsEz|MUFU!8>D2ST)i`ur_J}_=B|HJ*}F7B5A zWw}4XD>$s!VpI4Uj~01r7p^eX|J#3v3lZ>a&i~0!UX?)rPAnuMih4{1-!uG6oc;@( zg8xjM{tKLf|4f|z3*LhNOy2$r-h%&3-u?^T0(kNyd_z40KbTv9XG;I?dRy?{{kH#- zxBr5-;6IbM|AM#w{!w5+mVEIuYBnbGwZ$C-M2P}lsZa}QcyW3}57EM}SLj!_CKk64 z5IyQQ45$TI9Eaf9od5l=`@c}9|Ni2?ul;|Kv4vNXd##B7{;&Vz`u~ZHz2f}G6T1e# z{@;?Z;|e{z$`eJSoVj`84eOPX(IExc8Cv#*!VG*vDQjqLKyTUf?MJgJG9>b5!P!9a z=!BBRZz)ac@jYN}{8foF4+P5-_9l84#$Z0EXe9%f{s9l!g3V-&^xJx{Y$NYAM1$`J zgx;SW4+2I-sI=~?*GGJ2pZ+lP&LjJto!5OeC&nmn>(;lfd9gvSXR(hLi5Z88Q(oJJ ztTqw;bdATBNd@eyz*cYNT8e{534;`vz&dPn-;fZqd>>71_j%Dp^Gx~`J_sES4waO6 zYt_M6S*Y5-&UEl;DfdK&=dHn$^yQKvN^_uKx0v$)%l>i|`$m`6$WSQRQp3U8BzufCS z#0ernJGVjK=Bg-uyb!%ZO^i(l}Yf?MSMsRT1O(YSZr-lkoA;FxqeXK!?qTo0N!tEwrXaaeI{ zDoeF6ZF2tVV@Fm*4r-P!F3`Qp6{j^gWG;Wt`|WLfdbTkUR>;<8xhEkuG6mkUCy$J| zQ}|rS^vqw|!8MiD|GD?J{>bsC??T11U8c8SijCm{V{Vkvag20$t<@xb=hy-6GEAhg3jozgpy;q{e)Akmr0HOl zZEo11ZlMDB%3oAP31&S)vU-P?BG(7|4X8)!rxAk^>s4wLMqVS}i8&cV3!jqd^oH0F z;_`0R=av8_XNq=F?-;lD%f0QVfS#05+#z)$ELmN}Ep^EH;6}L3Ss!qwTd#cCPoSPG z3Q7np6tO?)$)8-BYg9|Qc>zrAq?PJ)Ct07Kjaxqkqycqlc|v9%BJo!7Yx+#nsIrSANL9wKyhbnD9h>-@p1(Js@mT{82WL8}@56 zN*6EAs3hO9l|nRD_@qKfs`Z95hb+K+QkcP;?fCOqrODTw%r|F0haJ+7QOylNJixEK3`ZeEiEeWuv>d~I4H|Ip;vuh0#es(BwO}x$1?AUtw&Ym}?NP`Qo zeoy4`TqSYpaOeF}gpCXh=e=ol@2$wt_5}G1HeH`DFk_tNSHLhskNttqUhvB5agjVX z4{lkK8uc#+EtsxAR*^(*hdEw$U#0&?%jaNwICm2gjjdCsG4 zQ)zPHdSi*5^&#(oLmfILaqQc8uMt4lz`~1OK=V+3t&AjvU)es1d0Z-Oe+;6DT43 z+(yL+d@~gpz8roidn9*YOgVq}@XJWJb<1g9+%Vv+vU7&JuSmg3Idbvv zvA9rTinbMl?ZP6k)NuO!*_iP*y6q-{l-kb`2Eh|h2vhsTD>~dV6 z9lfV>R-PoghWWMLaz(ROC?`jo`r;lwJluZnudR*dJKS-K1E?iwrvL`yIdUM zem?U6>g=B!NgI^QGfz!US$0BzZ|u7T-Qfql!t&!(bZ-`GshcNhObY6J+6sqh2ZGGu znf~uHZ+@YG@aEjcS|1LhZ8D@h&?wlZmCk(k9P+mcr30d}iT0rvj#9i{qQa*VS+9Sx z#~DJu?j%}XZxG;iK$?L|kG{OpUdM;g+ZbbAo*gI1_gl^{DJZhs<12B9gv9Rvs<@>u z1q063xcEitgD;sDP4V|fp#IL3ZP9w^qDs~}VDbT%kDymS(|wdcJoM0`)MZZc*P|)M zU(8+-MNSpBbiqoAHBV|^P%vj7<;(BoL!Nmv;&N2m=v;^{`i5UkV3R6UM+C&x99}r;0rz-WiB<;Z**0tNAiJpMAOUlG^rdxd#nySfkeF&uR}k++%+r z@|?qvMx#eL5e&>kjA-XXf2MQ^i%HQYtk~4&u}fS6`}S%DPwQ*82qgtImb$Ox6zh4s z1#-LIqurLh;tp=N2HYAZy+t9$EhUodK?KJdbl75w%>;`JW)nZg2aax)e*r%@6sVs^ zr%Xx33?>Ns~e33@~Bx$1S z_tP?5Z)IH3+jDDG9aGT_*i;MnLeYjk*;c8Mn z58DQvYMndX&u#6Afa4LIKJX`OfAc+~8pfzoo&fPkRy_s8&kwidr3r0C6|$sqPKW0{vbLv7t~A< zc>BJ7xA1dc=@eI1gNspyK~Vbrwon1#&5&nBy}N*jKY>uZq3@LCk$XMGB4%X#x;4I` z!+Wc8eCGQ~9wR@1UTDgXol`}Fz?T(o-k(rOnZy>pnK{J5C8cB2e`G&Q16YtDHNDup zG4VVKdV$di?W_UWU#GVOmX&(i%s=cR?ZEk`-0}I|D&*s@=N33-`{Znw2B9rOvE$n2 ztXiJ~R%C!nNPJ#d{Z9;B{JDcuMt*h8>TU%4Ej<(0XU#t-w9WlMWzEO-Wx`t`dagB{ zySQ|`THc{i^Bm$5@3wy8G9KB7gOZ2kAGUtmroB2?vfRU9ASn1s>(?jQUUF>k`0<+$ z-5Wre|K-7u;PVQ$_ifYMmSM5#x}I?*qf@`xZpkV+yL)GYS7l=Nl^*J}_Wweozh{!) z^!?Wbr<`|Y)7%joM|u@mENGrs#L|DZb%Bn@kIc?pQ~X}p6B2k-iBYkAlRKK^vJAX$ z<`Me5A>meheuKk_flK~XL){Hi%&^fv47c#fzOA@{r!Q6^TtcPSpZ^eO8CWExmiLd2 z&(<__j!w-TURc1iRY6Hv)%YYCtFS@iIlf?7@3*brgcMx*@!9QITBdH1uL^q!# z=6u*i$I%jI(0kbsQZds~rljWRT?~fks*g2SFZ3jhF{IoXWGCd!Y!l1gpV5j1B!9ZP zep3)gsOechOD`<%=w2isXS9T2=jz_Clrf2g#ZCPo742WZ_9+dUZQLdq4cl#LL!+OiWV74nm)ExLP|~m%29$wWil~(0x-(IA$D|BACM8S9;64bK zR*H&E8(HI7>8}JdsBuH?&NdwwlpPYX)eRGL-zfNlhsn^?%*WemxSH?|JQ?>ZdfhQP zNo#L{jz_~N=HmVQ)iOlFZf5IOR9)Y-0|+f6rxt10rHs8U0TVS);8WkoyT<(S8kYX8*`C z8Xm&~W51l1OA3A=8M{|MFEzBhz_Z$=Epnsa(5Mdx^7P`)-a&e^qK5XaIXsWjxxJIL zHgLaYPf%msi+>xf4tG(t+wMusR{{TynR#IybKin>)=JQ`FJ$PKF8T1cc*MR)`Nds1 z#iwLP?Yc>#KGDs(P8l}9xvgjD+sdg-$;ufv8=tU-b|4db(eMNTg_N>JZNnE#z$GA~ zY&{^N=^Bzz)yT~g9+yb;W%d-O?W-B>$Qp;(WAMi4aqEl0*V^-P0v^T8(!Y+MFLd*7;>_bXS| z2x;x3;$GE!vJC#CsAfL4q3Z%LiHsc5+tKg^wG*CWmymBC1NyAOug7|acnM#)WS`}O z_MT(futOd(i@@S3|CD+MJkjFq7$!7fwb0ZTqbt+~pt%3^ij*!WyY~H;>F=!fA0V9r zDqAkFnUyS_KF>a7Py>2FDXUln3YsQ1$z8*w7M0V4ItJZi8;VB$(IbaIv=7|Td)9YG zUtRuo-}P0u)<#zKa=j<|%g0wPd@&3vjE~Cz14nR7#pJ?ITxNbT$FPL*8id;04?Pp- z;@XcwBi~N00A=+zuoLzsr?^Mzi?ZQ8MdRcNZddQ%cM~VlAIB!CSb-%gcLoWS*GdkN zxgkv-L}=o>}4$mQ>cZd{)s3c6Kr8Z%G*DRBkfe((qj1 z`wHhxTvJ_)+q)~I^*!b_8Zgf8()S3Nq}I0??j|u#?JISRulPn;XGU4mKYM_2|1(&} zU{QZ$pOD`~euH1q(X)R3P!t)RoY6iqXPuH+(Af=WQnP9&b_huTS4P7gr<(RJ0`Vt< z*u(~lB3ZBAGuABvHu>PUQ%gswL%(pzoL?5U^c1YHUsJR&)etva1!5XrIQTSz z$amLyw2{6gXAd3*_E6I58^5bxK03i+Q3puBn8DE#awY4i<}DnZkc_DXOsd=JG0nXb zd)jVcUm@^$2~9)_S|6kbC&E<=rzCBjl5UsrB6>aEgU} z`(bS_!~IAic8xn3qmY8i>q%Y}v*>Etv&XOd6JP~vK;*~%#eGFbdGypdy*qv_tQ$|o zFL2mzYuNa7om~MQ;k;H1Y-)W|mz3Os;x|8jK8|?PfuK{-)Uyu`%gB2NMkFUWgMF_A-n9FT)>NS1i47`AZ_X(_)d36Le4x4deP=^|488zl~>w0^4D+cKi7MFWpt@^VbeHKUFUc4t1Cq@ zz%1-kP}O-)UrzZCb7pNU0ky8Dsz#8~OJ*0>w+%RaTENaVsHSmZ8l8gQHg%0v+dVq* z0!Jh?rR*bwA^0>fGaHn?>rqj6@d`|BCV1fdv15#s=I#TRs0?0tJ)00Ozx82o8NiwP z#lOZm&S~h}sQ!?VE9Iq(grv0eeOU`DRB|_LSg-jH)Cn_n|4?Ut-J;HqVK@s0ic;X3 z3fD9kEJ{a_s2Pjc|AXs<$;|)4I>}(H^B==#UI7fW78RAWfBDiG1W}>b&i~3V{XaRh z!LP&nhj5dB>CQY@y9uwKv_jBn5Cp+^Cv=X&pf2FgLPr6fFp3GmXzMjv7B(2`gu%|6 z92^{+a6u_;Z}IXAh&;qcIS65g?cYr4`UY@QhHy19hcN$vI^q2^g^L;7ws{^z_}4EA zt3_=dIYdUnPTL@e<{ud+JlFx3c$8=qHjQND)S^f(bC~6XE6j32EpYwN`d7h;fl_d` z20_qga|pDx!7wWyE<5cChn2`#~me}@}iHiw{L7@cm;^4cFy*hJkycdnFI5biZ5JWv8cJ<@ALcsYbx1Z3!j(hO z)F{dg<@P1~3$LoE!iTNt>Iw@{;I~kSo0k^~&GQWnOU=!PKfS;l7I!XUz+@+?l~?dP z#qhWO8dXa2AT$_wGl%l;ftuR4Z-+)kMn_?R=lJ+PWG4!rhC#R4B{;Ys^dRU8^NOGi z!i1Z^g6lQ78EjO+34-uo?(Hu(4$IhyEvTva_+gc;px|GPt?*xNT2%BeAZ}(wifM%^ zNTg>T0(u0;F~Zh%1&TmNX*`k9$QWvrw$};fIZ>Jy*z@ujW;)a0lAe(fW)Ec{ZLnaZ z^A*fShdqS4S|O-A4@NoR-RU)lpg#DuAFc!D5W!zc9L0!_!Ur_@EzBBeiOM~TGV_;|) zW{A{AKEOrMsYvTbjy_?LVbV~{BHUsuYVi!Uh%<+v_#g-+@c!?iib!VM^%R+uJ*NFvW>FoBV2pF#ozde}`FP zz})x#0ql@HM2!PpT++bmXQc58LMQA2?%zz7QZW9gq6%-S2Je7+D-3bMRRjK66aHDN z6+*gV!kD+VwvIW31D_G}^^J_-SPAn%IuZnjpt?b1R0%5TE34pb-oV>d2mKX0L$&bs zb@2A)&^vR8;=fpKl!3Rgv859=TDb_1S0YuBib#2A6?y*}3fSJph52O(q%cGr1Y!IQ z^F?^v5C%E({s+hjpK4GbC*0|&;Ul4^XS*qd)IrF|gsIcOu`Y*-bcMf&wu++*O5Y4e z1RN9a=8xfB(SbaW`e8=saKyt*C(ZxxSi@K+d`P~&C^QwGLr_>)SOlACl{%zx$}4K$ zcMro821en78H3r*@$oPPa|ATm3PIoCx2E7a-3np+B|EK)ufvlg78aM+uVBRv21b|x z2})FpVmx6)RS+du)E>Q+*dfzw~_Xk@TvdTt~CmEwOQPT))BCt zh#pqYF8+WZyTz-U5M;l2#Q;GLi$5VJn308ZjXJ>uEG+e2+=C#$|78do_`E-^q)Rat zq;2}DJ2Bx`y3a<_JxE9;_bh5{%&3Hl4!pfptddFjG$#{V|Wj zp7bRaQH>im?4b3?V>g}H(RH~GJPn=ykj0sjXeOty`fIco&9eRg#4&x2pD38%cBwCq z2UA}ZtCVGI=CW7XUcL_9pgVtYhdJ)x*Z$GqXDs2vNDpvl<50XIPD4s{(((2qtpP~0 z`m7$!KU!<^d!x|Ga_bZ*K`ceqC9pClBG$W>wg!zWNk_8w`2Ftvl#Z2Yl6>R=`0cbx zrz=xFRZ&Q#>098YaW>BT$q&g7cNkFB_X_!|0!4H-vm9_9e^I*4^6dL6V~=AqG+g+? zj&25ha-WJa91LYYuF1I9H?xIC(SBi>a1(EZ*KyA$jj_22kH^hRS{aC|tlwtL&SMO6 z6u5FTaGWJ@$owgYxk!jdv;M?^Nb@~#t1{D6{}|Z1{^?FLUt($rCbIJKad7$9rttNP z^L}a$U`NhN!0(Rz3ZD1;I`9Onzy%#XR5ZY1stfb^7Bn-?`7uB#lCJc+~L z>ux=4W!!)N=FgFx{kNL<)=@Ah#u!P;Nf}%_mcf^_KT5)3bdG)9^;ZVVi}KTB3lo3v z`&P&kfzmsZM6>Hs&!IRQdPJ%D&v+f~JOz5}JW+yepjvjD*@Qk1%Zmpsx$+4^vi@QQ zlYVuhZsDCqCBp>_J+K><`&5VV#slviF|KExD%_tuvrlUzD;|wtO)9blBx3`Pz^@WX zN4TW2Pi&-<43SyX%TZ8qo}sLsjQkV*L8?pg~f36 zJ1SMbe$m!$m?M(!{~|f3>|>wjZ{M5zzf&6WgFvNvMP5>mW22Ww zv%(LuKQf06^xv0*A|YEc_<`+(Gj<|dO=g){a}+8F2X94FvmhihGZpW%4#{)f##w1cdjOY@(P zs(o%#Rb&k)-!yXuNjHgP<6XKwht#>9iHyfi2Hh3r#Qt>EqjgVgBoKNu0)mQlciKBH~2jslZaV;WLw7^gPBtTs>QHxYXCov!T3a9GIQ7 z=jnWe5|qGOY*tgwBUzFA1^>B6Z=j}4U>t`;TY=(=W06`xyut|>%t7z_T3!3{s9`%);6ywO;<(lnsA}h^Fh0D-qQPaMc3u0yqHG|;e!X4AvG~Fux8~O9D!m`bW zXLEty(_{(pB|GvvCJ#RV*Nn}NGQ5FOlr9|Z>U_jEN^$4EdzLb#JS3RAk(|!+55ZDC?&3}g(WW-GYI5RQ z-IaPRKIjZ;k;N>K@qV#ebz`>*gHw0)TX@!8oBpgPc%}YEOJcwgdtS&TnIu?;JV^wd3^eQ8a~LtLTXNl{l1fk zNX9wD?rycy)c473Q`=FXG{q7eLX@L=PeDCE_u?X}DI_^!CRCZ?t88H3qp$bJ0g>a? z&^J1foAuX$f3B2gqm@uYjJTAvnde)`sH4K$7|dOR<(6sDEVX8tkaNyQ)x_YQfw~y? z5RHB9$sm!cJy48w6zxEH2ItmcWw5v;W+kGEoQ>gCRE2IfGvJntO@N8GM$Zs)&lM@F zYODaZt`^ttc(Zg=xv{o=IQbkdoc^F@n5Na8>s7i(i?RWp;OuL1v0sTfW#%rpx}%VA zloKJy+ZB_~Ti@4<$e^6JO}(S05mr_uFVPHM$wrx0CdAT#69c&uZm9&}H*a11}z4q(|Tu=aZ@jVnKvi(PR&SWHk?byP)ND1WYH72s#p ze9eIfu?LX7jwYC5hi6-8C586~zb(&PRg~yQy#=&==U8aogL-WlHo5p+YOP+)Wi(p9 zaIGEfR9XGSA~Eo*S50}A^2!slx*|?-;TtSqpl$oUFyZ^4Jl)gORs%bvD9j! zTP}Dn^nv6GiCmj~&mZ+avko{%em;8kKh2pNav*kHm*4 zuzt5lGJmw*c%>ZREe|5XXl7$rdoOTB@{A?jbP->CKfiDO_3a~5%1aAp6XzGetS=(b zho>JR6lssb799zgm)8jyG8MQ+CjaJe$SF2 zzU@4(S1Hb25D;<7?;fX%8#Hu3TI4HoBbLuQ1<|e_3e;%sXx!(0-3TWSeW5&k;?pW$ zCBL^dbW=Jtm^?s?2wZ6UJ(y=Mesep zuI{{lUSF!gsA^uRMLd-Iv*!v35PC8&bfDkwY?toe=&KB$f0zD_>$RJsx009NHKh4PguL~Yvju)z9Y)XaizDOIoO&r~J`r|36Bzo`SY1`s^t<9J zt>ZjFUnf?O^;mb;(K@U9_9aiwoi6=EOC0BSk3rFT-EULUGbTZK0&cA|DNgNd z3XD6y8Y14C4#t3M-#jIMV_i!oHot@MwvZ}#k9Ya|npQXQ=rMIt>oEZt=-8mjxm~Lw zS)H;>&y8`DHr6M8ba$Ooe#P<0=%5mZ6!2wbXzW_vEOT|2BY#C)o)(X$W5h{f@?2T@ zMeZ8Q=6=`KO;c$k?&*ecZl$BNimx#g2|FS({Tb3XlXS6oiq2lz<@f@flby14(V zA@=`Gzy4>O^k143T){W_s1!B-+~E8Nk1JqgLM1?f?;SWe|HVoFrE0-{#%lkiYJr*g zzarOvvD$yBS`Y~58ww4B6Tn18!_Q#;cb_eQCxsUj7QtD0Q2A5-gU=THo$3kaQ-Yr~ z!FNahyU+IDI|i731&fpr#E2qVnNSO6)Pe=IxPe-*q84nZ1v_eS6Sd$#EjSkg;oI1M zTY}-@|4aO}>`L+kdi5WX*Z=;fi7XA$ znV!tJcfrkPpSP0ydYT`3arcAy4Zf~JrJHkwpq?J95xq)Iby|L`No;j?@5F#x=ne~G z=6nQ2)6cPs79jQNbCZyx02~fJCuBH>xZ(P2c3%Q7#-h3Se4FMk+d#?tp_3u)#Pyvy zqLb6Juo>hT*9<57u}in~K!o#GuDbx3y=2rGYz~Pul<}eqmhg-1tZJ6B-^j0tz7eN4s%x?jN5|+QDhA$TN8Ad0lazY%0@uh`4#>M=@H0b`{O9GvZ$FPU4pI?quJi zDBgLz$E_xmYcW!%Y}4tw2~uuU3mrG0bKhB|nit*6ivH|n(4-rbe{I<`b#=*9A{W@# zYgSb7gd0x%vShpVskeDYE4G44+CSzHV&AZc=v4>kL#pQApYeqy@!VM!6BVKg3EYuu zzP2CaMNuq}uIvrFMqU2M#Uay{7gbkc#=Sg*MJkV159T9eFdW*-@McQMfL`80^-WUi zro!5nR>jCmb%N|Gdk*ogMlr{4ZjD#`8X(qUl8arCi0oSp@<0C2EAzc0kpghiNs= zbqewv!5`K|LMiyG8!_z|QbOCnapWUg94v9_?71~u`<%6tKbSsmThU}OZ{PJZ&-vZx z2FfLsTRBQ;OGi6MPh8&*yr+C*MbFkGMb&TjR{NPir7}1>SASW|;qa!y;;eG=j8@YO z_veTw1-j9nL6N5u6=53Sfhp!ItKfEB=|IEpA}vjwDw?-VhKL8l6ic)f^4Lg!fUa^! zEzw*PptxF=nAJu^9a3#;Y5n98yHXE(ErS{9s7X^7Xejb0&kpVy zVqZK(g1T#g#HrUYa#UVYM%<&k9-$&iP95N%&8Ep5XBy2N=L6KYLP&BnF$cmYao(^O zhT8HIDFhO+BsI@8k1mk4pBn;<%q66C(nEhMP6eyWqg(5bXV@{9>x6iwpjGxlGS2H@ z$R{-5&jjy|60>`qfbsb5+J}9Gn~TFM4mv3h#EqFIz`{1(#Jzw+%U8LwKiYN(WPg`J6X-Nskn$}Zn34(3_5*TgEtBNe*`G1mcq4rQPX zmD)wBki-qv?_78Z{&pr7TjA~I;S#>_i&;}(d8=@857*y2#lOU;he08$`t4D8$dbwsj16dvfYRq<7r8XU9^!gb z9I_b8?zBM!YX-y(1BPTf;~g#Q&cH3liEo{KxkHlnCsLC4&FPo=dlpS5TAxa17naRp z(ux5ZoJ-Z$V-H{C1C6)H+;+NElBaYB;U`w2a^Q6O$fZLIE@}8}gh?nTo~atlfT9S0=Oz0ydwt z3O|V(RkWPDeyl**y6t)YfTMMVLXh&0IW?`%Ub^gStD}rhKhWI~xoh7IT6Rx2c=t17 z^R_ATw*8&5TDf>04OTtGlU~=BP3TY70KxWW`(BwZh|F^-m6Q$B6TG!Mcl7?`ghQY1 z&12rtdjNBxW*wm|g)g7S>2-;uw2&CYS4s}Cs%@Rdu5PXFs2>Asc}^!RDbW|18yi=_ zlDs$^(a1V`bc5Ovy6O@_NylJN1T!Qeiod*$d2uX*mzf!vgWJSB$VPpz+V9!D{Dfx) zkO9fz@?EmumG2uS+|~Nn&U8Kb=`#5+IUgcb41QdT1M=0U@2~De@Fqli`P;22D&dG* zMP{;fdOXBX-)S$@8Uu<=UX;XZq@`BUL!%D%^{(u9^$ebrrSn?;7Dq@I7q0mn~twyomUE5S-h#ikqMVW)!n*vjF|}D5ZcD0XpMt@#N)gnX z@>Ts+Gnt?38G!H-J3^EWhQ zzN0a+^q8f-0}HIOhPQ1h?y8khK8`Z*Fxs+q-3}Dc-|xUFd@Rw0tqJa5B!LJ9~o;r`~J)+5^2vY8d;Dwvq8&|ox;BzNpCrsc00Tz%pvmA~30qHywk7#Dn z;Hebv_{ys#yhD<4|0e6)x3*yLWluuCT1wXttZ!yhmh=x>H7qc=65bxM7{O9b%lYjM znwZPfs*@2TNdBr2Jq2YaiQ`7@g?irA#x5@7y7K%>wmImJ4kealn+H~Q40Nu3GCyTSKO4FMQLrev#tf< zG2ay#D?1c5fw}wk90BQ~PW4=KByHaV?Rbpl za>d!VhY8z9z;Hms*@%YU9%MV(Whfl-PMleiIEIgV4b96 zAQsr!JGD(Btf->yyK+&o@|Q<}kZQ$G?92y(IY4vn`q33X_fAC2vw^+zoYSuA!YI*s zNR)W{o5NE_ucx5uHJ4dL>o-PbEt@-jullDp&j6bU>d~{Lrk`hi5uJ;GlDBW*m%Ld- zQs@3Dj{1WOQd+1l5}_6EiEcl~R}6#MO%+o}WajmvbwX_RSe9P?&il*U0UgZ!pI^Ef zCax3k{seIe#=n?dBRZFul+!R-Z24#Vwjw#DqE_roLBMaNw zrfyLOirNnbf)ZrR??*-?TsqbT9|kE8xL)*X-12V_Q}v_QaJQZItYauRZX2HC)N;7} z5)9BP>iIp%99Y@FZ~id;ZH<6m&mko7_u&;)>x{Cx?tU=#6;n`6KV=h}jNZj9EPv2H zB!6mw$>u3eLCcL#%ck_eKUvyD)MiaKp?s}{id*C90QYs{21Ze9l(|#Sj-v52z@<|A zN@zq-@otsai;*z=#Y25oJx2ZT)O@WnXRzX?EX9S-{&)C%`JfZ)3z0u<* zX<3E8&q(FlHvq_3`2(-t%u?uE^o|9#Ih>k4nCzudLnRu={jy}2_74LO0`l7hL><|4 zm|a7ZwBM01%BfeaFl(9hI6O|QYZ=-HRL@dgzHJ%ZwSPE5$H674<6hf0zCc04tmhw< z-tc+D2&BCmouE_>7?=@}Rdjm#rVA&pFFv)>L!jTX!!Ct}K@MP>Z3r4Z%X{1XlPmHY zLta4qf`005ZmWAKre0dXml-@jM0!O)yJnt6+tezHfsI>K*TCGye_cNVlzwrg zjbB%Mf|By)_Am+MjUVQ9&mU3+HEk;;O)dN&1HxbMEUlvpPI-(UM>G>o4(8Faha@cT zb^b_BA0c7VAOa7fo0km24`q73%POd()V|X(y!Bw@tGTUDSY&R))bb%Xx}o6{`8=UV zQ1Zsju0F~8k-0@5hh`TL8F)Y1+9f6xRDBvAn~^dw|3H29OfgY0vPwE0&G+N;-E6H{OIkg$OVMG(4e9iFC9Qt=DP z%B>pzy>Dof*Rh2sAYva|TG0xAlG{U#^uWvlg>hT|0yCGmT2OfV&jT!R``bBd*VWCz zhc3sr%K=|;R>kzqLh*NmPz3a=XZWl<3jTC139PaGz%G$2w)C_uAM@rnpN~^Jns#Xg zV??4MsqI`UmfoqI^Wa=g)1~0`)G-FBZcO*oA~w`C)2y@0DY2{UsqY2B30|>X|N;`9F|kiFglCR z&g1YT4}+ny(IKg*_kih&%=8|9vjY&8_=C5&l0JNX+2;;rzH zbT6A)N4M?UNodtH$F=~s;(h3P96X>53Ms8I_wPFYV=|mI+IvXU8j#i1e=Y|)eq#j$ zDGjTiWB$1+Piu8I`%j&6%c2c|mb*_-Zf$)ZSW}>C>J~J(897TV?EEO^%eP}hQ0;y} zNd=Z@O73U)-z3+7X0iKE28fxwhgbv4SUf`VFw0xky`u??mq<&>IRwBnLY8WgbZia` zN%=uKW1o2$d++$pj~K!du{E6^cVM+X2d9Wv3oAbE|J4_8 zz{#)gnJ{;TO(iXBY~>vJ`h%dHb9icHQ|tZ(qU&k~Amz;uVkN()SvjSxc-suJ@g-kK z7MmOK9_4nN_&)9z5&^ZrgTr5xWCFz73nubESl%5e=w8S`C}~h0_hXZDOV$Aai)TXf z$DyBUp8nzGn>r>|ZD(wJf})B(0oU|Af>JU;RRa;D_N^xsz3Z&zcfFsne(Rd^)2|@W z)-9;)Tl~}02QF$R_KjYo;F8tgiA%+nQ&(QsGqSoQ|NHj`GF43=ZvsktR}Ax8dnq_Y zb&c$vcaKe-kum6no)VB=X5n@WDw~33E}8ve-%W2r1M<`CjZMs(R7$$2 zN7YSWe)X5U^38-UU7s7T1_uX~uS^)W&&FfwzA=7K(6#(46!cMEmvb!_ka)$d;)|V; z`2A02e0z7*_)jibWtTESsc+!Af&b&atv_UxD&|%X{F2IQdRB4q2{nBKLL(Cpp{wek zvXhiSIViS%c0t?9e;4xt87gylOEF|iJ8W1!>69CQRh5IGpp^2yr(;{>XV-7tt%Qq6 z0*lUKS%08*b7`esfcf?7_Ny8XYpFOK9=vFw6;str%_(pGuBz+yBqFZ4dmYe-DCpRQ zG<+an5fG7)_gndmL&u?|7no4}op|~O;fFymw|+oyBO~X!UR^K8cl8CIV&OZrPsSGbgF~TkJ7Rj9h$`;CJe{D#j*SL?(i;+scEDJdyMw@s5l?Y5Tb62_yE zrEZSvH{5G}$muxN3%a#ANW_Q2`!^Wbg&RS znnpFXfXFEZy}JJk1xDs)GZCF3OiL^K2lC*#=Qe__HtvS~lkq1Sz&b zkdhn(K+)!3)#hLH6kV(DUmo+X&X)17(Dr})gVWQ~Xo2syGXxV03n~b)aUd&*iw->W z-r>WZF)v&ofc^f*=?jIT7t!=7F);}VDJ&Teu~Sh|QF!t|DJB8qKVn-5u0aY_$=2Qm z!FGV*7zFE+=OAcA(;0RNX)FXGKqJs-P!pn>K?ns}FY0mE=K(}DVevsIw1w`?AcO?g zkk87>hIei9@(SDEf9SS>tY!{^^BEmI=w1ARo4nhzfxbecrd~FC3KMXXz`4``W ze_dg8T)iqLX=Z*C#$T7MwY4>*yl>q?*+)cTLVqoMX*Aqo&^z}G_7w}CJa0p=A@Cd* zKaU{b1q?K^mjsEo>;~wtY-({>$9@-^_$+4UFcY(tPeh;{D6IDpz-I5?Cw1|wsbCQ30(j`lC;%zlMW z8BQ)3kaR|~&M(f{6sXsD>}EnMWYuiEdu!AZW;w=0A`pB)rkl)YQ`Per9eC=GPLY2^rc^ z3vt!eOyjsHYL^)%qsG^LCp?=iB5Y2bO@bvTyGYK;fv&2H@4&)=DZ`1}s{BjUX2y_=D z;ROYUXF#$uzsrpZlFTK4wVi*#)r#6aG~qci4+&*7$BY(1hgl*MVK&HQ7{rb#ptSRU z!EHF4xo_XGa8bI*1(Z5ENiJT5nL&C9dmwEZv*hM|!5;NTFY zl&@$5!A|&sQyT=LonboAnS(Nb|Avu+5JF596Mhfi;$I*l?y|*3v;TZy+8F!-`C2Fy z0(hfV!qC{%97etl90iUgI;`LhSi}Bof)F&&Y-?+Wj$8AvVkX*Xja~xafTmSZPcWim zx_tBRVZuBJv%*e=^+Ox;ZI%!_EI9Go&{^CLyXvq(kWScLS6C<|Oo6}mVLzcM)!x3p z#Y4AIUR`%kPFROWC*&vtY_y&kgc(Bwnwc485rpCN5{nh8nPErlZ3q_BR9}HQY)%;5 z+!~OnlgFLOkSpHF52|v$O_z!DP z#*AL3u)eXi1O2fHkJq3LDRO@s!ejYUV#e5LEg3Qj#rtGE_X%|Q^gHUuB-lZFJg zrsm^v9GIzk`kvj*C<~M&$v;>!Jk$lI<>bJLo3F8g(PV>xQB>63*oJ{u0t5Zs|73L@ z9|LipKc|KQ+xck(xjTO@h9LLm&uQRg^!artvqcMI??}&|(_*+lc`PMH1QcCSW4I;G z7h(M0kyx89r{8!4k72tq_ z)h-`utB-4~Up^LEPq?Rhx*~NMT&y@A2qo?8e)!N}iF8y)_ze@DqcgL6kL_&D-9Nql zDxmIBK8{e@6YVQWT1-OOI#m_pYU;#!@Dky6J;%FI;v58t7|KN^Da}#*Srb$iJf^Q?hyorpvo3d-qp=$>**)BYzr?nej+1?6 zB>)yfn`t}WmdSu5-%5w9ZpQ6npM%nJld$K>cZI0+f{jWy$vE*-Q|1_eg;Ag5^s=x{ zb^2r>78CjLN&4ocoS}`6SDy!GY!&2`0IG`L4#r6VWG5x$3Gb^Iuis`BwCX=|qr3g( z9VTW3^>d&&pf^sd)}u|C*(@f6*g`TV(22K;`|H!J6!L1e5(%JPnH_Y`E>Hfeg9GuC zXxp?2moIs!j0{N&d1l&cq8J9CW}#|mjPDNqRs2+`)LZE(Y36NYCx&m=cyzv=?=>J3 z;QCh0Ra%y>McclJ#wB|0l*Z-;YgVE4eSYUYj`*0hMW9)>I!me)G4JPOx5E|}*kLP7 zKR6{!lWXig{Mr78!e~mH zr1qYLg#l>@2N2SVNleBP?=ag^32)^R*{YySp>cj8#g!BNl|052H3yn=!+a%HB%=4* zFW}GXF+b(oc>H8>Jx6=^n?cudf?xn>Q&uP2e;-isErRq10ny|(Telv`g}ug=azhi1 zxTVQm@a28j!pS0$OvTzA0};Kz-5UvNcSm2Iw)RZwjEyT>WddgGrblw2M`j^ZMD{DA z^0u$K{py{f?i*JA*|_PUsJ;U#?lXl^c*(6&Vibw9PvkF<3wjaX}L)Ej*0||JgU;7>f{E~Xi|HY+{ zKWUQiH{jyrucW#Buw4J%fT+Pc?2ov|Q`a0?xn!z{v3ONO9$SNWxi-{hh`*Jc0+ZQi(zHQKmc~leg&~K*B^=U|PSqGTQXwZl9sN60-m&uSKH1lk3hE>TtLjMUWh<&;d%IFB4} zknnq)-08yz6k7PAd=Acw7)YP_=2yPLjk>>CKE8$NAXKp)k&Ti@<-7385SW4qw%ppS z_oCR!*gdlR>}B(f7v5}sy6~!!=}>R?C^lOYoQl0I4S&TKkb5mvQiAlBt5TM|vnUUld zjvxCYngfQZ0*%xwo;1>>B$4@r<=$6;$ibX*G(`%&4)#X)tO;d2Xrrf}tOM-H+8div?<{buGl%Uj z_f(l*r+Haa^?MCtj~_Gqv34)Gcke@vevZB$y`q%vy@=78>hbV0Rk3073jylsMckx1 zpohj>mHgR8EUu0L*{GcZ|1Clvk_ghMWlEQ`DK7A+0v=O6ugF8}2Zh4W|%Za%NC&SguM0sHso;Fq$)B)x|5(&Sau=27kev-y-!n+}J^1XbZJoilI-lXF$IS2BjKq#+AnLn~yJ6 zpGbXe`WUj9Lh#J$cex6|F+SLp7cHlkxlG_lwkXfO!sO z{0p;f)r&t<*l|Cn`H{QARy;i1q~ zx4C=9UGXiJf|=z&&(*4B`h)&ZagC?{E1h~ai)_{*`yWJ3M6~k*!FtoUV4TIM_2Hdh zSly}Z;kv!1n0$HmzKQoiS1Fr`01xI-J&@5cF_ojdsiKQbam6gOxJ%$cyovT(*0Bal z%L|S!S#4m-;(d@Oi(})Ya@?=5!l8iGK-mnrOIKu?u^uY;Io3%4&M7aS=9sPPI&=gb ziJvn}dcAZu4-0Mvml>?#b7A@+ce{63 z0kiPHXJ^#QnCG7fxJZV7YRw#eP;vTyMq>XvWBM;*3jRA|`Y&P%{ySs(FKP?mI_C{o zss?@f09WY2@*79TC#PrsqP76NnDD=_w*QOTg8$Ci{)^fI^m@bee@bWbZL&8 z7VzHq|Dv|wHhQfgdND0rBK$9F`!8zyFUSg!SnhdOcnt+jx$>eLK6Jy6ZUoScAi5Dk zH^S)VD!LItH=^@g|Nlf{>3RM^VzJ=Q|F=e110GkeD8!`Ij{99U zS_3A4ONiO=?Q#2+uJ0>ShO`~}UfcGV#Vngexx=S%X+P=9K|A@vEH=AGgh9iFebAZ{ zdui{S`;+05(@8-pL2Dx{0kG1c)cc%~@DWZ2A|;XQnYd!XhM^}Z$+W3Xz9%&BX?7ods3>~E~*d_j63bKK9K|i?x^xd zhkW}H7P;F3fAGaVUKbDOb|k4;07Vz8+xaV)hbGN+SWJns`0Pjo`X~jy-NAd4qL zjTh_wC)HxY zSGq>rejQXTC+#c>n`;dy|d$(6tdt~EMS?$ zYKYQ2CPJ$_>vzG)C%z)(j?qhNH--(Lya^Mp`a=hPk2;rk?qfUNcK+lU_qkoGR)fx$ zW2NfT*;K|M*WIN@pgu{@=H)TnHQvt^&3f@pwMxIe7x`Tag@?2btF5J{f)=5>-uVkET`l+I#H)Cl~Y2@#n%!iQQfI)nBCC zsrQ%Nx4tobF6|`+`swn$QbZ;(*qsx zbI1C_bL=OI;u5re0YNw1r@GRlZ+}bjqq@W?pCSk_726CjUL$+^Zn5xC zlV&v{+%}`?D|>%*Hg0xX68P3B$TAc^eP1Lc)O0B0S(hWbNmm$mawvBr;yF$p`U@oY zJP|sG**vhjZkZyVvD_3ORN{X1z?H8w=9=)6t;%80x#%NnV>^bk_fAUb_89NHae*nf z!$T#5=eN9e3oU1kfM9JKrQ-N`SLL4c+AGslY#ZeZiGJ96qgWMu9FGand^))dQ}(|6uK^<238X^rKgUU)6IxY5a3T;`W}Q7QW}evG$^?r$MUUZH?0 zxx||%sY}VjfIcZ_P@njzF)aR*n{daL@>=dzE^ z+t`T?)a1qIBLQ*Fd?f)1oS-_)zb_#kQsN zcN3Gk{!+)fcpbP5JLZ!+0VvSsqC;O)&4TN-XtJqHln}=rDN`UtBe!1s%yFvfO5r&u z#x~6AcbgN<`&GYTD7oy`{vFP%+OZC%@2vct1y}h&e#U{-1y1in%ZbTWT4lD^BGwC0 zeCm!07SXvga%)=JpfQq(@R24yftOO#XPKKa)q^hr2(3+;yck9-STOu&xPTospFe5{ zmTUT0D}%Kw-XC`2%jN&pw28x^%6Fbt{T&F8@)nl+85GdJHKKTJWkBG{b>YKPGaOAi zt_z-7FQk`2V*IC>$5M|UavNXV#C^hxI(Qz+`7PF32dC_|@XVzoXffY#5G(lB&{)%{ z6o37lW%Jb|=Yfnj7D-oYZDeWbbcH~S*RbZ}NArcd^SEbF3{Lh!!VgvrR5?|*y<$-M zQ^ptw;aOVNV9=_O&l9R#pF*uCp1CRPhQA+-eQCkJkOpt`Vijloe1&yz-Dp33G*Oge zhV5M%TU*cN3_hVN1`+$>&cH4mQ}QR9h|D|xsvoJs*E zx$538t4khbpH5y%P`!vdLZkW3k0QJGqVU69`u#7HAVG4X$6~CPd*Sly5@COv8@HAx z&Pa3Dg(P0 zs$Yul+~h&wsQ3$Q{i7LMKyEt{CCusKX$;)!}I+puPK#n&+i|ZnE04cY_bxi{H}7xplxhCTX$!r-+5DR+)l18)q&jPG@aZ^5wwUDeH5!e<94alP(& zl{$=f^@pSU1GiNiy?+xlIno2p#pt5_Z^FMbZu6&5wkDT*AjGOMGH=9}4h)dOxnOhf zk$ycJ+iQg{S7xlYP2f7WJiL-lAo09J(P~z0lI@-u7`&nLndf~1-`4Y8t9pvXZePW% zHm?YyiRVZ}Jo$8mI4~YfWs9LGxnq#k|K3U<4@Xb)O+u)r=`N#Y4SlN1W^X3kS z|G3OZO`E+hY&XluJIJDP=ki4K_;$G`9mSBHD3jZD5R{^j;;E3U?7!*~kutu1b)7yq z;%@C1zlj}_lB#e*D^T5nW1jR?&o=OBRy*ZobxWu0E44lQg?r|9&HbFcW0XMZHP+YK zlXKP%Mk#Ciky8d0i~emKD_f6HSW*a|hEoO0H z#?ve89GWEs^epTKxr6ER7hpxS_oMP&DP9y$1}@bdC~J97Eu|EW01emZAq5ppW@V$C z_k?Xf#z@UPVVU?a-fIjd(Q#WBtUy4;Ag|`wA+5Y`Y)X$^L`B;Xj=Duw&Fn4(owyvT zU=`5t$ZI?+!J`#?5S(1vFiX$QrFYD(ZSEJjj)Ct`Ttfn`g~k?f)jYAN64B`X<1jpS zL@1TSB^VRGMPM0IfN_Qk9%{DEV6eGGNq4Vp{-!WCcY755X7Vc*A%(DPL_DmU1E$D% zL?z<$>Q1<0o-h315Hfk5nB7jGpwhdILGGPjdkbXdy!vD}dYG-DMU%qbG`{+iKq$Cc z&SRpgq5tRQ6O(3um&>JJ_NBRN^rFPG#nnS>(o6JL4bnPrXs^hHCwG1t0!NqFCG}sn zGxNwQ8+-6vm9_}ZEbr-`+xX2WB5oBDUkrvP$-^T_d9|X2wOif=my<*ip5BWXTt1|g zQTs5wb%F^fuH?N*f2sGfvg^ENmy_SU^1W(gp;u5nuca48wHALJpcHIu8=Tq){PUN& ztz9P8jxI@P+xaH-j2=^J=!a$@0AEN#)5bNqv5;e3$Sw}CVfw-DE!6vj)GV4(&^P`eEqy# zO8a0A-FtR5RF>e7*uehD5`~st`|Lh8gPg{r%>1^_kDsSjcTY7QK8`s8Cpa9sf$#gr zC>+zK_i!i}uj)mn=1-Ax>Dd%*(lXYzf?cLJPBctxZ{E#Oxs}E6lFZTub7xfWiu{^V zyYy+%lEkwK$iOxH&YCgP>nB6(LNy8{=n!d{=rDAUB1XnM;?HZU_JU+u@k}CIpq+-9!}w{|B-RCbCc$gk5jQjnP8b!vzHtuJ7|ncr z+YQ#K>wl3%%@K=irOyc)JGshcc!#EGIgB$)D?3+DeA@-sgpv`x#G*QeCO1=OcBxpe z+`blw2R?mi3QJe*29RlhH6HsGE}2 zII*poR{{Dawx!$`Ncp79??&{GY&eEIe%gx2q`z5`)>jbUwa*2T7UzbaQgf>I{X(}S zOt0Bi$r`EqFAWk4g;kMVOTwiEqBHXwP%$Wd(_!L>T@{t|aqEOzr1~uey`Xw()wkt6 zz$vU=5B;eZBz27o7O4bP^sMh^2O_SW`Qm+>_A_L5ruU#g)~B24UzbD095Kmo;DP){7_-rU;iEU%B_@osd#J zv%<{2rQOs57^i#?VOl1kG`PQfM)WHq@@D@{DUa%&Yq4om5;}g*s(`TeqmabZk877i zZ`>)asO~r;xG1S(i*k)mDzE>^scsLFTR$z)bBZgNJP3*@8pWcz#I35O@3$hQW#cvW zi?^;DFfg}nW286l(Q|581kFEA;4zq+dlH#i*g#_AC0^7q0DcK5CoGWM?!En>_)lz4 z=6=Umv8zu&L@UWIoKgg$sAN@?q}l@QoEh{hfe$U{R47FyNMYr`_P!a*+uXh%fQU_ zRqGi(gMV^%@x~uUO#@jq_o(#dX%6+Ou^CL@KS1;3?VF|#H3zqx>y7I%LOm{{3t1)8#z4T8z7)^hs3s?Ps09=`(qFhN5L$wZ4M4*}&QkUu?rTE+vrAwW;M2l$P^}Y1@%(eZSn&y9;hT$}DXhTp=V$38De{ zM}abn*7nJ@jX@cCJ2z$0O!;%&dl{!Ln*hh~o<1XBS->c)ms!xd^ZQD5Clx#Ic^#8rc3tSImUUi5^C2lYo4mG(xp(jyf=k948lBM9^OHOb)OYd=$LPK_);NPI%)=Xri%c`f_YR%|&% z!`Q~Ql{yWJSL>xKTqG-ofLKkprls=>6RM#26N}C5vbs;I+O`i~WYZ;N72I@-EeHLN zcDPng79H>RawIP8pZllM$~xSQPkHtGl=!-3Xj&6!U;1-SEq-0y!+(Qh+Xc&nKul5HGqzz!F7+kNd6=8UX^v&x23g<^^~{S^yL~2$VsMf2+T4XB zU=?%gWzU-OquC=?Za!4rdj=(IduNx}`cW`>(XMf2?U>_`g)k%2M?OsSq zVO__{0Y3FD$B*;t-u^*L`pzEV!vHGqX*ioVv9HL)GfLhirW3rjbc{tHtEj49_z8<$ zTpk3!NPFGf3Z2v^ z+VPN8I|1fn%l|M?@-^x5JAH^ zur%~vFq5>R5)IGQzw7NC9zhdk|FH*N`UlMX4`2q#%(?mRI1tFhv-uxYCZvQ2+7MXc z9s*YL7zlFF20@5}5KIW2K|qm=j0{~I9WBg6$Nx!WqHTgQw6Jy^GzrSdD_hy2!A$6- zh2aF_Hhc%jX+cse2ti!n<_bG@YeR4#mkE+1(B4vrkYi2&1PBO=!a1?9D-N`q0rIga9bj{9iy5joJ;5PR{)~Iyy!N zn$V<#0OMao69WRN(3QxAcG2>{{o&0)-%&u|9R>JA0B(Y4ZcL~Rq5jKdLSHCUDnjN? zTuMsjIvUV~Z}tzRW(6@#YipaoCE?My=09Ybv$IPSMpSeVf`xX{20>U84j4KKp_LF# z568oIcmdt3kk*7{;ggb5;CTPCnyoenCajn3bNke_hyXb$?hjAMltGskGJsMd9)>Xj zOFT}`?e4>jL8+r9oyaNd=?wOCj{dxnAOyjfhd(jf5CqExp+!^OFd);7iw99#LQ+N! z=yir@Cm-rAlzIvYEn(QN>DHvG^1{SedM+S{3w=l5P~1*_-7da$`Tvqz}k8cVqZ?by|cGR{1=Ufl{BH08TzQv z^B&H5z#2-0tEdFyhO!NUp`y}t0~3<}=y9pTt~KTn1kr>I;a^5u&%nUQ*wUlRG0Zm1 zj11z-5B<<99RlF6LPDdmUWW2u6&4m21wr;7ZY4PgQrd4j)$pAxLEog7K=# zz6$Gg{ph&U1SYgGFJXA2JTazc^1X53#9#P{9p>OP)jf<5bPul}qfP_JMN|kbr28S> zPtrX`45@5*L?NfRL?}UAhKw=to10Oww+2PdXeOl{O4$ZbTHW(!VR|U7B@B67do+ja=veq@o(BVYFn=z9I4;be^J7F%qbrj_4=grP zMh3Z93?yJ4T?906{v02s()))H*Sr|Zy$4|ZBgL!oe`G?cH zLUbQbT%_KS-wSoC!Y6JM=)ckr630yl%3Ld*i|hpCV^5TG_;SsDl43C#%)Bmrs5DA5 z1RTA(V+pZ88I`J-?2v`5w;yHyu*?k?cW&2ho;Uhgf(J|*46YWWw!6nWGm^|IzrFsI z;s@OW!D5{~**Z9>`v!PXVz znbrN-UFplmvE{{s4NW1XS8!}v-I1l>xu#IwccAZr{iCmiyxz_f^Aa0>l)NVmZFf%L znkB>ORvi*TSg3S`mgrG3j&}G@-Ppk)%1wtKVA8e0DV&Jlmg$k_P?8crZoJOCa;IhO zx$z-SCPOZcqeM4B9>F)^T~RX6-KbP}#zo_{S~C4A!ub9)qhmstX=GeAsZ-0y3Aq)c z#$<)cXMlQ>l}Ea~{|hcN-4vNW+Tl9}S1fQbL>AY-x=nO+YlEG|-a677RBv`m{BBEg zi04iFt&c&|qTufstIVd_X?YMHGP;N2`E^-q=7n%--M9h2O~iW2NZBZ@n5*r*z<2`i zVc`X5Gu5lfcN*4r#{wdc)o|XnuJwKFh?`EDZfT=F1P!6M=h5DK%owCK7f007Zj;ql z#yY&^ldj2$R1GQB4h6^U=fCnkd5_nIto4|e{t$Unj~mR9^B&XHJ2zw{Fe(yISr`uH z{E^eUqADG|-#4vTG84ciNAWOS`xg@(omkOp0B(6TIpOD78A~|oor!8%DfiI7JV`V- z$&m^Dx_?wg0AfpH$!ytzSMDu7ReofG?bh}b|L$w1hbrc;GcA8CA20*yKw{Gy`RW~O zM8}dV)Te&e#i-ssn;JQazGSwrc4Y1Yy0W+WnMAJ?8B%+DA2G~J8FGiSX1JzO5Z|3W zXfnm$2SfCSUtBbTB`X-G3wHFs+}`WQrT>I8B8NW`diL;F`94U*w&Nm|v(lg8=o>1n zycD1+t^W1`P3+~AqzdV#bs2FGuqFJ>uJCwBmC&_mhY8@xyB6lQzKq!i zVw4_loMr zen$EBmS>CY@v|V45xnyxY^@>TyB$^^<6eJH1N;iZlx$SCUrpy!ddTgf^xiyZw%4R> z=cP~@7U&?Bq6F>yZ}#8b3+$jT3hp_#$u^E%!L1T&3HBuR%B3hTEK&lpR7rJ1Kc9L9 zU@M_8b1iz}!@huY2IK29D%!>w55ma6C&g(?&i5@2`46?oUw=O`oGLsE5Hni$6$(|^ zpVbXN0+t?+`V?L!IWaK>J-_wwNx3 zJXRkR#kyjTn>YIXj-1-AZjCq)F8G0YDeH40zR^4HO)ABg-!aPvJT=8_KlM`!{L)eH z0`1gcUve*0)v&xCe4_PwdnwDuP`Ij*nw#BvL5DOc^%w}Lc?p|yk`BFf$0n6GdC~NR zd(|NOmW60%ZtLE#zQQEHCY=HIsROq$QLSM@8eN?cX|iDl;>Rig%`(ltt;McQ79D@fC4Q_(`NGgj`PpHaf-Gt3#pv zUV|qePop&S?K`kU{TOSm=$c{Q{zCD%5GW8WNc)=gFqxX^T@K@N!`Ktalx}(JXyc=0 zNwR8jX9bYHyk}&~*piX_QRI=7+DN&EW9N?P%%FNf%v9s(KK?p1$KGT6u{)hnlBq9M z)brzARSMxJpL1VxwvAKaNY0y)z@Ku*KTyS+i8=SaM^c-XWl1;xv}AEhrMJxC6_1ZE zbHRdEQc1{FW;r%a$IDOg+=CPtsRj-6Z$-#(E|;DWkKh0wO=ZK72j3zLM>1Zhob_#R zA|iLyHCugYcbbIQ5%&ae@HXf^I)k2+p;J`31r1~s~ zVb|LBgjoBFhh$`b-d9AL`c_%fnZwZY8i0~jcVn?>jFe6CB$8ous;UbCqNA1@{bTFj z2h%1-vq8Xl8Y|f*)4-=|oHm8?7M!~GxD9&*L)t8#-4QCyToUSiryl+bLxjhnm$9+TfoDjVHrhG5laNr)cxJ;MZ#eM=& z)9PKL&}pnr*VI1OapgX6qs&q1K9)+G6~{Dtu)*%-Klw|NO{u?mpKKVYItTWRfE$E# z^ODa53Mw_n_9j2Kg&&?2F9yaBAWfA%3Y0nxVqk$rtu0TK?wZj`^qfuYJEQr@tZ#0C zu_LBc@{<{_&!|9!iu7wW!!O>rK`(Dl*AtF11a@mZL_rluMH3 zDfQvPi)&y>aCJU2>qn04K!ulENmn8L;M>ddorhcNE$*C)@6s}W^5?coJ%`6LmXb8O zu4OAp6psSR>=LF^sYxeUKjJ6A%j>`JiEb-xW%SF|q{lF>O0!I{Jf~$7vQMhG#aE)& z3{}i;l*PE-oUyrcDan$@4sZFmP8W`0o4+;retFN`gM=AGH_M+(eUH)c6)kzGa0%C~ zJw6>4>Xm7}2|MHsamHPfRj0Kli|noy{9Z7Ar^b2N(xOQU)&qD2V8p z#Dm*Is|!jLeE7!zeNA+J()x!eDw_OQvmNp`!j`iJrEd&4Nd-wcycUyF2)_Qfw8%+$ zly8XqKhv?WcniD|BOwXPwp{-gH~p731^*p4{g*Zc{~b5|m%0Tn;3`^p&Y6Zjz4#Zq z{g=80aM9iycpe8=**3TQi{1W9-GaYo)38X**J*SKk_C8Wfp$`MUe#FS|Pmr394z$LvM7vhUWv;HjBMJbo+61Pg1Su?$=Tx6O;fm(Wh$^{Ye z1)bbVo0?$jvVH&mi@CRss;X-nch{z+mClJY(jd~Mgn%F=AV`CBNOuTGcPb$uAf+NH zf=G)XDUBcs(y1UIb>{YYzu)tnGv0CjIscq{jJb9&)?~$;d+ojEHLn|9ePz5H;x^|2 zN!kJqNXz^EssNv!5;?pvgUVOFdEx2hx0|BbD})<4VXv$>&B0XL_26gNx-m>*ltdW0 z(P4pJMv0HCWcgeo+V90>@`r%^-}q^w&IikG5pQ1m&MB_F3UtK&#t@O2>-WZtOusk_ z92vZ5%Gs!3EoSNngyXRiv*cJ4SRQB!s$Mr@$XZf5)EmTlv~-YujXtch1DB z@LCMsEf}{Qu%vN6^3(**iVPtIq!%)5*BeK!w`X?|aCe}%)5Y0d*$B3f7#{h8k9|8^ z*O+opX65-`kNXA}1xIuTL>)n+MyWr4we0u;&`Jp>XDBr5?A-}xwP#X?!Ku{*IW6VD^cVfLdq&oAcTB)w- z*?9wh1Ihf{4UV-1Eg&y?bYr@}`TUebC|aMXgr8E;CPv_ziS-P3#*dIGOg)fmImRPu zN?Z}}(@4b5hl%5JM17l*g)OaFlK#t|#3aceTJD!|$eV=Obf2e{v`_JS#Gg~P-}`R& z%{;*Z+pXa207O*W_+njY+(%d3As}DlD&c_d7mhh&m{GqO?77So>;h=*8Ckp|byWrr z6m$@~!GjGc{~LXZ2F7#_-tQi(i!Xrtfh#Nq;k>h^QC-rnJF*sj_cuJz`)HYiYNxsV zX4$0|q<%mR1Pbo&-yEwy3f3gDUWgHX&U4e1i79=<$eZNW0$7)RknzB6v`V}>!@O}a z2Wy$Wu|2h2v0(D9af4ag6L+AvmlE8`xj^#6@zZRFRV$J6N2Yiwl}myQlTS^=lV*27 z^qtd3fgGX=9UFB|U%%Hlx@O^M6_3aHFm~+TOe&UVB_LdSvTVss`r#<7PJIl+eMFA` z)8&wE$#XHBOGdAp$-jd;E#H%5YxntP!@syb1(ws9Pm#M=&jq+n?Wo5WUzF5fdb^RH25^9>gcgeHGroVYw07S<>M{81{B|BFIJiJRM1S6t#voI^vM=m1sA`>u)2HTV;JP2^BhnKT7;z9hou{knU?nH zZhH3#{&2=S-hbhLI((hj{bM$;ZI)ZW4RpMJaOL=A|4wht1cyQU?{xv>AbP=_&ib_( zuygr^CG*kd+NWD~T!OyNS6})M%N%P+Nj+(Aj(aX8c^UA@Upk_HDdS}{W?C>DQ5G3u ze(hH|#$xt2jM+!6a)Tw{78g5}Tkzl&p3rs1vK&JZIfG(`&wli^4cDH1RMSzG15x3$ zTrv+x`Jb$E?;2BfdM^o*vT@X(4+lpjlf4hpNdctkkIwqm79LAd==T3yB=yg=>Pzt+_`*Ys=K^Me?IVuzlu zm?!QGZ&QGt#>84;S;U?1dwdEXr76;fjO(YFm9OTS%k}?y#w(Z%u9z;e@fW!8i(*Hc zA?4&}j}~y~r6ov>*VF5aI1Xg>fQ3tn*3@EszL?78^s_!V_884_Y z$AOvM?N&aYa@IKY=~&q$l(qn+Dqr)g7Bv=&1qW*MR1~N_o?j}dN(*J5DsE#^;PxWX zPCOsXc-tBOsIZOOI*Jf1)SjG7FM^Zi&(mYTlGz358E$))@6TEH)Tu_5lDO$fU2^rH)dmg+HuopzcmTu{EB6&%%NsZC z=E&BRUo#~Y;QZ6ElPcPc_p{6*NB|eaIo+^r<<-Og$uoReVDDk#1AF{pB^>6Vbg?(@ zlorqDQ?QduU-TqOwLX|#$zKMcRWxEy3mtdD-Y>JD<&Rtl5 ztcRVsMR6aKLKuzya24+>jOKzh>Z;kH_3K65KYUwsfb-(52dh^Wci9%puFEgro``M< z*vgkak}$Xs9Zc|5|miWjN+CAt=aB{ZRmmbr(fB% zZ-Lz0?jX=SC1IGi! z{_NkH+P&^tz?qcw&x&EMbiB$p6@*&FE5t81Ly{0S>l;DJ?RQbc0M~CK<<@gU^-#_m zqA8}AuhDP0etLATK`W9Vw${jXOBd*52ZgoA+FTN$u`A#)HB5M87_FNdlKMI4x5Se< zjQb3L-gz&ASWWdT^^fh5)~rSuhFpbY_vL8XtcTdW8f4pJfKKUj46o+v!akH<#Xk(L zBEWnsc{wsSBgH$;c8y#l8LaVS25w%@3q*OoDc!O^$Jk~P$|hsWk*c3rqT*ZPsx7wT!V)GJZvG z@YqrMR=W049fY8ez(>iZ`d zMW>a%?Vde2+Gd13ZoFdiWHvPs z1tz{JG4O$zIFfqCon{7RE|;0PjbH3H9#0cdNUGemasbKMRXvzO*Cb>VEM3BX{l+G| zu9nm@h%Y63i9MtEmU$LfyhQ6?T|266=tvtj=(F&GdirCrQ~V=pujF(DLt<(L2rAh5 zrWSp~RJfOmMa}cO;O*_4y!S)PYms_zTf^UO096~$rs<7Cd@deUZA<4zv5y+~FX(jnt}NW*7IUr0okuSZ+EK=1VbiPOJVuRayA(5k zJUd}J>4o*ezQ)p@Q(keiu!xG9x1)H(yy8*@j_!e3puXkP5WZk)*MXRH5f%=)G9;8^ z^Pg3`9Xd73sib5U0{Z4Qx9T>rgzpE$Vchxhxgxk@UdH9gB=xn2VsoLq$pxUCI#}`^ z@mJEXOSz4m9<6a!)-gd5@qw7Bn}9>mLIA)_~kLQaksa;W?@+j?J`;>;jSw(Q#?{Ej@k1WApH9 z^C55)sSB5KnxZ^KlG|fQG@u1qAH=KE%XRR`q|p!|^Vh`HBjL z(-SRWcU)u9CkO0uHc!CLk*4j-kd~eNhRYF2ZMR3pt#0q?-Yr-`E*bbG6jw6=Y1Id* zP_VjDupC93}M%Suwf%hbR}U)K(E73*dA!-gfz-J`+IK0c?3=kv_7Ukt z_3ws9!KGUP;a!$OVwbY?mTDaY)LHbczi+$B=-E0%f8^r#4*^RUG+J&!b8ON)JRijD zdVYk(FAy_79a?0RRJ-q;cE$y68raxJ$F&_^X3qtRD(1oBMMe=NRb$6ZeCw2s+4+Ty9Sk~Q-GR|P90pNcSFdTXPA{sY z8lPOVa(2TZHouvGBjm%-{4s-ddjG=q}Yz4fkjxoP4 zv&PmBfb}yn*6AB2$xoJ~T&((ruY~Z)=1tD)WWF1ve3V;CE(p|hjIDyQ-^^hUlBk;A z_Xtk-2*1i}dxxbq_D}sFGq42CX)l|4CjPM8Pxnn>|ZR7nk%3i;_!R!^kf(_vO9_^zve;DY`f#WL#v7-D|(S<7sT_pWO;QnHl|f5)Ka>*Bio zFb6tGdDTLjLEqfDW_-%y-UWW0AN(d3H+vA7SJr(f z8(pVs*D2u@R95vMa8z^gL@R?%DF8&5%x*B0HvGX@Kajp->r5$dEBtvlMew1|ttz6( zb1Fi>XO;@VJ&zY3FH-V~-+cD@mxy=z;}IT%-IWX67|?4=(6EuZD2_9!_4_=<*3RlS*JJ(G?*P zP*_R8MnP|C`~3Zxw$IbTrokzjC$FaYWK`Wt?wPh6MFUb9wV9n@$N_HKo-vBy%YGQ3 z6}T+L&TS*nC~fWcnhNxs1_c)!HlB;*CB$ zimKh)C{lXE<~>X%3B`oIFAJZRR(EZZunPG>dy8JOds`RB*afGYZ9tuA=Ha->eeB%n3w_YQFoXrfb$tVmFn<-Dbd= zQ`EV%!)N!vN4Cvzcq{XgkF()rfet1g|J0p}PT5^xg@Z@uQP#`0nYo47hS@WGS{diQ zi=3)=o!q?qk_w9Iz`NeDeOeJQU3;(aw8~clD|=XS_dP4C0M9Wc8^2LW6=+;0mvGIZ z?&@9OdC+k_DSzB&{&tc{RKmY0@!s2u6oJ5mO_6QpG8;kS<7WHhC!aoT6Y@o%8B{w* zh+x7%67vzP|0jZpq(MeA9Wt2t2pRMt{)JB;KhDm{c?!Lmki^VKXxq_&MlsRaz^-lx zm_ZPA5Xv*Qwjm(21IsQN)cXma{K`i#_n?!u6#)>!{0GB?9Oi#Sp(iJ&=LGP6J^&EK zq=qmi3xqMzS?eH!2{BY|NMLG0Sq9un9T>W3dg~5)p%;P>{N*koc?rW1qIZ8Cp^&OG zF@<^SY(mix8XCfc{8iA< z|C=WG7XYQ9|FMRmwV|zT?d_c(zAZp3^FL4~!~acCyM|T}!UM7MkFu4VA|IVT9YF({ z5EG=Prh)b1Lq78#LX(a@1VI==5XQfOX7E@f70|v~2x-DVt7@S|R71mDhfqu3(8I&a z3$3U1_GyJ^Cgkhz(4Inc{`3I&0uv3aLHH9b=7lWY!!UGy_`>4iQW$@Ig=icaW{W3# z_3HI&GzJY3H5h14b9x3=2tiF`HUzndmekJu53LDdJZQz>B7(y-o49y*7a-IKu}1h` z2x0uy(?WO+`gqag+9fgy^zaO=FdB)z0D0*DIBN6J11<0nOV1TG}PuJq=HaR3vIm6$17$4Tb;29T){LS z!7_tko)1}0_}UWPhOFQM3nFpW_vay8XJccPiDPH)SOs59g?$b7HrUh9 z_}D&EhAd)ugnJ>8MSdYDENJSCj)CXXDxN3~2AbwhN=eDAsqKun zdSn%46-b2UIN!CR!DVzOfz0aC@;rhdE9k{4`k1W2XZU|*oqtukTM@&=J3se+AK(*Q zBBy}*SsEHEV}w53z)BaJg^it4L80glqEvJjV#^^=+-U|8&Q|1t8VtxT!~OxQL}_S* z2P3-XLOAZ8=uYAj?qlVE;U5rSWr~aL5TT(3g_d-f&_4UT$QYxnti1I<_$GXOkq`0B z5CnPEijbl)?SEX=)eUdPVHYWi4Ub2@gh!D6?H2RjVaNZmvWCLIyI=h?+rzC@k(F2rjfz`@jyKZ53?gU}Zy6h|Z_^{6!DUmibpV3mvL=kU=X0 z)X;D~f{egwFd*3(?u>A&+FtUC!MrKDtJ;4t$Y6WB_^ zy&%D;nC&oogK7pbr|*Qmo4N|Q7f2psDp#jS=%`Rh+)I{ zJ8|JO+}_FzZJlMe(!qtTSm>sTwszF?3Tnnm8w+hL*NYk|{aiX~hC+B?^q`a)Iww*?5Y~kvYMYmRv@p%M=G{VCfwTv`HO(V@w zb5UP$TU&e3pZUv0j)V}yUtizc+S&PacJX4*fNeWuR^K4jgY(Cz`y=z`*eE1o{v064 zqxo|NNI(C59yPy@Akp*ZRLCZV=KMJe3W=Rxg#v3h6W}M-&i@)ehOld^g2+0C+yj>k zAqx*)4d==d%0gqCN{ZP%q+PbTm7ER;DT;q6y6a;hHA!+l&8Ojck~yyFZyf1mHDNX4 z8*=3VP}%6Ntuoshvv~5bBUKH*_08llUoj5e8{KPoFBbM4*sX4<0f?k;Ws zY@EyOQjRv4`X|$TIUcSndKAlaCu&qBy>}y2)p}7k1AKFd?!HT{e#PWCirUEWC4OsX z4OOO0-S&QCj)MsfHE?N%yoFSN&G(*mO zz)!!IHSkikq;YV@_u0kVNk1_c>~aZ1p;*6hQ0(P0yETrTz97EC#z=T0gjZVTSiybO zzV_8_R4d2PDZr5$iAo(e#-5~Jtl}4MGhC;<`}qCc3Y?;GnL3vGIB`&IacOO9Qf!I! zJ3gMhs7pB7WLEa~imo`_*wj~Q>2NE%)@#W#GkNO59w-X@ zH8{oiNqj0Fu)ghWoB#RsfiUYY{*d9Mbot#hn+JD(0yToBnA4u!Px1jA0cXPO?CzM` zV|H?KJ2HR7lZnsuRlv%@)A!1SY!)+Y-FU`*5t{B~w@H7lk33tV%E{SifBhCnA1n<- zRt#sW_+#~x^JEc~IB|2pSpWR(FoX)zTqbsu?gd)Zk;uAlTeDTS5*4|!D9!!EDV z+2#sBPMs(Gw&DItbBzuE*xH+JGj$1JMf+hFC9YC?l-m(6$a*x%KV=f;-Z2#FzklN+ zS5jCLP3FFk$xn*Yt}pd4`zl`}k{odo9x!5;wOciB=Osz5^g&~Ga;hiN>`Gm;J|B>E zL8TwFsSj>kO#it)taqnTZ-+*n++lZc*RFPck5Cc#>(jrwIW5`bdEd&GPj4w8_V{~< z-YEf={dB|QlW&nD;8&Q#6U zdf)Ac2lxk4^DvLLYX2O~mH9AIK*C>Ns6qi8a;auss@tb}m|84XzUL=xeDxJe_x0SK z|LStSid&2TC`q6>Ay|ADjMJccImq(YpdM$EY>B)<*`a~rH0g#9J{Y_l^ElR3+ zpl>X%lcVWf6C^xh>#RcR5a%u4J8}*|Js8*vK90hXshVyTj6f7>YIHuD*1<_u4I!$`VyKPM@qUSp#0~ z(fr^A{6XIZE5>AWg3GQPyFDG3j11%r-oP(b-jD=~YLnvCo|d_#ByzD2zfkM9z57O4 zBr>;9fpMKn!f(F^?7q2rI|kLAt8MlB@aNAct7y5sWx^2 zx0e0j9h+9h?)rTXiB>P%Zx4FQZrZA17r4>|TkId}5eR?02HrTjM#S>o+vbtr(VKYw zu=)L7b3Ge(1n<4;WP)-JYj|pXjwy^LUD_L+QpIi9`k~me#hX0NN^|pIBy3UftH8zeYAws>4sT zAF>@TMjBr7%|qxaktyKa>im@7M`NO4%b-~H19f2`nKD_;37Nm8NdETZR%9b!LQOr6 z?!UE@w;zEFs|jbnz-xQm9S^LW<7hWHeV4Vt4ek48Z%ydE4=mEU)-{w3UM*T(QbG?hLopPMbb$bk>{UeSt++05&% zDlvR6%I_7&+GIszVZB3s@uPaPmu`X9=UmRm^|gKCZh|&^p`TYnlM?6@lslLU^j>|r zM~*!OUitlbW6a^U-Qw*T?^#^9tUapvsHs)Hj4Q~*D#y_7HOR_j7ja^?`#i&vS5JPS znkG!dZr!NoYx*dwLAG$z{Zf$fCge&$<5kl)BigGD^~r}B%4I|B&pogP5Pjk-8xMV; z-7t#vSx+aQTi)8QcM_$uN##shm)MR^xJSvB{cGJED82TsiJPb0q${am89jJdX4IOB z|9tfFA*1EYlkcgDF`$UX#*3Bj~eIJTA4OPD>jeSE?L(yhUVaonY8 z-W;_|TBmbrJyB!1JC)-fH>Q@CoQd&C!zo!oVjBCOk<07}_T)NU%;(|0`BDyj!}IP{ zlp8Yz*joSaSS8Epgkx{qpyg%XR~k0qGJKM!+Q-kJss*X(w8hV#RI=@9>6`J1xbZwNMCnEVdc?1|);} z32)2jWs|PL%@lF4HpVOo!)d7rsAR?9!Vetc>IheJ5M1+uKS&7ZjLpOdX>mNKQc z4JuyYqVndw&`{GZ3MzDW5RL%BVHJhVW}HRaI(k)X(y^t`eja>-M8{u6LZCng&ilJ) zj1zwMgb7=qTesp#|I8kRr~zw3Aa~BgZ*xG{T=SQ9wy8QcOHp*zcvIQ^t4Yx|+e#V< z>s|q-d@4^sjqTe6(0EjCvs}wKkq}Huc{OKlMeX(k)PM3h%u|(F(l!z0_N|bL#op9p`1dTt=MJ&-4JN_!C7^#Wva?%-^e;ujvby zY0s^EK8wnz#Za(C6Fv(DDmnN4ORh2{C@_5A$Mj3bWE)kIC^*|7nW1*4E>UiN2;}nZ zeP%hk#XZeF%?A*7^hxrq^B-0CB(il_PIBD$LjioFDlbQZbnneaqhm?LrDQcTEWDx- zH_b9^MZU5p>^=bB{rkXpWWegzYC|?!feqFYAZhSHaQTWxl1#*bBmFac`GDX1sh^xM z@96!%!><3G9{m>{1^-*x`Y%2DFFXqVJ3aa@L<{~qMf)#A3*c?0|08Yvm!ka_q6L9) z?jXDe6AotqNBv9D{tMB9|4z~V3(ov#4FF6`Vfa4 zi$=w8a#H&gsV*)KM%{Gpo%!_j;_r(oc({PMT%uO{f**9h@v{=MS2muk38)l?b4MMD zX%m*_&o+Rv7=JenTcR?b4|@cy!O2HObXl|Y3TrnWgWkm#LCK{6R|rIDsXV;16TWpz zz{UbK@RabPrbP@H@00W)eLZ$YaPJ0A4bB9;FXgp9)WcQRzQNU}WiE~SWQ3>r4FiRG z7C_E$y@OBocJ)BohtE=D**1TE4|66`e0&u7orm~&>;(dVM^uu-h?&!5&GXemChHdG zyYq)iKA4y_vK&7%u)aeHxlaOKNuZ_F(hk+`E9YYuL(}?4E(U{MuAdHQ!#Ha&#(+G} zhT7-yevFx)3?qRe5`EzV!AE(GNqxd9?#VS%H(J4!k5?@1wQ=KR*f@Q;iGx}a-B%5t zW+%w6IHp!G?9myh)T!`yCXh_4Xa8wQVq%Lg9=*$V?|&jx7TPlu3O(3&nu#Q}^PQ9i&3m!( zLyamQK9OHNiFC~iCEXO9~0)_a)Rp%SGJ{n0d?K4u=NZ@b1+TWCz zWY>JGBSI2E&j+|1kd|1x%v-IxKcZW_Nk-?4e|TeY;1%s6#CK-iFaNn+$Lg!*Ot2YQNPMDd zjBUdj5jgko(Czu1UsH8FD{$G!Q4AbLZ@1mCeZLRWJ&V+MtAYK_KB6d}s>(~YqGzQ6 zMg?^bjmo}{JZ)$bk{RdUGm!!1c{VppIDdvUz1PGG99QA>PWu%wJoYq(x#?|Eidd8f zU~S4JRpAVst|%H@*Dp5R4iKoR3$WKPGwc#l#}#@x56Ygz;CT!MeB%7$WpcYh?Y+2$ zS4i`5sI6a$6mQSBhueVr9gDnZkmNTi*E77e=~Z1WsolKGf!ztFxX~RC7h7Y2P5)tc zUAFmTzP_I7>+P?1UNfpDtGB!|Dywoto!Vco201ng_im`%Z!p&K=@@e=FQu|k#P(+v zdBQYC%tG0L;R}jGZq5IydSK`ISR=iz!F*f8Mu|tNx3g7}n=Z{gYpxe)2uR%Q5EI~> zRU)A;i@9|1lI7K-x8FD;$U#c~ru>W#xObq~diLGyOBSjelNpe$IkeLii{=Y6w)uDG z#E@cK1HYflU>8YRJ83@f9Dl&DhDqDGo65f4KGDkIzia2$(E%QeCEYrC*>LG`fpSa% z$JCvJ@NwMgxDe8Q%PT$`ojt$7Ep}1W_aedtt1-{6f9Q9SPj4HD_+fY8@ab7qy5Gk* zcW_tOTw&nW;J3!N#u)GO_9N8_vl;m(n}T@4E-g-ZTz(ApwY71MU-OS3TM?pqR=Wfa zORO)nV+=6k!dg)0ALVU}Za515y8M5x_DEW{4I{1=CDHj83kJ+dPCRRHwfu-jrWbvG~#+cb1Ovox?D7K+B4 zOegIK_TR=2i)bnfXR$%&C{(Aqk_^Of3$uS?5lB$Z7lu>jT)+} zPkkxd`vvf8tavDy{y);+n4*$sSZZOu4J?m8uJpVyi#V-T$mec_O^^ zw$#_B?)EBSI+2zLMq*gPnGfMPaJxc`y`%NQZrZ?Yr#g7H%lpirLX%Rry;oG`;^_;S zKY&HnaQErry}9_Uw|(@x7|b_&pWdp)CaTcx&XyVj8U9Jn>$x0_o>5zHCwFhXwQ9H-EmP%ep6Q`CD8Tk zzHQuQ=0&43(@(i3{%TKor9?2t_X!?17J&Z+`-6Z5S%`Tj_?am}`J418D%7?E|) zqEq}`$Unm`d(en^(K3r@WSZD3>qgd(lz?}KX+1?*Fg5E}X5Cb41=TW~+%LSrxJgvAS&1A8S+vi!eq@4o5;a%c*;^$j4v2-A^?p1mAt;hx zdp)GnK3`oDH$d$GuH3&OSR776^^IsEJBIT*SqL>wW#FER@|Mx9+q19yJ^>uY(j(oV zHO~B|`M|lX=9N~A+}mgT5*^1!l-2F{*W7xI*^3Rm%8+E52)V?sbt}c$qiKP{I zxAWh4mGsUT_-|Rs$U7N-c;C;-_PzT$Q#x+)Y(Y51^-;gGsCJW-5OCpeh_|YwDsiOt zgJ3SP<~N)IQg*^11=~Z`70ZUzm$D#qhk(kQPWnZ6c>Gh9-1MdIE5-yyE<~3SrPNa$ zNorX@{#(<&1Ae(LGBSD%OhVL#e!!^V@y;qvM8AmqGlqgqz^}{qbm~GU17{F0X>of> zxmA|?LDw{vJmbksXL${VICw>S<*Bxdq0i5P^>q1-7i|UV1KjVKW98Bq3cs6*wmAX{ z$3?4OIG8_`{GBCmUCL?3RdjeYWB4P#(3R)&(JJbIRTX0mulII$x}V{lc6=*T+dNIk zvp5DHWeschKZVQ308RZSm&1NDQURAnD%X{i$VNhc7vOf9zec>6AT)gX9emo0$Z)VQ z+dOWZq7*8*z9O}KGGoUeltF(n{8=1jOe?shAS2zI+Dp!BDxb_1_;dP<dK_c1qqLajJn`liD?lcVdMcOY!CjJCH+3NMZS&I$yfk$Id0l^=l4s-1$Rr?DIx- zzVEZ~`s4UquT>A)Qh)xq;0`KzlKZ~Fht;Cy+|A}4p1E={y$X;SvTH=w zWjy<`LEbz`ThoLc{Rot#f{mQC?O1d7DYv#vvf;)%e^uLTY9)#n2CH zbPBf4%lj^wU}|}fNWG@>lJDdElDe5aI@6l=xifWL)AAE`eY>A|f#7IIZxpAFqUwuV z;CI_sc={IB1#~6NC;PD&1@)+eKbYV}>&P+^nz*)U9#M1crnPOtlc!~`5B`t~N!@VH z`trvd__p-AdHRQzd}Yxnn!_RG<(EqOut7r4L#S$*_ICNYH?S)CmJ-8PF^tP~{aQwT zW4}^r8?&@QWRpqN!XJaH^K2lxnWU`a`MWhNouGt?SzLN~HIKZ36@jZ#GAh|6Rb9P+ zLDOBDw$;??-XV&J&LAM6^aq8MhGSZ3)y6T^Z8OKf*mO|X*wQm_ z#3v@L@T_m?K<*@D8i2@@NUsq@) zN*d=k$yu&RyC)UA{m$Z9-_bX^PYF$}_mc|=h?&_`EZjU31x=ibhDZIf$InTxdcEqH zyCMu6FaeKR!clJzlI&_)eOJ?LiA5M_+xg8>nHaf@(?Z{@jE2uN&V|rkOJ7y`*Ju1! z1M*(-+{-Jh_=0oY%|D-T5U)=i=jY>{+C=O&^ewb4W@*@J-F_?Cl@>p=KTj29AiS^uk_L zH_t5NDQh(Ul6w&MQq{TOV_4F&*YNhBF-SpC$%#w)zCFAs>B{XnMI)%1x%Of~jB^_& zEYLXrDol96FC=YfT~ha9nn7wENyw}07@8H3Rd~EcDd^^zR5uKEFN+!&r_@gV5xsfW z-ZeS5W&lN`=TI?=p`qtr{$}<7P-<1}>{-4#M6j-OJ#8U$5>>>ezoytf*71c%DQk&F z4czeitm0R*7}iO-_9BcKI5+lb-0=@Bs(kn1=nVT36El!93Vm`)E-4qcfX^C|ocm&c zM8UVT=G_uG=gpAFT_zLoz_(zHEK{B@QUZ{9bzk%SCg@3V`<*y;Fj(`0Sk<3$;HXd>qe`{t-|WJ2#=ypbTv>h zw)D!(sh#>+0s1GFFEMbcduC;S+V1*>%_e8znutdyZcvRaCHr|1CkI>&{zO@hYpCTr zgeM~5ozk}P5o8qC?mciJ%8H9_`D_N_`dy(y7`bJbshgnj3;TSd_5Vm~#Lq1^s^?6>oUE)-- zt%qd!^AEpo8$F|EkIk7|!~nl8JlGN1e>)1E9TG&9&U2_`<}`dh$9a@DOROH8L1qqY z!r}`?wsj4{64J72mk4E*oYU&|?pTH`lCZFG3)zH$$hMxougqN6Zt1(#_6>7b+tec!;&Q40I^118iz%q$9~iCwQyy179FyufI<`j0A|$0~Q(D>8zsktW zaX)%^lw775{A6}d?(WyHS)=v#CsQ++I-yM`j##Hs)VB8tDBocc0k>ju8hNzRRD;E; z9`bylU}6=xdhO0jgiYwSzGF%C9x33I4NOR@I1&&s^Q`UL*bh(2%P;vju>{{ow37NN9BS!F}p?-P@>m!~XhZJ3@#;3)URy9PW1^f7tYj9nH~ zqhC{|x4&idz+zF{h;IJL2juTWl0I48W!>WO!Xu;PQ__!!g+S>~4qHc;=ohb-b^rkd zHOmzNqleAwI|q#73K~Y1)}GPv$t53lIn>OYBA$Tf<2!qz1r0VUe*@fF^XDRxvlIWW35yo6hbM| z2@YLnlkg+EKd;`5-eYhY&lkGpX5t>6aL8`&l9FB6JP0UhS(G)bZ5kIb=vd^{LO$Sg z$>Xx8<9 z=!NSSRP~)2l`ky+B)P;Rp4WhN(?;udA-rTu0=f6?f*sc%iqm5auiEktX&|o{laO6dRQ{rNNieC5&d@d@J-5EKXJnFqr*0;N+qgw`)xP*pCg| zJNZJ^VB;|ck$l+aeL9;wBw^!T%9C#dN*U)AJWA^BqenzkY(PZuzGvw3*Wd8@;We=G z)ex3j=%e?8pU1z@^53#_NE-m81Aj0G=y`7FHEq9nPb{5ayYxNc>+0t7X;wZN>-DOi z0GlvuxLinLaMXq7?5-d8#2K%=Yt`z`pHoay%A`~{5L*5dp=6NOG;j)yDt=kju}vfB z{rJN-JQiW4KkzU&A-P&1sgVz0mcjE&$Uh-lAvCW^- z+JW6RafLq*nn(Rxw$<*vYj5fIc>n5VoNATIWe|YP2$kS&6@#XpCA$~|!lJ6Td>k&xlg#5f`N@3&V9uWn{4Tp>=`|wA_H3RrGrt#0J>6n4n`zIw|o2740 z#ZE}v4T%*{FtM-d-WS#^sc7!c$L6vJb?@-KV>itnaWwQC-;WE*MmaYO9NDGxxc%5s zzGrRg2!3Jl-}4QdTDzueo>YC$(j&e2{pa01S{Zd~$Fffo$5;TDh>S-n^G)-@@{y{2 zU~KC1N3l-}>NY8F)3R~A=Uwpyr53N(O&(no{+cxlI>>AoOS+ks%+!fZ{_)ATps{5)eIQ zW8>seL}QnbyF^2XXuu5Z2c$u#aMy$+s+N}a19!-mp(#usboj!`{Lr=f=Oajf8FB$a zm=ME+5e(l5fpw#$h-fQfSX9EZ5;TcfUJlK)ki~rQ0*Yy0RZ&6Qtfv0$JIG_Aam@el zm@_k9Ak~JsHtC&^RUmd%MkQH0ra9m91Q|#==cwNsi=7Krs^#_2bdJy$;ri))D

    +Zi8)Vo{ z&!C+3GHiJ8WMHDP=MeZ679AXL<2YgAYDJJMW-!BFK5~f%9lUUl`S|#+-GCBQgX*qF{+OLy#CV1n(~cjb`8A z>jVh1Cc^!$tFMP1&W1PWXoN&EOuG(+oK640&+p)16pYsH?+}1P$1+?K&2wU{!dPoX zFxJ;M%n$}N&I#dX7~2rz+u7ZPqjLLXf7P6@2U>X(qureU;~JH{uGFc8Mmhgu;|xI% zbyy{$0T0Cgn0E=$T~1p^=gu9NF454+2NSwE{n7eSoZw(|w+eqoiJvof2Sp5#-nmA%Ws)w0HU(8=Ic#GW_7} z3`E$?Ae;Ob`!BL4LAP~2p=%22TF}f2!DKT86Ws#laS$uW$)b?Etq5WW>oS6s-$RG7 z8G?_NkeWmFsBKudRTvU!6&UUk?uLctlM~Glob>d^bx<`6-*a6=+)#~;;aG_C*H06H^d1z9Uy#)hg{ z21dpej7_4;Fwj>Kh|?qSQM)L4`JOe~^$--I01qCVbby|9aPzjKb5UTYFEO-1qd)oKWiB8nIW5Cd5XtM= z#{SFN$IYK#L~L5;Pf?{^^XGIZYbbDqG;7lQ52R;r{#*!!r2NHRQ|Hf7h$BQ@xlm3a z^N&ytv;S+Dxda7tC)1{{8d075shai>vKu;`yerm<=>9eoBICwvOgCQzn5jt(!6AFa zZ=D9Syyv(7+#>$8N1`j=_jQ28_~Y=yQh;0#;fVeiiF|IqEAXui7o~Oet6#1rt@2R| zqp5Sz@ib_mYhA>_CJq_bf37js#D@8-)cAY|?=oKm^Mi@EF-cLtK>D$C;Ty}X)MAB$ z3;Poy6{xb!&ciN6y`Yh|CCn)#;1jFV|3%tcKvng%jlP>s0qLF~Eg>l&jZz}1bcduO zDIncQcXxM-0#ec--Q7r+(sdWU?|1&+J@>t5j5EGxj5RlV!(MBz#h$R|oWJMyNTt*l zlZPsoKUK6BE2YT3UTbvP4XMFVkE-B3<^(@|qvhg+4Ve7(>J1WR)dn0}@WKaQ2t8?T zN6XYC(vSjkNxi{dlCN`my5I_9UNq3PXXjN86~_+i){#J!SPAUG-AlD+%;e4tf~4=M z-dkXE$i}u_WWh~w2wuL};YMce1C($N`SG!;E%N+lPDH}OGuwpkXqsMxmD^ftD0@$2 zGlSEQC6u&`mF_(1(HH*XOEm6i{_(B>+C6C*Pm$Ap?YlM zxMpA{nYJ>6{%*J*%xK`(^O~2wn=;*M(XvFRA+w+3tb~Nb6NPnYCJO?oh~~3^b5S;* zQ4Gps$Vl%?9KUQFnLQl<)LaQEouNd}=f7sm6`~S)XlE8G8L!kH6W(aX*8%spF zhOyPRvqFF2iN6VE_B_4^rAIY--V8u|K)Cj1LDqDQFe|r#kE;PLJAO=4VsC+tLdkLQ zhzi1mZADQUKR<&tE=Nz(;GMtRmqAWEu@A~DuYd_soAwEVZ}x-10W24DBNbV=mu27G zZxV@q+ed#BKcAFEw0?k24-89;m3dDxO3k*$_&jWgy1y5i`w3txuI2y_(GE#Z1QtLNp%?N z$*_g;4=Qv2W7b)q(d@n&LpiTMeMcjX(aM9$L@4O_?H6DACQp1f!T9!$!;%ccKbISwPPlA@T-Zvq<_*|=M7Rbj}?4)BW z5aJy;MwJ3F7+wE>@}PqPFPM?-#UoErXmv$6F=Vn$Imu-t#z!1k5XG)+ISXiMlr zWXIS!LX_HPpLHd{3;DeCe941M+jm2MOmkfmJV1RwcbD`^QSgH_&F>TkY0r-0kuMH& zb>9Oguu4yC+QCP9?gtX-zo;A3cqmswbYYmy_j$^FvP8|0t9hj%bQ7)075 z3L-jrFx&m|X>KVd4Yr`YL(>cS%sv!-?BQ{lojT%Xf$DC*WFQcu7rX0d-c{n+?@p0G zGG$YAX!%F=9i|~e{L+IgswHsnbIN-(x&H@|M%A`o-ZDb^Nq@RZmf}*6;-o+;EUO0W z&oF=4VRmy&RkHv7$J{1{e&HjR$dkISjNAlGhTo zlTBmZ@C01FwYxa;%n~odc|4`zgTYW;dSwdiw3W2jvzhVZg>ujv3#m@SE*PyA$U45N zF;kDEJv8G52Z!F_Ax#I4i4s$0Y-jkAAFP8yA4-0|Y_6}fhnT@Py;p!JI)wA9@b-;?;yHXpRKmU1{Ei3vRx2|C zQ3&I%pc(Kol4w`a&&LyIw9RW_YN=-PV6^>Xsv6T>ul|XE#RU#LO{Rf6WV`v{K-8^Z z%Zm9JmBrRHF-K{iY183uXy?~H(5#cC6>HSLVicR!)2GOBeVgz$$i;eZJKD778+x~+9TF5bud6yiNla^x z^4k2y?ojZrw;sln};LPDSrGQTI>^rZ{SD{zMXwI3v)k+^ZKO&0#7^ z++0BS{_$n=7F)59pej3=V=<-YpZp%Sw{LPGsk7E?aqolq1B`j^jp1yE&|fVj71`Kd;yywuk( z97)WL4r?Df_MeTfF{1A0Y7Og}wShBHlLD`%W}AK{mFYiHd)L30!ZoG1X$0o;Yr^a# ze_;aq+k)pS@atn@+P=J}^*(k|{bql+%vQmMs$2>Z-Yn24uFqW>ScOY5`=iE*i;K1rooHgKm?Cu`YY&X;zpD{lT>g z`7<5ekc%E?LGvnp9ma1i}++-SAI zE=*D|l|_i)rqX&`#h5C+4CAv6J3Qf@8nArjl4t(hYh~rZC0~q2%A;}KK--QA5`J2% zo=-tvcZ7k$YNc&b+>@8+9ek-)obO}_a>uokJP{tE4lGY86CX?fgp>zQueB+PE*@&9 z-WsAGU~h_^TL@FxnB|DleJR+_0xI$w(I>ARzv;jyIOSS)UbwEDkP-OmwfReky(*2b z^ah?k)yL5UJPDE~q-uOC)&spQdQ*i9?4l6M&xR)#S=B(~EGPML>BaN+SZ0@%7p-;9 zoYi=7-LvoON-@K3p3-jv!o*3BwJl1#jrxb%Ut8-4zD8AJP{<3u!0*azJ%7Po1Vkd? zPMd6o{oa*dr?6Tq-*MjrLtN`pSol7%4n*&;hNq+s_q~kEtd7;Pp znH0`DL~$nq1_j`J({}b#FVp6Sh-X)J-w1Fe2*@@ox(kBCuu$4vZsbRR^_q~%cRj5T zR8(dsx8f$xvXuehz|&Pb3IDO zp7WdIIfJJs4D!#*{L!;t*0HFfUgMf1Gw7FoX4U=i!FsJbTeKEvcVoFGRj(JVJv*G+ zV71Dwfz~jHOvP&2FkhA8Uq|kNfSi+C8p~8#L`zu`Pt4#=)j}GKneTJf;ka@ z9%Eh-MzbAYJNL(8^?*&|GOFr9L6QP#!zVsuGg$@b@M}f~G=^bk{5?^U5fvacxb9#} zDI>QhOK?lWzIT4G(UM?iH`K(7*@o#&M(7Mci;KOLKwnjlO=g@SFuqeSC)BwRn?faA zGob=++-Xd})vklK&rxsP^TE9JGL(wRiyF$*6K zAI`9Kvxn@tInkqmd2L056wVf{YNx=OKY!S_XY&MvEas_n8b@LQyk2ZS66cc8>u<~KKM_~%BJc2D4ALM znO>L2`pCS-oZZGz@wq4!c9tr*fT91=AyMU;OCYBqiDCAD%2WnVc8K#s3kjL#)MtVt zOQ2B4uxLP!YF`@T=X*?PLr~$x64J8Cglm9?!@PRObrJfljYx&5p4oJNsO(Mg;vNn#`hG1u*Zf&k{&8~vc9edFSs2A zJ;qj>Vr{+hRikP5f4V;EXqco2?JMz#NzGCZK}gYUatP<;a%9tpo1sLSd?lI8r^p$p z2(M~%wxaUa>L3*Pv)`(Q&5J^1<`-Pv&n}2WtukH=EzQx2r$&!U-An=X+hu|WueP`& z;8qM4Eef7@<9+??fiul+!!wQggd?9Eyo0ks87^ryH6PW!IFo*^VTsn76A?HnZ(FOF z)Yq!P047!J7|xsN8sTw1D!wCRAwJG=WY(@^?jDHW?sA=HR0Tw&N{MMY(goUP{<+d%w@5n(vEt!Y=<*nUqR6+b59LZl%O zfUGN~{0aMASMD^U9_o4+8Z#S{YB~A{Gl9uLxQ%%M@b~~{W)S+8zRvGdGs4%`t=&$EbA7F7@>ZP`rxO=X;kv4T^ZrYK>Sbott#M)`Etb| zqhWcpZg_O3={e(2(x?dv*Y|4Wy)}UdO5bG=E|yozQIVpY`-1(m2vuznA0;vsVT}xu zh?0WN_Mp>GAlFE|?7R?R5`JzL*&y81#wT(BRd=mJrhg)LP(eiax-tuQ?)K zFP##VskYSH&HfEyPOWruenssX#(_X5J)PBQAxnO1$&z;(6~9Fb*%#Zsv%TYeZQGbJ zzJLdMd@o(^@@+LlOnpl#nvQPGvx=&lm&kbErPV=vD~uV4$s4(PdIy9g=Z{XKVKORK z_AN8YM>kKv>6nDJJH`D0n7E$;Lc@1zc$tF?34)b^(w)QqB-!M*vUo*|-`RXT0(`!0 zTbhW>T=FWg!uNFCgLBJFENp5z#y;g6aLlai5+JLnbZCZA!QU(*I;Xt0@dOia#G~R7 zkUo}GHnAAMX9lmm(=$<7IZVhj_1w}2Hts}tT;oo=-}Jg4VxbUP<{toMR^{+Pz@J(^ zq$FR-pYrgDk#kCVe%TaMJMcqEbNeJ%M8KplFfs4mMnENI;Cc2^MN`+n-aDx3Oi)7A zE_sj!&{(2f!NkWei&zPPON8|a@wTnVGjf6tF+sbS7D zZc~c#&fmWB51TZNtg1`spCy2xUIyb*@T=OsWpZdjLL=%txMeEX%PT6YKf6JpvJD3r z#a%n3Pb@t0zapSxODdUrB~;y!Gl@yQGBOWKENboq8`~tx8b&T5F$@}aq>M%m(O#NA zzZ?JVd1jgO{20Gd8Mp^*2*;tmbdSWLmCmlH;f+I4lnsB;Yhdq{RGMG;;~Ky}UfjAP zR_{K+khhFX-1-fBz{vdionuUH_2~F5#@htYwY)*8ZEouyl{<@XY2%-k+djVzN5se@ zpkf#ppFDa9&cws2HTrA?A7q|3OiReb+axVXy>%ziYFM2hs1)CZ(P3roIxcP`j|U+h6k1B zQHlXWt32|vOh!I_tyn}_u1p$EK|IAA`#rnJIBeDh&nLKnXw}XB4NufSsCJRoj7oLK zDV(DETdS#MVF?@Gygz3!Kuo7+lbkv{&nBgy?cmbcdqgLloL1O}LG)DJA~N>NGN9y= z(M|4Hg-534Ff@A~P|71@klsjXa%omILY!UBCJH?FCDhV?z;O}V?k}R?8+&Ft-Ee=B zQ~5ybzuXkI$OHo~8km#{-n;7M6F}!ctB@KghV3Sy#R((C>qh z@oDO3)`E>Ke*gNBiLE_G!_eOOJwy@x`t{v|(`#fp-LT@azAGSN<(N=Y*TBFtzu`S` z29H6@pcHfbf%+$PhG?S`N!Kty)=uo4xni&ULa*qa-DuoCh)yZ`b<8BRc<9469{;Oa zu)}G4a&<$)z$dJ0lrcfbtm?Bu$RhpPU+ksV_n}KZL7<#^1&{WS&)mT)zWx`1ULO&| zQ-|0;xw%B22_4vfmbHOFQU zx2XFT?un|VZ9r{n|0oiloQmPQkNziDFbsY{AoD7>rFURr3+dq_Z@>?&Hr=w5k$dhQ$ymf+3#mOI3KaPb%Xyo7$9##5feD4pxn1qr= zP;9~i*hHuFE*jmEQ7WG&rm}YO@JX)YF%Z3|5J=I>z({lY0$`6^s+KA6nJg2ofV8Ua z4w<%@V)DVA_HnvDi-(k~D_B1~xe+t}fXA=ZJ+Of8;O6BUmp!p`N=hN+5T4Mpegw`Q z;xnomd#}S|KMt5%xy8}dxAdy%Uc(}L;^17~*ntGjkS-d|3~2^j*%Q`s_j&Us=hmhu zsp&QB+v!4*>qjVo#qB8(O&0RgqWFe1gY42HF@>xNyxfwOj@5_CQ*(0mASh#SlZZ{> zjk@jU;Orh0AfaWFQ$O`vTD5q2kIq-N9T1YybPn!5vt1OTJIv=xo3Qca3ku&K-xYpI zR`gNE$`dS%MTcm-%MSc7w0=WO!RZ*5ST(-S;t+sC$MsBH-pURvto{;}c6Lq6{@%6r zR6ttGsj%e74LmYw)W|Pl`FM6iV4eNe#$kLDQQ6XJYmdexb%Q-}+o{*}B@w$HEiw_g z3Am(tuJ)FpO32V8ak}O}WTXOv0GD$pD0FT2=hi8eiV3J4n&jjcQM7#jDXw8`>yCxT z@O>Y$wrgc!t%{8&EX;i9H|+zeP0R<6TYQ)56<7!%md}k?5~@H88fj!gY7sH_%fQV?#!X`^BI1fE17T{DWYr|#g9IL1GeA1@ByD4!4vy9)qpZOCT`E3OF?NZ)8^5&BU~D3$Hc;0 zG#(*I84#ILwRDS4E@o)v8yMZbb4A6Z2jx|eQv8(j*h zwQFHw!-<%LrL<14@TzL}9^EQMu29K3erh^HdL(ZK9K51h$L4n!lS(=Uuc??N)lH(( za=UkE-xe)x;ZcP|gMx+uB1&O-HI29|ChwXHKtkmWXSBBpL*#&%N>sAn0B(%xLr(eI zsJNugbT#CgVXa9#5-DAai1@NqDmgc=V8}TN_e9+ILqb8#(j&&tSyintd@?SpvgWy8 z*BBxSrfwjo^Yu8izfq|W4Xl)ycxQR`kYf=|e|!3T3;p zo`9O37o+DUxh0g!Nex+G_N*@tBBw^VhM%f+4D!5?l~1}OV@!n+ach`+Mj=k3w z)-Ey0O*7l>9)Q3Z>-re5XWhDT|iDwveFfCXfFDd(0G)q?twrRP$z!S(6I2rO$7 z_Ar;1%9NU!mB2pZ*fXnRM_H@#BilL=ySNM0T-x%s_A+X)l~?U=7Iq-;%0K`6+A$vC z8`J3c!jc)X=WlI-+ls%=Yv15^q=)puEa<4BSw5!esuRNChs zrp&m;1AkTiidLpGzTbB3pV8a`QYvITb2_JJnN_n#BIw@w2LcXjVO!tpk+;_0Zs*+2J}r${Vk=M!z4X;gVR9YXI7fb?3t}E{=@$k65oqp$WGU3_>0?LX zEi=2C18yJU3#ynn_`s)}mU)y16k^Jzwh4t@x0r;_Uz#{a)GY2^fz+vmJ5&I?Lpr9{ zPYI!qf6Z+dP-gEB1T-cARil$@mLC0g-TmN}oQci7uS8sNPKGP`Q#rrJ@2j6^ycP2+ zL^aqf+fgG&2o@!L`8d;O?l*;SmrJ7Vn@sjelv(CFnaS5SsZH$IO5M zbc}l&D9$~Oc`pxymII)GP|T;i{19@crw_0HFD<4ggkfgDAS)&^?7ql3%%lYdGtGdZ zK=MF{L^HQog2+@$%Xg6Y^K)b*#A3!kYRtHQLzobQnwpvQwF&N?!$i2pFd;7N9>Rq7 z)0y#CjS1^sg27-t_b1*9o#)?*?)PjRbfTlb_Ktu5WicTQE#%6)fCBv03Es1qcL3be zTmLf9LLe{{_beui!4rZp?_pOaeTc@)fN0DX7>osa0Q{vfpK$T;LU1Ca8~h7m{>w(I z35B*c$zK_*z7Zs2g)Fpw_ZVhCz^Bzvyg7&ZtZ9C9jcA;%g9|qfpZVx=6 zHH8)!I669l(9@GMtcUjiG|9h>vk-IoF9H(~-eb?yj~>x5FpA!rW?#O1`B$l#@h=SX z0mM8*@Y+4Tc27P-7gJgJjf$$Kmd-~f2*QM{vk=etU#v4^ob~d4a8Eh=`uh6)g`A6_ zmr??~lu~`jt_gizo&nLAkc#);Fy=jr3B{MM4b9E>B>|zDfY3F#N1X5Do^k${J#^#f zFW~%d#2JQ_0Ykqh+x|^D>%)*B?<_7Z-VzK3AV!k_3c~vmfh45RdGb9Igo>v_wB~(? ziQz-~&3{W~B_trm`Ccz;^}!Q@Hlb(3Z0;M|d-~F@1x9g?ZQ46P@$nvkb9R9W+O(x|{r(bKU~q|vImWOQ=$fac-IJ(!`QQI-bng7CbB2)4-oML-1IQClr-2aW z78t^Pzkxa^?7RgAyMVS!h@8IqtK+=KIU%4^ANKHm4YSmQ15{LAV5VZ|6L#&?$BI^g-QbbIbRYu{7T9y`dRGlp$jEzK5Kh;}Q}XGa!-N2WiHCr3gT0L2-Y! zl+YspItEn>3`PxYk1}9>=@6^R^jPL)jscvUoUxj*BEIrHrVMe}Z&cOo?V(l7`*4At zt{IjqcA2OdH`jiJ086sVRa zByOFZhlo=R_=SZ<=)g( zK)ryRLP!|;Ns$Z~OcdJ0^dT`2Bzbj!y)bt6go8fY}+F zJh&I=Iyt$xc|rhuYT6o{0bE9=uYLyHCj1Xr4z!P4PpIA{wB_r=%sL>KZsFTH# zZX%9#55s!Ry7l2m?%``FAXD_f$oSOs&cX4?>FHl)ImgY{)z|@!iWYj=^qa7*H8|H6 zIM`$8-wz~Cj$P7(y-irUv(Ax4wkrd2f3J%mhMe4ZkIMlUZ6>;l8xsmxt;Kwn zSkztTflP=?XkUXkyWqRCRq7qslWSPSdYBCeoR_+wwc!;`c;Bga9mrpA=ETb3D@IEu zUs6iSD)U>Y;@DyyL6vk(ByvnCZ+BC`HBHG%-OKw*w9P*iefYVRL77ctW6P`}b zs)RU)oK~Ni{&}zv=~?-S^#hd^hB$l$Tm7@Q=B4SUF^dQ#n-uc9xNj}cJn z!o)#65nhGy!e!Um`1gf?01UEUNj% z*4I+J3oD&5ab0=TKuZE1kYY+!r1uA>Tb`q7pQvh|CRA~xTrPe&x!zlH;qHupET5`; z)V-9XZ)v$kL{DicWVQUiC#vuCIBFASec(8hwgL?`lL5~Sq*%XVS)g>cZ&KRhv|=(o z8E|~GY)T_8Effa!wktS;C{!&nEpoqp&X~wzl<54mX`G+w@7yKD__&!5u$v+L8NXAD?10jUUptj*) zw zuOwZ1f=^wW-$b&-w#fkF;Au8;Y*$r;w}z#W#Nte}{L+eC8DGyYZ2;aR>GC^JJsiCh zwo0_ma*$H@_PfOAoNdHAA7hWl0$7Pm9j`FB04L6)jaJRkrY6o$!Z*((hdm^S$ztiR zQqlHoS@2Py(tPqxMpyxP2EWfwOg~QKt`}|F)D*-b`BdInArJQ2zk3TlJhV&h{;fct zJ6SlRr7hvlc&_4!5Go~LCG(=Ani?kq_|dC~Hs?((4hmT&uWhULDm@}>)C^rX?cD7X z9;1?C0PQcZKl1Q!FsJ0|e$e;%5U~C8qmSl7X*ToO?{S8Bvqm7M%9^>!^M?q}g6yaC zZxy0Xl^fVDYp_4EA!oESU!KQ|xDX87K35&lvbJeYV_2wNZO4nB9P~UCq((w6;yXY>N{tEcDn7;bpdODS} zAJfT#jLLSS@}mPu_pR%WFmP&DLC`OV%di(?@n*>N-<7% z3a-;{%yuOf`IK0wbDYETB7sc5t|acDXlh}ieUt1(Q{q70nt7XRzZm-35xEVP;b>sc z7p#EJ=fxmTxGEdzmn@;h(~nnKy=5wEeuh(7y0Xw18idMn9- zuV%8*gmz)%Qu+!7WJcs-+?YKx$4)D(?nKPgrRlA;Yp~pjO@Ma_Atb^lF@YIGH9@Oa8qV} zIoQO`U!DT40+-FdFXt?`s9s(l8B@Hgc_(TsVd@c&!hLaSx?)KN4kJHgyJE9UnTszH zdn$eZ*%<$6|88tBPCjF}PCt(=4)E)JG!#H0yAZ06^viD>w`A#o>oxrSZLlyn09Bhb z=?!R($$h+Bgs~`;hJP8ycebg&d>{eKc$b0v!=SY;0wRv{HRnD?2AIp#-KMFWckqqB zNBengzC6^;FjB(s%y6Iq!L|gV` ztLea=?c%7uSm*&+4-Vx!*v1$pze)RT&DSb=C%?CqN~nglu5IJQ_Rq+E2v`r@1J~pkS<>GHF=3ukhN=Y59I=+^lbXc^V3PCf z;sUJXmjGXndDhN4sG4hbd|~rw@fLL_VJ(g{536Rq4H?cUg$~4KRH>lNp_@;*SR%|; zE!qh?<(zrm2u8Mt59c4G9rb_%!Vp9a-qBsQOi`W{Rc=QDqdzBfl`E=b@}eq!fxdp= zk+`}V;b?OJF6uEI`^WvXKWU9;EN}X!_BAHf_(C#3}5+M2Fia+ zan0hEOr3B)=)JJq;Rc15WhF=<16oe@?7l`Pescj8gG{_- zOTG2E*b}7m{-JYRC}sb1Y^p5SA%wr?OB^Iys%b1yOO*%ESObcZNe z4A8=6L>i*t3Hct`w_hjh6p4iOd~?7eWj}!V=u&1IOZ3$ftVbw6W)fy(m)2kDp!*7X z)qflWBbhe=9-0RVP>sdk&2-DpCnXv#DhE)OPW+J;Yj?`zfWb+A?X^8gdQfB``lYYK#})Nw+LC6z^dN(BGnf3{lgWs7iV8iewH(k& zeD^!cX=zb!Dc_>IA)!T10z;9n3}u-l1S71mdxsYYJ%>ZLJ;VsZ_0@59Ak{XW56=16qdCSlaD+nYpS>0$x6_gAj(vFu+V?XuFP3D8owe4G zzWfTrTS*L@&wYj9U4~Hafm^X^2>d_&x`74%N^V~q-g_Ju%skuJ&>*ObkQ(#Vrb{53 zb_I;m#!WjuBvnTE>F+P^cT)O)OyC3fV zjc<1%7qHyByUjb1%l~@p|MwREjzH<3)3_`8-+9=5h=aw4L9-^%?f+E%8t0UaxZ1Yk zsY&&?^~r!n2A`Dxq3tJo31Zyz#~VxbPC#kJ5K1CxHl|) zCl(jh6N`7Uj$Rem7iv}I7ENXbKQZv}*cVqmRoqH>(Ol$VWvDlLb_hD-Y*oJ!gA2`V z0An~6yBQqK8h_-d0=UND8hJ?|Ah{g~55!kAgX_+~TN^UH2PgKQ?Z| zCX(7bnczU!Tc*qxnMdICW&iPxkExbCW;l)_CvoPBLSIoI2HXATyq!;|d}WrwV&m@{ zl3r&=`Y1IUHAPnipDj=MJ|v~Ctu4h-QygbI@KCC=MfVqfzJt{%>dc+uc74Jthh3c$ zLpU~1-GVJWCW4{wUlJ~SaDSw@tDL$;|fEMAI959CC_J<#sT3N%G;Rxe< zuhO=FOTXpWm)uQ;_qL-;Y8;fKB!OW?=Em1$sa|aRA5@u>esrje7mz*vv*jCnp7 zSNyf2&oU2{JSwWP^s#i@fvmNf@5jT&kRMp8kI?6U`R!6Y1v2lq#X$5*pKk09s#b>= zrGa1Aoi@q8k1>8`1+JP;h-2wQ?4MaUnG@_*M$wZvS+P}*DKMGp6Q1;GRsw|lCF?p| zf#@Ci2>DU`uJVmD4E*oU=FTceWUbc2Qdz+(PQsQ&4c5=UM4q4aysbIR8HRT=ag@hO zLQTl(bnBP~tup3LoYb14ggqnaSF=%?I@vZl?F1XvFS2sP6#CR8z_r7|kc~`lP2HP; z4{M?cim$>EANR=SH+{VZIdrlbkHFlUV`7)!{J82?olb5mdo!iYIxO!*l^hYSe#7WHuBm~_`V?zvzCPX>~yZnfv#vVv{>gjF=SuQ zkUspzQnsgf{M@GDR{6At3B1$ncr!rzDTs?#EsqtsZC6x1;DB*6yVwA=m$M)~9t+rI zOFhSrnaw8ALQeZ*e6u#{6V@j2TFT~j$MD5?S-3X%g0*|a!1G8Vu#Iyv!(Pj=g`_D}y8nR`7kLd8Rw#lP2XT4u1Q|~qVuA_KKfZJjbHI+=o zb(nCxpjPv9BXankwi?HDaB$oCiK}LrRp1!K551KjjfPKu{yS6AVL8E!*FNqc=ODLZ z>(qtrv@S3b64oe}YqwzXbYo%ugqOm{`e$&_dqbMe@S7N~Vf6vvVE4?_OH`{tXWJ4icTdIzzPL&uln|Rg)UJ6}28{8koej!B56n1^d9Vxu1Y0Oc!8aeh#VDB7f z1BkDg{<7jWek`OjiQA@?)GZj8{=1e|ZmUc7_oL^?$y-3lG%^E^U%vf->PCit-KeM{ zKyNy#Ni7AFIl~iwClwAXQ^v5eQ-6)UqgPUXnfQ5ZS4~i@ylEMq-r?0{|CjM5fG^Y5 z6N(r(-htfbd=rbUH4+a$v~V}wQKepRp>Iiz2+ny{M#`q3H=YPW%%;_n{kuG$;Jr- zlRoBS1y^l^Imsu_iXP7#%QJ>@WLg&xdP!Qza%b=pb!Ahtt*jZ!cVZ^#JMz2aLLcG5M2ydt#k{IZbAG0jWtQJnLVLH7({=_Kz3*VF+n|DuNhPVrazbABwhcq~6I{8j;YRz3QOnAofK>(@Cz!OBq9E%20L=X$K^BU=25g2TXwOgfGxC;rJ8sfm|8 zuqz39y3f1~c4oxVFtIr4hb zu2GuiMuv1K$iKV@@Bk!PDs!n0-`i;4%HP-+NTm#OYhyY8>=E9|l0=x^ME(Or5SBL- zuLMJ88$v7tZyy(^X_R2T(L5u^`0h%_62gNG5RGKC;X+HQ=!xxe>~~gqq(00xai{PV zPnR8?q}EuFfGbIn7vot(Q}PKd@6F&Vt?l~D1;wjYFFz$v7fv<2oB}b{YLg0<7Ycnt zO_Fx6HB|#JP_@aGo8-$pG%dAUg8RYjxA_JpPbDtB6yXkm#JdkeEz))<rg{XG{`WjAr9VB_ijm{%<1mbMcyCSRMf}H$9c;PZ zGqJs;)RprdqNxwTSJdv?89@>E$*OSGQTN-xW;T4pKi<1kImlJjy7&#BfG`Q7_{1?e zQPgbwJED_=>mH6Vs7k1|G_AN{dJL_#ACSTzp7DP~CZFHP4DbH&^X+aN$(I6sT5m8hPwp0#P~GUaIHPms-XnRa)>7(2cHJJZOCp$E zvUa(Nl#Id^@!Qn-$EmiSk+WZJVb{bt20e=ycpXyGgHOU|8klyXWU<04l2}&Lw2MZo zmN`O1F05~C0-Wa7y5_cz9-3SKe1NO#@fi+-DCvF8i;+>6loCF@&p$x#HZf=2FsJz^ zuHqsZtA*!w7e7DvvBam9?~qve2G>ghL+AK~^>ZqwH)fxcvhxP7kO?30iED+&X7^*t zs(Dqkg4w5W4Q>6K@K_3}rgree)&Ze)sQ5ezZ`}4#ahUm2DFKsNa@T%_;vfNy*{XF@!><_$mF%*wr15xNcJC(Cyukb+>NI+ft57 zi9$0ED?EaukTmrw0m~cec12%s`FZwlg2S#9nKqbH*5V-~9_dOGl(|R9MQx9qG zc2u?XU9*V5BYM`lT^v#tiw0@9JZT5*R56w(K0QZ|#pONH`rlr* z6L+lh6kcop7Dz)9tY6t-=M$M+{S5@4%UF2C6jgMI=F_F zubl#HqNl!PBfHAlV+Qff^LIQ3(d9KQFiQQbZAux(!Y|oCNFM#W>ZfnVmg(&gNka#$ zR#_Dj9GcXwRfA+msfIUSz|Ilg2lR-nV^$$C3$M_~#Bc4WL;|lYza0{&h9npFK$a2_ zDHUV$?4q8zBP3h~nY@WR{+9|xbF|DFZu!k4)*+w?-M}NVwV!~}+$r_Eqd#@W>aZnc z_;q+p>B8P~eHSLcBIGPL_CCxvqU-2^JUOXVQ0e!#F;gUr?*3zI=g&UE1Ei;da#~+H zW_PZz9}CHbCHIc5ZS5I&C1=cW2t2oni3jOZXQTqpwZ7Y$Gdfm2& zp_Q8;U6eEEd|?{6xbKtmKCO1d(cY#1fJ-Ncl!nbG5hkiM>@gt}+Gd8(+jZR2P0a&Ee?E^w`dUqd-DXLm_UlJ0tKUc|HmXKA}2`e=* zBLj9Jn@4i1w9bP#iTDz=&)B%Ed{$-N^1d{5ii~4)_bvuahp!FXm`vP!%I4^0ya%v3 z55FVl70YRC!$>+8_az?#+4gN5x%AAht(zEX+O`wFE=h!?%nM5UhsQR~aqy|=0qau{ zH4OvL&xzlf&tS+U&1c9@jI0VeXnZrsjY=sZih%b|Fy%maQ}x(wh0dgyiA&pTXykx0 z)bFRGdNuZ?o*Af}nG0Z1cw^DrXAqjyG&XfkNzI#(o?kOON7Z%+C;S4$CS+F)TqELY zoBThty$4hjO&h4$M9Dd)B7=Y^2$FLUiGpN7at=xq5F|>FAV~qqNy#|}MS=uDKm-8^ zgMfk{NkNjZHGcoS-#xqk*|TTw?t4!63?8SZs=KRuy6btL@+xim$tkbWw0(-@^VKbC z^v4x(wVVpDLV36RU7nMp&yOHMcKfmeMo#xx0R_E{-7}kzVZ^-%)SPSIrd%LX`6Do} z#VtHeenm{x?fKx8i1c-<(!uGkd*Ba?cF^-TsC`UUB^^Wid*QD>Q_%C@a&+;k+`?cG zk_iK`IknpuO!C?WEuC*E8Rbkz^tTZLdWk-+stZrMkNNZgnYLeeUqub8h+oDx>tn_i z?8(<1k+%MUX7&O7s<$5}fy|uu6NmT)rU5Zo_1)w2oNE3Ljxm{K1nN-RS;_nPm(rhKk`|&duNkBpufuL+;Jf4=9{BTSX5~a$ z%PckHHcinqg^@jIKE#u}PNJcQ(*0C&>2BuhHw@QZV+uh9%q8AMxW-(NZYJaP08>W{*l*+^YQ_}=(??pCz-elkf{DxC=sNG`%hHHNB zK79GQ&m&UOcYq_;e2nnKDX94;fSg){T*ob*g6pc>9f!Cl4dat+5znse?NV~ewG3nX z41zvl7yr;5hLW~{Sp`)oRgK%0>G|dN=Sgk`CT3Sp4crFzRg0zHyZTgLV09`On!P}T zC#h=W9vl``Sl7NyL`usB^vv$36@C`JWojFgJF;=g>fjm{-8xLpf7v6ux*uOS06dh? zujGiRon1C^lFI)}((nekn@t0&oMY@lZ=vwUrhd{*Tb}&+D5@AJA_<7@%qgtIny|o6Z77V z3p&R%Pht^C-6$D2BW2kshMDuG*D}?6pJAa=vQBQ`Zh2EwLe<%m7#P0$ zbWX%@+bXfS*XoaeX3#dXRp8|1XLEihczQvCR8fF{ujHbDQCM#A_!f`6S1m3ck(iv# z-N^L93zX1cGd&Mh&*&tzbS&Ndl3q>!*gv6R(eZxT@cI-Jms-Qv!ZqSCNSLJKyLRgf zDGUEo0nxV6eazf?%0JA`=i1L6DC(bW9{>y?)kh@*AFMl2n|YHJaFdmWh4ZE3BtP%d zf7(3)uUV(|sf!!icobJv!pn|`C>U4-4eok|?V0CQYu*}%Nj*}F&?Fwp*#`iCoF>3Et7H^zn(`v z2j7qR1bZ3oe?ek;-&k4gzN~Y)ae`&-S~Dc4XX>7u)(pN7O8T`=EHZ{?ecr|4yP8us zE)r4S*3Hhz!|#(YwzvwoZ@=4MWENFxB;~s4?C$m9lA-gcre1Y`vK^*@XuIJpQ1V0N z-SHJ0^_2HhwpuUWL}f?k4?yH`Lsi!X?QQC ztg>zP2O*u+{fY+Av$KD!Z|yWjM192|xM+NXP*B#=ui)L$WjV#vx1R`QKpv0it@$3~ zHwj$L+R>>kCo1GYPrh8ZxNhM2+SJ_6Cj$tSOBrVsFNts#quNT$s24>Z_56&N4ly2T*x+spY0NKEV@o7n2laTP~ zfafnU%bIqqHJm-K^DE==P0(JBxd~Js9-Pb{edc$VaCzZ)?StyGR(l^p8AD4K|FX|m z3_N$kvlBj147mRBQ7x81#}NAP+1Wjxa>cTRCc0n9=A`h!I|x#m2sVcyp) zgSUHY>v^e$W7h$VV{o!v1M|-){hk}PQ+LZc1PuyVUaR|lutH-xaDsERJQLzSf)ICu ztda25YhrMi0FD!&1DZ3TI}_~?g!Gw(1qO76B7|1f)(-y=n^Dmy_#iqa?=P~61A)0y1)D;6AZL*5Gn@&D*?neIk^O` zLsPAK2!e-(H_;QKYjq*mf7#701m_=ivkR&)@}LO=ma7lti)dUGwjHh6KnE1nGDAic zPLci(k_LG+*z%azmuOEdw6|7Nq7aC1S|T{r=%|6E)S~&?I{2m@@{%}cj``2@dU8rBj`yrBcidm+xcunIXy3^>_3 z7B&nVTwGjQTqv-`M+X6nzep<$AGFsB!)^PIuoHzq*b_|~UxP)8!A0B>p-0o6Fd*Zw==#M~9uAy(MI)EfFja0IXOAG`2}#C>s9Yx_H$qm9nk4HOpMmYj7@yp`%8cx!0-DF3xfD3 z7KEpdyP(W74?#{Xkqc<}69yFcQX()gv2bumIk|aYKmhb2pCv*K`yrIqLRHKa(Q6XA z|AUx@A2&h45159aN2=f(vo1JL1(!Q{2!@4)Z8d?lwRHrRv2xNk&MDgDFS$S0r- znQ7SLY)i1vsI=`ype`u+gw;!pNX7^avqUh$!)?=HEwTR+ z;g42v;^N~=D=KE9#5T~@8=73j#3309OFE-r4V_1T;o=gJMu}#j-O#MJ*Td9QbT$FxLsyXS z2!CSq0B>PY3CtgW@iqj(?Cef_wWP<&jZk=H2!gF z=JVW7m_h)_;T7yOj=0&7p!hvchUThoNM^Vuc!Il^pj~^FBBC+cjY)D*DGWw9=~icl~x#_*A%9n9db^_&A9%$L-i*w(Q?2*x1iItlk6Cjt5j zVPs@tW)>6_5rYda4v&NdsHH`7wGqbE|vbLfs7&XxM=OKlGa+ZR%@LFdU(k_!!r zWJdKt{78L2mULN*X5>!d6Pm`cToTJPNN($ z^@2bA`1S7b2f51mUjhKPC2=mg7HM~UTDU;dvr5K3s(shc&kb)q`ieXEgdqvIZ#dSx zGpD$LC9*~IfcQ%<#)h^d?W&@xyFU4V{u}Fu;FDcpxY>yQS}LoJXYgAV{GOF(b}n{E zwK=~Ef0<4z8yJ(V86_d-(clb_Wfzd2iDMB3kKYY@b@L}l;=B_oTLX$HLk}iPru?K* zLGQQ>o*yM~s-*4Cngr^ii*QqW271jX6PV-N5Q4_~ z#8oqT&$~sBlos{d*W?1xv-Rtcq_>gB0=3fLP7K>Iu>l_2SE@H*H$-TQ?9 zlXM^nle!ztI2Nb^;TD48=Csz1mQGa(|lHcV_|5)q2o5$FUgYB zCZmpX5HiGwk52;UuLH39Nm0^LcapH63Y zYCd~sfBC9O7SR~(-m>Fwr)%+iF2w;%hMVtvG{832Nt<3&<85fYS1OoCXyi*Mgdv&8 z5WlwzEC~X2pII`K2zFH_MrMlZEWLYO_jy!S@cTPD#)XQv#XwTFM%6)AQu##WN%BqG z2zBY}(c)o(oreim2yaF{t*`}=30lI{jsz~VyqWo8%<<0UcRQ5qWc}&P8b)>*G^9E} z&8b7yWlgU~CrQ!~Ywym;Y@*3a_NzO+-n)@rG^6U?2fkgL2Oo}Cjf?V{Z>zNO$?H)Y z^Q=?2ovv}#Ac`MO7y)PVLqd#*B#D{X`&Q>{b3HzcSa}R{WDPeuPTrp;|9lRl!;23( z12t)WdiGk@M?F1n((tXe~g zpTvB_ZjQZVjs~2O*hFvhBs3 zxX;@z)0BD(gSiPaT|fPly_p{DX6K!ZtjoqbHOZ}|u^vihf7)k=b3mHTo^9VitV5=b zlaRH>-mT}8rMuyc6OZkz`b(rkapD1gGVVc+bJFh`QvcRavYf)~Q35-Q0*(D-g;#g5 z&TK7!@TqX7p3Kzu$KPsBqx@-LPC6<-^P#*iZ)Afg6`JYE2AlmzH{$xEWP3 zFFzuQeRJ^TYwN|g^2+v<2Fi>USnF3Ley7F`A$Q znlH$0e=_hMpA(RYb8Nm_F-@=>4aUQBkHNn$?k-L@3S@Q3uf!Sc9!DKm4OUUrOuamg zm746}f3U>?oKNccmqD)xvuK3P+4l_++zAC<<1u4__erlQU_U(rCZ-y>?u^}n6rO4Fbsh0*O*p3XmVY#V z_@{}CP}vQygJacJaXC8=Q~bzO9y>`@3zKkCfr^}@m zWi3`E4drs_Y4P=v`8+T$mK78A6c-d?n0lCE1vRh&)I-Xximq)d#TAUt^ql4)jUUvs zYdt~R$xFF7C++&EbMqp<8&d>^Pvm}oDIX89*@;(g?RkL-`j{&uzJ-TS;5I7h%x3@Y zZ?~Vs z^d#QIMd3L0nWrw7ra=I(w$ve++BAo^&bvtH^+#}4?%gHLD&0XBtx>?ZBs+HnpXq%& zHUr^pi({ttrtTF`9ydsy@Oh|f;vy64wIwml5S%k+?K)}v2r|23e z!KEQ+o|CWjFoj)$ezlyUw)vIEx=odV^ z)I3bfd%zL7^9|Sg+E>i|lAL#t3Zrv1%cpCaYtOL=;#5ut^DID@6i)K$HFG;bc^&2V z&zWYLj&52QP_}6C-%txMv2*GJcNEvO&;7MX@&_f%Zd{b|?Cg}txT7|IeJyu4%-sm@ z5J)DKs0T4sk0!hy^`o{U3;{hCdhS5~MUM z4%r)!B@`BQ&?{V)kh%-xXCEnVf7li2w14tIVZWzoX?*2sL$k8B!LJ+B?XNh_z#2oc zgs^oScZ7m{a2zYAO4OE;FWv)*41I_0!OCvC70^!f7~f@#BV1r*3{%e$x zi0)x)q^R#S|6M>aC`+{(;5CHpQq-#Sae9B?RqgP})U4BP+zscS98rBh&Ma*>`B25@ z*n+r!yHIxZ?W|x~ugJ^c){|aa=@Y|qfa5Bb=~T5n?!FaZ?Rm35cSpY5PZU3l=Kl7c zSJwycJK`@P@%jw4zTF3n@?UAQsd-~60z~j*6MsK`m|dfgeEK{t!9{H`(>W>$ zTo&K!7t0i#rE-1yzS0wio24w%uE-PrxWZDtbDrT@8hGmH@cVt3&+p9l>WT<)9DDzK zXOWibwX+4*eYdoT zT7s#ttLW6l?;9{}aeL=qeiZz7fb?H}6u{|L;DaKV*o?ko{V%dsAO&xv9p;Eq8YW+J-`XG(R*mB&>9JhApk>>py!Ot5-L>3V< z#-siVV_=uHR$t&ctSnqH^F_1+@j%Jngd)963yve|7w{G;kAp z?{hoh%Qr7gF@tNthEIW)uvzMZIp;7fZ;R<%#!11oSfyHPCVErHHB6nk$O}_IbL6GF zd{C+H_x0O9PUC%L=%nswKiK=Acf-9wmA{?#1E3?|H~r%-kNoB~98;KFbEu2yy(ewW z%=bU!}6Ey7b6kf$C_h-IJTdE4}GPAV3 zF)y%X?mh1~===!dmaFIRLF91fG!rMKR07RrW_+j)=NmT=sIFR-fz6?HS=CRr{3JUE zS0rZas^EqYPkxd(?Je@(AVqHPt#adP+V`^Tj31=_$~DGEUSCu+Df&2c_8NrB*?}b? zcDa&VSk+>wW86o&X9?PzKM6J!qIRCD=)LcPI%W8y?d1C(1K|NBQsuD}YvHgQt3%!6 zbw`h`S5h~vu5!Ns9DOt~`5$QZKaxEVfIs4?_o9@8iU&y^o9@nvhBa`m10HHJ5?}j+ z-?B{Za7FNu+oo|6Yhac4fw|K5Um7o(Od)MLTo|cV)V{aYl#l^jkzb^ z_+tUTtN4;KS3d4t|9V2DK72(Jz~^motZeglt{J_n%kQ`n0@%BUvmS@*R9L(J1AY#`|MlVKX<|;AoH- z>JvFuXKpu!a6Y86vH6*TAaHz})nwD@*RfQLi)E9l9UfxvskW66z2LRo$zSTc$;6&&+U)5M^7Y)+xo|*`-_~7RZKd>W$Tu;*tPukp)`CDMAyA<>sr({v-!R z)j^b!g=C>#65mVwmuuh^@&qf3f{iqW@{jc--<+G$fbYdGiBcP*0YORCyt{i6{e|Az z$&SwkuiW|Ie|R2FYlaanTZXfzU#Z&xDqYB0QS ze^O%e8hZg1i9y#Vux#TXaXBvD@nx7$>>C|nn-z|nu&BfStNEPvmTo@Qu^>6@2mVH@ zZI)s0t=si1(-qDf3R_ir+!PB_X~*2bkF3DCg46Yio5xtV>FmQVzwR+EveBB+tUG`i zEnzyD>T`eah;jY$Sgx%o6DH|YV)vI)jgN*y^sDg$8yEbo4C0J!dQ+rjyL9vkHx3!Az;qSGE#*hx?`o3l*Jcx}UR zMFD8!CmB5a^Hre`^F^mUse!q~o1KeRWp6Z^uU)YeOOF=4T$vzLSfJjCY;(G+-|B2{-8 z{RtS4)_}wnrg-PjYY?POzKr+5voBpZ;k(YH7}y z$#J-&QcCl|f_>g~QiO=belBk$vP&NKo_GBJ# zccOc6`I|`SU1{wy3$k4bKbYsu!(1uo#-U~LxacN(I{snVZQ?{$(Qbm-{{bJgLbW^P3e>4&vDnBY(cUS+oUs+a#t^ zCf6Ifrl#vdy1H1V1go)rf8D7}4S5lf*hi)ZI{F+q=D+QJ=)KEIy=;&(TTvyJ#`q-o z`~3sr(pcW{Uchj|aDhq_vo2cg-5TYnv`$r#r~}r*qxIYOjYe%&{mp=2isgG^_6(MU z;G@vpFP!3X(vx;ndMU&iI|=WR==>aDwV=UKHqpkq{kCGMwEt&FUb$Krd;PMmk&nEw zd^5KpP_9?}-p;mBb(y24t}cCXnG_cwJ#nuvRHdhc8Mr3XjeJf_`Rd^?4tM_Kfge=`Qs^>o3C+>Tw3GzMfPg0l5gExhTy4S3g7%Y>|dMCdllJTlsmL9 z=zdrVl!)lz@eMslEMWU+Wj>52g#EMXQqy=?O%R+ zR`w736fDqd>w}hWKPhR{yyI%Vng&G`mUf}`PLy=by-mI8=`hD#T8W^ zjN0k z%Iez&zya(dMiCiBrDqIWeBzcdap{>Qb-(_Q@(2fn<<`Dk0%uPv8#=xoo)ZQ?$}MP= zQSi>ldr`A{M8X8mM@1b9|tZBUU9Vw-arO$2Fu^g*1HXKQf!WA`k%f zF83SA!n*yt9Kw%5*06VU_6$n}O?`v&OUDcsFIn0}pR$-+`i70) zC@ib2Z6BK4!{Ow+4m>m4-YzTXyF^!i7QE@zPsq;Ii)&Zbw2*vF!clenJ%a?A`GhnepFiyO;vhypzWS94QmQ&d<^6OmWj@@0q=-zF5c0-@2 z-f>b1+aP#@y~fF}sB4f>|GIaBl*z*S>@SGRBJdX?w_v==0>24(y zS1$n>)3B6hxpj>jr$ihgl1_m$i$|VMi~CUDe&JAE(lN3G@%iPizpNp=LO1OOKXPBm zLGBe{TyGK6Gj&}(qrIf=38LvO0gt;OL+{%kj`x22+-F719NX?*oLV)n{B?r0#|^H0 zA~v0Se=p^^k%iBvEmhr>jU5T;>*l@>s@nRfG;cZsckjH;uUu+62G-6It#97Vo-yl( z#HD^G6u55qp{Qe35o8y@I@m2K-LRY%iQK+d$S~JIZmjLlF;{Q{!}kQ64m|lZ9#lfU z9sS8o=ff@zq2m3Jgr{8tb8Fb)acP;wUycBithv{dY}Doj_RFGjMwUrc9izXos7<_c zUkz@P`A27gMG7rnC;!-jl3H?3*}MLE-}nU;oP%DRGuYS{?!A`01FGys5Af)oRV;tS z37wd+c~Lj=F@0=O)3D~?G>Faj$78UQw;Yl}T?=#?Tgey%s|SWB)^~DSI!C@=yne?i zEM*CNr@o)pFu|^Q+rs);(_&`!yWdi3NyS4#z5O%>wgHp0ph=1VC zRylNFKgDOnO8!`LBy=_Uh5zf7ix3(BlF>=I3{Q(*&$7#y z*+ot=T=ekmT{xss&nawPJ9u2s0@k)}KSb>xs&-0M*K*v;j=1_ljkMW6V>h%GTg>^@ zdk3&`D%07$3_SARA^xLU-=jwd3{o#!5qRZQlnBdRnAxqD3;29R^EHSs z-?(jr`Xw@L;Tgbn*MPMlZE4en@OfxO=NAc)M4cW$r@@Q+t*Ke#yZOUtE(7fBJ@Hv3 z^Szy?EA_rVUib$t0!#zr&%f?d*O4xel)1Eb2fqE2e|cdiHD}h?t?M1{WiJpaWH`|y z9pPbQQ=xM{&zV!r#$jUa^l+2(lIUF_8BH?4b7n`;SdH0MyrETGzkJ6lQ9SGNLaq|=$JMBmd`GsIm4svX98wN_OrRf&3j}l`Bs~m zFCLa;W(+;2ln;LsuS9%#O=1z8B$D{1`a4e02mcED)7}`?R@^i`ck$&#Z&NpHN!_S< zaHeDCl(YPGNiW6l&PPWT(YL%r={ZV#OzK?xc|$UK0POM^RI#~ki>f#VgtjVcxR0JB z-VSX$mTUotOh$*KF$mwK5PvvDf2&m`b(VxlZS%YdMc%QtPKv=TXL_#j!x&hpc|IBc z(*dmSCbkCZejUDnB z1?aSfKN)bq20XF>RixNtOqyI*FOa%l&6@2n15sp@YQZtJ(!R6xE|`}@zT{yB@)`x!%* zUaY;Lsk;(#*ZMQRlMuN^#tr#BcXuay7jHSZ!@1 zRFdPbl~8YH!9#Z)VNCyi!If{(LY zYw~!EM8yi^f-_>yrVNaq?Y1iMNbDce$?M1R@t-%uukQ$bm~yOay9|6@Q!^A`pPWe; z`~6al;w{m76q_&S_^4~nQ0VvFAD8$+?4{=MKEPy&CK$OriY{*HZ!JVYTBks zHjiWD16`Vk1p(#F;1^xfh~(@(-*Ay;C@uu}@8_JE$G(|70H@4ikBh7ESuQPD4lI>z zT{^pFl~l)dxh9T7LAUs~X9g(MPu#+$w!@#%(>L=Z*ES}+u9B+JeoPR0Z*mqZ!%YOF z_}`B`YMLhxQp@iW)v#ua!}jO5DKbAX@r(L`saQM%zL^?IkZGyBSiFDdTR{y%!sHg^ zn_1@-co?u!((w%A2_VB|oknMtXXdLZUQZ^ATm0D4dr&hc`#u(h(Sui408nqTSM;*_ zclNQ|i)XtGAJ{)K=>5QcBl&fFrStd}OFh80^6-r=>z*vAFuFg(CD;=^$Ub3e-s<31 zMSo>wE6oH%xLo>h>)YHz<)TBHG)me=zti_vN@X)bDYp&BPBf$)z;|+A?t5WFUx+51 zxF6*73OJ`I+?2uVd%0q-zeZ%0(gIpU-~UoCA#-jZQtz2CJda2!?EN!H!yo#F>V~kF z#2PmadKUnTVwmhtTx`VO4vx7^OHfs;qvU%pb(|??@xJX!#@_^vz}$ z-hq@RQf-M`_-Eqi+P{bf;s4$_#1AWiUnGsONNHP`vNdjSqE{MBtjGIJTN5k$je zKkx_%NpML>{yH`-;WX%I8j%8io)Z0r3WXpDbqIpcSRx2*7lP1*AOOu~qV=K-42(=- ze`T9!K=Xf^HdW~1ywcbIq0P|1+^xTKrYAbQP&g3HgvI&5;(TFo_u=9PE9egc`V%2U z2O|(Nsv)7_kMi@OahBi~^QTR>bIhLsg0 zreWCF*gA(pXD7rO3?*-F|e%(iMdr()e*r(zEp6E`DQ35ZEb7+ z1p5~Zh(Duj9dZ9UZNDssAlPWH?MkmN#eYz6*t*T1q@>W>Nl6)@1)#PQ_9ISdX$3{) zzhiP*Iyx?B8uPwiKww~DAy!fG%a=C&puFCC^a<=O%D%v%J@4eJr-KZYezr=ScR0m)}uq6mCj zTxvAp45goAA3o0RA09%W`Q+r}^fbJm2!VJiFQ33AAyJqaU~>;x+Q4vdj7vyJOnREu z44dD97RWmm7}#Z&fjy6tF=7wJs*E4GJ>FMK|gG) z9fFM7tB_7i&&;A5dwP0?VBqrdMU(+_zZVvflDiF4AgpZQ5&aKhosyPc2M1@ndg%Iw z;K=h1>~~O@i{_=FKAQ%3>uYBLn|A`~FQ2Ku;2o5FCB#gwpdPYgUZY(?>rD5KK%g{!1_|pyk+*m^HU}5E4=# z7STw8PNIm3tF3EnZf$K}S`HH-hu2s*j86&Gyl`JLa$HhUwzZ`}8+c&?2fQ%C+zl^u ztKk{wVQ{o4cnRZg3IclP!)-VP_0cn*=NDJdNdz!|fCBb-I614hgrtE8XMXE; zxS8N~dh@2chlPy|!Uh~1Tp^(p|G2;-S0JFSqzr!nsH?xUGsHt9;$;;_xOk4uI0VF2 zcFxY0?-4gg12S}99C^?6H$y;JI66MwvIj|Y5V?T9a=`kQpl%|T*{E|R45SQoehER! zQ9q$z9JLP(<0vuYZXXI-c&ky@p=2B-kIqn0ff*_&EhOnGiU(ud8g&_?HUq_vku;1_ z#6X%+A_&rgl0lGGlmb#+hEl*l+EJ1S(t#335kU>T zt-G#S#hjM>R$JE$xPbcTGf*ULihxj}SogE27@tQu2R8l3vaAH#A_l6@fa8_!aK_ro z4?OHL5hBvy+-IL!%utq8gH^<;|@ zQ+vLzVfPwieN>9@8~OBKdq+1HdjknJdrc8FR@M-`=7|Q8sEiM?%BQq22XgTvXOmHG z-4mee-4#6^j*&xfa`lnAM}pfI*_?O*A~vE6Ik)3@l`H7LMD*&39r2qgS?}l!pANkBEK_HgRrBjU22k6tqA!&( z;5w0O`D7T;%3-K?_-~^V2zKL%w7ZHVuJ#U-y~^M?^#uqG=Q)WN4pfGkDi5Y z2EKI}!^&ll>ZE^f0*fc${F)h^QBthE`_e6S@oTZq^_3$3yw%emx zkrS~+nT2mMrLUR=DPghoQyLXTK1m-EE8f=AXmQp6aID>O&22L5#&_0{V`}?nZBn|} zDrz>GPlZ^+by++rffA0^gF@uvP@=BSiHW1JaJyO>?zLs^P^vZg&E6_Cmh!`@#YtMtE`&sU0w>Y zc2cVneF!xL8y+{Yjq7wx{Xh3tcRBX<)$qh~e36y8W28e5d})SK(O{94XVoZsHl~R$+qv z<)1-4`Rcr|?3_`^qJT(B|Bd-3PN|3U8HT+#5TbWsWXNMsJaX>|ovV7{<3VZTEaTUc z?4y*5S|zl~+QeLTx7?l#gWmkJ2i}a&{6)?i@5M$gow2H==z1g>WId?q8}joX&jQ?) zR1TjAw{bO1Sf$zX&UYSHiLIL4YUdMAk|Gc{)G-BwLqa&-DFZi=!pjYuD;(?lC5aua z>!=NkAqmG^mR&Z+R`$I&3*;UDfHcphqb$fS1jwp@vg9yTtMiv?gBBs(hGxG&L z^^7aObrX*rLtQM<{iCTF5jhlUPv$%&3>qk36DqpNU~Rcfus+tvtEbMB+%A>wlD&id z%eCv*5CU#ig)8N!B}>p9NzC2WHy%dff0q+VbhBMFzgkqs{;UqfB9v2#S6X<2_UgXw z(_N^$ZdyRJn0GXmM}IOWSnJ&i(tno_X&v+>)t~y@9a8JSa;Rm##p<=tV_d_zeVp>C z1RTg0O>ADOzj$!nbl>!70`v94RgX60WAns(GU?&ujsv)=nE#4Z6L0^4UfbodKe{7> zG?7(EAhX2{j+IOm@7uJX+S&U?YP7!k4yMQ2YAaQ|_ip95zFn~ZxoPX;d;B-v0Tz07 z%JkELyWW{KQhs_YncAO(q$|pdnm#;G&i2wpo`5Okf<-n3Dt%Ghh+jK&^RIfORb6S> zpWt!y-%wONy7d;~_BPf;cRw!6N+msY5lo@}eGy^f;1re%wA0EVbmX+chbcPE8+? zo`f&+CF4qjI!67lOQK;hPYIpq0%C%lYt1GR%MBdImo_WeC5>g1NxMecg?7#J7a~J| zDgbzX+meSmYQ=`il1f>F_MB2UaWC2OnV!b?&_=iW9D!E{82wtK^jBiW7)LcU>!{xXFIkOV z&F6l<*YeY3HUWEU;gpqvIwOTr>prKGtX|o4B?zp3>buV7&)ASe&qS!`G@4wsG4gUy z=hoPl3oXLe#t(ta`S!is2l=PUOvV10Wuc+S{=)eW<_)>NlRg!ua7aU;{8XRm1OF<&XE1DVRJ6NK`X?hl`&>$eKZ=W*@!SS5=KrBl4K z6KeV3UIPR-4hA^u{9OE2E|j~wmJT{Te?PReYST8VMUh9ZA#{Df#LtCCwMEFd7?= zET+p_GYMF2zBr#Id)@=`2Va7)qJ8OYYP|Rb7BMUGkGEr8IIghBUsb2Q_kPb31bFt2 zj9@W4uZ!Ok(Sgq(Xe9}+X$k&${0E!fGs5#71E30C={yD`jRPSeH?dOiNk&-^`|4ul zK=F;X1C}=7H9#`r9~|w8O+QZ@sY+W?p5@J|@%tea#|`nrd3w(MVLk9+-NdFj=C(@+ z1?Eg;Hul2vR}9ZIi~VneyekXfzP9REgQqaFBaz8V|vqPcM~ z_{NTraWw?fYP#T^;D>jp7X>jT*Lu=Fdz!pUvYUJ`2!KvNtK|LGbD+^St7rzWqOkQl@CN$DdMsyylLz< z>LJGEr+vd>T$P z!r*_E?*7XP|BDKPKKL-~?~^ciFZVAi{4X*M{yQ`MFES1OSLyD*%=Ev=H2Ck#^uNe7 z`0vd0zsNMOvq#^H!ufdI@80{Dnf@1<{ue8TXtOiQ13_HS@Ukm<<%V9lqgQv)t9$5` z2YTfRSLZnAaDJe544Bvs|HTKzg&+{c{GU;V{6F*g{{PE0S3{l|-W(xm@b!O}Yo_H? zGwG*2`=;$3B@+HCAlo}@<&qG=IHAFLJE==t=n>E#oC*`a!h2RMr8goy zG5OCRk5`cA&#_w|Zb0LK&si_ZuSMr zr||+492y{#8Y|&fo}^CETh|PC=F&&i@5D)zbZ;!Hyu9js2TSZ0*iRRa|8=`~pObs+ z!CU`&@fIn5I*U`f(bGFg#ve#r{DJGsgw6(aMH%)p-j4?pmn%;_I(+i=E80$&LzylZ zd;bKt7WP=5$cb6}JPkjhCKjmclXs@RNE(9#P+*r!8SZTY{HT^-Fd%-oT2NY>UUu=}u<#&^>cz$4J(F0! zWI|>*Tbn0%!8Z{VJjFAk;oojgIpQVXdEwG#c2|$bjlmy71?K<|Dz!{W)%*sb*F z$Aph+p9lVKz1x|~k~+%)e`8){766K2re!Vd-fIqLEGWJ@O$-2ro?^+XoZS)Jb)ek!+r_IU=I!HT1Qy z*)hkDqDhYbh$xr4U8MUES{Y~CBTEh|n0bEakMK#Ilr3UkllOUPPf>gG{20~K0)}Ox zCb<%*ne0q)h6aLpR3~IZzRoWtNci|^Aktk5A|TxgQi`0}KF{_3-*e9U?R+`E>so7jvDvfNnl&>^*4)2) zq{&P9#WEF@z%ss!NK-A+yQfSWC+%|;*P)Bmx!$3bg-E|3quTp50$e6b=x4|zeG9fqR-$IHJ3`SS|W(G$=OLe;l`A09oD@h&2myLst zqkd16DU?T!uN(8vjkb0_1Lp5syloN>gPUcaPf(qF7#eTPDu(NB5hk4(jl_*Gxr5s> z-Xk^lB~wfB>vxPjD{QbgPc5xXrk**zRLr9fSUms}#ea$44ejQ>>6l_LVmM9ul5y*O z1$#|4X{GH(gQf;8Ad%b_s2Wa@tE9zSiSB0Wd_C0hf*k6QxEx!*iA3%y0I5wmw&dI2 z`mW#ez5giQiS*Z`$_4moX?wBXzVW6nH2g#Xuccl6D+1#vdr3DI zALl21nKdbjl5^X_Z$D_hXPW(z3hp%332!$=yW3<=*0|g>i<-24%ee5CafnE*FOO9o zixEgX`Rw*<{i{(z3}IMw$-J5h|H7-MwNmrmHLCru>+HS&q~^pRH@*VpAVt=C*MPP` z;D(_)Aid+M8II9MIkbZUKULb}QIYQ%L9?LRP(u-RC{6OE-&mBF`i0GtM?yYq0x6w_ zZ$GNj6SNIYue@*pE+Bx9eT?SIs~5fM1vaZw84Sq18bw{aq5zVlKb(i!zmci zBo1NA{?)A9K7pV@qhu8SR|IB>@axq3qsMG^2V)7ocNkRXQTseSO-T#D>?l&3%YgfK z^xIPn>zkTZ+L6PUu6OyBZ@;-~lh*4Z4t4_B{W{jdqYABUCsa6y6rWxR;WKg}VF;lf zvovbJr3BLKsXYP>69mrOR6h%^;8pQUPAT^l7}_c@dfiVgGh_mh2hm$^ZL@48Qs|2r zY6KG)R(q8P`p8UrNtpE#Rogvb?^N+Wq`z& z4`5IRg&SwGufVhXQS(ZO26OD-?savVi!~b>D{L{nIB7tTs48i0?m6^D>EW_``=+_~ z=tJ&kQC+?3(Yn_!(-EhDXFoy{x;G_L)qZ|2Q~pXfmE2#rOnt8+R>~afK`m$3BFH7^ ze)dtxzVv`>@3qy9>B^a7GwZsLKUDnXZoa$f`Ag*1<{j`>Kzg;yo|7 zyp6NA_VEVP_$joJA5EC&=wR{P2Ug8RxJ1r@_pn^O+9#>iPD3%uY5W?4WgbV+O+-Dt zsSM;At?J4?K4ZHsVWS#pD`EWwCU?6Cy9Ly<<(oDt@g866Kk@x?6FV3Ru zDWR^+CoCQqF={8fVeKXcBImO2W9u}=<2Oa6vyhj`7yw!U<64@pCudCw&2*M3U?==J z4yR+9La(qix8L@d9@CBWo4q%(+%wk0q-v)%P00G(3*_0sGJ2|-%(o#FiF2O(LzP|gh<7M(8 zWnE9FzYC1-ZY;)|mJPm6P}$zUy-aWx>LYvNv7q|a@>LBZLEaiDb3V_#dp~i%%Q@Pg z3nMz`BTH6TTCO{8&J*Gh3-$LLU_8TxLapaxlWTCDy|4(^?Ptery;`b=EfUuG&N|1> ze}SX82yyyjDI@(-_SYun+_{vQ_nyE0E_rcY`XS|rq>&A%uIbIWi1BTZU`f;BeYwUU zzRP+dwei#M-bBsUYgbmnfRE__Q;+(W%ZAfm-7}M{{2rGPO@#R!>26F3bp2e|djhW6 zJ8)OcZCYK%x4p`7eK8r6xP9(^-loOj?ZQ8lCX#hP{#o`^aQ5>hrOw0Vt8|`uuUr*U z0vcsB>yE<`k#}O{pzkMsF?NlC4SC&^>dcvc{ca^Fs}4mu5=s74HHnO(0?t%V)Wb@j z>_zsux)?uD3SZ#!`mWO|>GU9r&N>%I^Ese#-#@J3L-6Gtm{QU7gh}k&yT=A)-9Uh?Q z$=56#DE0f^>VCh5m&NwUPGvVk6*#*p61=bE$Mk0-JuT3Kuk7;W$3AoT*!3|A$fX%C zIwGLa!(jg&$5D6M#B-7~M)Sk#Joj=Nx{J72XO_Vz#wh_Hb63_Fd$^445X(ztA@54L zaK*=|OL!QZ{d0FV8_%$y2e_oJH0@LPx1i5j1-gpAZMz4$oVQ*mM}OkQSvKyR6#&$~ zUpZH%T%NA6`a0`&VIw+tOY4R#=c#HZZf&O>Hw7^eTH&wzNu@7S__Ke|WM+liyfOOp zYOKul>zBqc=(=b#L7&Llqk#BN!nb7Y7;>dHcfCS(EHM?kdX0?o-uw~_ZUufcuC1uX z5t`VvTOWMMKJFi_+fv`Y|8SdKQsc5rSavO-&B(9qwEQ8eVc}Esb(!Bv-aBK3pp)SK zhojoI$fh%1kZhU~sYu~{1ct#Q`@7N3YJ`Ldjh0#NN8}a6t+}XGGpH-WO z$0=nM-9~7O%L6EV=eO?Ffemfced3|X`tKTtz0<)s14DP6r2IdwMm75u@@^f>AA>iS zr&xr`oQK7p^fRe&j2V666bSB^`znGd)t*>oA(jj>eo@v*?7nQT*~G1TQyCdATD`nS z>$1l|GKl4+kunkhxKd4Lk{W+jvFdZ9V1Qu-FjbK%7m3Vnm4f=}7S zzxb+9U-}CMW~7Q8>~N3E^d|JR-U^=ARAHZ=xsU~t)=k`gn)Ut*(0w|KTiF0tH$6Th z?c3Hj3J@iUU(@kDsd+1I*R%-p1wY#APIceXI{dVjW_tfMCWC`tP_dQgmev!7O#`BDw@Vg%Dqs-QSXfXTajjfBlqvxn=oO}aKME}rSG<`qLHZHS zV2$rbylURZkB8*750@^;=2EkZsd%?3);w~2?n4T)>-H%YYy!imk}k053P^-N{*x?X z)9-5iw<0k0yQJ$37_ZdrCw@MslzPD{c7W&T4eA{xSz7&wVz#dK_WzlrbuHaUJ#9Qq z%8_Rl4`K>r^8_#Tx`y$!5)P!?a=v3+zNhf~OvRPSGFtN9GpctfT?ec{=(~+cd&tvP zoivI+Im{;1W(sB8p<|nA6iqUlf)Opwpz-VqO-$~03Nd4kF`KASr<-{XiOGB04207<#9|VO5PhKQ;^SVvd(>&m|Rt{>VFMT7rXCJ7A!~}Erq6UF*ydg^PvSi?BN6Cm__@wJe7hz z_>LD5XL8f6;Mx7rgKvHjZ|p~S>;vzbB*`4zX5y)n4*(@f=~ZvWSssLz{$^K>U33b+ zV(f6=_{IEA`?_syF}5{$+Z+6%^jZa5is8AYc?;o9ZM(pTY-Ix%5C4#7>6H5L31I#3 zslH?Wu$JMY>b{vTi@PT@@gg!#&$dp9UEiEk7y^>Topl4lnLn6f3EzH`GkAwM#2U3r ztxIU|1^*P*cu)j}e@bZvMhy(D{U+rSxo1CSm(w})ef@&#W@>RG)eZd!kXg-rM=SLU zB6(UmYZ~gcq_ZzcQ?MauWI9bi!TMWm9o#G5r#I@d+aVAeeWPhio)f%u6c8 zN27Ez$7YzaS?9MRYMML0QSn&-H~-IbTXc4gT{e9}@q0AC`Q)=6hqd2)`I?MZ-YBrX z3EU2ddGT?DfcuL0Evvv+vATZb!boWSkH5O{0G$4%&O)E*ZpT5)ORgHV94y7QWf{E`@(4lYmD^&aV%c_d42-VJ*_Z)&Q6`y#7RpYY(wyjTSM9EF95rKp|qaz0>y zJLG_OeDw=1v&gqy$0;5~!wGGT;KzwS;thl_5bM(9ZpBSn>;Vo_56U=JnF|WJo30$X% z=s^cYVCdbZrCZNFV|*N~E;0H+Kd`T6<{B8b^jDsOMY0nNU6n8}tXH{H$cJJxYS}ij zA|U4!3tivC=euz`mWY-CT#b8oh+!I@_-61TtF?EP!z2E==-7pA&lkok>i(HuE`xwq zYd@q$T3g~8#{XVvBBAE8OnbGXUxuw|FqqR)N)`a53%0aEr#Fv@nJg23Xd1Qb^Ipw9 zVBxoL^GGWweDif1{E{;sCZ-VfSRheWRWo-8>0Z?^^^0lxzPWpRMdg;(bMUt5qwt*v zu)2GXFv2>u4|?2}sUpQ)ga2|=EtB~q9fRMeKMWEIt70Zth8By$Vk;Nc$f?!xKaq18 zScFAp4}1luWb}f@c4-A=ZSyM|zb~)}Dfn!I6Pmwnp7C0F1*DdO>edzdJ30@Xo|iX| z9dNtn)NbzVpJI?)x|4{@JN$*z1H2-PzwBvlnT^T&l-`yTOUu1M$baf`cvWXqiIb}@ zpB+eR+7lwu-pwv*HFAkR`6FnOp8pGzMm?}_Ck~4@nrd(FOMM35qit4FB4R!}IJ4GWXF$vx zlwV6EnB2-?`kXo~{lzKvb>E`t1xiMKeRC_@rG3CCt*C4EsD9*#fD&95*7d9Dpi=kD zSlOXv6}x43j`IjGNSOOYKmWjQXp_qq8o7UIf{o5(@N>0(`ie^)>sN7Ja30)rp&g%9 z0NAH-D|GOUS&eLGvbczZJf4)%F{{LE0fOtcDX)5$D5wM#_47*V_^jQ-qhc{6U0>Gh z{Dl%)XONIOKq#pi5L$Tfhg-$7q-yu)xy;k`z2_1Q{3NMPE>}TiUh9h9%E|jNJWgki z3S0(W{;m}auGGBMt)Mhak8pC}R<6a6?EX^d=O4Fc)0-C#3AH4Ym)-o6OKN6zPr2?k zg0XKL@}4QTA4ktUM@Yr4b@VQ-90Gg)*UW+14!5OUYo~IVRD9o1 z^T>@JNk~CC{#kM5bx&YtN85Mjb@2qg%Zpwi*XY-y7FARR<@-cBXnOZL__F!YoVVdB%v8}oHs84R52mws1zoXsSuW&QB+`<@k+$jX|}zs^w1tWwIhS&d+M^Ov-xXHZ$q z`qnQLE|<2cbwG4{1C8~AH{{feS5)tTn1Z^o*;CoTCz*xQIJeYv?R}Hx)`FY1tQ+x6 zaVOsk0=rzL$4>%l8MMutGxw-X11UxcC;ZE@dp{_8X`9)Hu7k}3GD?;==g{JOi90x# zkWtPjp`1wk_I-P=)XKKipML=}&@Cw^VB-pA)|MR~W@Od;j#9%Q@Owr7$6`JE~Z~qX2fX>d3jA+B>e^^l{!~F+(LW(Oo zx_%k1u@2C9^g7hAY(kg`GF!LR{;dmG3hAu!3M@!u0RjkQ5kaXf2?@k5(bT0=-vAml z8y&j>?Ti>+%rsf`A*y&d$lngXf0%55~2y_=m6A+WzA|eG{K1 zv!teGWI;X)S|Yo;pyL%n#C=2PIz5Ez5T5suKv+o3ls*ddy8P|;}cj3F#fQK=)(Gk2qTnV8p0+4 z?a-#C=Jt{QNZ;7FxcDbe{{gE|@C*^q_DulK9Rj;(RFx5pAv6CIGeevY@_g#*8u~r} zUN%UvqfN`fXh}{4q+V;A(5>@79QqviXGw;VpG7G7*@rYADFtlP*P!QDPC>~Do<6f1 zT)!UTi~0Elg=NE2Q`28&FVJlmEf$7aVG9iKPby3xd{tEbKhUl8SqSo9gEZvEp#v9MaH*(h=>fRJ31zY9t^(tq z3m4j>Nnrz1f^fC6$}L+*v@zLg3fl>7h;|IpH*VOYcne18-cGnR`We=#eNF!No*7qG1+-6(>H-LBJ!UpyA;cv`$0`35OuaRdWOpftx7Y#M)sJ z0xVAgjb%di6_*SsRLvq#D7Si9=ju;PPpF}L-Bt1h%axQ|Tpi=}= z;SMtmW*)qHh6j;O$U{RSIs~0`0DZW5ui85zs&UXt-0tpA@QZrT83$-we0X&8$H9S( zoH+{l1rOpV1iGMMktcBbmvjIX&yXmFLo5_QV`Aar{u@crGpJSsG|;vR<1)-JfGrIj zVk)<^_4I5q5rYr}b@%Qv79$1hYexSv4E|$dH#LQDDMAP_bW1BcJLd>E4o6(0jDzv` zG0ZK1V^Jbx(NpW+ym`Hik4Em%GU$dznD&4N`C@d6L30Z{%=d6>g@@Q?+kyWdM76W0 zug~@svL2a9_g|qFgki}k(A^jYI+cZ6kZaBQ%ye_eo!Gn5T=+}i|O$qx!O#~Ts-J9n3gP$YSBQ;><&$lDitjMa+|jEW*Oqq^#K@IIKCXc|uT# zf;m(|haiX&+-|@lQ_e+DDk}D}gs=~*t7|&KKH=;fwcaI#c)<3G?g20d!S897-B)XI zR8H0!0{fgnp;uT1*OSoYck9=7>9xG29t37 z{`?tx0rr543tKfj^k@dhGYm|4Bv`P@F<@6HxK4!&1NMf0Njd+F>BJP2RQA&-5fM8t z3{g?h2q7Xg{tfT%@;8)COw6tM;8|J0GOS@4h)p{JRba5de`z@Ad>AN6M;zJ_0!Mgn zadn5Rb?j4XiwIq7bpm)>fM|10UQ0(u>=-7zO?KKJAYBp4)~XQp?b6OgA-(MgRv(Oh zbA%k`PW;Qi85^6N{0Y_QzkWp+(8Kk7Vf_B{7v6@ka3KSYPB}s6oN$@zS{E%~qS}oX zh@n<`feOltdF{6;Bg-zsf^}>Z93Ry-I&HoQvxn5^_zqCHBHD9;H_>Qu z;?QG}O+?c1lRSN@K~>n(`%Wi=RdouTNed>^YI_cR5KuNK0OmZu3 zHuyp^F`(liVvB_r*=N>p&C8~^rD^sOBj9Uf4Kg>*HfH;rlxGV{AKZD@ef^@Tvb72^cj>8sQ&| z;sUKV1i!3~tDCIfBqViQdMU(s@7Z1m!-dcS=M^7S*JpSjl~k#&JFg9w7xUUn$*7yX zVQt~+9t)c$?aTq*q6ZJ@0M$ojj$&S>S%H~Z%BvCk6spx1rH(aumP9;feE8zwW^jQD zHqLQDlZmpIH)zReG^Yy)$U^WX8cb7@6@+Q07CwTyk0+aPJ(Cs*a=2Ag_~v?y$ssuC_I^b~mACcY;b*5i+G4**)(2Q-4X*+A z`z2Et-DlBcKg`^zT>V|VST~3Sbz+$$yt(#IG;<|^>G$05H}P4ck=XIfCtTQjU<)Ve z(Pf;3&cdvdp@x`FkiKD-(%Grm@U(o`u|A7P+$Rl}0!ibm%eqE% zyYxcd^^U8 zcG}n)`{F3*viKG?A?xfn@#!|c!-K2kcNU8=QgT0Hx0G*=jV&-9fDEp*VEY)+v&kLs zVxTU8=<;tf#vjH8`>~)tT3?Co0SI8>+0|tIBW%5FD+OvYMC;$j z??{1$g1^i`U!8XSR%K`!j}uj%UYXUEt=W?;G&s+-I-U{ z`^0?dW_6XHf7Nv^R;64|EI<-BVE5N0gzuAlqfFAwCx@LPo+AY-k#*^gMwIWm5CEgpmgD^qS&izg@CP1R4lWk=TUwn(wPnoKm>C$Ysvnqevg?od7i~6p-jp$T z$4Lkr?i_T}sP^uTSb29lOlF4sbxbMHN-TL7^-}zSt1Y=SATRs2@ntDGkx1UeuxE^F zs{Tm5;=PUBy|iMnEYm)BU4Xxfqj-ClP2F9#<5x_R z23;I^gn(#Xk{sCqVDBybF0380zuxomM{8~6JEwQ*m^)@aeng*c=gZ=cr-2%_+owxi zwfxt0{5p0%-Kw&Y9si810%sf=%f3cs{eJN1;pF&*9NUsyi1*_!C1V2pnsNFa+Tyk2 zZ5K*OG=nZ+;bG1<8{astBKnAejVzOjzKl7>Tu;sHR@WSbv1n0hP~Z}5{$@UQY_MC6 ziSThtiHmy0MD;=Sexmi~cN99572q1rhj;fny(I1)$YcCsxRb+vSg#>$J*M?y z?wvDe#ugXh416cWzuU$Tz~*KQ=5RIPh;WQCPVp zws7M7lvrWn-dD`8YvL`Yh92JEecM`!>`_usqZCMA--SJejbRbXvXS`A@MX-1 zk&4|D!v?*F1CA;ZpdvMUqNRtns7zruCi@0GR!uu=LU-F9#}ig5rmUS3Zt&q#c2ZJ2 zdB7p->%vmgYMkV8{~N&zN2}2uZ{0g=hJrxu17=>c=R=R`X7v?0eDBXR)7AUdo;tnI zX4aXpAiW8FSn)W2tREM5O+NdP{7f_z>R4eI zCV!m2Fi5daO(tWx?>ph>2f#{@wfT@9o6(oz5EbPg^5j=l^wr7Oa;_YwCs>n@yh#O9 z^LP!NcL^0VaFeOEau0aroqG(5|Ke{LQpRa1nf_1#tBgtUm1*w?1%>a+Fs}t+$oxXg zZsAzg^0d-4&6bDW2VB$_V_tt>IASU0EUwZ@*(T-JsH~o2T(`y`9y9WPssUQ`(zU2S zPNh5Z(FEy*wBj0TfdX9`5{<35a;q=7x(O5toA;3F%zYf#JP6Wzs-w-p`19+|>`(kx zKkc$^k3|fFgGZCBUubW<+|f8v>e<~qfvc%qrn#}$d*j~rxoh{eZ(x4^O_b+yVoAFp z^*n7wngKbNT$)f7F3z)pf`=K26xD!)TjKqKBEF{j=|F6t9gUc=zQ|YWoq)Ro`%^oU zX?^!V!W`3(f1-+fm3okW$6O_s&ncg-(_%5xkGBbtg}kw;;BJLGOJs#Ssfl@d&fJBo zDOR{g($3f=|7%v!ockPV&jBAc4|{x*WM|C5oaHr6p28>A=56vc;aye7DOS{cvV|a6 z_C{-woq6par2tvlPLF#LKSnhIL$L-_+PUQ_T1ouz`@>|V2u!0j^NId%xH1wA7o{S> z`rm-sd=wCb&zM9+#n8`|;6ug#w>BAQ!X(&#S>%RrO)(R=tP9MIv_e0P`7Z(t{?82X z|DezS{z>}`x&hMQ0xvLa7ydb(n}^N;{`Z^_K4h!}Rq**y9encnFTwo(piKt`4``RaOJP?_5Ulb9I0mzoi-vFv|SrmXZ_7pHPe7O zlst`i_s0-z@si#*d9X4}oVpyJ;(cR8ef|XvHF@tzQ}D$Y^Dev9DwDxXe-@zYut)0W zq8aa`P&+03eZFOwkxdbYnVli5)%9*dM$Qn($_MzKeB%?Yphpfc0e{_UwIUpywi#GSUAxZee~$d)Z$f>99VFCZD1Zc#))bwmfj(%g5 zzr>Ftu05w9+4)rleQR`H!T>hqusk?O#-^tfk4^IX+BKiAimgzr9hC51&oh_*MTCYy z-V`oSOuh7U>gHkHg@V$!AwfF76X%!mae<530%}2lktb&*pk3?f(c{2dNejho5)@2v zp-+A!yuNlAPDx{QxqwutULJfy%KM*T-tO~Tk?q%bmmflfCq*A~XyoGkDM-z=#&rs; zAo7v&gALC@nl{C{fAj0A@-}K7a_SZ}TNX(NSxsmHotYH@?@C2})O)Oabu8Y`^<770 zZiHP%3Od$=OuVsspmu|EompbqF7kHWYvA$v$AHuK*icmU2RH52)0-_^LV(7n%!ae6 zCGJzxr}uf^3Ew>7PElA)5 zv-|9!ha~$CP-<4RenV#@ogP*4mP10LYijE{Tc%>&pFv7C+;h%41MvE0Zj^jXSw8m{ z8rk~e4V$k-H-qw6Zl~7N5cEX;T>1iTJ}A3!W7m9^v)78H@7QKpJ42wGEzv-e$Hzd! zkar;#NQyklq?x{~GfFR7E0%eKNKt4uGh5puOKC>)_}D*l5%f^pARS=yjA`K5(=*Ll zy*=O`)RcdY+rS!3a^8>SO9B4;X2}GSmqs4937VGhhQv=clkGWQI zI_cfs4DgS9tXZ_bJ=97&fmOnNFwSTl#YF-<|9HF}G1~O;ViRH*mT4}-8UyTXg_dgR zEN&Dx#9FdJplkuYYL9n>frqBso3T~1?K>$ogO>z(w0vXUm(97k1IOJd!))`s#d;a? zcP};8oQ!L#{+3_0(I=~&6PAl&$YEo}!KSh~9Y4d<4P!K6M)B5nGJ z-67%5x-Wweicr8x*PQC*H}HMbM*7re$SUY0H}%r+H8;#0{Ta&WPdm+6-=>&=$HtZFf~Dc9AAC_Qh#$d%eDqKpSo(0ciD06u!xiGanJ@=D2JFu{Tqgs+9{VJu--(dF(ncxoQ!Sn&L7*1iQ ztxrI^lyUoyZMx!zrY!nb(IO3IG9opcHZh-~ot@WCh5f&Ri{~$KOy}zw74Hj5f2HMh zvRP?iSwH9Qs6Q^^<5P}y1I0aWoWlMLP$pqlv18lvkJ7a&LwhmXe|Jc&2ElOr;VNs8#^p_JxjsbKkrc^ z-5Sy*D&wpQ-FA}!Bt)1*0o<5*&*j$y8QPI6?1Ns@G_$ThK9w=?9S;zs0lf5g?E;Z& zqqT%Gvsk`L&aFX9;>JEQq^QQV{7Z742 zB;Z!e=za^3nHEKeEtYPZ#1--xl)UgMj66#IO`TDMFXFSC*(*Q;zN@$wAml&ul!N=k zrn+PanuQYv8aVS_CXGCE?YpOG0cr(;cnH<=(@2TwQm?72RsSK62{6XFgKrfilTq@{ zt{=!)(@|7smh{VHAHLyL78FiuH8d_Mtg|n`KVVA%&=u3k-3( zd=@xOH40!Qp{D?!|HO+CQQ@{t=JwxNTvQE=%C(9so}$7D7NkLztxoH~MZRuJM;n)&WG3)< z5K-2FGF=EAki>ara$HJC$A)7FWDZjtVU!Tl`Ter&uWeLxoaODg|_Fzzq#e&-WJ4$1G7QzFRUYCT)(^pin zIpkVS-z#r?rcGPr-`)nR4m814(hTbKt`-yiGUo|%8V8BGQ^Sj-Mz#x@O6#WJzVz#u z;$#|poU(+T-`+-dlG<%-@&VPI&~Pq+6;f;-P+=kSLq&23L%z10q{UwEX_7~$v2`(v z>v+Kn%1=RK&49pSY~0y?iq+3D)wM;ne0EXkN5Udsl#Xe=w;ftyk?nh1g@;owV#Aa=ckZyVig=N$EjMwj`;uU4IyRrqETR$1a&Q z9Fj?uAaOA5+RKytR>=@$QQ?kruZ-1qQsJ~M&vM$NJ``X*zXr5-s{In8!7bh(+OR^p z*zT35zjtYT92ogJr_A@|{mj99&};RRd@nb4U7Tai(&@K;3=zYV>bi=oL7p1|G0lbm zQ%x>#HmE0nXi&Vxk3GIb?TU_v|B@xgXQLLq8o?M25VXu4@iyAC+*|C3mRCaEz;tc{ zn@2V_qj~rbic3K3HZYCu8Q%L#&a7q-TGP}usPrfxtZ{tnlv1*7XrIKZWem)dDcQQk zbUdQwO_b5wALQRU#Z7PAiJm88^((A6qkazXh38pP*@nE5>L*Uz0&yrF&S6|atA2|tP(!& zoSXq>c1Kr_)auTj^&LrBd2Riql6qRNmsLH3+kezGjUR-7fL2gM{YY+Vpv5j8{#X53`#b-@gM) z5#05SDTI_80?BdwV@FWH_*^CPE029 zsFc|-HYMZD$7Ni(_mqZa35BDRD@TH^fseuPcPw?|;HZSJ$4KHM`5aw9+Km=#S5%P4Nz>`<7d4e}PZfD22>+eUA=gF2&P z=9l*@qUP5&FId$yO`K}hc8|!Z?tzRhEN-dcFRS!SS9Hw$o<7?p6VSc?I5o2thxK+< z*WOKF8XA}TX%Ulzfmg=OKk0x;NyXSAAS^wvnTUeFmp`Nq{+E@U%BFqzoT-%TMGL>= zLiBH}s}CY`E1LXj5j%i?llM~#V)e&*rnxu{6lH_3QQav8+TDo%$sHZ zNy4fzMe3G2SllzroSv3`T<25u%>u6~-*02-BxarB5b_BrJ9>x5B~;OK%cvVa&syC3 zaa#if#5U|7V_lPR^=vpNV|}C6NlHZ||3ZlGoAc+t@AJR^f}-~y9Jb~6J9G?^Qpye~ z#bs~$d%60JD`qgO+)nv+cnmIkYZUbS61i^e;+&dZ(KfiKq2uWnKKmO*DyaCZkvR#p ze#X*!7#NXQu}E@9`)OJI$(x_yU-qJsKD()&&okv*0Q?|Eejnr}RnC3as@pcsfx9^q z!auq5)`?_1U$#+7m;iITtSKCBS=Ccgj+>4V&*}w4wM-LhKb)Rp%2>RfcM1c2Uw1En zc+~4Yt6mNv4K26w;nlxl;g#K=vEr6b4F;Zp0TYD(M%>J2-6u7Vi{30T#a47Iqc63c0xx_lMQ@}}?s??Q%UQlCqvaQnw(%ZX{mUS3WbN8oea{-erDGP9vrKINOApkog7W_ur?;G&T)A_icSALj zV~t$`49b~SY}-w96J>9OUAo6twf37=*`aBIO~YZBkUt)90(A{Nr?{Nb5gya5c@%$W z+w}So35R52ZQID#Z}O&2o*=NA(!r~s=)>eP-j%D*n%b96FL1aY)3H@N^~Ew+H+~6} zwzd49RGyMhYsPKtjl3+|;>#RdlYLSW+`dDj{5X9WAPgdA0TIuODynvzywh?D2j=)Z zO6!}a&v4i!q!OMtgNf;r#J&*`WzVQ*o!y%h^i2G!Rvk)@*H{$a^I4a~4T9;@Yx;?; zUXKxS;nP3!>qOSPV*X{{)(JwK6C(>N+SUMuDA7-?ausz)w^U+E2A<&LfvM#qEIg)5 z>Na6fA0H)v7o}BiyHC%#_~h~mtG9WDWp3CmuO8x%U-t~i`od)!2kJ?A9%NV2u-{J} z**Inrd^EAD+t~WB@6~em6#^k+_82hFb1&Vw3ywWrEO}H{Tn0m$QN-7slSZi@zsN6Z zY99m(nD+wHd)A35uIM@>=hP1p$m&JcifGzKzMAVCdIe{X&4Dc-qG z-ssmoZ)(t_Q`0-R9MEGD3VxGXxFlqx58kC>H7R^cVB3Y4dgt{3mynY7ee3Q?sA<1` zBjQPV-N&989A**Oq_m8;YtBhMs4EIL^^Fs&KY!fRJT4rX z{`k9fYMorO@PxnZGoWiW%os_VJxC)_sKb=_LSvrSXm^_O(oDOKwVL^hOEKt~BID*0 zmsY_bkZ~;-CL-rB^?aOB)!e=Pu}f&!x`o7MZyusZnOU{%d=^je zvP#Fdb3Y7%pEN1&&L{$s4h1gXHHsp5g>P*e{Jw8==I1E|8%N3H9FQ$^vIu)z%ah1s z@?OhyLb$N${t=4Zt8ee`EnNc_zZj6$JF`K?DseNv{L|vWF*e~95ev`eiCqGrgpngW z5^7O!h{1N(J3dcX`Hq&6BQ~v;iGM*mxw?bMymFtMHffL`pkj~d86V$`%HkDx@o-nm zuG~x^0fc>EP}Z|>4ERbe0B)pi{0&K|?;Jckzijs)BIYkSt&&q#UfJZIE21}aQ}O`e zP1iOQK8Les$Ku-06AICLq~s=V^V+zws+nrdmw)Pk^x>u86&+WF-0oGoBBtv#&EsDb zTe-A-4@id(a4o(3L2~2z@5>>{3(K@}29_=!sr_R=j~T_xa(AhPC5%H7GeIs3r>;S6 z{SOv#yT@&PV>7=_=z)m5u90PB^BfijcR0wc@7wf@?^aLO($G$T zD*&wj!DXEv6wV4sXHA>@EfO$|f63C7lvY(bB=*B!6g@nP@{yk!rrnb6#T$M55)Gf` z7B0iTY8y|4H5|hdQ{T0L-ZQ_jl#H_Ku1zz?xTmSv?}jFpHgReAM z{`9xZTesg&6ZvyN>Ajyvv@!;!$u-UGAI>fb+_>4&^Mi<%mFKpx_u!$3E{J@b&!*t$ z`grP4QbkqEEc(fkkXbW>h~LYS;h4($x3gdg7sW}BzoL9VF z53B-fyRgjn?TgzdtUQ+$jm_a&&$->RU-xO41Qi`!nl~|MIh1;-or_lW-D^J#{Lp{k z+W-XGok;6Pe{(pnh~BPzJ3&Pwyu^9=oXZROF}tAiOB6-jDc6#Dc5h21yp+e@C!t_k z+qq$ei#r!Vc;Lp129fyM5rjV%AwX+(|I5HZhzR;7A+CB0uC$J(O`&Gj2x3%l-oV7f z(m(8fDP}_uY{+9mB69&jkT`P$c?=7ShlQaTIJA=$VsPyU6(ow&)2nJB1J?-IRJ5NJ zP7Z`15P5^7>mr1g*B~ec*=1P3Ci;-JauH-3ZaZ+>h3wxyu`XH*X^xPh9iJ!v6_P@( zl?i?Z%5}*gO9_!TdFUtoudx#TTn%pO?Fcp+e7mCo%hC)%u>MJP;lFhtii!5>qPb=R zLsK*YiWcia1T+X@Z)m(aB90&n4F#i_;Ii^u1hWE?!R`MH)<|^-f(hxj+7N^Zje*vq z17gu=F#26n^Lwbhg{l{50yRhI(P-!*j3pRouPQWI@1Y4eLLzv0#PIM)&;c<~IH`ld zh>*i$qk!*FhQP=D?NDdEfFSfVFrai64FS?KF<<)6{Ej??MIj(ZtpssVc;1k1R#S&m zHv~mtxS)O5uDNI(3xXi-=p6)=5l=L%j3!0BeINqo=NAz664KewK#FE~p}qPaEDA9> zNQ;(M4B`wyJ{;0<@Pngp`$voBqLD91t4*4ti7(_o7Hi0~qp5bZ_!LgSAP|^?3TF&V z82`9YY;5}fO<;{aby8AF4lW)cw8$BjBa(|?Ltsu+R1AIf#nGiogdoT@Si5L|4vibj z%PSiiqfO3e;?fFoq!1*vHAk4x^xQv_FdCIbv+EEiO@@I+s#9SZX>faPj$onL>Wo|{ zu?|5%CUnk1E*;KZm7;-jG)vn3k0br(9Ud4U8XO!RK@TJXf6;-~+aL%Q9wC~lgJfxhv$Y+HpFhHw03zVRBDc`+y#6xEFc)QQ zh5>0(a|F2u%QQjPrYSr$vvvfzAA%6Vvowc-?kF_pZ0*hf?Z#+@Gy1$zYwOSr?0?~; z)>gSFtbZ&iEa!bY65)fi!GhY`5%LZ+PY=oJPd%$^YjADWt*tIc)b3t{8OG038Y_B) z-rA%cg)oL7)(^TIaUmJb%)-I~2~vbL1R?tmKMEm1IIs54{kn`eM_6NO!32j06CyoB zb1yVD{*RenK>lycC=vpT2!&lc3^dJF82*~r}qv2{2QZh0OGBPr2 zYn*>LH8pjI5Dqh|1e&ErACg=Mw8Dj*^%YJHCk<_W;bT$m$*p3hZMwy+F=Ystc2wuY}Z=7{x8l&x)n z8a5Q`LbdJ!l-|R%j{m5YVQR-CbZ&x29BebG5gMrU^oSeyaK^R}onz72m5X3Ng4$Z8 z9fkb~9X+`S(hE!NgQXz-=-mJu?$8h^Z2ZGxV-pj*)&a<`Weo2KcjOd)wJUOF?Lr9K z{$CU(CIKBMC#SV_@dApQ#~g{7hwt(gPa*s-`$3ekpTAP0z^IYI!ttf4Wy zJHYndm5d4svVKgA#-h=U8=ZR+mmiB6i(tV!L&fsK1p$JrEG!|&>cYh}#EEs`f(wPL zFYF`E$_p1ns5O))oL;WcT)2=!A=?WVOh{erLJlfr_kZTBFJ7a7pT*10g3+zy+eB_+ z+HY{?g;U&c{>C|FuNS=;p}qHh2snK3u~%2S-=Q^jH-X@>@9*O=%E}LQo3bdwr;t-9ch`U_s2vwE*;0rz7(xu2q-M zec-wO>Umc9!dQUNY&cuTFR#5hx*k0sIPiTXoaT-R-4%R?Z*H`M%>RqDvkr@@ zYa9L0(%rEL3F%Z)0YO5#yHlh=Ktf7D8lbcE2R5xPxmmL^-`IK9T58WBc1FlJw~PI4Kv@JXMO{?uYM0?f6|j^IFga* zzx#vNE|^l5Bmdh2lc1v1i3FNoU}CU4j?;JZbYirun9hpgAm!HoWse5Cc-(=haoLjk z8mL`Xm%!!6CZl!sVGV0yTsL3EJm|B$T>I3V#&)~4oeun`M?I%}e$p+H(%K|TNeHtgRM#8J2z=tUAmDYAZ3l!%M<7keY^A3ZbMAMS&2Kv?IQ{L z9eMrH%_7&YLEu}E0~YZ^M5lChazoC+!Spt;zZdbfdWPja%r9CQnZ~mc2aMF%h#W|Kjs8!>+#+@Th!h7`RbO&U8U3b>|Ri= zKV!sW1g!^bmRfl~Iqi2@@`x+#t!|+#8?55Iy5*6WoU!e3RDdiU!Jb-Va`)g;p4dge zOod=VNG$BaL|J0!OL+$e+XfgX*Dkf93mI8AXw$S&iNjPB&mp4>t^YC86>ra`@e3Cy zh`zg98(i6{k`!PnNzN08U3p-`@4aj0VHe~S(X*iq81`1l23OPOpZ%T}jvnZS&P^hW z$lH#a)nE*kqBoH*!O#Z(bMFWBGh0?pAGV*)mm|9zXb5nle2_+$OcawkX9G|9ZiB#u zuM9fH$ZTrT#w`W^wy9w+b9n<7V$h;J)&n5+hBxX(5%)HszY*V{55oB*c~(@XiZV)_ zFfXrKUfLVrp`_rtx<#~-LOYLB@s#hO7WG#y`gimqKaxpkR6Nwffs=#Y@4mh-)+uJq zgdrr!DHg7ZC;`aD^9!i`uXr>mSb$(uo@@fOk56rjnl0SuDuo-rbkad$6{ZJ;W>5Czp`-< zA2+xrVr0ELi(nzYPG~t=gQ}{IH>CXzjq?dS_>^B(AVu}^<&Pbbb(ANWGAu)8Zk<5n z{3Rzs-y7-Fg>~oMjukSVcDGfjkLBL?XueIbzu~9^IVA?i_%C9|&&z&@7HRiq;xUYx zVyes}&Ew#;*<|55gQ4F~llI?km7s=nrFyJLvr<&(&h1JJik7^{%b|$w{Q}GfhRZ!p zTL=tjx2SqTf7q(qrj zT0M48SetqWelNQ?YRSycnlB1IcH2$c5hwbdV(~y8`=@QG9IZ(_C1CHFaS4e}2$--A z|HY`;VT1MzsdH`>60#ebGR!rkVF7BAY6>z{jr}uWtV+CcIYPNi?n}DMR}08avjAAh!`yuB}M9q&i3)KgC4Wdciq0KN5T?mtqFXD7 zT0L7@j`4HIgU#)IXF4a!uS!m3(lSU!1O`T%`{VsfYIi)p{1mLyGR*O^Y%S(=%B`(0 zy<&PZy#&_93`%%VLn62MbMJROktjK!{c6}+rP1v@mx(jX$$bQ7oog&#oscP*(WGNU zpHWYzxFxf22JN;Wi}lB{A7mB+nF4YBNo34Rn|jiacmq#HNhCLeo&Xfb>p>;L7=b;mO18#6%DN z!jU&QS_Z#)gzpiNh*Xmjb@*t!KSR<{WD_s@9MlQP=Vptr)Q-&DMWla3Pe?sOVUVb^ z;l&IqY)gO9Lx4kd4(<~A@=?)l{I(LwJ-yjjf7NTFQ~neo#1{FuDJopbN*iQjCzpL@ zXRS~BoC0QMQVbi+X2PiE|( zbM<~x(M#zQ`zzcqs+Y>AU#F;<-NAPR)36=(em@1QYyFn-+*a+m!O@cmk*T$}!9Y*+ zD<|+^lX{1Qmvnu>dG#ZDyQb}n2;PIoGsMRnE9^I>yT8LhlU>rJ-84naey_dW5t>i( zQ9&6c+h?si6`fQV3P#0KU?*^n&aP^Wp)mlXMpNsLAB%I z3BT|x@4w(EfY*+JXVSxqXZShL?w-hpMAM7wS-wF;rUUFF*_aJ3;#w zpauV(p#2Nb0(g}aD47__sfI2SLPEo!6m{q#{x3ia{yRbY7oY`DiKhQT(EbHz{|*WS zy1s&^+4;kH)&O`D2ycSmO)$K94R1oACfy%Oy@RHi?)feLf<~4Vemw#UU-bIlxGQ`n z<3CY+>;ClbTm8?o@Ox8)+h4cX^nbrtjc|K#OZNTm6BP*m{{Fv@O>Z$i{Qa)K&)?n} zB0yKyhzR&(`_N1Z{D#Z+5A=G*`u`8T)@=CW9`li|Q~MbIEVBI`_yGI#^J!-n{j|oz z$9MOZL!F))>a7r? zYpYzrd!j@caLbf<_RwR&s1)TuY+US#ixjuWb1EzeG~(kUe^FZnQDC4OIU!XuNiR|L zOguMoCA=i(`mi^9-Oh2Wu|JhuF)hpO; zdW{C~HC{Zk&yIRQMz`^)FMllGMqqQ{G(wkC_0(F$Dgld=QbOcm{RYt%rU zS)Z7eBL@eoJCbcq-&PH6T84Fk*&M-61+T10H2zzFT=c0bCS!CtLwmFVU-xFd=ftgq zphRo=b>?rhqL@fTke(sR|Dfa>brzm-JxSOk1%rzunxY`}&f?hIk8j%|?BHnnBuxNw z1YKbL^f+MQNm=Ary|8t zxaA_8^34!%oRbv}8Nny_NP4aITrncILF#>}s(IbSqMs`+4e4tK!}(-$q~-X>lg_e=A&2o$ z%GihH*GM6pqiLq;Lp@>3Ej&SBX!wN?E-b; zCn8+GsI<0>e%rGI8VsfbJO0h}P$jM>7^x3f?SBN+TYFBrGFZrG#Sc5yTWo4_L5@9t zzFXdFcMOb5zi>nsE+a(2rk@PD8qXucwoe-R zak(PLkr|}wdV{A54o>tD~oBZ zVOMr`zS^$u!|RrP@nEwPBNE``sLC#Z@e89PRrA+~o3k(={9oIcAeE1sx7#vo+&D+pxwOqM7)#+5Lg33qFCK)YnD?X+-s+jDaAYV z0!1{Xp-UMq3@2|^!4cLsWQOFOdnr?ms)#RAB8+o7)z!dc%cHqL#&(9CQg8t)&CE3r z^+OHEiZJ>0{tNHX-O0C_ckicaFnU`0rgMYH4MoW{#bhrCOv~+6Lp#1X9TpmD>J_|< zYZmCJ%QXW&6f}zNu}{;B%X&(=66V|~iah2nNX8pyO6X0gVtK528 zvB7-9u<)Rp^;BTvcX7Vp9@=H^r^I{uqMdJJydxRixktepEPv-j*+AyVqg5{wPVY{6 zeZO-%u+(ggwY7dV_re+^IJ6PBMdoG5$B=Ea>sLHut5VTkI7%Tg^1?)8N{(^|SxEY- zjn{)Vu_@*q*>18#?AN265uU`#o#({vHz~8^zyedIYv2nvLnkUU_xG8g{5fMm?l1M9 zl5>epL^TT+P(@p1w{PyBazt!@5@3wzrRg>UjH3_i$Qhh@=1vh$RawBJna;qjYof|% z9TbPw>_Q%0ch;`_8;$^{(vbP~v^zn7H#zRflb>Kx2#0SQG65K)RTw2azC!RKEI3HUwdO|+fLZF16t31hcnQV zCKqA37qtfi5*Rnu-*1e%SdJB5zq4{|uk7K)jpq#HWiQqUO1NCZ1AButv{bD#OAeW4 zB|cRVG-b)I%Q)|n?6m=jZer;AtwErFVC%<*OdP z5pMzoB?rP$tnSs!lC<;;lI@AoF5i{?KqeN0+()C$MsEoqaQJJWg*Wpsq)wN(jF4KIMWOcMz$R=ds*3f2c=(wGipfYtsZHM#XNMYe52;1d7pKJwbzsG& z7GAi$H|P9iT>w`fvq|*daRALpj*>d7PdTlex`LWVzMp zgjwTDDZsLFq7=E*B(bX$CL?#Y`A~$B&8sqr#JsZfmSD-dwERE_^}!J8bmfo67YV)_ z#mvsK7Bm-gD3OEf7wM*|=2Btcw!td>m&&;Z0qGZHw(Xvzpnbzf4_jlC*ltRXwuz`k z!MVySO;WWwdfAm@YcYmgQRI_0Z^0v##^~i2=VT<%oJQZ?HFXw0Y`$xCS-9ro0Ht9D zb@HrK4Ns5`A~_n17rTWj}F-n*nbPHz!81IztK+n6a#Mf#iZwL0aNq^x)* zC=%0O`_bHO2j1S8n}A!LnE}1=Pt|?*UEd~cK9GwkA3V9or~2iJRjF+3@SZvd4EfR~ zYv3FDsdWN7vf2AAw!|4Z^NT0VizS!LwLO{d;F?XnZYGe(=wr{EQ(@;2Qy<$Y$>{T~ zmCM+{spXW^Nw8^lN~2{QmRV472_up-4%t^Vu}>U8l~l9vjB4oy%s^7l(8|TT=+oFb z@NR}MRJo#_&pX>YzwgH${r1Ny!3Op&E01KfW)_$_NjdcJU&+jTr?BSe>`p5LVIM{eK@`PO9nC|J_KqJ zi~5<9wy&~Z&f^5OpCfX{@88~`2237)37M;hxTJvLk)*1}o2-hO&e5M}=#T(QQB~dC z;cextob#K=wAOJj|MLiyic!rjI;&%S7mJQdx8|`=^t;;8sacv*lPmsxaN4-uh{qK# zTDNm)9YW6k;C+*$?$jfoKQ{&B z3{9UGEw6)pHf_DYw^gJ2$mo=;&m&?7uqo;EUHYfd@yPjvr8MI~4jP?`Y1KNcgiGp4 z{-Ta|TI(yES^0@;yfz`vg$wj_fFLG)!JzuAYWAlk4|3mJM&*us^1}A>z|!xxsKk6q zW+1VDolDU&K67&Ulu<&-HYPbOb2qSM0!>!uLsf0d00RUKx_J7g_s<_-a+x~iFCLRU z*uYk`^gqH8mWZCEa;gOh^E}Gfl-nh(;_km+ z8KvY9J6HtCJfBZPDe&Co()b_(MntjHH_tOtutETr5h@bldE8jN-rE}_q ztC4Z7GZCMV(G9N?l1G0#PiT0qiA&X;fp!f#(^O`KkQfQ|)bR~Wg1h&1jGW&T504$= z>wRkLSOA;5L`?UBa=At1<8nHNCa(cA&jShj*9j?Kdr9dYsh5Gu(Ooq0r=jWD#Z5gF z3`&l1rR`hixOa67-MUEgFbOq)^@W^DgizZhgI3$fysn|;>+KMo=fQ;)y`0S(9tEY2 zAZzwFlg-!(saAMWMJuO%02U8K=roODcxIAGYPyDZf#34V24-A(g(briYte7NKo{*i z655eH)4!3V9A5Y7j>kvjf z9~o7u*XVpo$sf6)k$MqPh5t~tf8~bxbzCZ_+rA;BVv^8xNch~2#`)YKFydYMN6{yN z(HN|{Z%DpRfW>_x)2dA@kH8c(l^<>;i4VM9Dg-vPj72C_)f-IA`~pO*2CKi2T57&X znp=(C@i;44@cnQg>);$5`@ZHY83i|RbPlb$#vrEC(6)M2(>_2uP@820`k<>Ei8+r`hZXOVl(g?o{g)_;G{TEj-Gy)ALui&DFvny;OU3cIa zGIEGWO!?5`rGI$c)bat9m2Fab_1rH}%XM^C5wA3GsIDE}_;ojMT{IM*-+=x%dQ_f( zzV(;>UCx$Q?+l;s12^HtpH^*E&TXlWW$nGAr{?ep8Fc&zxwK#O?2#JTzXID7Tta%z zF-0Z&M>xRy)yn2EF^kCCujsnQK4F0e7dL=b^r=tG?8YUh`#U<)PXn>JZKF>Oekmpy zZgXoRVMs@VkAWja&5s-RkmNNtc|O)O{n)|8Wsuk;x~m+XP}nlM4t5?In)`%CEZ=)* z7nW4_{pyy8$sum^3|l}%q4e{rLNrL3Ub&=Fh(4F~C|Wo1m_^X8@^NAnIQRdKMq`O? z>lOkkjEXJB&IS)2hvJgCBgf?oAyW2Ac|b2lCZ=VTvGW8+H~eCWDVbBa?EG)uW*?y9 z%GkXwt!@|~mn!ld-v{BL8kCyMVn2l2gLNB)38Y=1KH9o~7Mf)`YodKoq} zE9n|mx45dBR$Rv8-SE)y9Gl_eB;ob}kTtfZ7U@CO3;DFp?AL|GDk`C8QUgKt#{^Wi zp)pBWfRL2p9+=M1F zE!-_TiIm->qSLO=EF@I-$^b=+H##>_9%WtAYt^gd^-s(3>0si1hAj;4(vcN2j9Wk= z8;M`oB{{okZTpCslV2+|D*F(DM^RnJIjWHNb=YU{b@uS=N+GAZY5Nib+bE>6u4@Wf zSR!)I`#ydoraplU=wvqT>w2hKA}kFkyp=E{sU6pfY*6w2@Y}$nl$unX9QcQ(v2*1P zDRHVCMrfDStnHsmzg#$Q4;-GS;}O&Jcm<-;a?de|sCX?rN)G6G1w|eyzYKdnjyk5GX=wJ6mWq`7#u5EVi^4=AWN?1-Y$79ck_@ocjJvexTd_qd` zlN*3VH9FzrCK9FTbMNp^*A#bU)pe5JW%NxOxxNl7>)j&*^ukWrhh$VzU-a2hCIn5X zJC<&dqL!K749lCj)*c_Df;WsJI#!pjvpT09-m^}rW>5cdhOHkxIE`Wv5S0Bu56VBN z*+0bK5D<}gqNwH*+q#BJ#vyFHc&7CHeO`ltVHqQ^@bb&86OD-dJT|kncZs)DbbON5 zxLM8Q+4q?--6X>d{FDrApWgtb-&3{pZ<>~FTmp{gGv&R!QHgm3OcE*uL0NPE)(Iji zISsv$eN5_SB+R?=*3su!`YwJLayrgok>Fh$r-kkFm(l&-e~7#ISJgGyM=(EQ7uE2F zeT~Q+x|{-nY9AV=R<4n1JBjQY0ZVXfp<>ETp`opte`ekkHJgnSD6JVpL`K8mkx;bo``Fmr$!5@kGk1>3rtbX0Yo7Q; zH0W9MITCV7n1CHNlW?r#=0?$lR<2_afOa|6Ni1?34=~^u(UEkAa~8=V?AF9Tzh(0f zP1`FVrfyP6+j$qCL<5vHj-k`tEfA1Y^LdxQx{pf*=vd{HbPQbT2Ok?)Kl22E<(DG5 zleo;%8ot#_BBt@#l{aw@x_Vl8+be4_)_089b-Hj9rZXxkv$W2!3MFvat%`Cr= zcTL{9u*YYWRjpe^1)1|NH(q70*)@3jmF8^YpE6wLs)1^b7=UBEc1(CNSUuSM5SD5`1wXF!&$!DxBJc9G`#uhQ$9=W&coI0fC!C zP{758!y{0akgX3gMvlQbwsH981k^xP>*1VQ77R>5(pLysou6M=IX;8F`X7Q5j&MTi zPh=D#NO=e`YiN+?5EB~`1^@Bc@HJLHh^aWM+obYyhsHg#yxp{)di+D!_AbK?A@ips1vw zsdeW+fV8#_yj$HY7z*4Hs;3Y2ZvaOH|1a3t0t0`*PB`#pZfOs#3H|Zd{-X?yh=7)d zq6py3bWBVPq(jZD`HwNw1cn4BrlBJM4!-%rkfHJ#&0qZ?h!7sFSuk=4^Mr$N5Y`9P zoa~2cCHzs~Ztv{un!sp&K?-0fAa)wkh(bo?^9zWGqkw`E9#kd}JPnNk4Yaoa+QEOx zL+K$*?jK1c6MO{N#N;7d62L8@|CnG$y z>mqy%m!Q`#!-sbz3uf^Vc@2tn6BznW_$S@l!@|N@MJTk$#DHYmB&0wPI^Q1o!w|*A z#ege44;u1<>O{$hix#(U2P$PKLujKv{WJ4SZE@WYi)W6RyyO z%R`}aX)V}t6=vm+07HgeiUPe975emWV`vtP46<>!k%Wmjs>Vcvcdfb-oiOBA}ik;S$iOEEw{iECPQREH(><0q46D5))H0K79^$UxhhfLw0n?AKljv z9SV@}8yXoDh;-iG-f4kZm?P}&T3F{jL)hC3cJN1l9r(kD;K>93aNUs999k#FgLJx- z7G4$(h>$e5-S`fq%w^|>JEHT9k^dwOK<}#zA8!?Z7=o&*#R~*AbrTp&qXmWsX}Gm? zbaf4kjE$kSUg)6Db4Ct;z|bHILu80&&H5Ou3M zo|z!n9A_cGJhEU&o{;tzqRb(F8?t^wEGr}~|9}9I>V-wY`Y08hy%76ui)@Ryfq0EH z21VGx2Fh+00>r}-!T(6W|4cxIe#anS0?0E5(8&(msxPSmV zg_E%kIExGju>a+N^_y9$H|72EKFF%>!%xI-=yf)EOIZa~^qA`T>#=-A=^!}OKjL2H z*f5|G;vAf6(VG1n)4(c~w)|$2XOcnA#RIUl27DK4DmSaJ(o^w!l-24(;>gbRBvqDk zn0i*PAfXl9*;hmRwxp@i-SGYSYO!_53z)cF`=DEL&qGuRuZOd|po`tVkrCyPhQ_Iz zbYw)i7>kMB)YHwJJ4xviQuGbq}ZDpPsGrI zZnSj^K3`2A1EqB~ZdnuTJk~+Wdl@I)N#~3*(<>|f1KN9emcUwWKweU#ezSGB%)b}xr zhMn4J@UdHi0ihd{@gnWwV!?(C(CRl}ZVwj6^R9YCf4&o)eePo+kFT3d@+w}xezeAr z8W`Ei=~v24>F!lZHU4a{%RS>IBQX=<8CKbu#{ zNnp+`en4h%4^Ssqfg}{KwGtMLI|jb6Ew>?;uT7h(gTEI1)9>KEQU?fhK{9Vro^W40 zoxB>rS~tRzgZTi7R_numOxaAj+JZy1A{XA!!@ zV3n^5*whfxIE4~9 z<6rWcXn|&4TcC0oD9jinw|soB#P88_J8(cU@F@7V6Z`1Jhly^7=VGk=^*~!q9{G}g z&}J6h18cBL-)n}}JRoY?I;+Y@E40WkUD3@wi3H%_7H%PKpk zfY??}Yrm9X<3s03V2#`vOI&pSO`*lZv5%2{eNR2x8i$yJ%UFKXF`6Nq1%d>O6O1sG zHUxC_rkO|PH*3sKxE(*rm_!J-$Y^@GEJ3xuK>Bva<@HC|>_ zJY~vYbIh&>axr2KkCk-;FxrM5Dn99L?h5O1_lWJYLgB7CB|Yl3hH-vLtbv+C znv#XlF1Ori^Lur*#!u>u?9GURyD;0|$n+r4GR1*sudq<>ml2_dF_rk0?ub3`qPM*A zjkmEHSL#d9q_c_uDaVT^^bA#VCI#K0_lHZ7?9DfqJ4N@L6Uf}`D+AisL2M11??tPZ zPIz#4e2rL?noOe_XmlvenM`k8jGObg0y?v*^EWV|J1;9$ru^2ByuPjPW*L3#$_}1; z;9*8M69@`6Tw&Z@ID^!t4nZkLO6GIK9$bdZ4GuRXj!5b+9*6*44Q>B1;OC6Vs6DWJ zUQkPR(w(P!r%4SJ1HG)F`qC7*<{TB3wpb-xbO+PTExY;u>@0hFC*B=R^qkkb#lGS@ zK*f(O>PUZIyR4?~b{Sr$c6>wMh?{eV^eKTHmk!p;pP)0#ZbfNN8Wx|4uWpGUT#(x; zLHY)*ZL^zJ7S}uELq4b{{cI#<7B_D!i^UP3|B6UeYt=oK#<5 zn#}WF75*9>J5YQTKwDZ7{V7xT9_syYnA+oQV2hvPvWk(%{H_7Pzbl+uC_>C2YEy)E zDtyAybh_DV87xlhzoH^(U8Jek4V)*NbX*e(-_Q3t>9FWO$jBfpD+7FE0clkv7fA8n zw`b6tesD{is#QH?jLakUo`T&aTL=aj#aK7v%hDtBV`%+$rS4ryi8p2wW@8l55lxkP0x9>z-$o}LnUW6|oQ*Tk zje?vt-r4T=rYYRKG(~@`=m(;c)J&T8(FHNrkWCWlFN`94Vn-gl$z2%ZzW`XwK2(6A z#m)d(x7UkBlh1SHh+{%HVzjN`G{8Did0m^{tVbOjWqs_9#W}CH%2D>8A!@lC){nfh z39)z=jby#I`A~ZrloRGzWUfrLl7C{?eZqD4UTV?#Ys}_w1WSVLBOz5UbuhisF_|@mtdN*=dJrk??pWN(3eI(nYN{FL`4_{K{e}rKC_E@@^tMD zLVr@qW#_RQ?^vUIQ*{#ltXpH4M}Q3Gn!aF?`_!A$!Ju6{((#wUrQ>OE#dr~Yxk>fi zS{J}8{ksKm;B;0k9{ucDmVg2^3-x*PH-l5})oUh^_BAGeV-aD#K4urLvh^W>;0MOu zQ+~p4rE*k1zbjpQxIQc603-}jY@aK?-Wt-Yy1SqF<%Cr6-u1|4*ke^eb_$!(>;NqH zN@Wd}Og!=)`WZAIFiCTFaXLkL!GP6mAM^(r^Do#ulp~gi`zqp)7`h|IV5I#Z1A!O|5@9)4!N0_%ngf z4X>s$@TV%&C_If3el7bS+!p+I-u5qU3;sKA`xmzb|DCt}i`xQtCNosM3Vw;M^q05& zi`#;K8*BgawtsQkzk>o{vEhrZP)a%kzTTqT-Zfd=M1V!XQP^mB69aEz;Y}R8iHA3D z;Y|X(NnB)r!4Us;c3TXCCL{ihs{XgfL@+3c@$VOkVSgXre!P8j`LE6Y{*dUFSoPl> z{BIm~n-!X5g!W_vAa8P+v&C*Yf@5`X0jTy&)ria(C1KCi>)86xHNtY zw(%Zj$k_8%bMQ6ZXo}PHdhhg&BxDoC?#bT~E~AB{I9odP#ifA@*%j;Ik&eCBa)nI1(LD?aiZC1mb^x59TE{8;X{=h)xwrV2oAK?(G6&7mlnft zen^ap1-p8t#h-(*l+jCYXDsrWCkZgK*1GS(jhZX?;r^h}J?fxsgf)l5-4A+j&B?xC@hBX(|qt@$gxU`i3RA( zYbYP!1&z0YEuKPMUtVGS7%uvJ+1<#lOxl!(-Q)yJBlfKv#a}G6o(hX>-6{Q|Zi};n zh*`OLP@6E1q0H9?dIvHqFBipXA`ti-(0)98CmdT4LV6h=&chNytrI<8Bws^a5LV2q}Rl+`n$l=8^aKSyk6Ot;#|0DSF z7{@#sxwhwALQ%Zi1uLM+vPavQ7mS*daN-(1$gL9JM;Wf!@+N8Rvyg_7>R6axzcedt zz6Q8R3bBVq8`!f>g0ILGweOk(G`yEfE8%RGo$sS&O@aV6uio^|O`wmKvy=PvxW8KB z4g-srUAq6TL@oV5)2LKXL1&C+BA@A>uz42b9v9Rru<<$fSzL#zP>E<@crDum&@ca=}DN%Ev4jd2RVk9Uusr4i9Sw))fbg}>{>T! z4rjuG*pf+uqj;1%h0pVi27mnLIE7bU9*MySae~Ee{q}{>FM784! zc`Ir^Fbt~C4~Ty27(J3DD_X5FUf$zzb&FXaMJqb7rAhK7^I`=tb_mPYT=-TmQ|bv1 zu;vIukm)7;refO@(d18*9+)S9c$veyeA&Sm$tjdYSCKR8R@9W;lIocpUhF31EV+ZQ1q?1`_M#bm z(!suuH14VdCqovx*ImVy(LCq|l-2QI!+rUuhv=BRy>j&^y5Z>yZ(%{dlhh*mpfk#; z2h7Bi;FYD|cVvm(^_32rR9Fgbk~b-%JF?@JXr!|{R`dm;7kEE$<}-2|iZ?#7uERLy z_@OS6cWGdRA|3n1Q=dkpuUw$RM_vx-7+pR(+A%(BNIw;14YcH;bm|J8ot7!~_%;Iu z&`}~3ndOyCEtlYPhF3{QHLyI82{`ewhbPsnKaa9}?x@OjxVy6=bgD z(+v$36sDzbX%}ER17$AmeI8RT{(`yr7a2(F6eN)-_$}cbDTYs|%;pu)#y}C0Eb6S- z;%H2ys#^Tei=x8LOyLmca;!hjbu5;p6YUQ@^_i!A{fjj|j>!88GV&gj7?= zdrldjSfB=hkUhzIwM4R?nO~2E+ud!0=DRR@SxFay(H`+)cFL$^frr)lYOb$e88!(7 zguonpn3M;GoZfDeC!aHT+si0mN&t1pqmNhJ8mR8E_u%$iI9M-|jsN2!UOci#ENP8M z9xbSt&-f`LSm>R|&@t60?cVJ7lHKMm>g12CM8&f<1$}yZn0V;Ao!ds@Qc9TT=vzb&SQ@CmEU1sONDI1qOR83txsUI<4JYPoP^X9Q< zH}83+*mW=uPA%E~A!L%%Fjh94}pA z5PX3z>5_RrPJ7EmX#;3h-IT%X%VxG7vfp-*?=dvifKWHp5Ym>C_};c;zvipX@q6#> zc|Yq{S(lYm7FrZ=Ha96;V+e?yh_&@cG%8*oB@&rD9ci*uP@bWK$4kU4%K0 z5;pW}9z(*$9-wNLRiSxE@5Q*+9?MrqHOIc-&!r$~MznOacsQ|YX$b^+a5Zh(=B851 zQapUGvJaFZ$Fk*V%PSGH20iqgBuhb4?{!9D5Z)kNUi*w*c;fd!=I_%c=kKH_H%e9$ z6PPW*==ft_FJ*h3qFoyMrV4>%!wHXOr}urs)@n*;+R@KG0Y?;$!djeC_RgJL@rc71 zF6OnaD-le=(CXl&VYRUL3gB12>mCt1JwQb1(_wL>+D%G73>M=3E(rVsO51h)b-Z$_4Uc8Vuz6)s!n`e<1twtU8J z?>(&mHs9X8lZ`MT(5TL1Qeqo-{Z*Vk6g5>%-|JmjYZgl+;)5abpP`02e!zuOeW&uf zf*y=zl&Z^&Gm?#hlUd3^^i^|}7OUHF;Q|;Y`1}wZ-)h2l#hr;0hJZeZ;~BjCocX&L za<-H^ww(+ZYxf|f{h31dauu7UhUN4@gyBX>Eq@pa)l6&h?bWIRpx9|XnaUN=7f+iT zwrzDH(xVKwMVJ)~^rjmMH0f;)1+ThXVtO{VQmXyCPqc--exY$+k&%kUtL&HrpD=UA zT7WB!-rZMWluoXNlUL^0WTbk0Y-rb-6%9Kd= zgPmoHgqXQ7CcSJ%ji*l`eW{i+T|v8^7p6JAABQ7;=QV8GtYc-Mi8r1eZ?Vz{%x-M* z*qQ%mayqoxv_6n4dF(xaG44%REh&_XdQ>b)1$4?4<5^77+QpfS3rhyYrB&45#9UGe zh&g!q28Jc2Re&RWE+H!y53kn|?~BXo8y9wd6VdQIR(tLqP&=}ZfGq%Q-2J28=lAr@ zQ3#JxNVuhz9$kgpBCiAo$T~O`xWsON>o{yHq5KUsy$lhxi1hU*`h(S2`y$0|8{h-kDinE;bG<(kz9Nsg?VEUIbo z;JZ#IljLtUTiOn&=)gU8R|xi)lq#yQzv!=x6_N@`Bjeu0d8qUDa~b#>dj&>J>^+k7 zPzW>HRg13a$9bGT0@mnxJ!2Dmzcg#wLdyLj@Te^V4gEO;GDtcyC1x;g%kk&0Sn!DZfz<+G44S-#rhF6Ojcq ze=T7M`^G2EuZVs@w9E%phjud;NOIAF6{svKExLK@_#98{^NTxrX0N!PJNbu$SpmzK zteX0PMIMX5HB>1pTczhlQVzYMKx|qm7PZ??3g_FY0-^(_XQfs>&LdfSu^XR z5J(nNxJhK}mhdjStgUlwdK*!!bNn1&kkjeCP5<1BdWX@*IV}F$HOZ&#@-C-(5g3l@o+ThjI&|PjbDq@B zLc%s55mQnRNchk*wP);E+6Q(l{K7wdL1*NApplUWsf;H#jxmYpRV*CSY7xk|1a*OJ z+b)S!^DQ}7R7&Ic!5zYTW`R*=g>%=qCj%D6oTWHGS*L28Lb}Z<1(*8KgjrmPN^Qqx z6GFFhGIv3F_uhSZ@P2A}gT~g)=X2N4)B&-yk+og=$4^ZJVoGX7-?na$n1MrD?#HT{ ziPc{OViF_cn^f$+fg@<_-2CKJ41TrS`$&L8bnXfWi-o62!#Z$qT_a-eihnJ;t6S5` z{$;;ilYt4Ka>XUg3@X0cqK+|4sc0Noyd&!ooY;p!$jU2d7nQCB^o`sDDtoS(<9;Eq zD5PbhGDl~9XtZ&N`B*c#Kul(A4RVWGyGCfZ4P7SnnT3z_m#bTlAG1n6udv3IY~z)1 zMg%AmJ^Exh4kW?pc`M9f)(&sGdY0C4`Gg+o+js}HL;t#u?I2Jw2Wr6?xHK$TgeCGVh=@qTHwL|E{+7Y5z z@z*VWIj!Vx)4u_Uyx-R$Ms{!CsQG=*d&b$hrQ_&^zgTTk7k`qOAI+}Y_Z=~r*yYMd zEA0B-Q_VAF(}C|oCZ2H{`u?fl)7aV$mqA?f&z(DXD)EC$o7*?cPVw(PG>&iX9^l@C zG$7wDVSA2EqM^b|0YIH4fuP#bDEj%;H~7 zuh=~N+BLCD_&k1rZVucZGw&pETGZUc68k^Q-Dgx3&;CF79&*kgh)_hy84<~nv*aLA zB!eU+i2@=DC{d9Nf`a6X2m%6*tdUJ3qzx&$-Swqts4|Bf~$lrgFT)QNCS&EQA zmYSANNvCWV{LcT1@z7}SoHcTo{9_UG%AksbS=k`{O>vo8 z|JS(_r;zBB+={-<^YgT*mmixr1NBA~`cFw}7;v*{ztG zrZ1~tpHS;wO2O=bZ&+%^yB7S5y5W8J?4u0pkMEpr6s_;2f$ILrZCnawjX|T=gWKM* zwAR?hH&=e!yJ2A%W@2HT7XnV6Rk&1qqF42et(+!*UlR9D&so?xB4yx+&21*O42S@) z`bTlt`PFVEWS`OqDY=w?SlRdcJoTN>;bC>tHg@;`_#Wuy;($7Cp8-{39ec z(;)|odx}gJ=vGtlxp}?JDV?BqeK3D~UYCec+Vh=i4TA%>)DDaz2ZIayB*Rq7PA?~q z&R_NnPV4;S|G2ocZE{}0Bl>w-Rs|SY-KC(SR|?PS?cc|7a?9=;+>p8zm(%)X5ralj zR>=@J2keW>#b>-Ke&0bMrhYviMuJvJxXjDlR5i89{GwC@zOnJBaO9Kc^IV(5>O)T4 zKLkID6PEN1Ngv($flCf90^N=+JRYC>Se)7Ulnl4S$G0drw9RuW)~Og}oWIP`xq#@x zBTH)fDpCzB`gc;}_^VG%a!Y53s0->WZWokF*hhk_i^4ko5kv&!gTY~aJ5J&4U0XXp z&se0cx&;JgH2gpTS_v!LdsSao@C8IHT-^QdjzP{2-UhD6{aI+iiau^^c~HFAq9^1h%p<2QObOucU1{CV8V< zK6hiLDe0N{WfY!gwtXgH0Xo+$9OGW@of>*Qh#4MTCKt8OF0RF6a9&3)_`evUwE>JobW&FT6DK6jtY0;|5g+od%V8+KZ0~?h;i8bJI=Q%nql>x2eTalI6CGp=a|mJk zcfe@;TXaSDT9{`GceM_7U61ap0Ukc=59s!nXrf>L2#+M1XrkjrdwK@YRt>GxVB3U3 z6KyoNx2bl}?O#Ie;1|@4Xr_r?`w!EEI}Q^%89@_*j_5>~(SO0xgn)mPCd@Ip$ian9 zwA9kNc1;JZGhu~ys5A8m{{-Bc-u)vp;fjIQP-enEgV9(Hf;C9iGSOinC6sh$6hy8WlHeQom}4+hC5GTnp_%%B@uPtVT5 z_YQ3~kp;N%f7B-YcbDNIg+ZRHYa5#+WaQ*%I!ys1JgFdUgKYXw%q=Ye-FZeC8Ci6b zvxXs_O|TL?d?;kXO7Q3bss#1tzxlL^Dm=Doe^N@J+kgy(ClkSgNuFpmotp<=%MV770(ev*?1VP8qy!c;d|Tby zHv}QvKeQ7ThW|40bq)uH%b*(!Qz2PJu+ck;(}WWMflV0dHyiS)b$&8*v> zWM2;tuiNl+O9;J>o16@9@4@rS_7*;xt)gRm-?WB0qcc6Bg>Ln*hV|TGchGjCdV6id z2w)j1@+FLY)eID#ul2 z4R|xr8bP$tD_?{C>A)wtaD6@avpyV|FaX-X&>BG*89i#TYh!>g6;j|oHWD4*19Lt@ zA3urA&4*GM&LBW{QU+HpM}Lx3Ku(EHe}>QB&L9X<4Uf+Mr1RF));E8gvV}3HX10c* zT4XTy8H!~%^ojm8d%zmb9)N37zz5|F0&na!!L(=iga$s&!S{@oE)%h1_b z?CXeC2!FcE(6W@m>QB5$(wh#_HyQM{$)Z_&t&rJv;9PIy~ zPqg}k>=S*Wqopdu*)wwsXQ4I-Zm1qQ!M0W3RtuMegp`{Hjt&?CJA+(WA(-hLL$1K? z!lw{P*s~P$z<;Jg$jB_BTJV%q)!_%N1N=r=aa_fKqa2D|pQnz?NMb0OO()Ml<-B2y zLfd~N4R*P|fC|Tlnaw#lZ$7rQb!0!Xy^r){dlJEb>OWH*hQECM{$mB>CvupHIPT;v zqmEDDz;XPJ0dYUHYMsd4dHS>bqxNHqf&rqsgtCjmj*hnOOwQbso~qfD>E zH4wAVN5QD@o$yWD_+XUd0b(7R5&j$R&nyOeM@QGtH<<9dAv*jQDkjG9SNI_+K4}Hn z>YAPTi9&wOoRJ~xmCQx>SGiP+Dc3$)g%NW;Y_E5+v8oI7R%6)bP9&+@p z+yBG67LQEW!xRV}b>e~R+(qYNAFnTjxVw#Ox&`eXz-u=|!1?aDSGmo1O36n&wgK7n zA8&YK(VSCsG7s!qmmj3=5CWG*J6w+?kC5xv3H)Sf56R5TRTs*MFtRvVc?8P|ZTAIQUhXe0Dtq!*-4}h)$3wOAlvjaO$mf zy(8G@BT6|4-Az?%S=yCT*sThg9dcDZ=wmANC*9U1N%##6$UIIPukTphDf(W`kMAZD ziorM0B5a(Y#T0En)W2*36b#r}AD=7M%bOjU#;D?{I^XeYV1lgMQY-(Rhpqpk8GtSG zDY0%Sw*fnr@e0MVqqN{=y2W|H-Gj|I%M`{2aejd3nug0h?dm9TDicxsF=~^!CnK)t z93j(>x{BN-fnf=*>ehm9`^)?YI3r3+E6yOM*+&9=NR#8F=@H!Hb)59DYZ zdq<_{4?T{zH1vrkh%U%j<3dJ5%r~q$?13EUs?71bR!{2!CzM1`0z)fSF zaw@~j7QrFirHH?oT|;|2&g}$KUe6E#40qTuD#eUd zoc2zgki&1DEOJGWsq9&kiVzlBswP9-;lv^#M6v;gN;jX0_@2yz{KoZZoe3%5l9A-2oV@{B+f6jvSs&d zyDYMLFD6!ydO7Jn$27jeJARD1rr0i`cKsNuKQbUMAs?ew*4-f2~*S-S_Qjl5%oP4s!*R)c%yG}twBDU@|m*FKih z8)b~*(LY|3`r2VGx8kJaRX~%vNVK+wuX6LKU?aCVUV!<7i1#+6R?2Gku#sN{nB-M&#b-j3CHT z4Le$3b)5HkZO)NxS)u`YCA!mf9}Gy8+Mm$UbjOdir00^vp1ogvf^~C-9CdyhFx(W; zYfg_=#Wwmzo>M_uA;%+(p@I8r^6Y!MZ`6$#K9Jr*zee?V>_be40jX~A>E$;?M5GL5 zbvNoONEEW_yXHVPmmr6{U;D%0z@OQ(PtD@ZdbBmE6KI806CVI zZz%)KxL;OsFkeZ&dkJ|A3VbiiJ`_%oI?XLV785~zAL>hUNSeqK(eyaPDGv)kJ-kCpD@9b+tw=-6AX zt_8^_T!INvs~z7m)MBb%T8w=R0WI_6k|w$E8?Wx$Ey5bZ35Hb1=^q3xh4n^D5)F4L zJ^-s)t&oq$12_9K*T^;HbM7o>E#?ni4tJkgdX+}-^P4}&bV?y^uk+5&ch1o#U$9E< zj8VHv_&S;{q`)Bcxrx6c2;A%l8n|+Q^{zNg&Jl^6>{Eas)^t&6?k?KDd?4?!5C z$E`exukqh4todn(oj=etS5fzVJHE-)ZDIIWztSCW-{ti^tqNG|y8Rr|Z`TIbgt}*+ z>v^|J@pH;6!x@c0(>?#@_%vsSm>bfwvczSj&924D!YVx_l9p?GbW^2Au(6VCS=Jw%;E)h4=>b6=hncUBQjzxmxR*=_0 zU9~oQ;hSXN+}%nV!dim~|Igm_KLcC;0@A;J6#REU`qz&FI9t*KUL=6m2hek>|L=LN z;6DRf|3ccojuyZRBk%$Nx{ArWn!k|tucHP39n$`FwBSF3YyU#pzm685E1kfr22^lH zIqhFa``6L_<($yFzL@EP^QX~%H4(i?f(v+of}I2g#~>-_S5nc7H1r}Jy~sc>Ue5F( z|39RzTiP95Z?6Ee zrx_39&ut;utz@?uYgDV7ZcYl%`+;H%&oeQWJb7$TFDd1^zq#S_u^~XDV>9U&f1JPx zob@Q9OW7D{FlBQueY1Km=Y|q_Lv?vh?vH@WGVOCaST#l{oM>D>C{(a^f zEtor>K76+;CA=tW+=5Y140yJ`?J5$G`sPXXq?*zcNKnu}^YD4gLfd2#5OE*%>IVp% z8ms?J;?9|@uu3gAGLp3X6>GxlYo(B`a=ZweyetjC-!q>e2G1X*&3hJnzJT-bw^%v&_+FiforaZ&I${zxDTw+|Nzc9i+Dq4H zw;VSnp=G)hK-OumDNu4!I;EPIQw>C4{j5B4h0&2lwb^!AN&x7>bY7t#l? z+tOrvG^x_6pFMCW9Wbk+$a`6wC2;-yr`wk6;RI=pAYJA)eTox7b(-k&T9XS&B6pMJ zqpL-yXO@Jn*M$m@r-7SMZOEH(NwTW8U5?A)Y#9w1#bc8Kg)g2+Gf`AuOHl<+En=l& zKdp&zUClU7wG0+tjZt|o|NX@%&kde%pOr~XQ0zzgTTQC9Hc9zW*ao@aSxrv8x{8~b z%FRzj*G9l;B5?3j5PC(l5 zB$&BwwK<#L;JDp#>#5z9mM=oV8!^YA@GGs-8TPm|pmb@K6gtXw!Xn#QO4m%y=AXOrV!>m=gF;}Ri@21R$fkdm>KwWO`PCJ54@`?uQ@PNV7~t>4rQp> zQ5sjeRy)9zBWr1a>+zw<jvCsA%V!p8zD{AX=p%J1Hvn{gH7x!Yk7#phm!N?H9Bvx`Vx%`%i(x=$5FtyEtRLGl~RdF+i$xRH%48=R4JLvUI|>yDlfwz2Qig(YxO5ocnP@C#xW= zg;reic1(rFx%(^b#_lOw!(t+DIc^pY;Uv8WQ=g(P29iMw|?&8vu5Q#DK|QTg}|k@SRLq`MHe!y zL!WihrViM7_>e5}Sxx7ka?97~u!sXP4gE>8+08lGz=@-tT(Is4xJ)%vE5pWwUcU z?r2(6@cq}msrvX(&DQTbs!khV<sXUw`0CO6O&!<@KSkxQ&S673cOj92!s@e66r)T zM%*gs(w<_9o04@BtSeLZJ7tBq2P=@e6NlPA2h-E}FG zh1JCD{sR%;0~`wTV=(SizZOHEmE?I|P<30uNG>QXk~_1VFLP!o(B$_1gL~kb&;z}dg3_^-}nWqYP7@5ioZdC zP`nE;Jz}Q-j1f}h7q0AC$450P4}=U>M(s`XDd0GaSK}g2-g=r9fIJa5GM6~_S%%I_ z?OPV@C+?})P2ybj2Dp|amCsn1?7?#awInQ|d*UPh!tt`I*q7{@sfLntE?hWI7^xT%{LV+`IOqb<|ohBq&2H zpS;&HE$~u1;d!k7>NxQxQIKl%g|jJ6Gt-oelr_CJm1ebb@?aXp)Sjaqr&yg}$ppTB z{?OJq^$lwSbMV(!^-nK>7( zjO(w$F*N#P)bT6GZeJ{|L2ZiPEds&rGIWl0XSvlfF=>6*I4N)0iS3BD`_r;@dXV}$ z(|iY$-mDuc4w^Hf6IZ0WZkU!3Mi3kq=!G<1mg$ra&t=dB-|HQTX)<0bvm+CEV`5H+ z6KAh0B{@v;b~H{b-5EaL1+lu(myh?WkA3g%3!PxoFNCPRsgy zmwk1Vtb?|o}^sSKNXK{wlz3m0v--4n6X~xy$_qwa?mqBV`H&ux*=$D`=YUp2U=cClR znuql{?8ZUZ716v&XL5p`-*u+3&Y)tFedS%#RbhTfxr33rQd`&9uclPRoV*m*&?0^5 z;O+t>uS(y2ltz>lKN&sURsXt<{ix&!`_&Y2f%8QoZ0o8#AoJSXUV69}(=qq`(Pg!i z*4gEsClc3f0#gRRER%A{S^)Rgr43(bq!n~c;$9aNLtv$E6ciD^Ny^H9%^*H;feg?{ zxIf7%2$4`QuNhggD;zhh?4cH4i_A@IA@XZEAbtsk%^NxRlhT$|-VLu?<}*wgwcn`h zU#FC-G`K(T8;_6?h)C7a$s3!R+aM?Y_zvGn#2epA@6 z#w7t{t%LLNiRd{6Wc?q8Jt_UPg2E)DX1E&HG=kyoAGx3k%x&BWg|zA=m8S><-4TGYDRI~01r?J}27Sn)T3TlMtZ()O?O%4g;dPjKl(uUmLk^?b!51njzZ)2n|{ zNngJm-83-E;p(5*N68?e?U#g4qIAps7ZxlvaOZWw+MzGXoR%ekwFjr76_=s|qeH&`_lq(m-$mB6@8Dmrr|9Daynxl@D#<%FD|8E=pLEV zG`@lWyNJhf5peTemNpLBfA}b+c94b7suMqv<|;^(U8Fk^i0Y-_ zYy{jQS6TAf{Fg5`EN&ASJ{+D<9$a_xBvc8iCty<7GJgZQ4+Z42D(aVTMZ|2q<6geo z!o59slM0vy}_8eqdYag2O=>;Gut96cHmCc`vFpQ_q)Llqsj(<;6G1)+x7#O z1y+Htnb_o0)eiv~ITp$py^p%UtR0?IIeSFM%*IjWNX@U1&`&_O?>hplp7K*xIwj>$(lM8< zVLtBoy3y$vUD6IKd&@d~Vak6ETJeau%w6JMzbUV+ZzW=ukdaqXGazBObNA`^s?cSZ z01&%NNXg^m9+FT!B_J0V(Y>`tDrxz+nc4b5YUk7zj}h>QLPX7+-VLjool$c35UaVr z@1W%QXfXQz(UK#Jo>c&ty>UCOe&DlO(cG2WvAv7*u5K0WcMmTcx3q`GwKzRZ1qIWm zbYeGLqU-v}Obgd=h_fTXp}t-H@I$tm7_u*Ja(d4_%a6~CUezl^*)vPu7B%yY1G-L&$C;mr zE%ObeUEE_Ak@I|tI%bxy-qzF&%V_J~3I*G^%tBW}o2+Z>9z zM&ap4W)7eeU4gF%nkjhiuZ{VR$|>LJx0+_Z&`!#zc~PBuv-Tn=T-dX)Df+gS-TDQS z$oOGm1ra5;kb+%QR!P^epsAG&h;1Gik&(A+nVept6_*Rn8TmYy`MzmfygHS{Xj15b z87P!E*%O)f3>MV43609CDXHXej+>;^Hrl!O1=l?IQ5$G^IM}?JQj8_@fLG2VG+e_u zIiqp_mi`vLB&T%aW%DSY5WZ?*_vCe6RSQBQ>=62PkykVDN$~*BwbI4?i&qU?fScd; zv71js9Fyor9>0R|_ulpm z&C<&&dDXRjCng0nY+O=t`0O0_pS*lkLc`3d7gS^Ict0dDyOqr~sSG*<@23^poFNr; zJbLNZ6EV9=uqSD)c z#TGPmt^j?L!0?=!;ZN&7NXZ#Z?cDt0-mcN}d)+VoIQDgc)hZDb4GeGL64Sj%F83(z zRCV0v^Bdsg>HSK%>YHa~;TU)sBxEe|>UjI^;C)JI zsBO4+p<)omJKNkfvvCGm^J{}U@&}6Ejfk6fL(8?_tbIf5v;t%qtU4DTs`<5oXGe_u zLK1S;0Vyk+KR7S@ylI|TT*1(}`8XjXzwPrR0RU|LukcwdAJu+npS*It_kd4SxryD{ z2PZ1-yKs%35D_?^JmcUB3P^cW9KvI___Q;AF@Ux(nfn!zIJ`pvqu#R~|bniJK@E|nm*_*=IbC3bTX(^f5WVa>M;)++{Y5OGV zMd24);2c~0VG4%sYLFV3rOtcd+KXK~B8!~wk-gEgEA8+k8a%Fdi#x(f@$K&nY!9O9 ze$XUBhBj*wcetjZvMY5&y^Ug0uA@QEU*)vi)pllD4 z`<8HD7ZDkl`@j80%K7|&qG5tUPS@~JWLg_10d4F!VCJvfk=KifuW01Z^mxzTaYf$n z6_=bdwfgW_N7cZ02_SE1*7zc|0_bKpx38nf8TEZ$6m+kw6J57*ipnmaW(Ryq&cUhg zI$+v4*QJW{oH?^NSG)tF3U(|!bKZEfrQHIvqI&z+E;q>qyq%^lY#AK>v`GK*Uf{>J z{p%5<>>+JS;AVL0MCNkJPbnia-^kRmin-sccVE7__UKhn%gE=&Jt?4|N)Pn`dfG;nqN2YHjj4x^=gB85^+`6{K->B4iPF@9r{0}XC zD`zs$vHSQ3A1FA7fEii+H!G6HPYT~J?9nsw2wU3uW#ah?Sd%h-^UZU1FapyxEw*XR zLW5Lu-!0wVjO!a&u;dv#_=QErw+2tMn5%$EY;&!Ij!{ZU!z`45x}Iw1EXxt@fEDLG=Bb8vs*K)zB#Wihb9DRgdhoN3PzA-_`=6v1l7_CMdH75M0@*TExA7=6Rpi)Wad92 zb9awy|KI>>%nJ~i{i&4B2&@r=3Hl<08Fs_c1g}wNB7hAxw55ZZjtdexUNxvOwPB70 zY=1l^fF2Wa%zvT-&CIOtc%y>@p*0H(f-N{W7!~{=7-nS#Be?(6PDf{Df`4nw2sB8I zjVnZROnBd+9KthndrSE4U2WYIx^g;nmvHqtxJC2UP+g)`;$jm*wuElWut9zHCnwPw zxj_fMZ^PY!{_+pLq(b{kG`@tfg6HYseV?mW@#SGtKsU6x#86UFhT8(`rbB=R^J<|% zgF%{**1_F#h1~KFweJxJp8@;A+P=?ofduE-!#Dp%}fNz%S5oz5t{i0 za61cOzePom*Fj?nWoggA@W=@Cmgpo+*#3aa393H;4F=TCFCU`d%>XQzM~jUEd%}es z;GuUEzX?GI;1j|b1Oey(!hbV63d-{k0>fJBm&L_lIrTsF)G7bu(#oKX>EBG+ObE_k z2Tn~0;tU@y@VRR+LJEti-$KjFKc>_N&71)mIVY!}?IjvF|4TN%%*`*aZ-RcYqca$m zQ=dT)q}%#`k~RNSQ*T0$flLITb9w&+^Fn$D@g3aF&p&?uK0H1?IXOFnNR0~OQfxHH zgdFqVu$hM!y_0;we?TT8(1ZY3P#u<37e+S`i0(wiB&1DYq$Z@8uvuAIkwevt2F>UI zQCmBEbO}QAc#X`2AQN^IZ4EtU6O2F!MzGPbnsLv95v=F1#X|~>=G+Mhe>6ATODMPN z>Kberkw#leq&b@)6bBFOw$Xlh6ZWzNSNx+hGtoE`zRSDt2Z%F~efT)AMo1v%hM*g^ z!y|OvMO#jUIs3dV838oQ7kM?&W?4I%0Hvdg-aI|Hj(!t@jYgRUhD`|3Kl!>Quw6GZ z&t?v#p$ml-6~mz{jm<79LZ1p~n+$bwd3gm~w-UVpRhbZE21AdTiBO_FCWPAP5Z$_l z4~@+q+lQuG#qsB1c;(_Bl4(mu0#Eu~B4Sc9=#kMN*_J#Qg;2phsArIWaZGCj8=e`o zXp)JXUqlR0%&fNJFv(d-*_I1Y3%!U3PX(y2Avv~XMF(zjAl|k-)_(#w5kG5)F@q5d zNHIedk$^UJoDi5_oSpr~Rt(8oKzMPXG%hMG85ps>fB~5?{5Mf!W7*tr8$QFIkuOaM zG7*d*lMp@s12ECyxOUD&fAXo%U@9{XEIt)_rB#ID&#*^BV-g-gI3g~=VQB>rySt&6 z9vB!}U*8JXM84Z9*h(RLp}aWg!3<-R9kt;b1VXvsk{KSJJY5tktF0vNpRWMujve(# z{vp+{wkW^mHE8lfl~8(mwj#EIw!GNJCYDwdFy3t82)_W0`m&St#B7a31V4S zTSb(rs-t_hhOL&}ok!l-dU^)Nx9?JDAXXEt>%DdCcRyr7!cPCp7 z$zMV4A_b0jG2rNRyl;)N3&%yDiZI5xD&%m+81>L}<`8~w%yb|Kym!lrATWuD13|E7 zhM|?8Iio_{HfGLz7O_j7Sjx4z7Dqt=n5|G2mN3I$duDYTdR>+fI3dB%6F z60^4}YL{2{QYfS<`b+Q)axV&SEu83jWk&b*0|WNs#MS$BX6sjqK0VLFtkW)B!~2{n z4Wc{tMlc$WDZg2V4e{q}xi?&n;!IjxkpFVmBp%XMNa(2`9@A?v*^qtuc^?QubE1&#DCuofKU zW3HX&K`A7~8Fkh6UBNHpEPxOm|x4n8fMZXm3=(4h!aQ@hOX(VcXp*jlI7qVeg*p#a(=Xt_V z-mH86R3nfvXaoDZsF$T~!0FfzAVv51;3)rj_`-r+yQogc73F@d3&4nHUROBH{L*k%zQJ;4T4RBT%^CTVe7v(*IQtZu5l%rEF5@2Iyu^bJZ}v zzWB_h{Ppeyl?W_7EYjG={e#n9mgdP<68u3I7fqB}3KHWHTl3yM1|@%~<@mHJMBk%= zo>SD%mDK~ddl@wIY8h+f(*O8S-*8o*W4g`bte%?2QzA`ZgwB2*ctlEFX5HY3HL~DE z=Inbe(QIE#Pd99xPX9$AY+7@44M^PynMkZvnX>ffC@-JWf9jQe>&ev;J}3OJW%B3> zy3c^$ZP@Iy8)Mw|YO)zuMAyEb+k?Lkc&+ow1UYRcj;U+e`Njv!C|~v6OZ2e7x^{wU$MNhCSbLv*^Q)l zYfj(CjcX{+ZRP-EFQW8ZOUiE&3(v}kzkh|wnf+Z6S%uHj`Noubno#&WsL=n}@6N}I zdl&!rW}s?*{%$?#dj4uaTl$@6l`O=+61B zKIciUukpZXX@oX@@>LhiT4tMEm&SAW?XY&tUlH8^QS24cx_IQ?s+@BPjiBLfj3u68R+hj}n1X4)Ey?#wxo zCH1_-JtO@P-db;2dohrO;&`YvGFTH7VSTz&Q1cnvPg!&DF!-zRi^nUOeAD-U`5U1R zKPawiE-YCS&V1#0f4e{Wd8lt?9qI`Im|i5G18#2{(yzz3?{BNP$I4Bt{SdhndboR= zZzWBK$Ez~!Y!T>uB@H@Wcsw_EUyj@6(k#MMDYBNy{Zq%;VUcIJCq)z(x19BB=EMJ* zTF*+Tw1JE)2XkIS8+7;#wh}RQUhea zthP&6WuiDUDc9|Iq?T4@zIu)CCU+NPvS8T*@wtO06Bhf&rydHZko32L-Pwi(qhwNF z-G3B&Fvy5`gS_=K)tzm|50M;qKCC-kJ{x!@G~>iI{3XlQa+7JOO%&_}rOGxi^k@CV z9{$18qcSRMSpGN>JIsWCH9aZNO4t*GQfR8TDa5j9C$f#)stAvnjz?)bJFqy@{U+Ou zDG{mxl+G3D;iE&H4yc4LnCauv-?ZpC?7A3tVdb@s6pUPDKrg)jYcf3;X9CyK97gVok({zaLPw!nEo$C_`|MK2eo%vI;@4!St${4rSsU~Q_ zSv_%S5M8mKS=!<0Pv2Jc!*HOQf1=V$J=Q#AkD&^R=%S{26fxVUEyYxQ2I~`wFTJNc zvBzQmMqIGtmv&R_E~5$ zaj9eB{wAjc?(d)P7=P@U96ND-=@VIlk4u;}U6d`-zD}|k()v)W3u6CQ!O|^s_O{FV zYz5DrZ{yk|s>j`p5~DNC%=$4kUo)i_bT&bYIfaRm&FnMtc#c&Bky@(KI~Wvw%7JuY0PXm(&K85sdz zXm7X`z3HT;iP4@(%)Rxg>>kx`FT!7z@1^yuo=T{4f+V#Zu~3&=PBe?~`V)ne%54nd z?TXs9JW9HqSU2eaGTgmk+N8fE}6oz=Wp_^yEhFhc;8O^Ihwig zMR%yH?HzkmE8usPwQFiL|Evo3oz{;xqeZX?G?-b~3R+)|gRfOSyP(Qu(DhlQQsV7C zmY$plTt=D_L22Ilkxm@Wkod9(bHw25No=!$NrG7`xyrkxtg?1xN=}X9HPb$?MbvX_ z?Ys-Xt~n(;)qPo>q_618lfzzv8{^U!9p8*SRptCWs(E+I9^^)RX5{QCb1{2%@bZeQ z`i6K8pSc&X)ne z$UJ9TRwStx!luBWX!)&=$osK!G`yY8Gj3PrS=xjRm?aO_K0i9Yt`oVRfWy<|R66#& zj`yM17)Bs6MHVyr9_&9BYi{vMOEwv|+r=KSQMuWkaBNPWlGa$uRZXrN^%g|qCtCR> z5~bf4d3dmF*j0vvLZy?O=e9v?({QI@ zn)7aLby+JPP(--^cQc(0UAdIzLrZzlV9_Yq5cU*5c9L-2+mkoP@Y4kJl0E4Sc$V`2 zgTcO1pFvQ_{}KEOuaNvtMC)H!`WKdhpTGW_Ed2{h!GD*fe}OHyEdJkw?O$LEj7|PV zwEh*ge}OId@51&kumy?mf(p7!$xArH@2{}^3v9uE7q)+a?cd)SAcTE60}vz=ty{Cu zi&yByYxE);F3wPAd|NY{DC7+zngUS3U&6swf`;q zmG)mC7J+~MzXh?n?oO{iY%$%d|(7aDNtYC()=1YY>=VM4Zhvze;XW!F}`liZz__QzjW zG>-Q8+R}hEm5PnZ@p7C$?iouI*3;xe!}g+D&)0`rH_cw*u19Ht?4@4)LPb)^pDgRy zuH01ho0=uvVYXxUZgKTkNr_2@SK< zB0-3~T$(nQ-UE@fdOcXgR8hR-Is>Y3Yi7EqC$JRZJNaBz-|-L)2n-j3jgTD&tR z1D3~&T863~`%$2Y;~2zx@BRzlW&fG*Fq+7iypD(WUtoDy7t|^}Vo~7$*!06(zh)xb zA*mF$ozdpRk{mSaW5o;?0Mfj?W0^ne@6Bao;#gz_G`4;S5enyGR}q+k^qa6dj`FOf(tdF5+#w zMA6~l7Z7X?=CL$TF=xNLe-Y0g%uVkt*eU^$6@w&M?aRHAdurRP>$rAjdhK%Q+8>OA zfsW5jXUx%M2AuA|xChad^WLG?x4a7rwhwD&s@$l4nuB15x=T;wmG~a-FF0B&zMqnR ze`2coHDAn8+2p;!&cHV4k=8HO$9;XC?`G|J<|%0&4@Yften*bGT@s8qqlW?BKrEtb zu;z!nTZCj!xRDkZJz3K7xV6|yaHg`Qr6R674Va8QD1twEuAJVf(|JA2kaFxK=WT^k z70^_3Be4%RZXdA6R+u*is&xFwA5PJ=!g^7VtZt(^UGw!txh9by=Sm%LQmJ7iZ`mqP z8^xdKQY?yGJbbz@_*-GQZ0Y6mpf%zikQIL6NnEoBFn###vCM?B`HiRQO&w3KTgXWH z%vQB=w}WRf^W@?MKY|G3h1nFZ^sM7vA>>GM4*CfDa_Z!C)c$BTVZj?=T9E?e>HFB5WPmX>ZTUC@fDPFNp%|ETT z0J}M#)jCHHBFf4SUg5ML;QX|q zV)^zQdFJ|Wk+DQCUs1Eqj3ri|24WV^V^E{4vH(LkCqcl>xK@{&ph>;I5FN+&u|wbA zlOb7qEBacmQ}FwDc>TtLJq9%!q zMuLbVAxQuBjWp3Zs+TFK_6BBf66S?ee@+4$=Eg50%xbo*g|Wt)Tu_A>`-N8dC+Hjp#?;6|ugbI6&IiJ|B|HzVQNPg_a0PUhUc%lSYU z^x!D!7OSy_9?$90G@Ay#FEExL>vM>d#id$RT(as31cy{7@q=pn60f=zeoj3veZ@P~ zyi%C)D0Ma>K|rcLQ4z#%%%r_I%Jp`Nj&HLQmu=U|)wij(HNW*aVA`(?{0e&NG#Oam#W4hk>?SxlJ}bksM(>kOvQ6!0el#)j{j9*Vj(yZ9^XEs*t{iWXK&Js+zVGbIx<-eP-s&`^=d+&xL8bI%uwm`Z9uev zLV3wBhfurJ;wNM53O)R|KQnYmx(Yq|?seiLInp-dK_FkmkB7$d+3{0ID)ToW1l7kd zt)|0IODpTR{AEaAxRfUf->#y{pexm5e}0U}-4w80EwX&I{T|T^sbGd&L|LsU z&!fiNwpW2II!I_yEBK1e^9z<1II#k(tQCN4TcX6|>Fjx4ed&6Yg=?1-7asPeN;S*g z$mTJ;)3Ut@_}HnNtCytQ?0c;@KZUXB_G~+6xk!swW$|eicnR9S1ak2i!LRVErmw_p zTqk{|&&Z@SrH8)T7PgC6`Z~`1zZmM;F8W zszK=)sFP}0xMEnPiht}XhML&FHyXc`R400tj*e2lG4-SU6>vr25q&dDpXKo(_ua7- zk`&|hFcO7vnIx*$a`Azm$(ljPbmQx(JSro$pHXfbPM5<5j3dch9@C)8vK+rKKgsX_ zxHTzNgb!L@6YiL@@orWV)TK1H^px`6Lbd&2&8+G&gQ@6-k}qptkEfTGxa!OrWlNZx z`)zYY9FTT@TACW9QU=u}HCm1`Q7+}ig3-a;889p=$)-DdhD~r@eXD%! z)$KG7!+9xUe9$cZF^%M6NUU?YMakpINE6EMrCoWCrcH3S)Y(q5xi*2E(kqoN-~SjY zCAaqz|5l7i8Y^9M?NO%o`#Pg&&D(qpM09TBDh!!y@%iy<>3xkb2yWyRW`l!{4@eA3-d;dV{t0u1NKRxn44f zOUg&zG*9(UW)22NeW|D0O=1K;oA?mvS91kL7uf`wyCYcL@H4098ajL{+4yIVkbDUN zqW9K65`Cz6Jvy#>>GKahdY^kWePP;^a{B046?3u1F<^WO74t@(g5yb2aDqG`Q|*qN z`T(tzS0&MhAUWND>uwfd~28!qw{|p#1=i*alfFyP^3bt9Vn5_3RW*iZ=DzVaoT0mC1GOtjXGg( zXLuzB&vyzpS_M#46Zc-UzJ0~HO*)qzkCFQQ{f>fTzhnLUMK)an%Xy5^5e17 zpHxe~TQj2C;4mbRyo#|k9v;NQPZpH~Oi$muPk4AroXjdRwlDiQbDdmjd4`o?tRPRq z1-4a03OKFYxH{AP!bgQav*d+G_pKI`qIk1yN0$}rj7*?6ffxv}AFQM8qu4n1!*IP- z`d(jX6#A%c4>LtTPEVbId}lY<>5%7?MrmA8l>4Fn)s=BRyQn2t&Oh#o4U{AKjM7(KJbWzbMVv^GuT7OeXC6{cRS)<`=`vOSht~n*=t1V9zltvM{w+I z;6Au@OzY3eX|0D@ZISD;I5wF_>DQirw?D4JC?%`@4i`AZWueUDtA3x+G8pF0KLY-VY2<8n%5=1udH!H}yZW^T-r8NoHRjGQz*?6Bd67s72;A z_HK|FWl-#L@bEDQ$G*t7#^?O>Q^IS;sbv9|1BgftKyyQVBeNS1TiciakTaikPf43;*g~9k$3ZcUB@i@ zlT+@dOZxElieW}a?}1%PKx1f^-qbO+aoXKh-EX1rZGGRUf%^+0-@Fov1=?1nE_&;`X`FL^5bF`hRmNvrxgwZ+?vCn}eFPmUuO98(nSE94;3 zSkd%*Qc_ku`3H4I9P>Q4b##XIoRp#Y3?P!Uz83*)97kbCBVhod?ukPv22ndtpRl}^ z6?z*t;9t)#Z2WP2QvI5ff1ix;1#$sQHJMR^v5RkH_29T;Iw∓DF%?aZReIp*wz( z`}3-e4C5E(j&UWos#%I73YH-8+dS2AAxZD3)XGmAI|z}Gq^{9T_xuk=H*b67ydA^^ zVs|pOOns8;-?vVY3W;mwG`DStY8yB>6^;EA(6>yu3V2PsR`lGT{LrWYbev@OtB<<) znx{6m_j=_{Ezq;w4FaEseY^2*EmzEn)Q#c-_r$7os?#bDBcqEthsIDO0%yS$>)-)A z?HjjTLSHwth(3Q^viq1&@w)RnTnFaI{OVhLlV>IMU5~Oml{_#&tJLjF8cQ2 z56QY%psH)&aVoFixWLuw*1;+ENP@Wb2lzSF3*{rp?GwZ-d;t9@`hClnZH9C5ckVwc zDIH$fpjCABiB3*?Rr+P=56%(5$*-#UICq%fvWDryhEW2NV+xqMh9gV@kt|#V@27}v zgRYT@Kg5!r?J62qWT!WLCfyzl=ajA@Y@E{aXv)`x;z0SHJl25NbmR2m{JQjQpM=*_ zN6tiw4FWoxQ)|Flx@I89ggP5nw<}T;k#? z!gu=tl}Y`#Wn~LhkJ4!txyntH9}BxlTLB?(&Z}b6aW17u$)z*3yU_YQAydNPXK5;(m4+T9Fn`2Jp^dEduS^+sV2TxE~{^`q4WmV1M z(mJBYNk14^cq_gv;67>ST{x+36_i&9R<+(8JMBRtVRBbM^zt_)?^tn3Ju@ZOl$vf- zKk9~O7s%xAzIvyG$bK|9vdHjVQ~Nr;zNznr(aEXV%i8xss^5dA_TgVdlt{U|W+2NgXNxOqDy{&nfd2?2$u;&)<3t_7>>lA(1wdx+E(%Qwd=gfdy18aHT%=`r>kH+4SHA+^Y zh%Q2s%jTXV%bUCOITZt7Y@6-8lDe*AC^WzA`nkSE$jB{V=-;RD7VJ z=P}Hw9-O$Ssl(#_p^uDJUc)Q@`*MC+X9Q=CJ@_SbEBb>|PJUynHO9YV$HZUD=Xtjw zyJybDEYoYOKBORWnpQd>I3jaxn_9D0zw$lfNx@4dH(!6lJ1c71xJ)AgEjm2MuhTjkK&Uhp+t>IDhX&ZnIF`g1D6LnF$to z9?_exR2RVWEo=8ev!e2buKAOkA~)QUN-AqwJ`Ma>Bs#BSY-RTte8wR@eMZeYKKD)M zw+(Fr(;K!CKkyz$M!%_=-g(gZ{U)fN*h7jxnCJxN(m!!d{XPl?;z4san>j_#HHjnJ9?*8ab9!TAfdk=ley-pN@7Z_7#s=mX{(<2 zLdF%*{i{zKXDp@&m<3{cr_UKsej!F}>zTv>7G5p8uQSVz^*u7`*WCg_BcJ6>Y#$dC z6<0Jb?)bDo2B?iJ17m9@r{|cZlmfSWd;_bu=s9_Gs+Xv|K8-7y+k;FtT)d=pTaRN! z%MrDSor@bN98oQkb#nEOXfFdarXJ98Jf)LV2^qQ?lFoWY1$`qZ!OK~B z|3EUojCCf9{vCoK-uJ{%sRQb@9=&bs9vpM=>pJpcn-p03^{?Tws=Ii`B@J(}7zTiX z!7)v9>pPx_HC;V@U&dE<=}hhrQz%_U?^@CF=o`X3(o`**orZ3nCk98*w{!IGm)Hh= z#xMDeI-BR4=AB&m9Xvl~E2B5^1UV*e-1(hZUC%UlgqVT(oC>D(8|o+*zbG(zS@a9B zvUYnMSJC=;Le?awxSW{fj66EBaB&?Ue&2w&qMwY4(Kjplmo6)ob1B6dXs^E~D4`bA z$)d09J^64MkcxXimb~CQPCcIh{4f2n5B$rH;nle>5cauKmb2%Z1-%w&`I=$52dn@gBszQ?v4g=8T}L36qZ-w12u z35jU8T|B+QV`HJ?<(}o`Uq{)b6p|O|uim}K8eTRv*X~g+@mgWEVd;|Xu(_XDoJ~mN zgNCw&g+-$XWNpI6im`j51g_VAY&4<8E;QNQBX5p=8^_kf1mta!OkyM7u_U(z3SVY? z$l8P+vbB)1X$Bda*u8i(89|PXLbfK1cxPaM+-+EpzKtbtv#@ePQZpoPVi0nyjVxp| zEAA1RmCX=R7(8g}01r2;qb3GHT(NDr!FI5on)uK|*4^C$zU-NdAor3H-~|gy-p2Sq zeq37GOXwmCjWx5fpu`Bv>dc{rv}Rb4rdn8B3dx+)C&SjP~+#Pjf-vz>-r>LoTEUtUWGsErN){r6u6>Je*1*qxcDV?&i*sWAjwSwKu#?}_?h_bgwJ5oZ|8?5b(TMU-O4Exh4g5`C>t$c>v z_ldB*=NRY;gF%QPw{wr&x$l_kBZHUzDl@mm&&SWw&zy9hy9_fTzpQVVAvn;V*=*0A z8QF%@on!yV3!?K=1hPKF{uQ071&p*pbs;2Vumf%jv|ooKS}@@|M1y6?6U?l1ZjkAzlZe!8c<`uKw3KH zAz>00)`mIF4rg_3&CdjHV{`k+akMD{%n*FoLtr0)ZLq?{*+%gYgdMxorta{SF=^-~4Vv@+WpZz9%Ed zB=!^hfkBX|WP}E5G7SssGmYheLL~zY`Z;8QK0${PAA>!DiTOPIHinef%a{FJFev0o zErKY(Wfd_9N=d091W_?V5LL?te05E29X)4sj9&oSi+PXN?+uT4-}t0dNMeS^Jhq^R zGIUYKAb5}ioRNwB+_GR(*|4dcoQ5C*taUCl&xLQ6Utsx;=uOGnPkZEkzlS)3gOJ!v zI5a#ux{ZrZfVM{w5~6P+#Mr$`G77n{%P3OPjI}A$DvE+KV-26~C<6oY*?@V}`STMv zPI&N?az!CyWCF2(Ti^ia&lpCWFo^Xq4&*Nfj9QPA+;;Ks@bZp*8Xq5z_CO^j`q`tB zlG2RvU~g?|wjT8}MB1iMy-0gNFY*!YM?V+*uC6YqK(Ov4=cBq zQ$Hs^bCiXJ-}N!XYZOvmp%<(uVpl!7%ZIY~GunqjDE0`ll%u;$2sWXU4LSpl?y@6J zs-s^~&>R?g$s)9)uK$NY?61OgIT%mO$Z&+^X4CHo?rE~vE`cxa@Jri*g%{r8`2$;p zSXC1WqaJVyhx0{=CNk4CFD)paJ#DK!p?N2bYYybh;dk|sv#0C6ot1AREYw#Ucc>;j z_bkG6Ohn*{kFy#0p;Lam)Tm)tT}0{MIV%)?Mwl`eNon8zA^&tc3M^E%i3_oZxmw`3ku?4Syp8Mj%=* zh0AMWTMIBI4_-}LKO_As4xBL7DlciVOel1uq%NhYt1!LLMBfeweUH^HCHW2!NXJbo zrT2Ga=|j8l4AvXGtaRl$vPz`$%!Yyp_p>|8C3vm!Hd&Se z;J1PDsOQG-i+R#q1C8M+l^iXP4cx%rm%XqO9 z5Tcp6-LJLYBfO=`lcEAFhtl}(NG08`e^Co*b*za%Zr zkIy^rKmYm>>WLY-DbJDuC^J^2B(0o?`j#R@Kz`Jt~H-V=p-QC{t@Zj(fY<(fE^mzptAi*)F_r4e{q0MNddNgE^DOzRART(i zhai2EVC-8oT?{_&m2Y8>N^khZ*^D_nGN3H34}VbGvR()J>qkkyS|ja`RWG(nlX{=j zA{@Jw7oa2B-8^0BSN!K1@a-egsd|=?AGpgzkL2p#qWeWo*3r!hZI;GRzAM4-BB|y_w}x_gi2)amr5isExWmoae|o4CmY#(-HPU~Uw3;@9tZ`3es% z=g#m~ZTa>714YS!kDA4oUltk{;{l<dJ zP;Nifo!Kt^H1Ey(r~KpBB$)%|(Z^pD zJ4S_aHBNuVm3*aJ6g+ZsE~uQeHvgE1KO;Iibj4U^r-$x1VfG)M%@v*VB8ng@^=&{w z*OGx(se-Ow;GE{UaHkKruSn9SAHb{7p$ZewQTgc99G)5O63gqC!?)G7nL@c~Yp$KY zHnNj(@AZ5w5s*mK+OftF)7D~Kdb0F8go3FE1m3T``o03aVHR91@DdQ4x@q*ytI|;7 zXji0gl`!Z&n`wbovln-8UKL(5eq#=FHAaoS#p~}*U30n=g7;@*%?{U2RXCD>=#LV8Q zB$6I+OHmRQMlj~TgYzZr803%S=ik*Snfrj80XoETvC?iYg8NdZ6wUje*{2Wwl#i)! zw{h*it(dWrQU36wG~Owvq{IYp)L_CgomB1gG$e2+Nj#77KM$aCGj zzn9({HnCuRoF)`urDsVHi|&87nvDMj#B?`FSNadMtN;2EnISHtNn~xvb8l$ue$!)} zxbZJY36Lt|@~-GAef5sBzCzV{aLYKV9qs*H?9uJ?MuZCO84HMGDu2Yx`WoW)ms!TJ za}1EGW>7?!%*lq$dhdQQV_*V2c7EIe=YuHfIax5H=dPV1CnPejRg39x;3F4ZM90fy(5;ubYcBL$FIzU7-;t=Z_=SG zcwWiX<|^f52G=S&OrmY!_*C4Y{KGcn{WTH`u<3ZLqLj$P#j)?hSA6_*7bAzSqKcXZ z-u79OPRvzSl_0mNjgnVdrcuPcTd$f>7$;SDQ)dYAm6=N)`p z>)ZufZ#;4c>?p0&B#4&@snW$&W4|8(mtHSh7tvm<&w2Sq!i?%k$i}Y)Dv?f|-L-30 zjGNw56X0dW2~&@@$}6eu$ssCN<+y0l+oKlV5Y}DcFlO(k{eBh%gcqLf`L2spv)p(gCcB!&Llp%#c&9<9V2{&-$jk?PMjv!$)~BQ zgW&hfQGLSOc-S}*s74td|BURqs#Ta<8nvPS5 zPj?oUe!Tg#)Tj)+R;khL=F0bYe9FewpYjGyFx%|e9#>$u zd`46tXPSJOGV9UY3C|nB{Dzl;%+8)V{|E$G^wkbjpLsnd=7{cj1=98B$!R6Pu63Y3So>SH0U%&ZKcd~+p)Omc$2rxRj9{rX{afFZI z%qR~Pa^|$PkmBlS2bI0(T=YCA-$}5%F8EULJkf^f4VEZ6ExT;nFn%WP8@7-0Hmk@U zNH{wHQUx`RUcX8W!&r-H0-`{x&Mrs5(p1peIU^-l})A*ct1dK zYA$QbFP!7Vg`;ZBH5gXKNxy#yb6_;j`W&7*KCnTP2S#yKw!t6c#A@)d3)MTJ$@KtZa&*%)K4`hU{`ip4OU00I!RzHW&s#hmRT2NWlG4To0urKv zQs?@!H%?VomV}a~ic8Q^eTl-8VE3t)T!~v-C7!()e3{(%g$_UdznHOETBt|=8!r}S zcfcDCkRTKUucAW3!XqM~?C;4xJX7#L6HWi&nS#3df2>^pA)5Zfw*_P0{wKoiKYUvN z?;*iU20|iu%}e$V;r1WCEjY>gkCp2`gxi1kwg7v_>@vJVp{N8?Ot6=eTG~3gdjIfk z0Zc4{chqjcD||cqe+ak#@NNGgp+a74*61C0Rfgrc=3qy;*ijyK^cp+L$BqiHqeASc z2s?TMN4q$?g5O7vV#R#_kXW;jLmsUE0RMhOi}jz?H~iOPhlsG*yE2>qp8bD({6Fzx zb+j&DMj^`R^RY zKTwkFEx3Bn{^$FLaaYNGobvR3`wxN+<90Xp@tK3=d1DUa9+CSv*7O!zeMyIL%c^}G z%K^p~ChIWnI<=249<d>Ef++uu*L2g`q|IgEc*+s9W9miKNsj4!+IfA>%K*TXn@=RQt+u>8I0!#K{?KK^(6Iad$kq?}}X+s$*ZeCO_AoLO@pzk7gt zkia_-|0?-=`?xFJ{)_B%hw0`D?C%Tzo&I+Z{C5xhcMtq`5Bxv52ljt2 zi2vtzLgxU{_i@4lJk9nnex_+3r#isZ?i|L&VEh@j0{Qk| zU%$UU{X4$GvVVS;<=uZJHRvz0KkZcB$Hxw~UnS-+PJMSD|NDF9chX^eDRmzwI9R{r zti$+Z`#z3)fGZUr#%I^}al8Y3rRFfcz_$PU0UKU`t>-QK)4$_owSD~W{!!@ti|kKl z-S_dopa0U=!}y=Hef;nGJ)1s^<8|)iGzaS^zj_!a+}g+45Aa{Rhj9i@_>U5-zrSbW zN%lXlzjH}x?&F&W+joiXFs^cMA7?-K{N}-7T>jNQZg%kbKjk@$+xG0^zYf}$6FH2x zAozQ$vUY&a${fbaPw(S@_umtx!+3$-KF)fu{TFl(<7Gbk_~1eN)24^T zWYS?=EpHzuJ;1}W4&%25_VK^{TcY?deh-guZ~g!N-k+#BjQa}g<81tUvmv1^hw;0H z`}p7fcdqv^o)EB)a~!PSx37osl%jq7@BRy(K8!<3-rgJIA1p7rdKk|kCfdWv4)D?4 z!+3%4K2C9f2a)Xe_x-JbW^$(cIO72>Om`S>4cf^T%09y$Q0Y*-q@zwTzdf?uQmdYXkG(B$Yp1)st{bN^FWkTpfh z^(r-Gl@g;u%jJ<6^Q%y+UEyU|Z7H+M_QeR2Zz^CXAw^gzDbwH{|DDOVILD}P{g>OB zjEK3(`0kvUGg!9)A{8wc@*es;uj^cwFm0%O9Hk~wVkfU@^U<+-)?tl4d=prJ#} zHfu}q+M-2vKQIuW{bYWgia<=U`a=3mMvEx}cf>YhP5wC%`_mT5%eMgAn!+6GTtUTo zgNJ5ABD0OHgeBhTk$F31-IW@)q@#-9Zf?_+cZv=u5#6t|U#=#7HD%w;I&vJ@zGt`a zbddG1q8 zf+Z#5V)xPaM?xN7QxCPV#h>LhNcc0>xYcpHCEdb&}R1T$0O2M{gRn!O%*+$be2j*@{;< z>tTy+yn|CT-u{c+ACgd zuW_Js^%E*86G+{)v-zlzXFDtVBy@rO606_LrO6+i)B!oxwC>4gS z>ta3Pg3xgA%xxLct+5`}594*Q17br@uXg>IYeTg~4`NQ7 z>T~HS1_XD9O>plkSZQWFzL{VuI@BDJuUXaTrNc;)5?U6W^%iWpZ1N42elV7(&M@H< zyz}Noc+5gNL3uZEN?oDV{W@!~?rxkxa~8iT)658Hnm+Y^*^$hsSszdtp}pnwc}UY9 zSYQP5x;E={1QyE8qe|uRo?qK^-B}ilzb0Vd?=V3o0U|~5$?9ipbX zggKZp?D}$*&^>eeInPaK9v2Ce?M!daosXFm1+qpz`KmhCp4FC);tuNZU(&hgeR2*T zykPVkv$hHfb{|l#UHdH<&)zZ0a*V_0uJukg)kj(RF)$us)iqlcdoNf161BK)F2e$` zFAx5jJx;~=v}5}&q6`XFgY*p(sJbUpXOe#rqp!4o$2~{e;t=*tocjjkGVFjqPl~-x z4n#Z_7iSY1_%hK^jAo}dec5%s;btO3;ym$pV2n5w1#rY_o_XBzu>2MiCbtHke?ug- zm8(BjcznBq0sKry3DQ4!{LJ-;RZxpu?f`z8u-Y%avvT);TfCcSD|!R&EkxYbV%NH5 z@FXZIj`q<+UGD43lkt`nNBeGg?0RNsfMg5*xUH}bB0-Z|B2^U@Ip=Z+lOmpkyIIVi z?0B=pEdUZ9rRO9OBvM_h=r_M|SM1VB@0CDQeyGhU_Hu&D&&s*M@p1>M?ayxdLAj4ThwqNxQ~orkj`R?Zig7@Y2n#?yqKjoa|7;Qv+(tKAIJi> zX!-B;-$H)>QQOR`aa8@L`+#JN=5$zZ(ydA24zI}@Ky+RbE#(;Ak57V3%*pA?dEjf!56q|ai<*PR?rNT|Ptj)Pec)bIKDd;OoFEz`=iWP}KeeofPHwydJT9i_NN8 zMIpj1rU9vR+o3ZJ*_iOiShBH~ivdwUptj}wS(`!|6b@y&#JHl5^N(|<%4uYaI)#M}(`k;J{ajc}hlny8((BogtP!JzKO znHJg93su}JY+V}CSr!{3i}{&}(D2k>?}yxxuu@X+tA>KCGDr@@q3D=IA; z9C3|W_LX^-uc0R38*k|nKVDpYVwEi7aeC=>rfbJ<2Z4T`&Q3jwpYf7OIWx3keYBHSn!m`d_!Pyw zj9j?a8B78U(CwGnvzOM+DBOEoUsCGM^YQarX_cxTrHQ0(H5ci!z%Av4_27>}78j~< z-z0L78j@TNHQ)}+qxMLV9Z1Y}EISMNs3 z!gMxYM^syYwlhMVD>Gi&WG`B(hw5)uunf2~;CDs_yu+P$pq z^a+<8ob&Q})76U?IDr?U9C4H|Xh5fC*DNE8N35JCZLEz;927K_crG@kZW)AP-hZ9y zsO+4K_;~fbTvm!2Q)A*Uv7zE(_vr3q=%68N@y7_|I}`A74A40K#=-KJ2VDJ)=A!cVkZF-kX6f z1@kxZ&x9WRLgn->1PFmzZmyCGRt^L`i(3Szd@@&#n0KIvmE4kYdKsSOylMUgc5q0k zcm@55IYcZRypsARSE$A1)HQXl+c?~I_3$lf2hgaUhD+PV5mQ*&H?xX!*|n+$S4d9J z#LhQwfcACIcYx1j>$z#_coZdcg#wSt*;*Wj@$>v?(T8cOHUTq^6>Z?Bye>XPNVKTq zhuo?SudvpRDLm2Qp7D(n&JRN3W_QT}hlPJi6G}?a)XMfwOmam7ljKd0T66PDtLtP9os zFRD+Zqh41v^MM};u6dsq%x*0YWY@Z+<-Hx8S@24GTiwSarS!V6eUTQhot3=k^enfy zn~0fT2a{0W`TZv@(_N3Sc-B|-IOMckKtjg#ad>XQ6tS3OF2CG0uY}c8vUo&HyR&I2 zr8~lO0U+%68R?6v8Yb=!BAUK0*u{SR{&RRL5=X^lSYN9aM^XbA{t}CM`aC8%tzwr% z)cixs1dYN~6X#d&7k95_jZLlNgHr-$#VfZcPAaH|#b+QJ+C3yM4vSKE$ zsyDb{p*;ly&djPNwexvXO_NFPcV7&z!egjB{YX`(dG`#E{mRby7NHS2uWjHF(fVbP zPuu%p&oCS38C?TApV#dl!ME?fC^(oky}1R3l}zl9@u<5Wb!DbooFmGyjoPG56#-XL zFB#q;zI1Ow^G$!344vMCppxkimJT0J@$g;EA6wo5!fN^s*-a~(Du&n4Pg{CMM5V8p zclLcnojx0!keXXs1tw+|8FlS$W8N+NRuPrDWa;4__v}?ovqeJv=$cY;dKdV1F|gxy z@1w=G^c?*T2MX8mh2<8z#|5Yd#ihcrbOR1xcJ0;I?_5Gm*Bnagmk8~h!Xo3I?b;<~ z{#v18Ic4k+4U+o4uKl4na@{*UyKII`LR-)O!>3tJ3AMn+siUX(h2?;v)>Ts!HFID+ zvj;ivedo%O#z7KpG1J(7x0hs33Rh2pbHNiA)GVUZWn7h6XM!_x1l$5r^6NjZ96zh( znVeqq2b>VNVxC)4+tfA!IMj_CeFK}@X9T?hQaVRBIZg>n=m5Vrvz&ZM>8nT7Z}k|v zr~gTwe*JP8R533+%*K~t_V5SC#XcLk`$S(4ZVz1Ku}ye=%pjgC@a%o&%KSfL)QT{G z#nR^E_p_4HN|;1E$_x4?b}!yFeOlxckXCW?$@{X5e+sBM`iEsqNTlaiXe7U^`q=wN z0c~TKGe<3<;M6-fjA94y=%3#uJbFq-_h~MH@WU3-(c$pnlL9yIEN)LLTNb?q)&0}w zWQI>lIv4WF8)f%A`bEQejs$oFzWfo0sM299EygCxU~Ga1kcN< z-mK_BhW(#f?vTOO&QZYVDOin3e3esLLsybF2c~U&1hZ45ESw3*w!u!dLMBC~* zv!H|mIuWFm;8W?^X5kS558vR<$@iQ}Z@#PwNSdVNR`7`^Mg9RgH{+YTmM);}aUL@r zyXu@_WapGJXz`8OI&AuqUC;PDV3SwhKHoyDf>&~qs+#=TlI?0a9 zp+O8Khmg$0)Hjp!_&H_G15=wMbX-bWhEDgBYr6WE@ijoo$SD22>Y)`8qs&|s!9|UM zMQZLxFY`Xn{USQ2{*Ct+5D+)H8{g2nOegIe-+V+Y^>y*{B`Q|8$11~w^H(nkXo2*s z1_53DxR%`$vKpAzBeSH;tQVTL@C4M1!+ItVZh2sQ!|_f;VlOGoOp1t4FR5$iS5mVI zeg0vVC_Hv_+p7vJQq!HCOwEwf(aSNYp3B#+>|Rg1HL_y!VP<~WF8*Z)c+wv5(=4X& z?H}5hg(R|fu>$f}ziqM_-3*F|%lV`HC>k{4;Avale;A!Vu;g*StbLSA%g^8oGH0 zg?$IyLMjIKzA>AGEMnFH!HGrh2G?jToo;(1HVLX`7lF$_(Iq9lb%uns0_6}R6zANw zcF)f;Bx_6HnjcTpF!gaez^@s%wnNYH=K65tsC|u%%kxF0i~W>7Z)g0;9DSmbzJZ@& z7u^G5N*a3lSGc9`z59bQdYZSeMl2z9(Kx$fhXMde>B~2h8;_j}3`y(i`7COYnpIra z+(oQnmGaq~%5vZnpthwTOhfVJm*Y^-a)_(w*_4MBZ1RZv<$XABBrS{708vrVRkgw) zv{%^EW>u4WPpa3643b+(G-4}jKjIKEZ~zHq+uP3yOWXQU^b9A3F^@kGG3rIeJS!XC zCZ$z?BwwJZJ;)+tAJljBZ9fC$cbi8SiRknyXq}?QSGJ^0 zKgPCx(ePeC6&|+}2>-YUl+><9yy#n!wumoiS=eAzc$Au1(b~~DEgmqqNWs8!7O1&b z4Nhzs@E}o-p0W6?oWzKkEM>B+fiuQ z;gB=AG5Pz_+g=nU;HjDMTd>5?%4E9yS`#z6ai@HYP5hF&u77cP@9H*#9>^~HIxcJ$ zU0nB}Yl;2bUChIb0b*_`lX@B!4z=ibic^61f`&s>M)sRkljNL%BjOr(o@dD1c;8iZ zJYMBZ2-d;74HXr2wwZe%z9et4#qDrqwc4)s$*>G)f6t% zwxHu+uC>0UdyMbta&#u$?S%AV2C;WLdIl|hvZk&(HX{TWwwy zvcA~`6p6UDfrVY_>z2tmJiz4=6ce9OT=kP*_Oe~zu2x!E#^{5c=^qOFb`b6c(4f-Yrnudx5mD(R%i!0P;4?>2_!xgd~p!ZfMJ0;R{wK(QpngZP>cP0dpWCo7`}Oyhtt6nnbQV^H@A zb+21c`pE({pL=>w4p^M0U|t7S!N$WYBy>eV87ugNt!Tmqw31;m2YjqE3KLhL;8PbS zbLd@7M)39|pHQ-C2n!p^09CIrdc@4#<30u}{oGT1`a@Y8&3>f8&g-I& z7K7l^VT&HF>`}Oqd-6|&0k+8q<)2U*hqovHgjzo+bp-$>>WM>c3s&fr?Ln>+&oa!B522bL`qB!KRbpkOGm^T7(jcTleIx8JW4w zEp2V>X2{VFn2quA$wPpw& z>^*h$$yh-s+^l!Iz&`dOmQ>9IZN z>Q$%)gos8h^iKSr^=o?s-D53-(5@S0J zq$a}*A2S3|hXQJB*cDWU8yLE{x}lxXwi7sLa;!=k%B1}Q6JNj{0%v>$7ter0W-?TY z!XX>`speo1ygjvaGD5W<>jN`=ir$o9qoDfG@u-2pv@il_RDkU-==;QD;}iHW`v$VB zVM8RN2m+O&X+bz-WaO|x3OG>0feN+-yA3tX5n4L>V@zV_B%mw71Wp9wzET=%OCT$I zQ68p1?U@nSz_K+%&=2tKvE=~wKH>vgu*B zNrF*0doeg^FVnM{TUuIL+u9l)?8QEr!PqA_bi&4PvEtHXgdW-t>=_X3Ny4Ehysv*? zXk>KN?*-1z&W>L=f`aQ1N(Q?L+&*q>5)L0f20;YiaAp*`7)N7J$k}8l9VUQ%1eO>) z6tS)gQo4pl#>VJ$l&Kjy3M-vPEYgArEg?VLB`7#_ig*SU9$|(weMO?6Ms@^=fipaT zd!Ax1=?NpdUO!X!)b6PgXfjzmX9;D@G$xHYl?U@U}Oq4+BBRo zVum8ao=D)CWOH+Kml-rXPV+^bI_2l>=ZVWNpsJBEW<4@R@Wsy?uCE@{%T6HrwTSg( z#uULdV-v_+xA03q!Gk@{dJ1`j_C?>v4-SsX%P$D{3EM}zqDtN-BLSmGSuHY!J4S{* z3qbpW+B)oM0R0#>Iq7%T&xLRr`X0bj0PScaHn3?D35pxtLBSKiE*&xpIqbWvD2E{^ zti}3fZy~>M;QzHOD1>=*R}evtkIoD4xEI&O;@CIgRJ z?-cg7n=0Qu^P8PU5rm&#S76VWURO$Xdzwe_`J;U7S-bP6cYfC@qV+djY(8Hz76Pl(Iut>wQ;_ zzdrezs?bM<%P-8o56zS;^rozVSS1hr>T{T|nVFv<*H0>UpX`YjGmoQP`rU*}XN=A{ z2LRqmK{=7KbwGoUwVP_>+i=UmkkVr1a+$Ha_t_es#Q|F8E!VB)=VJGz^ghJ?;q)>$ zn!BJe+oi!Fw74$q&INBS=#`Z;E`|PD!4Y93!rbS&LVo#p9OZJsW-{%kB5Ui@;M5m= zQT_R=e@gBGuWype)#I;- z(Kw0W4O&{nTC(Qxxh=TxvKcRXTh@&qT%4db?vgg16+lYQ;ZaD>UO92>WH8%@tIC(j z%^y7xyba{VD#c_71Z>KAXKQdi+?aSj^TAeW;i?I9+fZJD@daNH+Kvf5lhd8>yYu{r zNLkno0{gKI+nY|;XgD^~=CzsLfD>pouNy7d-puKp&)k-s49~XR%#4mAIX=6*!2Y~` zeH4uBdVXi(w`<-QI8#pe=3BCR%nv5ii6$*VdH&2UGeiSiXsvj$#kj8fzMPguY~qPw zh#6bzb3weL##vL3Jyp>(;7FvGKEtJ56_w8>>Ks(bopHN%_;D|i=DCSj8F0bcp3bB}}BCoEE<~79ju^>YJzesxvs4AlN;rGyu zbjzlsyIVjy6{G~ETe=%5kuCuNDUn9HQ#z$v8tE2M`VPMLe*V|J?^<`=`#o#zeFA5% zIcH|iIA?y(^K;6YhE;)py#SPl5xZ3}x0Dm_oYC}d2a&P)VwsKT6mgXuk8V+b^)R~i z0Rp+(ANpE%Wy-1aE{q=QMg8!s{>gS9NtONh_DDYB2M+fo6T+=H5E`nXQWsuf)q*K zYGLx{XK8Q|tdny!KER~(Jn6C(ZSMh);QYd8#q0!n`2K2pMG9TA9dSa+{z>#{&JfB8?YecU8(wY8(BrZy124* zx~;wBC9^#Hp_G&)uXZ=%xSo^08@W7tX#@oRya@C#E z?3EV&q+xzsy7WpArc0%wfNSdRGaVowTlPoIe9typzx*cTMEc`#J%Mh~a84=RS?>v( zmJJPPFdXH&5D<;LU3UrTZ?Qy`kUL&%cD?;$s&8i&r78UmVB}l7*og=_4BO+1N*_j- zD~4WqPq%LnI*Q5q&#@2912Wt>(Pw)u>j63Retwx)sul}ct`D_>504tLY{qp+_JOEs zN&q3XeS})WOMMx&-X=z(9`p$dF;DH#!R@!B898+*&bEok1twLRthbx*q7%SHjKR08S z;A&r1{4k51W639Get9@V2?{bhzBQb;1D~`(!gRxL4q1VCw67ZS%`S7umUUG#M_9)# zNbeGwc0spIDREWeLyXHu3(rI)dW@_zM$TVcb12SR(y{%VuA%}Y`VW*RPW@eGu0b`g z?6uo_pg5$_cA669w zjGDQ$yy{tq~D$UW@w(6 z(VCD7XbtD6->aNIbagwOreu52P3JeP)bB2yc|o4%({JL;Vpk=QKKHI;`?vKWl!9IiFN)-eL9ip=^}jG{pgD zPpuSP1+mH?vmg6|tbQcQhUJzF(;#Ugl`oGfC0*dWyJlIJ+gUz*CxO_?&<VwmD&fw4@& zk3DW;&N46j9!1~!JwrKuA54u))%!yC{X;U4L)+xnti4Zw5&nKU=HdLQ9d_^~QP=8e zi>b-hkp0qcF!j8+S@x2y&2QKXQ3>PF!=8Tqk$g}1nSjyLrRa=)S%4`u*cPMpB4uh7 zr;yGQ$j){5Pg4y%tauiW3&QP5IRM@~h5V~o4gX^JprMBa2J~AQX3|@heNyn_Z*qS)LZw3NLOp&8UImfxwHC;3#&gXiM;RpaW}VAdUL>P7K)@X}L5fQ3BRi zy7le-CkH>0W1z~V7!_CVTv$6ec+`GTS^R!Ph}A1vD7z7_{;9|JYqg4`2^a`HAbPmiT=3({)*u!xMP{ zca2o|IreR^bYp@n@w7bo?3|l+xse$jvyNWW=?jl51?*ev<5tQU@WVx_qp{#MVfeVb zp+?@2#wrD9bz%*#AhX&6Ha~_r19mhb2=SB~IdjrVUf z-FMCRUCVvfdf&C(FZ2H+p%%Iz`d>upT@maK;f_!Lf4r0Vzb~4(PZGTcUay(|_kq{e z8Ifi|+YdZ`?IXQjNO!;Z_`a1CiEfG`xS+A8r<~ydUopcxCOWn&dgngGcfpE*uNnIw z&C|=7-Ihug+aqprpj3A?6&%s^POxo#J4lj|PaO5Qkf9?zW+B~iy&G7zx5N+xD=j;LF2wq}MY5jw^P5ltpJT69#H@We_Xz`^ib?G;OzF(fJrUlFdm| z;Ekqq#ds4fdSl*j_@~F-=4wo;F7w$9^XJG7KdZ1t%q!tFZi%pT=E5*ULdE z<3ii!fNRqDVzBnsXSmO99MwWoQl&da%;2j|b9pvQ#MrFA)QUUq=~F<19pK<9`+`|E zN>W;~lm}00I*Qx>&sVs)#xKq`c3EhkoR%x`BuF_HzkXlL7*`TWJ||`+>fA0nW+02M z7BBG@5M7%uZL46q?l+AGxNfnPrfX^GDKAz>`4EPEZyCIG14ajuuOECe^~XwA`LiU! zGt?=(fe_`zG-h5K^q|B9B^dAui^TC+m%N!(oWd1ft|va#o%(i_=x{Z*8q$di>h?2>6BX7<<_RpCC;rs4Sc5kl z(xacgD}V~lHG#%wAUjr>k^e-R<*Bc}*TWPN?I-2pI<`^rKR6i+In-@$RzODG4ryTv z*Fqz+{EX**#=O_A;Nm%fM1kg}TiT**KrwiEYQ#zBLCWSG6k)8-Tz^MOOyTSy8!y#$ zyg?L}KF$oVV1sI0i?N7EI!oX7hg2zgC>>6{mg?8BKVi0Rh=eYJ{kv?t6hx`5pUAHX zq(e6p2os-$UwQg5)@Y^lyN4~iff{M-iKViqzDnL4PR@r*=r3Lln!Sj<1oFiig7bv+ z8X#L0U6W#)%<2x$SDEBV?TngLu?4Q!JawSax%#zMI}_j`cktH?^rt|7FnOMowZ-XU zD=Zn1aw#tFOsZ>J9O$KN99wW`z$7Hnvvf_}kA1A0^9Bg9B}@HI?iKuGnwWDl zTK?oxnqs}?+wjBYiDzN*WZQJ0%XFVO;d6`0!C*+>D8E{$o{2 z@bfDlg|2aoZ@Dd9~rXO*l5uOmoa_^~m@YqpDVa+@5e$$gPZ z^gY#>-o6WcJ5JhoH@Wcr^)W0~LikrS3z<6+kWk+V4;0r%+7?!AY@#6KXRmt4d@Wxw z*Bo~>r;=MZ0pz{P!;=hT>zyE_o+tP_f^M$ zbqZfS`*6hQ{ws#VPD>|ovoQ<%);w^)P@@6Wk8%jVv1SUKh5%LV+bHf1Z&tk1OO-XO#q&2Jq4_d+lu9gkLD=j-TiuynK(?ft5Dr5}25D{#x*DozR6fV+I; zc~EQbK6QoGA+O3W$$5pOOq%|leLLT<%dG67Wl;7=?;_qZm*8PW{3@E#g6ix+2*=5!=+@iF*(lKj(pnE<9^SNP zZ4-MjR^Z!0ip6o(QwqdjL0t^n#&7$H?qh`5bU=WxVh*zsR~2N5=~L+SA%-anud3k_ zv=QmpA3k`F!d1Vl{?&X2W#|^*8YR&pq%PYR_SdHgeV7S&u||c^w-M+g+XIW;?obj3 zB;u7fD+!&`Sk7*69 zOB&v8K2%HI&F;a5hXVxR6&7pQ9~BMTF|;+-V$VbMVu~SMb3@{k$4Vec}A5*i#{RrCU|xv<*+?i%WD@EDVs>Ni_*8aEhQo zoBGU(zWXNpm9a~;|KixGjUB1rn0g&JCHH81^nzvaz1LW(d4jZnGu%c|M;zL45_-Fd zJMl9Hu$S;9uQ!gTvNl%Whx_4JRkckM|LfJPjAcT-qpI@X&8Db=nLOsT3cWo;{{i`!?!g&3oFW#MS<-vd-Lf49$k# zE~6azN+`z`7$Q?&Mi*z*3IE1b8DSti#jQn2@XsH@?fp|Sg6%v213AHQ;b=boKOB@- zKhN4F+8}dL=NuL~|89U^r9$`Y_yZh^iN7-GO~I>EHJ4gg>fz|S956BOjkxNrZnbQV zL5^c-%!dhG&+WH%@Y#q;=c6?Q$=O4qf4j^ zEMhjD1j`p?W3lG8W0Pf)0g(&&V`C|GH}#3BI4q@j(Kf0H;@Ky!Ju`{mu*e5Fq=2hc zao)2F9>=bMq@a8T@tM4^(U*;mV^NoZVgs#YUY~(e!8i#GhdBPP@3?<%%1d_bGVPEQ zf5|o#=U0*JoC>3o7yIkYm4=1WqbL0?Q!++VZV2&aAME}R5imPS*r>_mAFe-=Gf zvB&B>ewWy9Y~J-OvJ^(oCFq?9vdS8o`Zw)7L#`h(v2gI{8M+U~b7)#`AutD^@rzo- zgFfloIU)FcKi%4%ylWZx4tV49 z8dq;A_%#ge-743&uH=E5hMsYDE+Q_Yu&hDX(vO{^U$+P%Qo6=__(DiMz4U4TrfZ?|c%~AO7^GlMiNCxY5 z>3a`cQE+f80rk=OWz#nyF>_cravIu3)@~Jbd*=jMApY6!3heWv5kvri#kLj}t=bLccQYGzAE=%oFJaA5pQW&P&^p~o8bf0E^E ziQWLTQaLeQBfI9cA3Sn}<(03(qMLdViKy9LIR%6^&KY|Ezoh25r9anRZ<9WL8QQt! zb;@cTIG`+SJcYxcqLV1R19H#w4cvwi#hx4SJkQ@85JDDvgD9ryFt%m1g;YeW9u1U2 zJBF^1COR>+q|{l09D^FDtX`s5+)gk^@eIvMq6i}EFn{TQul`cz*k}=uoueVHFty} zEau&Oa6>{LT)Q@W&Ki`KH!u4~q2vOc2JlBTkO_z%9GjIlc1+MqNc%^#>AFQk=M)q% z+1UA{RD(k?rI#kIx%0FxVJSt_(odm+c^i^ZL#zt!GwaUZPT~NF%J+Eq<3OszGrH>p zj{0>r9--Wa_Dyu-YXM!}RD4z-q~Lufk3989ci&}d3B~JuR^!AL0h_o-R8G~m-Ctxh zK+rM0yzkreF^$x7ee3GY-P7BoyxNr$I8xo$4iRy+ouF?F0f$ou5&f}>ZR|CCWWhcq ze@K~DR5y{)MyU?}(>uWW{R*EfXzPjQ4YCk|=i-J#q;%x_C)5_@&7Cu0&9*+^L+SM5 z{?!8-0a>rSzNPg)=!{%~AxU*}2;|Zl?q1cXK*DxQ;i=!i=)y|(?9LIs>1)Th^x7ME zRQjj}3f(Q!HsFpeqZu4e@>uzGXwlDSmbqgQF6$C(zh|g4(*JrWd zoUscO!OFT{w<#rgt-IJPk}pkKhwhL8pR(5boV-~^0o$SQ?+-8h>k-fr3G}2B4gq|ek$35CLJw33%iz2!k4~>46KJ@^D1y{*(3nZ^^+GY#$#(+N2)0uKY8OysxcBC z>w0!Drq{Q3_Zf48+R-P0(Syin>{gKtI}FUi-T^VWMPCkNK74G!Rd5Z70R=sT^wxIH zp~*iUvK#vKEHd!xzV_WDom-_6w(VZNc>v-Wbkg~S<8wPX?I+Cp_Habf=P7CFndFUv zjLlYa!rBdhIVB{2{6a;`!DEu$ zc5-ox&7)x#kkpDxBWK>+eFA;eG<-VtiTOjzr)VUs(pnBKzJcLQ>ld!?HjfgTdgM*r zL2mgLhe(9GFgveOtz^=66YJ-SvjJ++>MFU=n3Zup9q`J3`2pRrn=`A1uJi?U&d)F!`OBM^z{9OJ)1~k5gjfpvbK6r_h1OC+-Ts;gisE z3u)_{_ImDOu)M3FpOnhSC^Rmu z82_p=6+_;?A6(L#2pM1%9K&PNapX5nT%c-IbRW|QNjSfaip{@-p+d(85l!E!rekU@ zDK%5ucPZHg-MwQch-NXVNQyw$;J1b6&P7-4DiW#r5h_Ku=f?3b(yVnvBfrlQ ziUCnzbc{kKviXH&@UgP8a>c-`h)*G-+aar-VP#DR7LJ)GsI2eB!k2lPz$T=is_vLj zhW5}uq+)H0^QoCbY-wffPk=(l$g32R`0@CX?zz<{hD+@7#^U<^xxTSUafPeVmw8aT zf|a;q(z6qg@~Ig?$>7Gud-z<}$RoQqa>=-qL|g@wx2>=Xsf9#m)C}(&Vm_pMVG&hW z*)TD`i9lEMwR?^cs7G~tJHdM-7N1nsHolzIdxnh8pjX#POljm(+k}Q^0KCJ~g(Lem zG}5a`bj0o)s=NXoYei3dSBYI<$wE*+3IJi{GEUh=YC&UjHz+mz&YlWYc#-{lkL70( z(aD)4V*9}Q0e)yl-|;!Bs;*65{n+u@6_VuexRtZ_=Pz3qY>%~pQ9yh6`(up=b-%Y` za{fuDPZh29FB`Z;lIeY0V?LC81*l>1MPqvxR8|N;Lh1K|N6uAKjN3xdgG%u$c~J?? zli*4rG<|$dO4l+vW%OJ@*C?uF9iK=yeESfNOGG0iA{pd<`+2MBT#rlcHn~p1#9#U=9tpgoCfx9>W6=Vb3#M+(1sB*Mi$7rl9*OIe}sUJgU`-w?;4N~ zN5!fPtZZC8{X2gX({Wgod|fqjjY;`Bv3!7e;aUbE-?HNjK-m>z{zVNvx1xdDG=pGT zWqa51C5H|npY-^;;~7~{JlMj&l6~dV^C1^6sK9+4fq+M*aOf7nVrCsMdZnb7eFc4Y zL=5aUZf}x0r!74Ki<-B|8ThLEcP`*2$dh{3QN)3yT~OE<5~Ij0C%51*OPHJ@V$C|Y zd{_%3COz?Xg+$sQSk%Nj*2sw&CcK{Ra#VG4Jz>XxLr6LjjERV8e8>s zVvdIKrHPG0UC+$I3FQ-6Wk<-Av~$U04E(e67bqx&Bwtrx;SthsxzyZh*m+k^?gEWL{bRH37 z8_$5yqUKvf8p&rSZb=iHkPHvF2gfF7tp8HJLcQ=sBX2RC}aRU>zc#jen*-rKY%3`lc(O|ntXxE6H|4? zpIJQwk75oyV+v4+9xGTU6o_g%1x3XrHVrK!h&<77^iIidX@~BUuI@m1#ACa*y=$H) zKG7Zhdp|GvOl1gz!m-r=z2nk&%I99CDD30}^ulr7@M1A+k*M*|XCk#LuEl9Jv%!)2YUL+5Msm(VR-jihWr8uMD2%QwBdVVb2I=3 zAO!8+Z~(bT{(@%NcUAQ5D+1i4H5*T-3JN^ z8pb2YgTsE$ZQg4<{&Jg;$ARbGfCDjU5RZ0`+G#^H+RInaCO%6eYMuOxcK<^zaaEI1D%WuA!;cpA3x^QLICt%`uS@X zWb?Qmu@idI1)+m~DQM{NFS?lp0}#6jVQUbGH~4LQeBu~lI3cUY>FK=&=dS>V2qK~X z{DHu=tLxjlI|M|+zXBX8YUrD#`AbAY<355Ky&(+7umXc2{#AQG8|*(OAm~OIC+D+g z8c;pf|C5FOKkYZVI4@r4zclrPc0Ndc^H+V-4kUoC&^&`?+Un*#0R0~X8Uq5LvtV#oSiyl1MfhL^20@*Wr~~aU)%n-^V>X6F zLPkz0C=~nwPE^!13Qk-+I28B(rliz;_1t^JTi(LL$|~32G|&(Z9^#)(!wunJ_Ru;w zw8LPI(2#_XV+xW?LGf4o1EHPn{>hLrBtJI?E-TA44n6yxe=aP7cBUaiNGt^{^4BbN z4|Zvm z=9;F!x;Efq;CuV~P2a#D93Df0=&vXJ{ zFx@N|;a@qH{{6w>m4UG_RK3{U4}zaf8{k7iLUKQL#iBv;ArhJnafwyA?_kwk_uH$k z9lEt@2!l01fOjJ#go5${0$_Oe^Wv}O2LeNT`v#VwfRtx$kqwPPWydhZZ}%bxVFprt&eL zS?wT0am5@L_yw+{AL{tY<_oQw9BC2}z8YQ|hBdz}p|+*uv1xrE3FJ!nUDTMg5m#E6 z`#DiYY*NN&&O5ObyZKdNf;QLQq(J_IFT%zb8>ITPt_`e3)lk2T0b3ml21FcSdBWNu@5a0me2P}$i$E#xVo5Dz_Eu7h;B$uar}`3% zP3zZojY7sH6TK$RT^sKTa44QuW0PG`e4&_|Z0X-5wG8@MgWN3_`<;5}+q$Yy9_X`* zIClLa#C{>S`Ho6hr^-W7olCkoMejLrkp-gfLnbfFvv96@!* zzBfjwikRA9OphyI{KdE)ac)#~qRw8`ctV9Z$rWoZ^hSwxL2$l|xU6{sgx*YG*aXTG zs79Hl+dU^sIJZbg!V8cH{!{f%m~Ep4572WF##f(eHgI#_z5SIO^y|$I^(O)2+@oCU zk%M(Z4ReU>xqxHN-GJ}QxwN5NPEFuz?$>xDOGS7QpfRtvOU@0jJGFz>Q_x3igOGxp z%R_4p#!Uy0r-U(FP8}}kkH^0Q?CjN1`z(5b4F7XwBewP5QoiBCT#FUy{jGVsbOvorP6Uj^3VISUQr?P(45-WkgJ`AY~ zuSbeEn;1UlndgqnT6xJHu+t_VFk5toR+^3iY$=e|5ND%L<#{*OF`mTv5{&jxzygy6 z6sI_TpEz>BK#4|y%&QYZ9U`N8b*a8BS>mFT4vX(>7C(Eg2dG*p&cWHn%jKktm(uH@ zM)VF7v-OW>!M;YrAj~!?1wm8VOATuZp-mmiu#!r zQ8E2wL=jU@lDQS))kIQ*z|)%R=*?He?c!n2pK3*_-T52uGqC+mSg}g$+b!wuN&~^_ zxs306#;v7fn{ZeX5@;ScN;^?(k~M5dJ)RG47!*OWGzQ>Q3d)p9b586$9}`)_)&`J6*<5O_Dv+10;40zU{p` zICTv=LRzLeMOa}i?fWXJ=o+h?gEDcx1>i1A#r&ay@Y3%9q}tBs92?(Iyeqg6O6tD6 z*gikCqL&0LSwD6aXMJa!=rKsT1U4~cC2Z#$RcTZc^JlfhGq7-f zDtH_H1@jEO$;;)r{<1aS((fIxT4Ym0S2og6tl%(BlLA6_OfcVVvR}bliC|(pqZygY zX-7d8&q+2$KKStMH^wpe9n^-^R9Cshr2PuRV{4g~HN(YEixGZ$l5AcXXsB3J zGCKMS`inX<%*UW9;dy@b7A0Fx)Z5WhZ220I4xU_ls$4(n2wqLtgRyDi)T*XbJB$mq z`6&;f{L9r7cMh&EShgwPilg*7kw^r6++%%8%Oo3bNnS#oFu&@}r~Qwn&1) zILK?>gF&*JM^tGAUh~9xggh^OVUykXCxldFQwtBoF$wi~Q_xnSTMaxhg%r^k$8#)A%eyXU}sY_M<(Ifaq~|`mMbX)&6yBZ{@ou6?UEDHAiete2sZ8Fr152H z$e^sKVp3a#xNZAVe-$gMUZyly1gfl02_NT1DUk9yPJUv>_$q;4eB~mXZ=jKrF7w`- zq7ledkg_b86@)fl%D*b9(^;}t6FN>_Xl1n!JE#~LaZ`#&$uj{@w{*&#`6-R zHHuYVeU~s5E>8ebWj_=2P*jvrBFDw|hv2Pn&5}Jkto`t|p^WA>E{q5TXi%S(6DPev z+uCF<;kWt3BJT!X+lC?SZOanzU%joM0y?D_&vXxV=R97y<1AHZ^99_PJC^rm+zlHM ztTpaWz5&sJ?MT!1j}yW@j_t^vwr#as-9`t%CQc%Rk5gt`Ya!|XqMWs6pK!`stv2uqACvm31t~d2iLRC&W z)$rE>T9eqoaPH3N6p-O=583zobxF!$G8vp1Ep{^9%5a!BHG|+@*oe;J^$dtr*Zo<~ zjV%hAZ>IdogiQNn_s}iuR2Kdntr{z8ZJ!$8N3#$R{^g+-y+%j!bHW^NRS?gB`D1-% zvHk%%cSI95NNCvGS&Cog{~qH{MG%~8W0{^IFPwP69hU;jHzEk+0A9VH59?XyzUS(R z8ybt*?t0Su$2`2UUvo1P>8XsRAOm{4O9Y**yE1p~H8FQdnn71y{y2(T*7273N!}Lz zu0r6MTJO8AyhS6-SA<2vNTUg$Bi`@uSS&p@Y5fyB9ElBBOxdH)mz-$BE*@yEX;e`*rvvKN$pXbSt$AAM%EVMcdk2|);n4?VV0%+SD>>pPCz?h+u^1Chq-e_ET^GgH(5j4=NQ(|>>|`0s@2 zKfn|a68$s6{3A^NfwthkleYgrTkt=PF#kx~f1oXZuG&33p$m3MRtsgC{y)Vy;D4HN z{*ku-KwI$NN!x#*?Y~O~2#9T4p@u=y)$@DlD&&uW-7!tB9Ku0)w$M9W_g(jW*K^_QJ>uM?)Du3`qRovY`EGl zsEEIw;xNc~(msMek*(+I%7ruhxgLB#4OGh17PfnZe}q1%yYZH`i61dLmiC^<58S2R z8lii;mJ6NDUp5`(?3W1CSc+|fK}~w^3fA@RgOozW{X-nW;}*9e);%}Wz;nui-$9XO`%dw5z2Z3^WDRcb!tKJ)rjY@g9%04CWH-6Qj z*$aM_k>ID8I|DdsLhNuAW2a-=Cs2@nMNlkOIAcs=_|i4A7m?;3?9>1urZapEtet1Y z+!Lh>D3Qiy@dgES)U#^bv-zK$gv?Grpd{Ue(09qhvr(4V!vyTWx$Ix-HG3|r^xlIX z_1CL50GGN3U6gog+Xb_K(Sp~n13#|O)23K1Z$C66)WFIQ$Usp?eF3dCWBHE2zS28Z z!S7n?P#gUb7JPf~ClS35K5-z_Juc{?VU3Z+H>*HC2;rh~3ISmpNyO+qo!%}IrQ{lL zD=I5OZ+=19oKz9&{k|MO`ula6w0!&4jrzhXcElxNaNW;9#U@Go1)Z}m3CH8>rs-=n z3Ph1kC9LQ+sp*7R2k_4Ppw{g3kM)zWDT)0yu^5aw`42IE>DNC9%54t(kVZk>a4IdM zeOre8t6V{1S!EmC2O|px977o<*UhZQ957XI@fcE+?is7iZfuHSn&j3F%6Q_wLMjmQ zE#lU@IjYJ9>50kb%7W%r$HZ@ycRunUA@F>tc!5B#_fpR>_2yvC8)Ss46<#CbQd6C; z#UK9gcwO6c{D>iYtJK6=<<_<@e-kWkNEg$h_s}|~#5%vm1+IPBaliZs-sU-Bq|>Mp zzSjZtFxq`5HsPY^piWp^Zd0e-x!Z3H_d0@WF9|k`_LSd(t8TmR>&k@W5azEyt^t!|H`^Fh~gg z>QOL9=e|B!GC?^z6qcpf9+-T5*=r!iIBXtmRRY`zXjHzXGB%K127T`5eBv0}y|iQ# zGu8hx1kEo0OXCzkn*Pnz@%ebi%3k!NRp#dHL0$KXEb-p)a})<#soOWrfGrwnzg9VJ zlv+?2^>!J-O#$B3|tw5S%&3|4Q=q>tV>G^=R{ampV1&a>2`2y zt?wg|Q3T;6FiQhY({0jkD%1Vej!OWe6sG;Iu+@aN9#_)}9v&q9`0`nzQZ@q1=UO+3 z4r6$+UmbK>=&R8#lWv_o6C4G{*nS@|X|jgVGh!Lph%KomqrTSQJ}!ep?fiBcLyoQr z-uhU5i=R7as_7}V@Wp>vIsNtF{0NE_UxDE);x~p9WWYa$=!O2G<*@DW&-t zCo-*~9NRIH9eC{(d8dXu{F)ho;kdh4G4kRbmM&Ink_-CHqo^0^>jKu)Q(@zlwY`YL zYv;I#88R`$=;*D-KS`w-p3tBwB25EUbCqBsojUZi{QZi@UZ!y1FGryx4+zH7>d%2=}hsL}R0Ktq6vEQD}To3anWp9-u zP@WSBPNi*m{BU8*lz^Y+ga;F5ar@bStg9~;RDB{yd~_g6`%POkBf7Xupk_$=03PTm zBKRB_ClfaFFs^cUu1446z?1L}<@l=+rP0V~BgGGpL=2_V)|ZJ{cPS;;{8pIT71b$` z8UL6CRmfQC%U1USq)cf|WwD?_zkSvWX&F%5^H6 z#zSk&MrcVO-p9B z+v!{(@hS*aMuOrGJ)I2%qCX z`g1e;smq!yCZvyY?mS{UrMtx$K=G_I_7|y6QpwaVqBwG_-Bj|FEGFY~3DH;n*H!vk zF9Bb6N!r_{PiN&7M!aDpNl%#*`F!#%#alm})jU7)s^SLLg`ve}49c z_ZOu=DLA@?wW109{LN)Z8As(ITbmUz^Ie8KV**vH^7B%#=p%rOJi!z@<&!2Y5*m~g zf$^OgId%Q|iBqC`O$R)wxG@XxqpNm*c}%l(RQgr*;PgoJeDqox2RrE|5&?Hu`N}B* z6ck@4N1b>R$;!sdkg=tVYR$p1<01$?x;Q~c?2eY113@HXMsJlq;QeZe@bBVQEu#1t zqEOmWH5EH(mWa_S8xD+Ao$47~zKdoTf6-7}DNt3pZmVBzYwmhaZRz61O&l=DId4w)%pDE_ln58f$bDTCtf#|G{U84B7c~}F1>shkWPT-LM@(#JvjMw@U zE(3q0nRUvRzd8Nvm*p&{CJk!}iyWZCPT&L450n78wVfkCjrrKAet?PzH@i6ik@`ul;9aOcky>q3(TV0A>{XISH3T^zb-5lB`0h^g^}Rsa=2S~T$d75Vgrz|YV?(q=^ZMT^WH77O|lv3HJ+HhSLfLc5_e8* zLsKUpkavuVg9zEV-^7dtTPWB#^slc);q(^;UF!~qQt9Bx8ZMzE zHeOuA_%$l2RlBe68p(ngIKuf(Vix0&508vR5H+L*Npkv_|ec_c;Qqg^aE^lV#6$~kN9^o9ux7fq)n6(iX+Qfb4 zm+?)<$ZO>n8XYfwY|#e5d1hc6ox@jVF-N8xFnuYcFUePAP-1bVzh!_af|po=sf-GQI@Rd-Lwok5haGj=0ZgjM%t=&Ffn{e7|2H8$s1A z*-eHefQH396}yOda15(jA57ragSX>|PD2!i8eHD*OGrcu`G8i9wpZ5Yg8CgUvD7TQ z$C^pX&cZ_?py=_R6-aGP{&;NX%0e*J+~P;k|@tgd4Q`?+4*^ck+= zOQ=xsr^4dO*1<(|K+MdgsN)h54OP*jW_6A0oZA$6A~(9Ze}pS%TMGBV2>5PB;Rlxp zRP;HAH|$=@kEwlJfBNA!>uX>2=w4o>o-JUmN7aHt>t8#?C+*ww3lW8yS;{XWv+g?v zBd^SJQ|ksWc8ANQ=N_3}xq2;QFwG+D6x4S?uite{p{w`d0G%Khw2W+HGnclHX=l#c zUvbovd(XgWpDB3z&B+wk%a|lA}-}oZ0V?V7CQpX;` zFaQZ9EvuB`bBMBfNcGsWuKOI5oL9K-+xjsahk|DK;4FBgu4iiPnzyzIk3>SFKe)!N zY^l&Nwxy%zmc{_TXg&}2(v1IfDbz^33{U@DLHWF)4W866y}r{;(sTt;F19ERC^7IT zny_Xz4vdlUDSjTAn8tOD$*5SwVB^xX9G=pA4FZQJmhqUCEI#xNO>sxeu4BIn%ip+E zO_@06m1{WU#{`OQRLi7Vx?S_34ft;p$L7LXmDGBP9`>zYW6?9cvh4UtSm9e`0vJ+<`6p5_!a7=zLB8N{{VK!t4GgVIX6&?FU%-+`E%mbqJ6 zQ-{D$mEA+5+XsK(QL*R*RO(uenYkBMu;f7I#-U9?5s{?n(}RvN0y<9L_O8h*asWf! zGV1EdOnd|4+)~ZS4SjvFJ$*>26cSo5u9W-}ONp7dl+`=}quzf9C+8PVA>3OXwIy7WN%Il++WnaOl}50el8gxobx!7oy5m;nCS$m^cA#?-C3C+^XyNPn4`3 zMuI8uT+X&~jyd=m)g)vztKmS(jJ+Eh=-PgQD;rdt zMqU{ORb5BqyrMb}D0unRf!z#>_?&@PdRDbzX~!;un;!_yhu?oCGN+(^+{(^BDC@=RcjL<& zK55mAyqC4nA;}=OqIr6QTFyEpzoc2)!p`yYS4iRL-Ew}m$9dD*VT&4^gW1TsunX8BIXiVUaG-Z47v|sAx z;aRHldcZPa_dL6bZuABbxqMyD+5N@TChu*ZjOO;Q1PUPbabjL!%P@(O(VJDZ zOPcL*87*ybBb{UO{CU-j#}TO@N5v;3Hmzu$MQVZ9h0MH?;HFkEDI=$a4cS$31^=2! zaF$b3H{%0xMN0OD?z5L2g9jJ61Z6b+UbS~l(~8NtM}h(tPKC08#Vv#`dU$35`&oA9 z1WD;Uwvr~)Ux+!W*@DbYTc$=5ESuY9$(uwH)-Ly}Huv#_Bm&u=rZp`Pkp_eFpUJ&w z)J*N(Sy4sXd$x5hnMZG&647dgJuZAVzOX?8R5YFMMn9_CIqM&k_p)<<&&EE#Xpxy+ zKqeprk6hZs4aBCs(XjW3PAO^og&!D`+A|`5w|RwT1kp3;L`Bv4egTrZ9}a<%Q4|T6 zK^*!J>&nEIhUXd*|K+9NmHS)N!BwD|flI*5^-gr#=N)D)8KuC``quA97vy^97WW9b zH17C=s<|cVORREwc0S4bO1g%2uHmV<`BgppVS?>ji*F{zT3$Mlrs+BO-1ZuJ5K=%FTa}pTfelNwoZUY zBP6L{WMbuUH>s#%^*6bUnSE$_K~>|>=jGKc0#yr;@+>3g&90QRhDS^P;MW5QRg0)u zZx5fuvs(2eL)_>LU>@}DA~7q!?6H8F&ETZOWjrP}BNta!HwbjPL;a=)8HCL+g3*Bg z!aAQrt>ZsbXT~3-69Pin7`*j`#UH2>Hy0Cm5SN6O(c;oque%_Q2DwfQ*a-szp$$L? z4Vned48wqU=Vu6@!AgF^?Q|9*j0g;r1-!$ag&^3$2o5H2Au@og?EXo>!dT1M1w=Jy94u(B6 zaXL7qn{~(>I&oVMHA-e^i)C{*4Of{{u2%I8-xLJF9`$xEs z15KPAofZfcq&YD>C+4ubyL%zjiQzfnVL-}pZy&;7P(+9^5ke2?F$x=sZ5bJv;K5<+ zwy-jc-nQ`{loR1-L~x*-6JzH@xZ&%GLGqy0L_qk8hPDn2UFf!jc3Xo#NT-ppG4UU_ ztr;3abB2XyAvlmzi-^Ru^?opdl*K z_^Q-k0@`is{z2H@L3*vRacX+*zofR<%gf6vS$`~@$QrDBJqrO)(g_`h5M{%_Y(IWL zdq33NLYNIoI_c=nojZ5oAGGu0#fz6P3@Z~0iyY*MAx!;;riM*XhM|IqKeA4^%H*aR zJaBb*;25$K6I$9jx^R-n#}@{4++yfXOavf-kkZ7+Z6mT@K(w=7uVNodBYpsMqE2C?UgIQCa!6y0&iUALs2P45;q>$96k6M~yL*!dP1Sj!%dQ z3{8E8Xcj>bVoY(i5y8eV)evJPgMnc^$tfTc3yHXY@#OHpAn+6L;DIaIIXYP&I1u)9cEL355AB%+Y0qE;@n}R4&tN3{F3BIcFFfeGcm0EsQqyvM z(RZOR_C+|FC>KLcW3cI-o?iGA$ay0D@Da-NkU`kXhlX zaS5sG@@D3XC~t^+E@IhILrNM_k`53DhuY|W;hu4E;ilLaN*cB+5%zNo?74_I1*6WT zVo34l85!9xnwnZ*U<}c4EVX~^K+B)$f^(CbTQGk9TtuXCw|8Ld!o%8YM8Y+2_F)`g zT6frpAV;}2sN)lxN*o*jhf=N;+JcmwogLbtp|h8l4?2LfwbA1EnDGKb57Q0wF=N7@ z5y692Xd@Wmrid}x(gKBV0t51%rZ%-`SL_JrgGL*LYaIyr*Pq;qH_iiv;Qvf%A%!?4vh@hR3AlS-#utC94Ci{o-#LS>ZL`FY&08!6H#Q!V? zZGQC{1{Bs-^r7|f{;VaKm_VCinlpvApG8o}G^}(6)-;=iV9m|Vp(Bv_+-Ty3uV0rT z`nieeC}+@cu%SJ%q3W3|+>H#glz<8j@^iwlL%@)L6-Zhj7`)j%7`2FaXQ6OqVaUnD zcSuQD4WgfZi0dNaYCnc}+K=MF&V4uWc{+Snh6NJUXMqYghcI);n0+7iix)XLD@ZpM z1h#GJEyeIkN?Y4Lb@!m}q3tXf4FWoCEguR-p3r5vo%e<9$UqXHO}R+tIVr zsE4kzr;I3s2U4#H!aKWxAbc1k7U9R>v5z)qPuWq(|2}053TJ0&tCos)J8)d8+g@A> z7cJJnYJcYXYgBB6`4#r~E(qe&JbxuCbm$409C44^ruNX=nbCIo4nkjZ1*? zyplu11Dn*_;goTSk~R^~UP!1)o?fZFM4>fS4yXE>Cy(9}&4M?usS{VuTlz&fdZc==& zKVlNxa7mZKQ|I=-JGVz}8?z8O<)5f#E|J@DAuVsBHoxl>psy2>d*iiN2ayc#*N7;^ zx8jwUQaVb=TnddTIl1+X8C2e$_(bU)&!OKKHNpE>b#~G4UM{`37AL1qYcqAX7&*Wa zPCMLT6k4x-)3Vyk$v^fhY?ezhNO3~8-ev0K_KFxloqCVbKRdNtJ~N~vD?rE}Ecfk& z^6!M_Z4UdD?2b0taH%;@C)Sg9$0924wjz|u-tlLr6QO!ZMGaOSb3SHJX#hp8o^c1P zvuQKEV$EJ|@)p5((!yrfU386-F5ntQ{%{6+8#nnxBi8o>iFoZf8`Oa}P3frqNmnuP z$Olh0RPZsN!Z+C1Q$5PI9+UYR%aKpEiWk9oM^bAwS5EqllDM=oSS3)f2}-3Y-MjeV zk{pPPOg|hY<(XOIc#exdMRRHEA)x<4CbAQs{H9s8nT5M!E648q^9rhn+f3t@SH;9P zG*&@g>FL$et+NZ)+&emYWQ14G*$Ycj1oN%hTXQh`7Hrajr|fMM%qrwhtevetaAQAn71*m+l==DCS$s+9^O&XXxh_O{GQ&-}=rWlg+hUKZu_!Pi; z5R@F`=qYpf&3(qGIkJp*uLtX8zN%q}alcS_W?&z98$@-zv!VEDOP2oV>m+N1-H#uo z%d2kXa;Yf8$>_0sfNGv3Q{|xg@Y(9AzutArXCi@z-!sF;kKD+~6oX5z+W>RjSFu(z zQJe5)z3$HlzCiJ7xLYhYGI>J9@d>@05KizSui?9H-1cr~EXk$V&@ts`j=`X}8*L6Z zSVH5RM=G+wTW#xWV#EcaJ74Fyjqxj7=YQh*Br3hiA(K<5$hsFi53)N&Oh|pslBCE> zEN`k@lh4U~QK(SWWM)b}ct@bB-3TZI^)>8F$oLRfwv8x#8o?^^+mvU%@HlD)TS2S4 zNPZbGYG2o;IFKGww+x#??p-f^9O1fN*4}uP0z|#Xq4Y8V!b-@%9G22+aa%LVs7bZO z-iynOZA0Wxs6FNXEO}KOROyii#4(JWQqhS=e4mv2F&-54TJP z{bmg7$6EQQ>-4KFE5^RmcQ~m}M_$ZhiUANs&I-_bBlZ)@V<)5Rrwd{&%}O#NMd zm&paTaBriHXKH%D?URm}Q#18v3ErRAHPDP_M;nAldJ(_JQ2CG3IJp~rd*S$W%pfN$Cg>|`0-uwm{_nV(GJ&q!=c_sD4Qhox zY!@3JEzNR1h&omY9MSqUyh(J|6=ps(_V)G8tAY%aW;$_|X)qd$(nB@C@H zl7UUE>(?8X>bFh%zxXR?+FyAWenXMKa(j0FKDpV7;J_?Ueae1uk19<>jFTpWFiAn& zA~aG^Lck{~YN&oW`+#c_G$>_Qztd;=+;AYXqA@t5WNv@S{`{z*bKUO;>_3nXBmlqT zFk`VV6gN4TM_ECDIN$Lby;Ie0Y9@9QQMN3@gDU{5a*!inBznPFd7eeVCZx!h#A|8K z$)%}{!x0tEDjf~zRfJ}|k7l2s14*spUVKWjZw|~f>QJ6HPHxu{REEn4ymxdJ-d(w2 zF^#ulG2B-$L2Tl7vvsK8fuL!KT?vT_##nk;m+pn!UvlfeD;kO6Q^0$k4 zyMd0kO>qn&@%%0&zWTPZW0lXWPZM^Xgc5bNYWaxxAMF9zc)6KOhvfr`YsLkcF7GoU zw34NR{5-XPBb}f4ukgfzLYvEPwNh`Lc1D>$u{%GW$J^fEE;!(WHnrKXaE+>x}DN7ceDb@*us-A{noDc)i(_scKekJK!QAIulwZo@qJ+2Z`X@Y z`ZNh-R%DEj&pxx?(s&B8GPhEBK|7amf)@Kx%i?>jiQIAne>bc5uNzRTZ#i#PT<$@r zIzeKJ1;KuSaQ5|;;M~d5xti=zPjh45-_IRL*@XHt^+>>-rW{<(OK+*gTz|ZNXcNTL zQ{SGNzm)QJnO&U!qIC%+n9tQd`~B;ACUHB4Lt#GCuN#p~(=cOV|7oUL@&{t>*Wl{j z)1$QPLfk61RX?m1*Q1j3BYZ6NS+}Zrk%MD-XZoBEj$$QLfEo)6ZB$A2 zl!Wsdi+^2K@Z}QTGtB#E*J_^$7xyhk1Or0L-Lu=c0Xt9Yr$VcZ=DJMk@&g%HYBH2R zGF)|ugpy)o=2RCm8*7(VTBLPq{? z)N8Re>i&O&UjH*i`WGSvnJ^hf4ornnP*?=lT>np9D}c9rFqwUe`(skFfBMm{`y+~(zO2u)56t!Ow24WrxCnh{Y%sSg=xXRdu#vFw0~h* zU~ciBXxhIp?OzHMLa(1@eGsGz1F?2viXKeSiz)grML(t(z!Za+VhB?VV~UYkfc!V& zb>>tw{BPC&2X^%=>c6XC|Ni02)9XinfBHY{ojs*i`M3X44zE;^e`j9Vxc^~ZN#NuE zE%S;Fvn{YK9i8U#to=Gw9>kQ|B}o~+WfqCZkVanSXbu6y37T5QA1~w)V=cYkm@|26 zMMEPN;oi(#!a^BK`kE#gIQ6Prr>1%&laNz-c`^ElMzTew#o-YVF~wblMT+H#XCOD> zB0a(5MQ!YJv);4%a+#`*WD$qHa*kJ>4|B}OMBae#Y6E7wH&3(JzZW@&t{X;5*GyYt zzjMqwCP4Oi)1kPh<+p)GLQ~&cA41wK*J9D}hZQxmy*pGN!o0jW23q_k0r#VZj!ZQj z>+UZB7TZ5wel@XE91e=ZTg@$TIcdyW$pZ8tsuRKz0yY<(PP~s{7Cc=0=-fu{tEYYQ z?(0%&azAYF{_74)Ypy9(ZC1MJOP>hEQIDJ78bzPz(G~7(cKd3dfYWzOzsGRw3$seS z=KZGypCr&ps&t-_7)_XjBIeOZQLsWJVOb^JJC7L=mV2z3QcCJou38r z)aKxtu7c)!@O>yxQjmM(Fj_n(hNp*;J;_4qJvqG;P6IXAEf=(Zz}(g)a}cNelZ1t; zN#jk$X4gHccfYPCtkDkOfzsg-Aq$+S3qt!&=hpD*Hk@wA1xnW1G})(E`%*E}1c3qX zhL;!fMsXZ6(96b5aUZ4^d(s4-2~FN;CXsAuFWCn7&e|!=MWN;nsv?+VFV&g$n^LLc zYIbSq-H%W4PK>JopAAqgdaB0R;tG^~apQx!3B~oYVz>e5{MrG!a=JPJAhYzexaOx> zE|JBG+}*sFW5id^1#j>sr?#=ZJ$g;(1%LzX+c!V2Zy4k-X`g@TbCuu`N7C?}%WDfQ z=FFp{1X(sffv`W&QQC-Qe)Lu4B-EJeKYurfvTgs~C=qSIb-sQAEYOi|ZBx3wN%;{y zl`3-F+pKd!B~o$VC@5AOMf^D?0Ti%*;9v}M`nFcN#+f63`PD{|#qE#q4Q`(mX?jlh z@FI{My!20vH(m2L2Zb0G;3mb4!gP#+n^IfQ2)MyND(Y37i|+T26j_y*r4QghT??+ zJN(HSuKC8ajyDK@oJ`8O}lmu^%Q?|y$331;%2a8jxH*J&y& zp{^A*jjWckN>{9fS~qfwZl5nU6bIUM@6xDydaJ|ke9yDa8ocs|{gt;!dW_$b9Ae(d z^L?BkOkT2!#^^41oqH$~C^1D8ePVj~wi8RA|F~yS<#*m4P@A2u#qwY$;$v!#lZMoD z#Z~m&u-}d!)fMA*^@W@84uIi-_8dQp%C)t#L7{-)!jGp^4=SGf`jSkDuu>&YM-c(t zOE~ZMzR_*pmOV5XyJU37u`X75@`}2<%#Mgu+?MfOz=s_b{`7{vT7CIUODK=``c0}w z8@ryo=lsw7WEV?jz6WR&&-2W^xaxZ)sJL%GwsTu@_GM6JJ;4Iuy|kH+7Su+d{Uy<4 zr{V+mMJa`o$A-e{V{T;D?#->d25%fnK>?9;V2IKNNbZCH1_ z=X9O%0QQ4)!J41Dm(cjW;TMepNd#PmlYgK7V)&TtacnA5DFp17hzR-)P&fIRuGjL6 zm6)QHsKdf!{R?)@c-`(58Sn!xUqUWbsk8$=mjl-G)1xg((NYZqO|NnN9(j;vh@Lot ztfwCZWww?M;x4Fph-I#Z^5~%hE6{Dv7c4`oqWJcDfPKrc`;0}$qoz?sqDIZ0u2
    SGi+OJnLwvykPz>zLvXt^>1W#y&)6)vSQq`sDY38kuunP_uww(4l`ANJ zas?kjwy)10(W~(2b@B$BS zFm;TmZ!LN50;=t)@y?l20g#3(oy50jN(@y93CzX4|k{e(G!k8Yb7 zEgj#AyUW`D>6vF^O)l%rf~`>2kX$^FQT(hMkIMXW>gvb-Z4pZ~Q8Vc^VOnhOqsNK( z159O}K)sW5=kWNnYEiG_izjn~!w2j@uBGlzjrW}&XO8p!^aP9Y?oAJBcPyR{5;<#L zv%M|JAw(Ig)WfBB;{N?Y^w0wkcCsBN?sc=O**f+h;@yuV2Qp91b)Hm z*5s(1{W3$d$g{d%>N)7>=9-x$S8Xk2x)jdm9nwu^p}|MuD`GoI^@L_oiX1p5#vZ9S zn$%~QkRVfW&-yu2s~zA?vMj{g6wk41c4Rs=cA=xjBc4B zHFd2?yAE$2Q_SxzC!|zx{5}}T^8M5V#;rK|PTt!Js!Y6;im$p&#PH=tnlMAQJk{z= zwJ*b3E#RYo)WvrkpAzsD<=<7!b#U4}|75R#&*NdWBz6;*I8X^3JC9>+Xx^wb8dJTQ zZg%D^4}zCbla>yWBv<-7{f0`Th85Xc>zxqRM*H7SHHe`YnpcO3b^KFrq!VN z`&E7ABEF>R_~4eGQ-vIB`IFY}acCk5a|6h20{$2=LVD{5j<+7FQ~rL};}^Hl_~WH} zZ{~Bxcq0xVyuy}styp-Udt_VCPKKKJEBQC$o2-Tpn&eHbKGuJ{1YX?B{Y7--=-c4$ zfqluLMY=_cm46V2;RB8UZ2^}7jTi7(cVd<0%%E{nHVt4u$t=aS7TXp5a6Vl3 z^&b4PS2Pr0eU9KB_mgkyzcmt_d`>%Bs;0aoqni(CpD_t)>CQ%So_ zY_-aJMyglTX&cSlJkvifxB}lRxQ4mRyY{ABI`+1W0oOPgUZA-9msSa$U4%DqGNwhOKAK^aer7`TB$k`O@gtn2};j?_fi z$&sK$^WE1HflcUgVi0pHs-1^noSb2>%=Gs8OTqC~YInG&@G6=f1*--UlTZL!jli&F zEW9(9x-{-3_YRG1o#jwAcMK`5pZ-NEC9P`*3fF(rcMtjAYaE;ZEfw(=%PhI&^U^lX z<&0C}n@PoI!~oSr;{ff>@Z{#K#qR13(FJ%H+r|>CzudKVz zjdVIF&skiSs^2_Ar{wJEn?}x~t#9WILZEE>g2Jt^vgST=PF@AO)V%VcndR>YA=5P1 zH97kT@VssA7)MA{)50;(KYHONiVXcU>&o3C!kVL~-sOR5S|H^&tm96qWbgAev!`7%mHeJh`5ZwN@-6F&oP(ec&ab`O%9Np6~X-c4`#Jaa}OuYByw>~Ea1X#pc^ z5Ozh{>C@OS6HenZ=|;2Io}Z~L?K`M13gJXS_Ytd1c2L>TGi+5y$E_!>6~gK9_<;3R zLRm#)_x)&Sp!_`b^&23hzpP^Aot9fz{Jwce$>DK&-_*=L4w;OS)}7$E`j#2+jg;p6 zEsNW4Nd@n|)wXkR3cx3)Wfl^>p>7_Sjep)X0SwRoz?%{lYunUJdZ%Rf^U{}xi9dak zUsQBw=3zG-;cbGe4HQoHu~nPr71jMxOKKQ&eO{IKjBm(V+6O(FoD)+5?s3H}bDa0m z();Is^^Y-&FLF!B+zCpY#<^tZ=~r3T3vje6@6@Sw@h~&JbMU;X)P)SUI$NoT?aOP93o9; z+(2RPcRVd+@^oneE8A;Qns%w_FNLMO-!J^8Viqdzp2q=KZT`9y)TdSZM3Pa*-)A4illDjJSXzE3`~ zsNMH0?I2FCKRj> zJz!cs5^MiwzORPG^)lS@TD)SvVO7uW8~|>+G4A5Zrr*Q@E>C-Aerw&v1#CQ`{@Ilq zKYx)MIR}D9K|_lR-laqrf+DgDYlr8K&dJ@lF6OBd*<;fSp4DFiEDt9PeQO3siEro_ z`-HCK*fG^Kw|;WZ(T^EgIxB1E1N=hA7r*0JHTEyENvH<3AJVAadXXn4t?Ux@?I$U# zgd(u?j7=!Ja9LQ{HtO5^P^ZC8Ne>0fYedGb6FZ2MUvx@__$?p5jHdPpIV-Oq@L`bl zRxiJ#=AD!m)y>1(XDN9sT>@g`JC~Wc#7(WCi2pm*tJ-<@pzzH2q?Lzdwfh(DzN3^s zubMJ}x*o?Kkn;h^+%Fe%V>Z59^7`}YHymL-Q=f!_(jmedQBP}rptEZ~fL>Nkf$-=j zjUSJw8PE?iD+hk=98q%d3J4iFKYKB_z6B`R#H6#PmVTZkTiCLBH?nO$HX)Hxawcy) zTY277;^TaJ`KX;}2$4I-`>ZTAR9AWt4K{(n;RQR(#Q9 zvX9NLn8hd8iO<8OVpFj62KOGM<$q}6yJ_nY_#~~D#nksfO3};VvET>K(ucnaR?dS{ z4i}&PZ-`!S{SOv%&kvuY5YK6e80rhVU6~`8;muV&HR6E+~4l!kHi-=>)nY@8+$c zIQeY~zirYmln)lZTSNq{@FfY^u2tB@e-Z!_-y#PVjbdwz<8!@dKT{ZoC91wUA=ZB? zN}B(6dUoFll-4&*9uO+s@_btMc8TA_)4Q_iV`u;N2?|fDw)qPX0IJR-YFcM>4W0KW z9O_3m&t1*^O2zPsnock(2#)%2C-AhW(fb9;wwNp{Th`J4Y8-X$5ySJBJkGd$ss>s{ z!Lz37>N25RG9_75EVadWJKF4e^Ha!oD8=l|h-u2FUQ9)%F^_@plC$Air zQzrCjT2Aw-k;khy;LGN*;5FsDxgX|nIryXkL*g2@eoNo(pTqZh`l9z6DId^u%K35# zKRdifj)ihQ}4cjxxnMalMW&t8-? zci<7Sie7{FrCa+4N7o36IodPbx2HF@EZrYyt3bqaVdI>sA}6hO79q7!6uMTtLdJe|9U_n0L)?%dltxqGy^kgj%oQ4 zGINURI`UL+g~bRNx_Dicj|AOIWUdPAqKmwCb?QDA9{E$0Z}4M#7c)*Fi>s(LbO_Gc zcBAP=RN@ntHW*kQCW)%Yy`AH`Vi6tper4+zVUz{hCXUV?cORt&#@UR}@5)h8>buw;G<@Loq3R9eV`04+VR+HPl-E0s zZRGn}-ppF~&fuzx>qsImsQn@Syr^nO(<}KaE0jN`EK>@Xg21T7X zRvb$yz*$ONv*X}zs&V2msaUR|mkQ0(>XqD+d> zrOG008^#lU)ASh_$F5!vuU**O28Crnx!?GU9I?mXB?Hz$l^0Ckl??5GkjKC`Y;GY3 zr||w6e&H*U(sJ%y>-#8@OFSmFL0Nf~VCUqNkdjZ^CZMYJeHV(F<#Oi&*?CrOF$Hx4 zOaIt-0wAPegf4jf#k9QYf_719jqnn=6fM_*|GvMqTKV)@8Z(f>r)v2DkCgh>qdM`C z8S*QUEua0wC->-?ZbZBcFubz``1DM&@QwT)luzP`DH}iQr@gMKvj>r~KWU;NnTd~a zjUr4;%#fMHBur;v4Tg$M3xS(Pa!ihXEAUg+LiTwO9fgG}as!Dq~D7$8$o3ARTWR-u9c=2WvVvQ3DZsRbj1 zP_>D1Z9*n<7T%24g3Z+ZLu5i26XV*%$Tsg`;*Z%jG&CE|H$eiesHhm$_!3rB0v}7^ z_9_^`Ei1$DXz+&$*wV^McyM*^>Y=J+d}0CvVoqb?AF1ua!dK$eZ!nKKBrq{S3~M?I zpGX2XQrIdCf%#7obqfTcv_QZgp)d6L(n9X^;ze*(0uvYtQwpQCm6j&KV3@M<3Q8*0 zb{Ly2tj8HX)dg;@Sx~wOe|Cra9`MoA0>Q;7H}81CdZA?ziZ|gA`v<3|XTXH&FfdA6 z7&$O<$#Qcc_}tzO=Zi2{Cgh%H5d;^5KKBna!ig1F-%u8uR)PB?76>v5tAqd>gfqt{ zCg-+)BamH#Q%0D;V9$T(OsgXz{yz#^jKNkwFc`st23z>z6Nz8~lUn`Cm8-J|0x(#n zgoKPNbZ}Z(Sy^MWwU~g`T1?nG5J7XVqcc=+VkqZE1Q(;OO@LG;M!^ZW%wPn0+K3=2 zjR<`zjAxjDsn#>I8Ze30|HWvw2P0I_UWR+*v5Z z5c5nUOy4ukLeMv{AfcJ7j$>|R?HCrWhJu8q3@SQ$5fQ<9`0x>$13x(>uLOfJTfkh0 z@O7%Lt_~ML)zoGoNL?_3mc`^}|6{5BW8(})$p55}Z-&PPXZbqdFj`#=*F>$ahszQ} zBPWb)XoZGOXtYeY9O@iBrWxm9T^AamkJADPH$ec}oC5Nim|(&LGYbc&qM`~~lL*5v zLocVMfwA!|GzSjkmGAlm|CV5GD#=I7B`i1muW;0SqtPm#FR1GPI-*_`5*DM zvMOd0(hJJ&qd zDj0jD=D-g*AJo2Mg$8YofZhuzxZq-A`)`S@O!xbtOy7XKtwDMv^UY!YvPg+ zRsORO0PPZtLab*ISZOeV*uc#;7{S4S%MR%KsN1(~-k{vzs-p1F@IW$Xr2T^_KYRZC zc}7D+bF(!QKI|))XoDoOXCn&vXaUtg@XhP&3=hMC;C~}R47q>EWDk#GGI#EVhhjlm z86Ai^I!4=L{l>m%U%rAW#b#w=V_QKLS)dS(S%{Wa!5ywfB&r_aUP0=K;mPE(kf^9O z<|JZ(4#R?Uf2%W&sX1KyWM7FycD#xzLPbTxCn7Ofi2Y0Cp?$6eiWCCMP)m)`?8YS| zB&V0!zsmI@#W4JTP7@7{%^mPmQCNYjz$UN4CasAREC5_9hJb_Y{~D z1qQs{hV$5%yrW0QRQ8`x=gx&kg!@PJK{GS#>dY)GZ0yoE?7LCQ$}6ar!Vicl`VM@H zq8d?ZYEi9)?OCXfZW=8ujAj>#b|HI>nXxuB_JkC>U(_%Xu!uY*{pU0RVP!}vM@3~9 zMvWmap+gn|xR+<~Fvj6i0t8a%-!T;W={aN#u-(XIuf9I2n5n#j>U7O(*A4R}(a>wlZQ@R6bSI(R3QOUhnMfp=B zq#Hnszi;nCwf=oQ`c(BNI|ivtX*pPdxWqSfwXOt}j>V+`tyJP>d*$d&1rE1yolU64 z9R9eBL#}`(|6#90SIMRbOoak2&Ac(8;9l>1(DRJrttkDd{qf{A+C_(NS7STx1OnTp z((6t3A17-4k6oyEI&+7+b9b(Z5DxN;biDS7WO)K^WVh6E;n(LD9yA`bkj1PS(2adg zRxt~awU6n?;b0a5g3p@2z7FA+ym?<|T6&y6LaVV_{l!kqzMfIC#&Xc`7$Dr?rqR>e z_cCZCHz(nv*gaR{;J`lNPho@C8)T1nMiwYLD(h?7`04L;`3DKL+%C;$S2vu`U9_L+ z%B@pdV6g)2Jmin+&^@yq9?mR0dS*80%#l2bUF$)rv)wEo)dU{{OT&P;1G@Z|)m2uU zJmi`i3NG4%w%@3ig-rE`>MZY60b*;{ulfxRG9Gsrg~K;j<$1`B-T11U`=3~>)5MzA z+yTCL6r)$g?(ux@TwgsOYizUq?)JqBnRW}_kO6VFO04G~T*q6Y!*r{o{uoeHU;US4>J&p$n;2^P(&&?;s4mZi5g^}o2@Kb|(6lZUUNW_!odq}5Rae{ZQ zt--6qJHNgvIgl{qo)7KxH%xR}lHBsCx;UC-d@>D|UVRg_zs$HH6)g4vXN%P6DtjC; zw&zXtoTJ0()4_9iV71^iQSZ4ttINGp99td&mABqv_Z5Ua-;T)6AfGsPc?hTAjhs(|^<9pV0j}rMWUnL33(vrWpmXzx= zr@Q%{Trrms$oIb5;FPGjGtjYOg!oCs(D11lxttj$yL8AlVlhX;4;oAChp_mxEEu`L zcR|aJ_(wRsm)|LpX=W{kZCIU{cmRTATo?avekBgVG`=mM8(?%n0w7-s)eEU(IK z@%9FY><_wLpM78_tKZD`p7#FteVHP~P~jI*F(tw|=E8T*0&kZlwO1@QoT)##cMmUg zyEqTL#McOmU<7GBIt>jWZxrFLl` z;w75*j1gaegkH6xr#s|kC0GwN7|}YuIrYn10%zkB@J`9N#}VD<#osTZBW4-s8X^9M zii(_vMn~R@8&9Gf0Y_E^Bt*vUA80h*ph@nG>J)kVOYT1FIBR#p^Lkjm8Uc6QAJ)wj zEC_!;kzU@rqe_eBzH)=R5`6Z zRc&>H)v~iMl^{4kDL!vj5Lm|Q*+pp%DBhskwJJ|pGh?T`hbL9~d<);~PUgo$vGruR(%YKZ(yk|9PsftT&3AouD2sL7^OEH*@N&F@KqEO*vOybQ?=BctJG2 zDQ!vesGhv`&fx1v(?StJW$@b{3x51`GTcP4hT8OH#eEvV4MHy zjP*w8;Y8t!+WAU7Cv zUAu;A__`Q{|ETtI@35m}f^hA+XvO=zmS+Cu2ly^kfLECMIOG1xaPHLGsWg}i@dvTD z)wy*6@%A?jqQ=G0-}rc<@I>faP%(REh-J<>MjJ1jryEvQS|Oj-=GE5o3T^`O4NKB4 z-;)AY#W%iDDV85NDW@_~WG>rvEuV)uO-xFUb_%V~sAoM(1X#b3GMh{6lYBBz#YQrq`) zt71XOeLEmOf0#|9STKgFO#kCAnj!&^hWci^%Uhyq8rI>h$W?j}Ar?%PVovd?*yGjf z(?9|aU~9Lw%C~3vMUzg!X@HdqboeI8a>z2{Z6)lxKc~!j zExF5&+g^UwKEIG+%?0q)`>q<4{u1mK^}Ci`9$W3mHs&UNm}WatNX4lzI8p+*D=adu zEx)^YO6xBjf;BS`-Gl{wkK861Jo0#T>)Qu*uH@S@}YNz=8qZ9 z(DKC+8Hiq+_ItvnJo#PA7~ed|-QtHBs6BY%adnQ8yQD=)(E4Bkr%0Ra)14+0O4{dp z`VP8x7J-BVK10-G?B^em&4Z1HcO&fD+%KBrR*GER&c2rOW0zf5(&5yS{oyoOlpV1?J-OE?*6A!*I3n<;b*%T;T%Cm$!6&itI_kCW zv^=8cQ)7ZVV7PAUbzwa!OZ6yB z<&|coyZ6tHH{-M@=PXw)70szc0bqxB)sO>gyo7&DMx_M9h zn0Wvx_Ge$XIIunyitqmzV{tjnVF4_=7fYw0*Ai_I^gb<=dztLN3_{Ncp+smg-*xjs{9UWf96jAVy6J6 zIr?)ci&=jAmplE7odO5PzZTcO-05HZ7DPjd7v@e@Ts&r>F}$Wq`{%yGf8n>_Kl8VL z@muhp`P;wvE%?v;?O*&BU@n5;qH#>d3Yci)f68qCUNJySc4W2(-kQOXuVa|vGo~2F z6cdrgU;uLktBR2aTE}O*sW@fe%qmkvU`diiM3wVA0Z$JLMD*5+4DtP*Urzrg0&)6OK1A1jhwA+iGwQ+n2wL0dss~oUVNdO zZ%uj}mFSxA;mxJ^p58(|+l8d%ba3+rmt9P~gVaxFCIM7M374`q|2FCbkNb?3WR4qE z=NrIi>T4OWC+Lv$;|c2<6A!lw@|FRqfg&L+=bb(Le-UPaUrmdzk-7=8y!^2g$=B3p zeMLN3RyxkA#7XS?I~X2rgAaR8!p~jVIsWGL{9}^*=3p^Vfc6M(Z8v2(pP@FZUIZ{L zI?Q*SEr}o&Nop7R`0)GN5$=ct8Z03K|1YEY32$V9O$e4y&!kiF{FlnQgH6l3LJ~xQ z0W_*OCu?!UUiJI*K=uyq*HnInWA0K#-%3kUb!q|{mWOOc>XgY^Oz%EX4*>kKc4Vpi z{MkEokx`|#Sr;Wp+>Tj1-28F3J1q*h3Ru8*@$PzO?RTpE)=9BzZ6RF;0cT_R#VoE# zdx}wglD0|#Crt4f&s0=L2WP*K^DbW&m~k|u*<=zAE);*PWNmtF0c@(`wP;5Zn6$ge zRKLG?q<52EvPK%WmwD4!pE5GWo*LAd?){u;E3=&+W<&KC_m?ll3Do@Vn+6#IF-q=;|Gdq4bC-VKGB+u@h zQjr&h9nPwn?GwV9?SAF=Il;bruaL8XHhswEiz8w8Xzn5XD#_pO7UPfed%m*pW5dMK zL>EonOtXJ49nxBKSFStGcAO&3s8}pRItlBo@Tb{O+t}B#bAl@=KP&M}BydJLH9$-R0otG^B zaj&LLsO#MiQy0F63?n+(8>MZTuNZ&Cx?TY6PFD^EZ-09;SpmO6+GOjaRel7WvDaR& zCaJ-iDp}73^pYk@Lr+|F(^5wbv8sd1FA)iMK{=i_!*+)BAgh=UFxD36y!3nl+sui5 zN@<@=mX(8El-tD~8w-rUgUVfw6E#isk>dNF@(PiuI-U9bXwaPwO#SQc%t~iF*GlJnHKcM zhg`+gPsnY{RPS@PCChu5Q2tS0B|K7nu^;dC^_mpGHxzZzAd}-3LH_3pNk$(2ED!Jc zO%||mJ{FN8zs6h}2qYZ~USG9$5S`9b2}d|X^Ga$(SP9}OOatzU%@Rx~;(@R1TLka0 zzC2bca1cwk{2Js&UD4|liQM;c(P0-nIr9z3IeoDe=K8WHehVknYHjwy1haCeTmSad zFgp{6xQS^kc&heKdj2OrIJ{e_l>Xs|Fh|E;?&Jh+MW z$C-jfj?S~P6C0n?&mQTIGiC+n6zc$u;NpnX$HmVRPJe_vJbBJ~K1aUZoO$P`yZYoB z!OCtYpp>Y;$A?n9)or?vT2(kX5H}lAZ7g$|Xo<6L{a)fk67aYSBMO%4v>G)9%L{tsbx%fPnH%BuV;=5&;6VH=|nxw7}o(Xn_^79 zFlwf#CfoNs7|F+)Ln4PVLQ*35AE$SIC_`z3y7d7Y0k&f*!_A4<1j$MQh8H3;vqF*V zE0;8WVSNmPivorrWugduLUd+xL z*}ri#czwb|d&HG9(oZ9kB;hl#G%%gxS`0c@RIk_Yf@PmqX<6Xw_cSf64ZqIeRNe2F zL7Yi*okmX~^_|%?4&noe>nAcV8SC&l8_pf`^mPbUSc30TW{*sbKe^Tfo{RW3hi54| zzib@Fv|E2s7~kB5xT^!yutpi__Za)>F;T3ZjU5{h{Yp>9Pxe@ZE|`DdX^>JpKi6RQ-`YlyWuL4HX-?N{Y}y6pPab|bvk2WOnXf0|^Y1FUQb|%@TRs zPj&vt#VO^b*Ymt&`dwh*wP})bT8cuYklY`&&eYS$Z~9j+yp+hR&o~KIbJ0eDanU;; zf0EvKyOn(|8cW$!e)L>$;2lTj9%08p?K$N~9$@!+PWM;b^^pQh+U5Cs%b$*ttn_ZS zKTD1q40nh#SMvq-*Bi%DbwUm<1ZQS@ysl86_9F9Sa-p_L)50TADU`VYK3WL4%g>P0 zVVX+S{}SiW?tMV^I%>s%YyQGZo8!hNLC94qW_naM7Kkj6-}&yy760>mtJ-$zg|1Su z=Lk=?oD`7CcNFXrKQc4t{M0&r>1xbJ15CQxCF%_hklkreAhy!|Y6^cjHrn-Y@IlCOm5QzM;HFNp-)V3FMTV zk}3&(>wM0XYV?HFM!4X5kw(Q@0avZ3Z*!NT`ImKEgzOLP;+y#Oj zUvjp&uHCcBYjisWK8rt&=~Oc1T<&a;<_-+8e{l#*oT9vF<`Wb)i!Y*J4%{N2K3n~B zUB@+ioa<^pSWIze|1VZ;Lyv+tj8R|OG!`r;8n*W56y4u^ zS4`72jeJ-FDrUYamw!dAIIeRxs|dJxH3n_szrtbCt?!}a;4-&r1D{q;NCZ^PLMvWO zemiC3y((m2l{+-Mw0cNLdCRu0vlk$wbbK;_p*c-MAJ_05LgKSI@8-NX;tzT0b$cwL zA@(QWbM(!vZ0VHgJ~#F(|6%6)=!mbPZI8U0QFwBeL@8Di+}3Z`)CrB>$DrZ!2zWzm z;@tLWVU^u9EWWM@ehRxkcvw8J!>yuY5m~xG$|R%ekUzP1kx$U;ZBx%F(78uQsT8a0yu6k= zZ(iHG!Xun-TxSpwT}|m4If}_C2fFvARPIl+TF_pWJ9a5}cEHZ%9FUe<+_pxm@$gYm z%OoJ-m)9&Pe%-$M^|!WZRBUQmUR}q?`Z1lbN@~vX7LGR1x2$;Cv`cy^COPBRg}{>X z>RBQoIfZ~Za^nk8jbaXrz`?od%NXfZQH!NJ-c0Z03u(C2-Zr+_9Z(ukE>H?f8v}eX ztDS)llZcGIg_V0?ME0lSKMX2rnx26Tt1vI6XCR17DeE0ag~jGnHMM?3=mD>|l#6Fe z*D&;l`p&F;V+Ia!c&q}d{sSkX^Wrme-(+-+>L|M99=t#BUahG;B)bEq`Y{>9qh{n% zvuf5(md|kyBk`#@`SskZzH9|YXVi{^9UT0t!4WMzgY*hU0Tp{E2#%zIt^e%GrE9WQ z^)tICfbfc~Pvigr!>u>Hv$({xzRBe;Y-7`PY!7Z-7bUssU<7is%}6im==CkPf4_5o z(k}dlQ9wisF_>Jr6`Dz_;6@DoSUH6^V_*wv=hiliFYk-rbxteY7B;X94zHTo|AQ|C zX*NY>KR)HJxG{?wrLTWd)~>Q@+&>RQBmi}+;>S0bDNs+3=hAYi%cB( z9Xr+s?9$$WU^Jb}zz_3wK;uKIONx393GJ(-5l@LvZ_}+U{=P1i)ej7ugH)~j2Ua93 z5}ppv?UG&K;Ietx)ZV*`PsOB)@~;HkB94_)m~?{IQMR$)e)5?#O>7h_sou`Rt4l7E zmVDd@WCOT#)`YB9esCU6&y&b&Ju2ZeE%H1f=DBt!<0-q=2XNO*f6ciB>mg-OSaa(D zE9W);=*L?-C%ZEo$5QgkS@{gW^CcMxM%LfS&pt|dK6o?#cvQ9V>Eo3?Jlf-83A(q55nv=OTMXW7qlQth)aD zjGTs+3GkWyhhcJd6f3pW7pd~rMFIu$o=u{sl{oYQ!kWg;$@QT1%QgqUVoYMj)MspJ zWu3T=;cZ&G#GQBR(>On>?eHZ+XWTf6##7%jTTe#myr5XYQOP<6TT(R5kAWRy@?0-K#~ z8-XSKp~*QrSH0f!yk4f`zdO6D@u-EroQUMHi!6x9pE*3g!mnWX3xfh&6<0`j($6cB zRMIoMz$5e^w{!_?Y@JdIghoC6cFYuCSl=_X@twPhkn+m2wTs`cd96D@Lj|3k5O&(n z%Q!a_zubT1ZCZ_ST_)Yf{P+^D8g{?BDgZCL*a_Q8Of6_Tf-atTB=YNc4k*vWX*puV9;x z(Q`02Zq>)1anm~o(G@prb8b-RgAcO{xa`)h!8OCb{!l58&0$MEEG+)KxyQ_AZy2spNO5MQvscry>Si5y!cVO|Tt&3-->#Z)& zRqNzkHR}~QtBj8217+1wfJ-OpUEux-z(z`MPiRYH-{->s8(CI}swTfgLm1 z&xR+{@k7hHDIb-BGwR`t#l7e;b`K^wWvxJgs!v>1Q6r5i*0CAbm-t-Q9 zVCEHfFRETM_j@oogN?_l8&p)eu=A(*NPRRYXeJw1m; zHY3xe42of}VN_2^8W1@TE(`p@$({arQP2!>I!$4fdtH$o1I5$3SoLm;rw+;C8*! zK`Ap}_vAZAX2D}3C(Xhu<)%p$QwDAa=j3!H<-cX3>v=Z`LA2P9(GY6+*zA{MD}*jl z4T~E;6m0B0(@S4})-w%=djdWz?BNpMylsdIO0OLvl5qA3j)*HRt8HkR-P*+^64wA` znP0blVPDemtrx!i5F;c$H}4gF*9H2bnkgw}=Ld&Z0f$NymCzqHb)Od6*ZAbdM+6dw zl+=6{UCqp<$2hu>v+drr$a_O_J1F`$C6AR|-V025L)V077a zC%u#U9gNo_KMd1IK3k(MRJ7mdPT^Ncq-320Wwi?!3^!DQ$HPwwBllkIUb7&HOkPG|7CnxleIXEVp&;2cqimU;xYu1QhkDn_Krz zeL5rKseCykXzBaDE@E==N@V$sd(9(Myh1re<#k=RL(3^I@1|cii((Ot{R?g`;rx#T z>23drG@(foMlCwfq-s4x)f$_cp>Ps%oEY;EQKLcgX=p-id3AMt>#s=@O{Ee4g*ca> zr;iLB@b_~h7@-J700h<0YC*I!5eC{L%E$;V{mXCy$Z*R1O)o76ZJLToDyo*&XpYm# z8QN=MxI(DO4c3deqscapPz3RW4Wh@^OoNzq>*ORbJ!5Sd7I`auiQa>+4f)gT~klnrYfA`(notNL)P`XHg*f435flPMMMcpNClo14Nl8P5(*g}~ zqA5;mw5Hb9js#6`!e+Y1p&`ykaI4hNzhX_~Nj`!=f)gfDM=NXLlbNtOXxKzUoDg5j zEhs4Z2jN6}HqoL@G{Z^vAByuow%Wm=kAH2o=)~%6FtIwUu?k;Sv1ncRpk} zQ4r;X?{S{GfB9;`%v3HeKO zB8KQ^j8F&`)NY!XcGaNP_`3kpHr!O|LvkVCw)1Ja#cJ@4Oth05CX4X9`R_HA<$?P~cyP&8!S_V%d$ zp`w=%1fho;Gqgdwjc;Yb)% z(V$(O5w@207oe;2^_%*}_D_)coJDsg*qtEAsts|$MYv1o9<}tj4WWRlTC}Y8ub_8h zV{>PRz|s{5%4uPa_9DFqZEQ$GQ&CwO5Yp4L3QI^p9j%m06AFP(xHEEa zr{rN#Kq1Jjd;|xoc@-6vPzWw;CL92Cw2bTWK|Cy-EX@!fOB7aGdd|}b6!u^KITY%j z(I@g5d{ESeAjOtG)Uf}cqZHbB(e!sk)oU~k9T7%2^4I?i)z6cYmMBWddZK&I-2Bqg z@*x`aL@<`%0uwUb=#eTk1&xi9k07}4S;%_gSw>pM;GijR8i9x~OaBPB2uDKkn>Xc9 zW`CjQRm8as1F?b|Si@oy3famf1VQZJL3>2(;Naxs?&19iLeEd0So&dPWLP>Ape?j$ zVzsm}oC*6c+G**6!oY-#bA%5(I(1*+>n}{ z{$@BoAi@GMved>!U;O6gAw_?|W=&{L{YTi`hO8oHn2CuGp?MYJosjQLew3LHy~T}| zI+m(kO2lpL?H!O`hE`^Hk+&h(f9C)|zbw4a;iZm_@9^WdVN3opo|YCAXu=aBoJc9lg5m3HRe%!!QPixb5qd}=+`}T<26zGA#4deZJMZpjCa)eRJ4 zTZ$npY+HsQA{tXc{*N^IFLo^n&B?L~F*S(QD#rEFIxGiANAV?H1d&)er$rFSzt&f& zr6UAV+2=G6%U(LiMdJM+-im?9qM_KK=SyEPK0H}E$HQ=Ww&e7GX<-*9Gs$v`Cul^8 zaO=s~pAAzEU)T%??fGM2`D(FSw4+F;}#~f zCfxyT$4#vJPk30#yyX{-e|UqR4;FBB3-Nc{KYaCc*1gbw&lDH6?HqAvddq?Nn#XPr zaNgEj>Zf7+>in>N)M?Vo@v9%7_EKLY`yaI~xs%Z?1>jOVW36log=t%(V_Zh_f zrS&MO9Hlwd-mPy5n@R^;Cd;cCvutVErdDzSR&z&}^SUKL#n8@43EYrk*H6j!RkQ!Z z^A_V0a}(HOfZnEr!T4jrP))*|6{np3NL22Cj0w}EkiRVE#0!RIJ>Vg0nCC%${pRWo zZ^z4#8;TRCfZ*5r%Vd2bcy})hU-$s52-va&N##o@CSCrx_e|_nu&rg65(}*@N;Xa2 z3?>o>o!sfX9C%BXB{SQ#_rj=LtU$=%tXuAty2uil9I_8VfWsw~y5&K0I)`y8?igXe zzV7E*QM30~j+g`}@v#fMnSl?3tRDM_n{3V8vCT|3-s8Yu$U55oqw(`h1SSjE~)HpSBjfc41TR4K zKDzUU15e7+>=xmLL4mGZqk(RtW-rG_KWTlypCBCe0oujWuiqvc_ZplVeZ0DO@lU6m zZ~2!IM0iSP00y<{%VA8jU7!7Lh+UrvOG#9jh!Ed@8sYpVZ++Oe><%c^YQZ5E)(*Nw zG4h%{ay$7h)#%d;GmKYj3a4qbbOclYkv(eY=BI8G@GWtkvU~9SA-@gZO(HAuX~oPw z=HQLDfR=Rg_^GALjb}zphW_GOIz~a6vH2Mob#GJ;_&-QZT?PURh95}kn~gcJZ|@`? zkX1eiT4)iNCca_P@$C6~^DtH*7i0S)AjYbp=1b9wh*Zmj$aMdA=BIQ~S_!$MDvB52 zKT(}`;#JseeC{}GVpnB`1a0Or_mMsmJuaR_mhoj2e}M*j)Q=#(Q`YZZO;ovYOS=LM z*xq$lg0(84YQdZ4O(#eoJsSv)5z>fmNp6csnDety#I4GtHb}|U?#mr*nw|xZZcVto zYaiqt$^3!}fWMvGNBv>st9bIg*XQB>C7BI(;7ZZ;X<&3WGdGCYybpsj(hxVwbBUhG zTk9L<;wRGjco5e9ZIX||v-E4zCQkT?cg9K-$rJ1Rwa$nb$u?4ri>g3W!ztH@+2OgI zpy@F)`GN@z?=Hjmm+M-3WtSHP4E;7hS=gA&%jFZD%r~dLF2QCmabFLvXM{JiJ${ms zWqhS`9SCo7+|i)W{D9ro&)lUlx#h*l6#LcLnef>e#^fhO3NBDJ${D=zl0rwiast!R zS~ujnl1BWCD;Bhvabhe}AAHULzgkH}CU!fYhMN#_zfWmrZ+yFscC*#ww_25$iMg@| zKy7{teVE7cBWl5!uh*8~k-+!k7{N(5$DPNoem~PSwgf5$W}H{UD6Zm>QG7BYBmYyA z%*?}f(8DqiAi%17p7RQn`ftDdT?$Z37XoK(XQPr&!myI1aZVP@cgN`aBMW80@YxVp zSMrnHy`8dm^vSy6EzcGCfa=It&+rDT(r>LSwf8M-7RRqNMrF8MG+%yoJ zT%rDC34|!=7`g{PFxH1BeAk#)>9HL(JbgV-TqV3*m6BS2lnkVl=dK;e^2d^1_d0S` z+SA$+@T;|XFt~aJ`G$1ddv6FPeiu+r+6Di7t2~u71rCI&gDXrhUo_ju(cwN%Ww?tC z-034JJQ9EWo=W%I2@Zah$OK*z84>xkZY7iV^cM5?gI|^2rxd0S*Z<^u)zP3{`t()c z1bHwWu_s*ksfB6zU={4?k&;(N3`D9-Q!ktL8N9sxo9`!i>3+qQv{pkO5z9WX&&e-v zWxd$d?{pMu`z`Y&82s z2d5g5mS>)lfU13rd2e?2;8JU9$WDR6E*HTzn!NIXf{`vvM ze#&5S_%}C6HbKgFsy|c1Uz$Cam+h8Y2&?>fzvlOXn9ffgIyF0&rTPJp zU3Q3r_(Vmb)9N34dzb3RIG4X=sh()|f9YIh&gQ!eM)JOuE%e2>J-OFB{2|v2Gg!W) z@}AC~W?9YC`1C$EVW5>pjQ3(gvitaJb4rlR>;5G|)~;@+%8r#W8GDtQeQS_(>rHoJ z{BI*YOVTtyDFs6>{L@6y-`9IbKM3U6z6tmQw5CidI5QpMH07%M5yG2n<30NV`Ef#8 zj28>ldubcl!A}9T9`1{ZhsOjXbYYSt7iMZ6Ol2L&56~J*NYJnai~tHDDkZM?SGmIR z7-HPllzLaZ->3$@wzo|rd55=sVO#)|^UPAnuMZwDm)p+B(B%iU5H%^KOACB*6#n&+ zikkNfjF)8`O6!yD)s-w-KhRv}qNq?$TW*|aX${ag!FOl!1kR(@o2D1nzH?gD+)#); z!t1|i9FL~vAD@s12@whMf)wrUaf+MLMI50E|V-ymP_ta$IXGUYTqI^4P zUJ#;KCr9+1bibsi6_iddZ&+0tMMQRnA&tEw67|-v*(yq(D?5}hH7>q3+Tz6eTw#G+HYuS@BY#e&s8i}ZDfTw}&s7&(GZfGd(xO@1L ztkTA@_Nvr6Nii|1Fij3r0q=dnhUQ`S+x5az*!%}2ucdeC9)iBEUkaEz8e0O!zW_%r zF@E(~pvzaAesy15amISqr7)C=3_Jd>yFJeewxvN^t3d4h9Il&aY*_pg9B~3gE201N6MN6F61%Ux4&qeiZz7fb?IE7F-wl zSK#_DNc%5G3;sJu`!7ceJUss!Nc%5G3;sJu`!7ceUf05(Nb1o)!oexx|HWhbFK`NZ z*TtpFkW+;a>*6^l&C(7AvWx~=SI~=9^x_M8@fE#TgNwy;p5N#Kh>}VSN?%sfAIYOhh9U~??;g* zJq+zMdVsW!gc^J7X3BFP@pY>B3d{eRb&~^Xt5h=M;aXQW%3ZYin6D|TZ`tSE^7hz% z^MH(^dF*b-XHZKCir zPeujL{?+C%-4!RR@|m+;T4DXP=qfsPs%caZHFg;zGFn(zEt zqKj*WJF)uBKMk&^Ez_l;H5VM= zCr{Y#$2&MCzH?&<>#*v zY!8mBB~(;Eoa@cn^HX2`D&NO7}?j}l(XM1b5G=Cfpa<|G%Vlf(cF@}p|$E7A5&FD^xYl+Pkk z51u1+sSSKb$UOXmFA@{DW9<7^bd6A2&=4Jwq}`6Gn_IWHVOxTaTK_gZ|78cmv{ zeVxO<%T?hKm566Qi8t3q30NXdkJIq6h3@JdGq+fgIVSgF%a{8Iip3sqHFvlxM1$}` zEAfH4`X;__yDe+u?^$|NixrqFC@qE(p;4$`>?;^%%+g8pNI~|Pc3HQFqi@_$PR0wr zvcSXi`Sf0W%YqM3*j9Qvl*KN&B9>xHqaSvCp4pH4t21HRLp|DIw*rL@zaaw9N|E>BBd8Sqy25`%Rpeos(p(74Q0(JjkC#8&4-)ii^H6w!u zE!0gc1K2=7`g@_x+OEm8xOMmV_GaAt51Dk=iD7FshFV81f@F0tcI1y&eKsTeh^Lc( z^pWeOm0IC14VSr{HP(onuW`~h0L-{_1;YgGB*S(Z{V)0APS%5Wl55JZ=%jgU1mdrI z3W1;FuiyT(X_ezrDF_;8qQ7>x*2nq>4Gj^BZ?bLM@Inc&_9DT{)4m*Wt#{4#?%f0P z)-)DLlHy!L+u2k5aMm0?K%!{h?pI00)k~=-rO23$b3R0EbO8dVub$sK?mM6x2bvkq z2I{lVBc+Cl5$C7V^?J8FjTAf0U#8}ZRYO0Q9U%H@8tuC9Jowo0q>%7wNnc7DnH@k}mOA`RipBn|&dWXY>y}PL5R=;s2>)zJv&U4 z;d~@BGwJbz{%n@L$NFJlnf0nTe%B#8BdDz|4ZEX$-49Eq=5tEBbPILK(iNU(W5x=@ zNz~?c#JNBwT^aQ|yt8dXB_K*9D|0oZ^9Bv=l1X6u!!{nOZK*MEqSnHEWd_TJx_Thh z{bX3rJeVtqQCa1h@0F)d@Vm@3LCx=Ywt&eKmROfesz2I6mVDm=@+~TQ z5zgZKxd9zCflJ@%9W_J|986R0tFNk}e})&B0c-MGqdP)Wqj;`onp#g)-c}J*S?_mh zWHWkc{?Na0+z9G5p54*65>ql#9U$yoG)>*1%zMzQ*=NUO>s-Ki(T4~~)h3GNm{W<= z6*M2(H>lZW%2hlK%9SM%#!Ub8z;K=)j7iemiX2EDrISBV9dm2=VH5JH3xC#RZ0N_! zTh-ZloM3D5cZB9QOvfGj|L#hRW3o*U?{M4nI+ituEeBC*ft&9Q0zr3t*JANeGZq7cAzGCV z+~vm>6gA~M)-i0HSxxt|a4)`ZKZZ?siC&vld2wJ-0~j(IvjrOKOr~X}S=qeF9YENZ+g5Yj+9z!hvQb4!wNueJ8LJ*YC0MjWj-G z+Gt6}xN_TkiS3%9nwiQb_0+(H6A4d{pSfhR#8=|0Cpqvw>fNiiH|ikg-$S}Q7}N0< z$bB3Hyv+m{*CkKO6%uUA;_IA;*h1#L4b+2T-gw6*ob2|ffkexPUM7`+Ms++`45~OA z$8ST0EkYd27bBKL{43sYn*;u&qwVB}kvkt0J|`xRa%@p`U$rRM+nSN|xoqt;BdrCz z6f2fa;sauSS(V-Mqd3@D>Eqv2q*6)mnhp*t;^Vjk985C%8X_Ger>o`7Mynl`J6czJ zyjKqvqIxv$7UtxA2Ht;^@MZEfb(p22PY&AlsM*M_`eJj-7JTwrkfR&Er4NSf)@jJ> z?+`B=S>Ife7~hwyOegs`#2qYeR-{v?oGi@$Cq6 zzj%S$GMc$mVF6J^m4P?=NRl<)i%2$e1s$2Hek8^1icM|;<&EEji}37bUPp(fPCk=0 z+pP%@_r*RAU}w8)Fd)Tt2b@2^KC28qnaxkHcsFRlPh%ETUe6Mx^5yq?u4_>ZW8UD# zAtBM5y%qtr3&x%9F~36(-B=DX_Slua)6%=ktOkbyx;T~0S4GN}a(fkG;*0vn>L*HJ ze!?5sNlt^}()STIu%|ubYx~k-z0LNb$&*B>$-D253tB}vmyhWmS_Di=Uk95XN(a2$ zxvekmuEs@N=0ChiT3+m=q1n>?^|32)P7OCWH~E9L%*5Q3-Gmdcpo-1ee7tefTf zh;rxyuj0WR3!7c0cHOGPBNEm(cyB>?^WB7%FN&`uUC0~kZ@sow@XB8(DmM&vb}1bu z;1tmZbYlwGpJl?s>IreKZ9LYa%6k}z|LU+ZNRuH!Cltb)z$=^M0m1vgu3hcx9He^~ z3wilF>cXlN>*O`rB4lm~XCP9${XnY5a=O@rK9EOQT4?eIgH3sA{HN!OkNefHuCxKK zHI^Zurtp+(zDc2zDq0jD%j;$^ZEJ=_iYIsuyu{?J)NU#Ds}JK)r~fD0_Mm~ zCz6$4GqV+=spUSjN?`87qkH&XISlnFsUxRVHL3Tp@0cd>NsAK3Uh$V6e-;H|k7YO7 z_kN8=N~pWCK2eJeDQw(Iq>)w$e_k{4^S-VR2*@H83wiML^{udsx|Xi@zv*w-1|_Gy z_)RQ+FFxginjx^xX+NO2EGpw&X)-)5DDCCVW2G^8|5et=_4#6$q(>1rt#2J(&^%6E z9u`au>8lP4qYyW+aBz*DW#PGP@97^5N`LbRh+8H!9utvWRklih`C-`7DW;_6BN62# zDN{420x-IXOJ`I4Zf;A)G~|?sOL>}8I7-SJQ`D&^NQg~cJ|55o_El1QMXg>lGr#FQ zFUQFJtl(gp;(gL+qj>KyKGO}bu(7>QQA;lqQ1P;F9-ow3IWQ$V@73lp1&}o~S^G%? zj7;r(lVRrGw=Hdp8@4P#kE_0%5HN6vRkX6{TZ~Y=1LljX_&Wy&UJlM5ld^vkwuy&a z9R0+??_AWs782KNx(_Inbj!Rl8c1bt>1TEB{JzY0^NyCQU-FCEH8LtGOV1K8wf*~? z%+@WeqOt#!o>Raz=w-ve0-tSI$*+UoUaxP;f~@A5>tcnq$4fS z-JSwiIe3lTz2H-@Vy3wR1+TnaQf*hQ{E~WPiiJOZ-}J0-N>16^-k*Tctgh+(H{6>_ zdZ9H#6c=d)CFD`TPrmJwvT}<0W#l)4o<1U;=-8KySj?rBE8lQ0YiMOvk9;FDcAvd^ zGc+M(7W@jgJY!SWx)Vz$%TUgu`M4JEO*y~N(^t5<)$77e)AQgD=@sdztv!O<1q{{> z(HXt_R8Qxyd5weOQmO|z1of?elTUI+%ffdiA$_aV>K{%I%4-P8`mlk^`+1tU#ovsq z;OuS&%j-IJvA}h@0(sAH6)h*fcUsYfa>}}?+war;q=2W=V?T%`*l?+P$0rYMQr*-x zaEi~$8~cQc%B&e6yaKK(-_Q&7=EAp5Gm=OZCCqo7&E1r2Qr`{+*?4)CV9M=s;p#{oPy_OZ!Esru5{ zDLKZsuNaIWuJ6b8N$FWbQnz-4bLW8X9ZgpxfB5~*x4=~r!$%*Nq;Ki?=_Nfb>%##e z>JJLQzz*bZ%{<~Cf84%wP0c;?c}4Zm=mD#1z>}iyN$K5`KvW53o%D8k{=3xEC7YmX zJnm#k-$-n}m+yUE^=zHsn*fQp#9@i2?bmEhddd{^GwQ_y^DAErPmlq=^qQWz6##go z{Zd}MTip4{uB?snSX$rSzap+{XzS#Y`EKNrNb>?X=DwLb0u*hgsY-@)uvO8~6m3=}^9CluOzph_&DbZr)3MutIWGichNjgXP(c zXlzI7w;24hU-8JmTLXUZ+jZ#?P+YYbb^3gq>&M^*(ze(dAZvfNVEu<%PO>)Z&aeDQkffZ_5LK_g&p zme^`GLm`1Cu+r zit$gLHFo}_5LWT^|7xE9Iv^r8sgvLc3 zdTW1m6qBI^IAkq4->b6PVll|-QOX&aJH!=Lu}&&%kn{GB%4@;72pG78#0(3XmVcb# zvtPg6IR5D;xs3jya_HDDkEj>CDT4XMRRpz5c+otvyc3htE3d2fit2U*YftU5a?B@1 z_c8E!MOaLJGAfRYlZXA&W5I`;9K?Zvp~`i{*L~6phZnzq{hPKC6^nl;*aVb}LW*9^ zO9$4?e%&|n3rL$KAd}b91qPlOWwU1#w5(Eh?issy`exiQ*tu`y1P!?F`6cD36!zH#744U}fUcRP%Qcl1 zrjmhQHRCkegv?z-+$Bsd2UMb-X;s67JenvG z$EJSk@@cShvHs)C`VNbrq?1S1(>F`>swnRrO2xwIgVS>+78!MrUj1&2m{U<3MC-8fEY;t}7w+OI%_u(6`bBKA;^JSCI>;j!z zQU5jNjLUH~rC9^*Jp@@`H}?F}01maq7`~+XFFcXNnWdeW(2>ckj$7EV)@~mu!DT)X zZNnEszttUrV$&PnwfBt9tH_DeE$rG=swU5=p5c4r)T9Av-b;(PADjQOCZ#} zvUSF*8}eXeU&{yt{vZL|ckVN^JxxfyiaF2~M&8Tu=;uZ2JK9y9YiEfxfWay>Zb}xP zYew-dW+j3ps&3%j$QD_+e&NH)8bWtfAJ}Gej~=2veLK1D_iCA%$2PaKxBs(+op-~? z8M(l{++nc%`-Zqn>5G82ULEHre5@bk=iN%e*>7j(@_DCqe!}qp;lFVA3|9mdjK6lP zJQ&0e@BXfuS<^5w^$qb2cs}#_8=PLiubtPr_UjyGbj3zZELtT2zT(<5O9JkZHulExzOc_p+Sn z!;}uXE4O`Olbc7z&RA~TRGOUO11|AR22PKcP8ni;$U5Yd+v2h57cBg^bf-e0>?_5> z2^|P1GhdtCjoYzlTp;63PMe_#=mVD1SG3D$ie85lI;4Y_Jz8cV?+Lwefai+ zndP=iL~43P^Ei-u5LNL8jGao^G>^=zUlhG%=^kBCGqHL>E@Lt<0VDM>|G9d&B zEt#hfC_}@Qe~CDlL=G2CSaQHLaS*WNq2js1_t%&Si6b$I+nQ*OOh-2q!GstVtj_>~ zUWg%lV6=pdU>KXgs!gHH@vr?5ia{YxYKKm4{uir+TqPtb6Hy2;GzTU>N~@`Xj@i2U ze3;oBT}$H<@*lFY4U(0i2=Tjjt>}PYWm{W2#HTts%)}@lQu=;y3Cc5JK)Mp*W>87F z4F#G%f0EGB(!rn)g-j(1GL>-6gszx*34v54e1-)U)_er}uRRmC9i0o0lT#T|l~ACG zMk>`IQu&WU&>tO00E9)+EM+Kyg;vexLy{6*VHn&N4V|HBN_0TEE^K6M92!5XtgJ>$ zG+)yH^=P6|<-eFRdVHk42V#g2teg*pBF!ZP!9j~OA*qaw;Qdu;LaGvl9t6Qaz?Uy* z(=M7L{kFBeO$22kWH1Lh`CscS1r-%FA(~QV01&ObDV>jCq4DIlzZy+M9#)|c3aLtX z7XCt&Xu+fdfxxh%l?Iv3!mlx2d_jo zxC9;tG+(&{k7HRGY-8n%kHaHqxN>xK3>|2|@^5zZ=^4D4*|`-ol?-4CR$Fw1q$|wvZ9_~XzK4Pgukob>p6Ly?@1WAEa zK6>;xEiJ>+47affj=QzIvlOFfhiqnNXZI?+Z2lu>hMRA~Pu+q$WeG=;zyE_GL-*!x z8-j(#GU1EAAO#6kx`$_Hu%F+S{LjBM`ZB>Zh)I9mu%?$D5E_IUCRdk1dogN2`^25XZ zmpNX=Qox{~Y(sD^po1zDL8$W)5L24hq*#n*}OB=*1A0hjT>-+fl`TK{YWx-xyX^R70%MjAHyhHLF%@>zd zR8_x%mY`uvMPv+aJB~i(6Y#-gK3d{~1tmTx=Ls|Rn` z1_tX$6`mpVC`01j*!HT_iSwl9$Es;&3#oR!WIYK@ZlXlx@ajE!&YT! z8v{}N%fBiujUb5f(zzs5k}sVzA^kB+%NP#s@HLFV#2-A4S~$#fC`t67mm~#+>>- zIX7Y*@N0LB`CC2%tPvH-rt1t1@rf0ddh7|4-!02I62E@2>D`kPEA=)s0cO|ETvm0q z3k@>sKjW5C(<*)5mGp3>`(r;dRq!LFN)LQJy6Jb<$EJ*>cUi*c+JVWCTi+V4UVAg2 z|IawSySD_v&|FnuN5v26H|O}bB)*Y#SRZ;;uyJMmPHuhpmPEPeCCG^G#|j;CK5J>qFh4yUs2QM%Jv*-1 zsc--b^CLb)!NRZ1*JTEZrVtsM`)CmWD3WFL9td>u1kAlst7@4cW($^Pgq zMV^eJA@^7ggu$Re+0x~0uMbH%z##|#)@>M?xV3pZCUzWo&`*pxx29+?-#Wnlk@g6vbXm4Y6rirTD%62f(x`%XXZx;B)Nyz=q|szcayW~;QYK~M3aNj zq#4L@*b#HJ&fjojSPpt?J~>-<-PSN$no-EnHDMZ`WiJzq-o98IA&E10&tdxX;e1n| z{n+~+A&E!C4{!_>J~?Lh0;9xt&mCw3t@*b0R!edBr95Nzv9go!pQcO?tsEbz(}3~| zmJ*Eo5(Vcm5rfoc=bJC?4eIr~YZ0JUF~x#jcF6;;t4E&0#9U;|p-M}tc|44hZkW1w zg)|zJAk0a$pGy}^wuFJ!w{vN;90|_1AKoGiz#QsYav8`8xvGvD#~f(`(vyrG>Gr?R zsiDS;lx*O#jCNtjHZOM3TAOStSsTF*Kz+GEoyRb$T4t~2o6|>9$2b$?;d*?zoC4v) zJ9Fb2CV3YrAVstnAQDyaqMq@iL(*&LAV7V@&oPtla@O~u;N z;$+sA$1}HsoNf81rPc8H@a{STk7wlHf84#-*L`W$ClA#f{@TY8CEF*jLRc?H@bmEx zV{kkkM^Pux5n}hiZ6&*4_{)m@J*{E|wtb25!!+UDWDFpjSoUfnv!jqgQ-p~$G5&a+ zN|)w|c!@^e#l#?wJF~i=DK&KW(gLRA*XG}(W>5SxvfD&ef+L+01nAyHKUIN0QMGmA zEZ%JMA8VxkbRKgJgUFFDpy25isA{_G&Qw*k98l2`b!ihR<4n(N= z{xK)gs;XYMtYJaIC%)4QJ_~UB8J^7amY$K{D^QufKLncsg--D+aSNhMa5tXD;clbuNlbPPE=seL#7 zO~*YKUA9wDiMvuZ4_oIM3phe-a2Ts^0?8Xpogz0&9?qw63I5)E@4A7_I6UP~dueZu zaE`Y}91A2@>TFMT_Tpy<(rn+Z+2aF28dOT^Bpk$^{h!}Tm#+dPYge^<5!vzCq|bE( zWKeI^uY4j3zUzdHOjbpS&&@Rga>99Sqx(t+-d$!BjI9};$`&(-eds7dyAuXlNbNIS zfthT(pTaP9WgSLO$l{TQtYddEm5k``BL8E#(M@Nn-(XVmc~fcR;&W@yj1^UtQv2Dx z6uU_Q{(ijw#oS#+Rn`6d!rwH~EmD(C5u{rh1f)Y6q&o!x>5%U3F6ovM0ck-RL>g%j zk&a3Ce`His_djosQhBfzguJxJUDQ@b7Za=yQBxNYRB~82ahllrw z|0WCH=p+50pZe`5!(>_N>{PN%Flc^+D|&~OFM2zsGr8ufl7}%XkH;PO`%1vCQkYAC zRT+#Tu0*VP#ZPRSJfDe+0mc6HUS}nRDb#Z7IC-DwT2z6u!rdjGqCTaG`s$%TTY;te z*N{;9`hX?>+%q-NsZdW4Xqs*x_eSAWC#?_@htn-d;aVtL`x~4Jv+-_h3D*Ns(9$=U zxt{D&5$^d#6=RCV z!N*q<(Z64L#1a9f)ITVD9>Q;C)1~jRGlXerN{x&2O`=lLi#KDiELve8BE5cSb9_HK zxAF@|){>l;Rz>K#!Oh+!LqIy-?XbgNFn+w)Tn*lsl9?vv4Y4S^*HB9fwP-Ou=}3As zR5P>n8OR%A-xv0PowJimQ>yS5sKSbA4f`m9gx#&xII;h`1y-cq@C2Mg8_QulK$`5q?}z+SpqGy2)b~vpdNg9a-(1ZNGtu@op8J zP2a6L{@$o)jq@ofK!T4Ou2O%9$X~g}n$B_v8*F?=J*Py#Yj2!O`pjNM74#A7I2gvV zN{nJZ?jVHw^Jb|7VI+IjAgIP? z`7!x|Lc%n-14uCR*FRnpD~KXewp|f?;c7a#3A+)iwAI6@HTtdxUiycOPi~9-Tv!TE z^>%el%$T6rts5^xC&Vmde3sq93?$+TjPo-Z2|_6oNY@K7F89x z5kY5Wx+uC+Bs&kMn{+ITQJ(?oeK-zoiK*b26coPltrb`XQxIBzN9|tf=;)ey`{}Y8 zCYK9#kkXIpELxRKJ=OriTE$&BrpK}?zBz$+qIqoY>kZR;q~Ka5VJfRb=Z-|cX%PL2 z>q7~q`KJ*iLLa6aL*K;=T@0T1tdGAI59_;603yG(+~p5Rq+j$74Dhn6FT10I=NWob zEf1fmB~Pwq^8kOIEX>16S&eUAqIo$M2=Vok4gCosf40V2g_|3$EWAL72V%T!7ZhR~ zPo{u1)vgc=$KOfFErn6>RgbzcTHpr=X}k+7=y)9&VN-_cwX@hx*mIUB;g40q=W3F6^D;}&@$h~DtM2E2TV%en5a%s+M|a%}4R ziTAaMPFbB_`yv{g2t&6KTgIbpj4QYcHBmGx$^Wy2_4fHUqxD}}`mZbn(9~#XTJ*y> z3z`aD4$Vu2PNP5*QvX|)g8!M8{wr+3|4eNE6}I4iCbs_yTR=_$9tOP+r-h(1Uo20d zg<{yDb(a2H*aGNmizqbsg690m$o@-g{}r|X8pInJL#yFD%%T35*#0YQ|MjGhgxy{7 zfQIJ~Y`urSr&nLuhl3qFDA&V>-EU|I*;l>?`}*hM6UPs`zYn{Uhu!H4IW+$Iw>gNy z{@;-Piu+#>_VWJ+2us9&_BrQRh{EpeD;fHa%jOr$mgX$+ZPXJ!2*vK68&@E=ij4iX z{?41jpeKaA^Kd<;gl^7|ttt)9y-2BDkI@;ptj1?Vzi}0gJm-UxI9^KR4Mf^^1Wsl)afAKv-(48CUsCIl@ssmny4yZ2{d6Whc&n?VOIiLs zxGM~}QL3|)@uzv8i%8mjt>kNWUysygAh^G{$f2SPL{_;1G?P_-;F#5~?Wt4S-6d|i zH(g!sXP(@NoRr1Kn5!rW0s`Jbdc-y&^Yu=%I~A@}`1un*oDun4`NixNTy;KVXz4S= zb>*||G+1`E4nr2nx3)K9PK9q}=l#yAHe3%p173r|fjqo^H$RqV_b{7v1T;rskui`T zAo~q@fspjRg=!0Yl}+7m{f2Uf2n15n3 zE5!{l9ub2{d3(NwF^;Y2r!5|FrP8@oe@tkNSdos%oF=QYQKZ@doz3W2|L@Cb_f^6d zK8lG1t8em-v^$LF_|3Ef6Lha%0eyIJ6h_`d`Z19=cE{#@QhrJ#f!Q(7$n#z~p4czq=Nb-Ub}P6@!ZEJKSkR3S=D)6aNgXTceVrbK5hoW-A|qR!DbuN*=ceOqf&y!U9# zM%3L#fzQ3la5PQJG|y*F&*3U7{bA=nq@AqTaj7k~PHqjG$Dm{DeourprAg$f@Elw7 z?yr7p#m8<*Q+&85L(MlrO&3ZlqRaR;2m=;;Zw?=7K#xgd%>`G+LcaMK)^pUS4Krb%S>X_LDS)4uws|D|J9xMtGi8 z$gzxob4+*X6EtTRdlEdANo{h`^8S zVl*f6wv?R)GSMX3QjKE=~C*TRhPbsf_Jsviu5h`oW;%da#fSP(EjhinaGW znXlEgaabq!fd9>{ZNcgzPG2kxu?ak2__A*1w=xwAd*YxnZSg_eyd542=ET@m9OJ&+>XcQ<{u=(fAW|04 z7!s>#T_6M8`wY)psL>KJ6vSatCKbcnk38#dSUiWUtSKy&;sy>tLxNcEYhtH&JEfFx z`Bi#73jBUL46x6UB=y6+*}-!HpizWs7|-kM`|p6!t4DIe zCO}4q(xR*>LFFx+_E{eF#`YWZ3?KMfvTf05~O3$Tl2)qqja-9 zLW*{~P|2CF|2aVV^F82c(ji)S2^2o-9GD|VD=N&B_B$$4G*9qR3eP=gazw)+y2)-s z`uk23YySn%Il>-aB(Y63Av0J%(;;gF+MhMKmC--ytBky;Kihwo;U(mc%Q8Z%Zq_Sd zu%2)j2_nVG0@J_8jDA1w(51bXpO>l8maCqAm8c_J)W}4&;tSlf5RKPK?XNHAaHQhu z#U`C3W523>W=O%DvKR}e(4zxe7eowse*tIko)D{wW!~Ql_1s$rg>+UE8o@V)O&Z^U zZkr2#;oY%L5i+uM=r^bOyN+o3Qt+e;+s6^)Cp;cXFeIJ}XP94TF+~++?lmU)wNIBct^h-OJ z@fG97`;=4>;55uAFLx1k{$6%5jv@iesNWMU8yL1pc9PFOYuV#d@`*0kiY#OJGSx9T z*mZ9KRVmIE@zMB-PxmtgPU4NF{pE9HN`I@dUz2z^F_r|~0D%??gm|*a+*Db|^2kqB z5>6wDpxfYYM9d_{n!+x$N^-=j^yF+wojtEsZJgM&6mMfqy{EpNW)1`S*>kyxoFpLn zwmkn`Bdl@uoA`aKOcc&9{sQ`Pl-#G9A@+3)Uf z!&p{~2{krF1eC(&+3mx71{Gjv6_s4wPAFh*nOiw|$NW+)JmJUc&IP=rWkCI%u$m@F>p%gt z8s5QC2PoY3&gR!G^|M&N8SBI>eKt=qA`5>5dhU2D7?V$#tCvedqTb>JtUyxOp;aW;H{%__jlI*XCOWP9Wo0ls$VxZR;Cb z5#M&lWZ1zTDT#anC+RXZ&!qRpGYULmdnTP@ZfY4gFBb7JuPKxvd~}DHhVhy78@srv zUzdCk=qc%5*+a$CFpQ4v5qEf-)B5ue85M_up68uUQ^({yI7X!mPaEC5#o(4xGB8PL zMb@^CD+!6IBW?*`>rK8K0_rvlyb5YmarFo6pAU#cJwp{cheGn{8RkGjPr>`nG+81ewXoHn4!g$`KWtH?egJUFiZQ{;@z_8&xJO zCb{Z|lz*P0ED$>{JMfu(mQNS`BC-FkgmRth&GRz^Pk%x0&KVj%!F|x1(9xr7Y=gvM z;}8)wLr5xS8rF!X>G-bo$KolRkg+3(O3rScBp{>Fv3>7XR8_b88{Z=|vSf=_QZ2Uh z&lMaSQ2q4x3Px(_*ovk5p$wP7`N~%YcUsJ_0G{`j!qM&h9=O2#@%c5MC?u7aVMZT{tfP}bGjT=MHL+wvHhtmnoAk%u^6R3pKARkTerJJsm9&>| zO1_v3bW#lf>j(evFaB(1A=enPj_=e~A7)lXUWUfz;IfF=`+kTl1sB(9Aypl-duYT@ zHF9Te(TNSy8sQVB)}-V=Bo_|Oe+RzEgENm`l`b+-rs7hY+U}}_5c&m0WX&r^4zK)F ze1r)|YW*ZE1L0Y4V80||l9uHYzW<`*S5UQa^2z@C6Q9)rWHk?8qhd;Bl=c20;Ze+N z>*|}myrF*+`9)1TcX8Vz1|+w?318AS2uEVW^uMhg+8Eva{ez-v#=s`JJ}kYic^6!{ z6@T=X(-r*ud{cIei(k)5T+1?Yah>{wx{l+}oVFgwt?k`FK@(6mAbhFnl2-n?dwrXj zMLI5X>OxdDC?&rWz!51oOb3jNBTFjiRJV4oc65Ij+zl<*?3`B1ICwF<3DB&Cd<4+EN(t=7yA~TK`@><6Eyvxlrsz%zQQ4ACe{L+g@qGFQxX@!yXxuBS& zjytIP{s{dst&pZwNn8KSo`Q+JZ^DXW((nt}MJCra&mmzyhMemOh3UIZ&#cmhb#l@0%rzJTle~8F z{*88A`ID@OieG?nPVz0HdAc)~dQmThV`%C;8m$(y_P2c*4f7_|rcNOcai^V~U&CZm zpk%OjSAIylZ$A46Pf%~+id8tVom9XaIQVB3x3u<+?4mpsGIf0GpITerG;wn6n77ON zQq$7@7@RQWPaa$$U=wLug|zlf{n(}U%4|4)%*rlmG4h?|Kp%wbx%eTWD%mHk?;Sjy zbYyz#C-h6!o%iQu-Wa3OubLOY{1}c)%hWNxzUAzNqE%2;Jrk=$Q0CSyj)1C$wKHVU z8@nFi7@Au-dPlW(ZET?{q>P@<{JacF&K~@AhA*Z429({%Y4`_+MI{!KX->c?X$usq zkDybpvI{nGSo)N#a05+8i=5F-M3V@DH;#C!Z3N`S3qlH-P63t8o%82UsJOwacU7Aw za0ujd-0J3TzI82QSak8dhkwzG-ZkuBbBniwr9Y3EIAx6jbE=!>Qc5(BhQ9CQrWkqB=^txz#3xKn5nS)`+XHelL5O* zYQ~_Lf(vrDlvWC+r|jA_ji9Ax6_NZY+w0tt=7GHnRBB!YOI!Dd@{ZZ{JsfTCpmeas zBv-U_ghDPInbXpT!e^b?v9d$UCM^HPEhz2F5UnC;>3z%+oQjl)V!m)o5Ok90+wgsG zYafP@TQPfmPox9~P`(}uYdW&W=eBm-axg1;$MOj2*m!ph&*41J`!qbc4ep+D**FHK zReZ)}l9Ye#9Tb^|D57ki(l@?OPD$(cAq;d6<57#cXY##v3#;3@5cWSj15H!X&VS=F zU#S|YBq0JlVm@ti2W-Z*gdh zKdk&Z#{-;l5g)%j0gMuw4(W?X0G-^${e57;NQ0{deW>|#jqw3E)>g}DMR{1Jd)}C613%&q~we2mf-S;Y5ZOLYyVewP$ z-hYV%(?&`89I9`yX{_78{3Zs2Vq*5_EFQ_Lz~+_9XU}yE>_P{}W_N#|KNeDp0eQu% zQ1rQtH-1o9T-D+hB8FQ;;$y`mc4}p7$M?~~hM;I@<5V_z1XIoF&l7<=5{;f&ot%9B zqSMeTLIOrUO;BY#NtQ)I9ZvQ&ZbGD)ydAL=jq!cnqAzur()+F5nDKOaD%}ktOd+nBNB7UYMbG?R2*Zv zZxC^q-=+QLO3R&`T|H*k$!-8m1M5!`hJ-qcPcLnAe!2S3ig->HlyK@43)wjr&SFyn zrZ?8b@Lk_&fA8QfhAj|_;>pN=oOnbkt^XcI#s5NF16bwM_MRvjhDWDmkBl8YQ_1h2 zSwcW1F*ePe*utgI)(3BVViK|&aoI)tR(1~0LL*v-(4M|jiO+Q?YU`rjgXDBEddKpo z6vx1_Nd%N?w%t32>`N$)+$I-+#NvZJ+gcSMim9l&;1Ve5T~;(OFGIwokurbZx&jQ0 z!$0;6EF1@b4-sWwRyVGJg~QwTf${kx)Vi6uP$qxsIhSH1Dz;28QO+reB&l%V`@rvO zw+-`*{8=oGBoHryoY_OhrK%bvMju$2fw8L>q%q%n=fV&nj_Kpu1$oR|h+{%q0CYPL zdO*-a1?R%RKa4Iis;B}|W)R4Psurq;rOhFa+0fLC+T8wg@u8NCpykwZA0jCrAhinJ zS?hvRkQ@8>2?;5n<@+ZXQ1qc4 zF+WISLYoA%Nj``$Dd>hY^ke;lG5?A3R8Uk@c5rfbafJ$!m{3rx`#Vq1N1o6V^Gkh* zi1mX(?hLBJ2S`{1J-!&)O1fZZ|4F%o(hkc%8o>aB=^ieX)Ykp4sLSc;2aGujt!zI3 zpwBQNeYUcG^G{GLJUjx_2BHxRhLj6|%q|!V<-x9@8bKb@?;nr(82zChfE^YV4j#d? zhx|y0ba8PT!6+b;$;0!2(|G?A9Qz>BM4;;-l2Q=MG&ReGL?+ab1=Nsb7Yy+qkO|#} zKqk!A4+iX@dH|V@j*vcehg36EsgT7?gF-PMqGRDQG9fVsf#wH@T3GxL9ozTcpm`8# zWGEK~KRgVHOxQQ*7dbi#flR2r|Km_+<_{l&RG_+iSVa8#<_3bz{~^u)Nz|vCS?R#MU5U z`2tZ1DrM*<3gkBbSv5UK1?wNT`5-s7v>>->5F{HU5hMivAe^70g~USQ_diLoMo=6x z)KHDzf1^$4_^F55f;B*&Zp?+@H9eqih;_HLwRd)QcK=*lUN+T-TU|Ak!-x9QgKFM{ zy3*d>F&cD;VPS2+Ng+JIX6Vs)56$2k!LS|zWT7x-!hhnJi5};|5Fy9;QDFh73-<^x&C;*uZ>Q&TkPsn!qtW1A<9AlwOU(|!*tG{PQm zCp--GAw~9|0A}bb3()p67Z%)#zp}daYxDf-KfV*`!8xNoGPOWKhwL^MHYaDV792Ns zp{@z^>Hr7hgC4~X{avAeP5}YI6{t7`O`0{Ko!Nog~)!gp|%mO)Ov&d3ij z%usNrsXZd}{G1bmED@lCC?yw~65t0-34lJP8$nY7f_!0FxiAEXcIH68veJhRv;iLk zKQuIK>WvP;&e4C&_RQ&-5e)wP{M^*V4-R(u@M?AS@CtPe{Xh?s0d8)gHt(SAegy`D zUU^_JII~rhhj?5lVwyUr5XRyM3(CZTIP*g{;SqYJ0clU|+L*Z7fh_-ji%%0MV%qpMQpiJ}i6|_GqGqE^3kaa;01rvIP$g&9LwVj%=V)#7 zgF=@5V5aE^9T0Esf>M^DC-*QFAQy)GkhBcVcz}AsKQ9x*yL<2W2BXbPK3CN@$~cI3%cZVQ@4wggJdS%*L zSOZfv%|Ux`%v!IZcN}jYKiI$?%r~e6!4Il!vuT z!^XzNGfgy&fg>W?fJHreIOItI4fLK;dSrPJx@QC-+}{tZzjPN)T4n_XG1XiMsajs* z$U*hLf}xc)A@4qj0CO{qMu%j-rzeyM?U#|A6NU^|P*8>#dbtg|-iEt{m3G0(;NhWn z5Yt?^iVEvz$kjE_T!n`5ppURA6Fi@;zSEBDZWqxlb<)q=hq_ThiKAPsUZ3qCc{n->K5hcqS&_oWSYj^wbSGST2h z^TyYp$m9pwUr!MLk!u>h3^WxRg|hWO z5`-n%ov2xPhkhRW+1-e)3w?TQV3mN)KmR>P0VSzCy3j(%A07&y$Llo%ucKA^vPPA! z3IGR9;@ONezFL1Elh3+Jr{a}In*|Dyzjl9mE#b1nELC1C% ztwJnh<;7mbHi)(4HF5+}Hcch(kPrjDrf4g;d#%WqGn$=tzN}i9Xh!_b7l}*)o{MJR z-q4w{Cer`VYLGGl85S&UF~7qTjZX+F(h$C~1Km%?ISNlB@cQ%siK;2uSU^eQCGXuA+y@A{;18uv4v*MEA0=w{ z{S8vPrK20e%Wk4iN2J4pGk}vbW?ick`?H_!#0C6J&T7PsfYNtg+XbB~$?}vMdqof^ zQqtYgzxTfPBA_)X?2SAU7Q!Zc0OHbhz%etgB%3n`prB|S>y1rs$)fb4iAr%CZDbHn zY=H~fjjbV#_tRzpl|_#-y|+={dh^V4AF2rIyf?9BZgQYXrn`Sa>K1w_3G|SpoBX~Q zbdV*hHzX6C-H{lM<8UHZXpVs4Fbs3bpMakBcq-IFuR=L`@Mn$SLgW&kml#FtG=K0; z&*a5XY!$FwF_!=8uhaJ=DKdoVi}jMSm?OT;U|`&?vQ6aL@8)fQbV1k{C20LkHZa4) zk+`TiwB_TT-s6{y%^sy0P?**fc;#LCdl1Rjr?|vq>~DBUo;~#$d0$Meob1^-N+;m_H|D@i+jc)x>gtHNURN$(8hrC*UqL<#nw+ZXH8rh`>3#2g(|jL5 z=zbdH^>S<;xB|~p9|r%^Pc|-L^ZfdVPjwLdpRIP|B$`=GWcSp_U9E!r!@bv7uIo16 zOs|J|hyol~E!{*}Y4YD96;D^Ez*3z|JB4R5i@H+WGrC^bRUqt4Yn;#XYNAmG-Z2Xd+K1E5Y7r55*tb z#~RXot3A@DTo`b2EXU$_R0io8H`C&_e+K~_i}-BIXV*uBCz@A!s2r((KKYSh1Q{m} zmLk1kq`BDw#h;Kc3=Vm)@>LUx^J9Bidc`FLw^O=x2*~gFc1jqbtLY-?6Lljy^O}#{ zqlt<(BrP>;;;~=Y8+N=c=}sGTf|jK;T-htJOF&3X5!+R>aAQoDN_#O?bI+{c#f`Gp z@y!kRqQ2VO){Kq)PAlWCb5@juRMXN~NPa72)mSImAtx^a_V3@J);fanraud61p7uO zeTD?w!^Tpg6)vG8X;}Om9!`r{xV6pPU3O4I)|6Oyj{sFfbL} zQJb8-UAS6aIwDJY&7pWaL|;GGtzDhY#Kr9ZNppPF-(2mqWcz&oRtUVQHs* z|1xv!^0-yI|DFsm27mPZ0S%|$9vz475~+Vj!@Cu z!c|WB<1UN}!0pxq3Z?|)SfG*Sd_{eI1>n@TU&ktzt$C~6mH?6}{4j$us4>$|FA3g^$SE)}}?8~!P;mCby z`z(gGVbJUaBNoqBi~8lL)_s@2^3Nchu~!}`O{L5i2Mq3BI`i4UxYHUJ^VvPdqxS56 zP%w)hDB9%zp1)Mb&S*~;PT3^V2;g8Vz#`4@4 z`7e)!o{^uiP&5Z)s2tEIdL@dr(wFqT(wSMUM)IU;UzW!J7MpawqkqbdOrPEW=`{$N2w{L zqsVhc+}d=@0U#(Lu&0}XO5s&ffWGc{B$DQr7G7NW+x%o$F{i}ScmS-%&+UG6YfM|? zj>W9w+u!H*o-m>y@@~vaFr8n0_Ui&j>Jk15ZFS)rHk?<%AaX{x+fY`D>Anj^xe$CT zU%6!odWag+HX}Y1Vh`?|g!QYrD_Xa74Qj=be>@wxiOXJZ2Y+z1#_z^r-59=c{Y`n5 z6YjL(;xH>&ua7d+&Ek`sNi4%P#tuAuVTpCQ@O1F+^@bnc@Mw zIf#*EKDy8^*__{dTQjriqlL#|6RDvP`dsJV{&>O*ce1$S=u=I?NrDXtaHGZ zRLP^%nngX}AIxTfm8wYCo4gDN9xo$Ht;UPY--WBfV@V z;@W%89hP;+)g!c<*m-lS;QPm8uPpO`pEhV5SE}~QpZ}8R5VOhEMeX^3 zVXpReN8}2;n3rW;`^+2mDzV#sFK4Tl`FkhkN^eyA&W@$x{Y*2Q^O>{@y*%SzC`Yo zwFMrf?0$1Ea>Q^g%Z1iVhD(S>T%e4^<4zb5{ch1^ETDYxX> zRgL2oO)aUsAOI0QXxQWaOD6Ko274;&3bu`0G@ay)=v}b8A_jG(v6z2;reo9+>EISUj zsaIhh1mcJXUuO6Xv_49~+QfJ^M6%|(TT0%9V%>#o>{HDCaReR)SLF*&Vj?XJ%ThnX zzxXTK8JmGiF8bR0HN`o>l;RekHh*V>i)In4{mt3l`q4NZ@SXm!j6TTEh`Hlx5cTE^ zsJ)MRIvYddTCrGn#U6(+B|XFKua)$C8>7*cBz4rQ3oOhCM6Eezp176Z`p5iu@kBzG z;15rFH@$kJu11asYTTn;Bxw}>i$f{m+W)hN{hx{Hzrqy!&%_iu_wZlB6#UP`^j~QU z9)>0nk{9$(i?V$O?40J08 zaQ6uJj3g_2aIou#uiQNBZXb4c58ng3e|oa=8Sei=5X<~uAQlh$Nb@j={`dLbmq3H3 z|JU7eXt@2q-^Kag?t(X(*12Lr#VKx7fhHa>hK13DUs|>%msyI>%j-|cYe|3%yO2sz zbt(Z@+N;Xkr$fc=$DQwICP@h4zDQ<$^M``)%goH{9XRE}aAOoYQbuC%^Otmp<>f2EVM$M}a&o^agVS8II6 zl(epn!Yv&h?z!?mz%Y}G8#%JGe%vaJPJU;w~A=I z7aZT3E|7e)X{cQNOg7a(x2EfA=>N8kK3xza7s@cws|QS*8O?Eeat1m3?j(qRsFjbca#hcE} zf)PFSya_x7^Nc1X-s=7lIG%r)i)7lbcO{fzK$1~i<0K`mP5A<~CS)(lHGvs*F!Xe+ z#M)3l3oS)a4gBOFmPBHkcqgLJQ`%p2@_EnN-44#|_TJ9O>2>NrK{i029h@MJk$ED+ z(K>EVwKYg!Kxn5T{-z~@{p`mfJe@n>48f5yJ!Qk+=u@RCAn8(%cI-fig|wT%3c2m`}1IRmwM#I)0;wdP@Y6*IL44fqUEgwPckevQW0exWy+y{=oVOvG% z5zHqYc);lO_8qSy8)K4zY08`VNFj-L?8q>cN4Jg#Y)afg1!Omwc z#7`T0bhPa^vw*r?jF~1Q%S0((fH~XPMK|K~jWBj0HtXyzw77U_}ru% z^5;iEAZqt(vEF(W3ZHMj;+yOO&!b@nGaD9}DRlwSHEN_7W01g3xcZavL_ytwN{Oea zmpS_V->4S`xo{5hM0zh22Nr?q8BLSIh$3}w;FK0z;rgaRKwDu?*gIypt6+At{Q&^_ z<(uygN#J;tw(lk{LRd=l?_UoJdNZz{E@Q2q)dX0AnxKtR9Q-7-XXLuMGG5!cLtQBY z_i~5t3bJhd6K=*~pq%gRF8Y1hzC5xtU1`g~YLYy+QT)tu!5z==*Vm~=I^gZq3*`;& z&ztsR*T>&}jc`1%V`tUk{wdnx%DR*_soV${bmV2IZWY?nQDt~`%CR+Be(m>BR=h;k zsB%v)7S2ZlDt=g^o^AUCG^B8!Dgu)QX^LO^lAh>)H1qo5QZ!(k+mZAdhxYBiU(X_o;Beq-srXlfI2X61D zWst(~R60pafAdE;mFHfG&X!oVI0A`&{@-yOddNIzihwQI_TF+0WCLPP~|%2{tj#^s4dT;QQPF z^GG-Tu1U?7f?v*KK?8?$O$)6WAb)Vi;u)$E)N7w?>ZU!(85t))5eE}C zzmdbaQ$)6%0jz3Y$(R~HquB4N_e_*0&!dS@i5pB(7|A{oppVYH2n4?{#&wxq6m}86 zJ~3!!d)vpb=4bXjf5Jg?Kw47Q&=dh2HHsMa`1ER>=mz!=V#qL0Th5xL{s^pgu=B>H z`}OP`l%tHzvy3X12uhO#eb?Jae^o@QH~%zj^vMjZGYeTu2*AS;VXH@$Mf4EIkx}j& z-)7h6>*e8)p%c1-ho?p;vj79UEC{q4q|e!mP`n2>i{KDajL6j9O^jLiCtPD4*P4SD z47V$76tR?C%Mn=WcVg93=ldEfj5~H(Qoh_rg)Rr+*Q-(yG>(O9oTE=eLTY%adI=SW zC~0phhVbrZJv+|TfRwCl<&GxVU_hXDu6D`$p$|q|IwA)u29}BE-TtO4nI{#8o z`i%&$A@-Q%vZbsl&F@Fp9Nm0~UbS?e_^CkdongBXN2EZFo3X2RQ%$rC==6n) z6zq+Rix-3dR2&l)NrrFM@azp|j6FFPuzd40#gRx{MPned6E|B(>e*AA!HDKj>P8G4;G@qhA= z%n#fb_FovTXK`+1Kb6^gQ-RUra`hax;{->?DLEV2?m7>yKX>3HFncTV@(XrG&=BbK zhdb02zmQyADsc?SdQ?TXx12D?qmB9XOq& zs*$k`DGvLw)h<$h>=^lVNunO%9R4F#m(2VQ|M!ns0Or8yW zkx<22G%QLiyZHfBusXxPB_%Ly`Z}K^9}pgv5j=lWDjapF=U=sc_x`d143_#7$Iofl zioP-dG8*I8ibc=fM6{;)@}$K~TI0i>fT;q07f~jbu%=87E(LcSe*zzk7iIuIo29d? z`^NFP4e-{L({0^ztIG;YOzk3X%75#t_XdqOA#U8&?JK9P9!{&$*0 zkcNmc`jV8L(zX=*v0n4H**kymL!{A5@z!3@H;p@RBsxCLMyyQJAX1@n2|@B^a+tja z*l7l4x}U-iVBFI(OV?m>53EwMQXAt_q}}UeT2o#;0et>9(Y5#D$EW6+ES|LUqd%zySoUV@f*F zI8{PAIC$`L0^>l7g;pP(eSmVR;dxcT_p@% z<$INwPc+dW*Jhg4TNNqF-1S3NUi0B4F11oo`y+mlnDpGDy2i2bVW6TryrQr?(%P)^Axf z-bN-J&U@?tzu{BiE+e;t}fvKr(d{liSEMxD}unOI3%c>3G5g zjs4hRmkKRh|BHetB;N`Dn0`-Nr5PTmBoj;=!x22QOX;3l+5HRmm|XBp=0_y7rdFJ! zZ}UI*0dr#I$nGO7UDvAC_R0F5zSZ?dYCXSL&~S;El-w8%%)s}RhUfXBH|!nOPbH#q zR-_U9qGD4D*R%st`$X)c{zw7au+1lD&$Yb~0;6WB_`OTdB`9L+Ma&(7Ba>c#>#bu& zBD-*Qjazhn6RFS00uXlz>`8#vw0DYTCefvdU#4^m0(McUxhwleIFEG#KY-X@7^Hlv zA-OjQMEpV$l><0~zqYSP7@p}@H?@C8M#l$)uXK$Uu{n5Orex;z4vwx6`KJ#p5=d$~ zWtOTh@5lIq4kXpJO#PW%(w=d>fQFX-1sM;Y4VJ)UMK%35&J|xqfP1Ophjn48g%z#B zvRedxql}`;g}v)1T(5(M>3K81FM=%~;U1NgRoVD$bMO2S)>95g-|V7!Y@*Qkyy7pL zI|tzE4nx(*=^U_yG#zN^d1qEMUEC_d>3%wUIx$4^h|B~0LfJrnrfVHr=#rFyqnB2- zMmJ*YwS)#jGD=Ydor-<}65iKb(*nOwnArUW?%~lAlK20@E9!mdA7zuZ$%FV02y2>J ze!?oN@NRAs5sN{g_XL+tK-Z*o^5llbxJJ{$CrH06*?Q1 zK6OuTpOjxhBm|xZk1Uc=$(!IPsXq#>n;|4IL3Bf7Hf&CBipKLqWLvL_a^HL7s)8N!jB0$1~u@kf>-AcsP^&5x3 zse79Axm|AkG!wsXQcly4^*`X6kY4Pi)m#5cUYVxRRTu%Wf_c?6E}c;I+!njSr=d-N ztyi@-qvOxw5UXhh6Y8F2mU>$VyNw^ZNk?>iS<>tR0tys8hJQkdsrvor*z~;WCf&F2 zC~=JoSCV#N3B_$gfG@bbe{A{qhPbl1Yn6cc*=w5=?D*1}rmyH4q45ph(LrEXX3MT+ z6f0wpan~|rDQrsDh(*!xcx0RVFBR|5UjZx1GjW;L!QVtAzA-4Vc|#L(0!bMaU6X4k z=+C9(3_L+lNO||j9R{n2zNvFaLPd4Yz#0jgjNaSI*3UiEuc5T!tfDW}0ZWrHI}*C-+q8ow@|iW(+f-x9O){*cv( zcmg;p0L5Enq2%V7oY6(YOLJdLfJSRTX?TPt^wM|Jw&mL#G0>mYo<@CTU=9!1c!X2? zH&5G+Fj*y~10zQcF6o|&s{jp0_twovPn66ZKmWMGXW=*W4T%_`l-FwizJ*n=ibe%q zdG{*wNj${fAJ}%>6?_ZAbUqU#BdPH~NTNP&6Q_spAwK z@3BqL@ch!LLU{Jf3Z_j?6{3PVI543W-D@-Q^e|2xV&L=A709AAa!A_D|MX?`F_VC_ z43NrOsjF%WpxeXC-6ZAnZf4Q8`~H}c%gZMqE3a%5kr?nvNGrJH)DMnq5wUPfXn2Jr z&*3xi2uN$1_yxq}oeBaay}H?5VT)3Vv7e7#{~er|ez9T#j%$~Da7dzb@SiDxkkC2` z{@@%8sduh1>8H3vQ1+jF!wE_yP2Rq`F(EWR;*`|Uv+z{W8tSiiq#_b8{Swk^uvl0v zJ)>(nyHk3nzzjN}n3`op&A=iqn}m{AXz4TFuCF_P?j(}CzYg)Jq<}0k`j}reqc&sP zcL{xxG_w5_eZNqc)Lf=6pK!Q+Ud9ABMi@b|{DyvW$0R0>sJNU;9;+9(a9omdc8Q+{ zhQ~GlvXn)1(%1$^Xzvl9guKbOpG$WxX+N$BWokD8y&UvEC%U`m;Wo&pJTb}|%P9T0 z^jQt4%&viNn}v4@-YFm?yp>Jll{PT4 zat)4Z8<|-@mrrU@QuT?59@@4JA0Asdfg_X}kbVUcW(lKoA7N!aF<-QdXCCWQaS|YS+m6Zci(+w`#N^R z+`#|m=bZUBG=1~;AJRV^kT8p@f}pV2+D`NskVqa= z(t+SFbK4hGOuYKW@B9<0zuv*&Fb2-e-sL{A3d+t;-G&34(&|=imzdcl8&m%eX>T1C z#rHmb&(hr~$e~39R1gG|4hcy?O1evw1_9|7NkO_rq(e$11tb+gC4`j_1wmTr=PZ7{ z-}n3Xdp_6m&vUM8X4jQHGiR7HyTkpuU-#za&lI$GXMP8c!G=LQF<>V%tYVIVJE2Py zL$J<%-P=EbZ0BhKa~xcVbm8E^hL2&p2rw%`GYEG=RPbMLCpkHWU8Q9_tq(3N{1@%S z|0^C86*EKV{=#Xe;!TWrP+I=B9){h5P?rs+*<$*&gZ^(JgWP#X)y9%AD9hK3lX^Nz6@LS=$!r^p(VcvxCGME)<+i32&c zM{#ifFi0oH!AXQcI{zc;HAATXihAKl(=u{kiE#|n`8p6`fmG+IzZRM~PuWf=>Fqwn zJ7LfS!o+aUI1uez`vni$`uh3?1`peW1KWbjb|41r+}(v7>84{X{kcNdpa5^$FvgB0H*^c1;MW@X$dQP}=we1k}ginYtj?Poa?PUX0 zWDGtg#>hNzp_DM1Z!&jkojV}MUgJ9Uz-tP-D^Y$o@Vq+#F#8#)^e1wG_XTHwOFD&BX zhDKAvYVAajriLS?I~B=5j#{|T*2=EivCs)6CZ3C+u3ZbgAL@<`CzzxetiBFUN(fXd zD41De3^K4;*$}|V<>2T9>1iuNJdaasIu-tCS}r6%F~5>@47{1q{vWv~8UnsyL^&9n zZ(bf8M^9)B*4wvlt-K5GTR9VBsMZe?yAb~T{Rj532bX=g9OObi78`?p=E8s)Tu$JN z2z)V$3=12FfRK;`Govo>@$rRvA{VV3$%KTiibF2i05Z|A8JQv7j)+O2Jr-m=%@CYZ z))USNOH0fJ!`jBq&feL@B@D`+AH`YOQ~ig7h9iNKB`X(m;#lcpLB_ND4)QwGw9q0K zg>=9j=!7;|2zkOO13~bfp5DHp;Z-XeZ0N3Cx4MmN6>5_1!1;kezK;+L=h=>6LCUio zL0I9!mU{|$p5eeW4C4uf*4!A(^Dq9*2U*Wh|4>DZt3ABO{}uVP(|c^YP=SP+1J+Opf`enL(rfhi}IHjy3Qd)r%0=_2Oa9a(JHSBGfQ% z04i-sPr+_@(!=x!#w&Jj5K$|&P$hhLio@~9SVxv2c4!2Ca4aAa-;vT>6ynrZj&i;W zci+Xut{nd!M7Q0%;!+DWu`!teR${2EEUSwY7^)f5^78TuO5sJdH?sZoqvJd3l^M#e z9UkAoT;w};-*>`(+`nS#JV~;tWq7jx`LlnT6L55FH-HZ}jL8VVwvxr-JjY|#kMMrS z8b)2s_M9q)RE$qNL;8%)rk0WBndY8n zbv(k-^6}qTn*zr!MKW!&)F(p)kC;V%#5LR5@jF>qunTJZ?rKf+5K;keWEb5Pspyj< z>!*)>1%IZH^dYeggDnCI#*%oVxj{z2jlJ$(w<|*!9yNKT&{wzQs68)9EJuguPQv3h z=zSJTz)rgc4BvBIIzu3^x;n);%x1&JbFN08>Flm!Mgv*KBG8P;MB5{&`D^)OsrFA? zvK8A4v#vvQ)vQzubw=McvF&c*7j-u znEMoG!r}i#iA1oq{zHRGfFnI|#GAWU#HAC9ZeIu#B?4a!13$vrA6SPXpT{b6yo(b* z7W&|^ztp3bN|XZT#n(Wv$5|co@h9^#2vfpZ;PKPDoYLs3ok3rXR?d`%{akyXK~L8; z#vtP&*6-y|u^k(l?dZ=xezH2X*g1Nw zvMcO=WD?Q|HUJOb3-OpzKP$H~m36AXPQc^Q>#JQar$g$Q3$LOYooB$__muRh7Rj3D zAL|OAcX>bHed1JbWvO>DTbPEzrzJWT*sLEC6U9yl2*mQ)9QKL*X06UTC?1OGGIR5K zlciCR1U9L3;&-Ml#hDfV-mSm+WLJ_RqBOrVu7~vJvsVeZo3?<$Mfp5|w~&$Bal5jn zi7TluiPAu= zwM%H)bu!l;9VueI@g-n3t9IA8Y~$L*;HSw%9v=%)(xH5$ZW6q| zeeVV1bdJh}zGiRLrt3tFPOE{z<#rQWeXvAVEZ}K9=9=p$-Ki#K*oi~Bc5g+wIGmhi z=@(s0Bg-Xltp=B}z6I;uYZlhaVA+smn>T;_>9(-p6WXAFOJ{bY<#4D$sbwzBm&4&F znF~yffog^LovB~b-(Jlfk@^!V!ZE)g4-6CwY&8~cH*rhuceQS|^E8INZVP@=VRQF4 zDrkUyQwT)l-Eg=|*T8;VAu|8)zIil%NL)>*#GlSAq14hB(jM^h9Zsy4&j0crLdZFU@v9fI)= zz-dvjao$0hjeOHutE@d5=ue{V2|DDLZKy=oWv3S10g(ZuB@XA>1HP{#{tjv7B(?7! zoOkpz9<=jdir3BQ{2!p)I;s&lfz$<8vs^(DQ* zP6kuGoLXK(6bs>#w8YF(^pl`f^~(-;_vT6{KzmQw!JG0bcW>#+<8#5(I*mnj+EY7$ zY64fYLmah?^g*lk;8|O*y_+K{7n&UI4fV0BTRbj38y=RZ zE5cgT)2{|R^Lkj~e@mX%dfOsIuQZ|va0o~}RVCtW+9#T>*##M5Yt?$jSr1)R;S(-qL2*%)cj*9-1xybG^HqoTuEk92 zw*|CuzM$7}2#C4Dtn$buo8v054$PmQ92plk<4e6>Lz$0(*VlxV7?H|gBu3s%BZp96b?mfvYYRZZYi zWrd`M;S$mW>UaS#D%a$%z}KQ?#)0PIykGJg@XZHq8cp6Z*6!S|P7YFLP1d^+R8#yJ zyNoYczd05i3b-O~Jk`-HqS3!(+ljIgjx_`lMwhqdiH<3~2hQ4jsss%eUngd>e@|$9 z8!7odUZT~%WAHn#!=$KPYo2`SSEjGvqo&H>f?PO3yaWHIZn>EcWE1u88`+8n#axXx zZzvs20`}qigd%Ttl=^uip(RhyK`h#J=xHdzG~V)Lm83F7)WJILlPb=$u;eDHxBwbv zaPl^7o=*IZskG#;RU^qrd0q!xYnh#)Ka%Y$c9&3yB$)S4bLiz_;Og2ts_Ht>STP{N z9Z_#BF;m$*mn?B%7Y!~sT_lltDOenSKJz9M=@KEHQ^>_?i3YdcFXgT~+NdVbP@$Xa zvUfM0?Cdt9NEcJ{Q8d?iS|?6v6jN3N_s6*3fYhAfE@M}krkzk-uB1tU-tQ}_D8CtF zMcFXhJB4j`%77P9AX{FKK*#`tNSbbuOoc>k*WJ~vw!68!f=$c`q^!XA>-aE{4yObw znJTv4?U@^f4{jFnP1aOhDyktYs&APC63(d-to?_^)u{_^!VS#GTM_(c$Cyv6tPl~v#1sCH^| zTWD?z-DJQF75A&m&xHoOt!CE&I;5~#_L+IDfudmNZ?*a}cJpob=80r$UweA(I5=g# z0Aw%Qmj&Vr%Fagu)6XUvXWbpOjaSu?sQqFq5(%5xL_qMqph6^RSb3Rau(L1gczD+3 ztx*<1xq}Wn3nRBE&MPq4EPh@|@9Iw3U|T3wn*W+&_j?oR&-9h`_&k@=84eOb!>jwF zyvf6hMom0PYB}qrrmr$hP(@{pc~9zRduGhm_z}p9y%fEx3_!^W5#& z*G;`u(=7ut+@j^%)fDt!^hHq)KUP;kUtE*Y9F0ERXG^=ba+`K8^vx8j4uy1O*X*~B z3-`a=1??tWj*d2DIo~2L%HJqOk(`|*HgB8NBsR}KOqAnIngOf6_a-9bmtCEQ{RgY! zBCUg-`kr$~4n(N8d{eL_in_o<8)QT3Tf%mU>86{69m@%8o%nLUjDrg)RxOHhV_^oA zSyW9nO1tq}Jk{>vWi(UcyTWy_aQJ=2Q%v=_g@HC65P9YHO@!PvsTH|eB&T?rqMWml zG^^DNJEP}aQXs+1I;`hCWQu#@^D0oo9{*qo|U zF3wsf?9j*%Bz~{$ohu_KRKs#9&8jV0#=8zgMu`mV+?~3r*=7)uIp$RzyLD zeUqoz4|QU&TAX^$JCv^}zJkp%%F8Y5SZ9@DBrGD|5Xs@oRM}PqyPu+ySth-dmV)_9 zUpzeKNe@dR6Q$m$PsgnlqmiCF24+0?SLgnS9A(_*FjH^uTfGxw-|b%`ohFmH&2V#c zO$Y=FkO*+g7t(|ssSNkuPdF3$(w2jwj7R%Z`iYD8xx@BpX!K&2$J9Mz zL;i6{K6d`RzEL~*? z$>B81$DX-g8Avpc_=0U@$D)!Q9GA~3A5!YZUyLY?FwhoguBUFycrP;iVJA>(pkRM` z3RI5+&AuaMgkGy{(lyC$$Z>@6wS9l)K)Z}$e#|0GCwLd$po7odl*u=0D;Gj|Ls*>b zrdRsqRgE>D;0gXH=Lk^TDc!yi)mrm(Hue6IwN8+;^VrS>(qGt_!CZHqURX*6UoQyx zg}tN3Uk*~apOfxv9#XCEy6)h+UZA~T+v|-N1*BFj4>Hn+%_I0X1=ok~(0C*Qi9_eKp# zxPMFH?rqcu^-oT9KMoRX*@j?!(c`BfRQau(%O%(`J--OC`;NYfC(u`VFuqK^jek!B z(Ao8=NZpSNluOw&(co{SN)Rl4^g6W9?&U{eO9i9YN>F9if39$oEROW(GJ}uODCZ-K z{id$g-1g>07QX1v+isvZfo)vnm;O*+e8%8Q0hh6M<^%t|*6k0Ew$1;j*x=}Z!r8=g zk^7G8mnGM-Cn*~q)V+=5lDciqLRIzp>JUrFGZ0qg>G3kR=HWG;yd~p<>LqG}4dz;` z8LAa;hwnPHL#DtfWhhq1P_|OSKCDCNLUmgb-e((B6&-ztWT$M&1&0%08cZYbqQ(`= zcQnX_L(Qo1yELI^o+bGtNyjta-;HpQH35E1cA*Q#clL=;_Wh?P9WkqcdcT^p6 zbc~saRzMlrv{Z`X?$O!RmlBHkLa3s{T5@TjbLD2(Vjl}HxE=tsL=(Z8Fj`wR8T{MP zeARUQJti^?gE^`UVcaXF=SK8^=JMx>r@WNmN`}`wtLNRhx1FYVYJOakU^0uul}@pa z2lw;k%(t!Cm2VyHzlbgnOZ*lyUiNGY|MT%dIBQ4ftfxFhRHxzf6emLnUtat} zMcVP3FKhEcfW@`J&sQ$$FE`SBp12d7C&T=4(HQJRcI0K9yyWwJ;Y;J@ulGAoe*ZXm zRcl|fUdNQv(*H4d)AxEIeDKie$)aY>W^$1R^)cu(G_vGsG$F!$R6rZXvrhFL$GB=y%a z&o=QL<<#F3YrCAt>ouM4p_^iw4~#WQd{})SpG%f&e(b@`zE-B$QjG}LU7UEe-yFrZ z_7RkGE#}pTXid3oJ8yZClRcFamrkgo1!>L>w+Vp8HYE`Kz#ERu#*6K!Z0pX13@fC? zJYG*ys!RnVv==2Mgdc+JPpUWa7sJ-d8!T4T7*|KBs}*)44}SSR9FEX&7OLF^+=>mO zrNhAwDaz#~GSB=tQ1BdVvaqtfzwzXE;h9BTU9jfVSEW1g>yqLnb}M=b5A$jV5zDS0 zU+C(ft%0dN+!N^fESgMDhNDJr+DZjUY09kDkQ5$UG&w0AqX(p|jOW3k6wbN#MmXF4 zxtdAd%`by(%%c+jq)23cTF@2km|9;2sh#G*bcl#y+6o=zt=HetTWoR$MUR!-WmtD3 z@B%w?L8y575z9>L#IGkeggJOban)H%D{scqIynWgCC?ctUj@u^Z3&6X`1V({JN!N0 z%t^7$F;r`h#_fl+?0Mh~AD@8muZ7=&NrgKlJl#H-EVtYoFNhL*zJT-2jzz;Uf#JCy z*x7C3BabDSCgi=E_!TEHy7Sf~A^k#en|>_KvE9o%VW8zO&vB^cFnzPiCT8MpqCj}b zz$H`L?D{X?NF&DO9ykJRtagW{zH?&tEquQ%9sXGkYjsD7jj-Di5r@1`a(Ar*gF|>W zD*^N(51qy&(AVBI$M`Fzp4lMc6WEZ@Ep#361lzO3om3((sqjAT5ymN94qYaAUivX| z)4VUGwMiY3%4B_H^^7oC-L4gT7aFi!=sY5%Sk?P#<6E zTrL2Q}0tv-G7p;N9f-CpT^Nodq4*b2F zk(!?sW7@l>cl{PbKY*Fp#)JTn5}r>d8)qkWQE8B=^3ST}i^o}nw_R0RUGnu^ynHOq zf)7jHR8}3!X52{?k~heT%Y4|#Wi+PLztuffku!hxCB$LW+Hrp@d@4zc_)JlW=sc(sAnGP zxSIA3b9E(|&A+j4jqkh(s1IeO=tHf6#;Mh~yp%&H$LBA7a4HzcH+W?Q&c-WUSSV;8 z@?1LG0j5_M>&+{k#c~?DJbQFcKog&c@t`v8srBSJx|zM*c^N<`UM+a{9AT$|c>*s9 z&J6S8n#*>KN^1I2vD{nPqcIggpNrC^LG_5MPA2Mh<$-77+IN$yw%(*M8mc2t?iuA8 z028GKJA;>38XbCP0*Ls9vAnZYutT@Gg40AVCCy|gCjndmQvHNuBXX|aM8wsWUnFMZ zrbH{~_~ZHo8CknE1@nLm+TqK0iH6ae!>O+XaK`7Ec%O;uY_P3weR~<}hVapX9}d1a znH%rq{d~z%GIz49M8{3C81tA?*=ucT#!XsZf@BR@SoKeQNXpr3{H)k4!Z= zdbK64#QcdEel%$!N_De#lbG!C$!E=hB@py1(^UP*0vqozF87N!ZRO9tfb0* zMXK`S*1P6#cXnHAtvgE+pJP}n!5)?u>qz7*3gT>&XpdeCk!~B*)3&Y`NseY>y+(xW+d*~1#LUr|6LQlaFf#S!+ZMwO z6PNQ>L~m>ppVKny>eq3bT@Va?M=NIKGz_+5X4Ul@^g}q$B;IiHvo;%&G)$%0Ds7L0 zW!43*4;lcYhDJGoe*2A)ozTY}JRo6cTzLGFqm-9%f{fM5Y zVBs;d3QI~adHH^unN!=qJF2{L1WfPIxOV*}AZF*`yY}RRwOzvVva0scN&eaVusS~3 z@EAZHIn%ie(fq~hBnl)l7Dg980EA_3@+TDF#{%Vnwic8nh_R+$RH6ZeK z;1HYe?N(mTKK8YjZ>LUf7<^;6X5@Tb&*hwiR`XXtHe&y3`%!~Veaq`%{3{AC@hSz( z^G2>$i^q#c%7u5G1=>qk^2!LQPGB3MfP7?A+vMi%J}dY6rrr;8I}kQJ2d-RGG%g+F z-p4Ls6wUzC3oD+euoOJc1EN$=7=J|)k+{Mv!{zYkT*mNo<= z6>NHLCABRXQ856OqX0geASt|`H0Sxu+)JkRxGb91Z#)Qif~{IKB>@Srf<3*SdC{0x z@7>%;&t9y9eC~Ns-;nyBL}Ir>BVwO7gNZ-KSVYuxvIfq+akVSI@x@xF7V*84U$iX$ zk^6GMDhVt-UJT;gwhx{D>wa)oYd+EBpLF}ENA+AC{Ie%9?cGnH9?03Hey@i2T4c(bAG;I`oWM0a zwQQD%_p1GCcJ9RL8i8FDgmGIXqZ?9g>+1OpsuLBd-XS&W?aE4 z$}HmL_?E2I7aDM#YvG*2Q^8^4EgO$?nk+tXN|t(hMiw6x2Bq|L5_>dg2I=#BHk9A%cWcAE%Q*bL;_{GG(>i_f&FEAt`qr9?aZup-Qexyx9vlMfS~&R8Enh@)aP69LJR*q_(E)z_~vcMmP#mCw|Cr6xYj$!oqWTJ z#v`riHMR4n{;_#;mzbG_t1`If0rO>r@~@;ycU|4Rt4H3C%@dGO0%0ZVgqd50PN}uy zKfoWB;N}k#hYX=fYrK5d^q2G^^G>SI_7<(Es8ylPW_|&G@Mz9(-n{J{K7trMI?EAD zPS>N7?n5fsJ}Mg&LB%WvvT~X`hJQco+`*$`Q@xi_Ieg`+l!D{^_KwkYY|fib86fw0 zO$QSfw_Z~6;HpV@VrA`*BLe0NI)T*hgzT@6VuG7Yu6>hQR(g@BA-&NL;b6MUQ^x~O9p zSNr+s>{)Bq(5M%^Ul$Ju>45a@s_ys1)NJ6QfoJl-BIkum^NZifX&v4DThI7BqL6X% zvktOfn#O($(06&-isw!0yKQ5-x;}psP*gHtA90%$d_I!PpqXD}3?5{c`X`X6-Vf|J z#L~GNR9e@xXohm;Y26YwQ1d9)bDCPvvhgp$`<69J z;YTW)ko1+SsH=YpkCg7L958Z?UFYEym8x1lBKrO7cU%1J-SF2h4m=S6i3haHebAEAN;J;<&>h)Db5vbTTeVX3G zm-5eKiGR)!Rp0#P3>%lcihfz!mwoQ6@=oxE{<6BIb=MCDOUJM-ijHy8WnzKHPs1k6 zcg3~7Vt<$el+3E_vcqn1lUKs?(MN3d1w6kGE?vE@Z}{}6Z}nkJCaA9M93!BWl2bGc zZ*8C2q++`HsH%O6h?MbyrM1Hj86CJBRn+*2m`oJ%jPtl0+&49~I)!j)FPhdH+=uB4 z9cO_7EW-C7szdF)d&jHvGlV`e8yE>m}v;o{u<1Oh5#l zpw4f^u7%smhB?DcD7ao}3`KjkxH7f_lYX;$rpM1U&#=eknVi}&=5C3-k(uQ# z&z0sz&JTcz$c`V!97cD{a>hUYB-A!(+V-yP{;_!kxCC?@VhaYqf@FCzqi(7>7oVWGWqJjJcj-%myNgn^ zw1DXv;q089d;Kfp+Q|?JXOwj9p@!E;JdGf#QYb# z-waMH{rG)gaL=!FSQVBdwaeY7xM_1=-|TK9IseThN@2y9?lkl}inJ!waV#0a^)$cTKrC5JGqW)6@6s3JCKlmI+b!I&DLKHy6kZME#Z7+vp^NYm*bKqujkqeV z{&tQd1&`|v?N<9n_-z{VC|RaPt};#J>GJ!IL# zl8XBz{HhCd)6QRLo!q!e6*&^B9iG*N=Qbo5Q%U${42Mc>;NV^aVD7yWn%iEqUbZ>o zNi=$~DDlPh>|Y8gWg50IeEFj_Y@jXiI-iH9kn+e&H|YlElkjJPHv+nbO&^UXx+KmF zQnmwCEv+8=$)u&S6S+r z`H0h7q$s(Z*F0FberxxI5U{EIwB-C+sB@9H^&9wEO~jJ(#|~3l8j@%B4tJxX{-=UE zA;dQ^CDh~M9-ASs()6j4GZAu%$;lAigp4W#RI{_IAhrozgOD!5;F_nBLBg)?-o+&h zuDKcrYqP=SS3CTJH5!2;P7I5+30WZ=XyU}EIFX%pgbos$7({h{|M27l9|CCrvWt*j zgqu1gHzAGo*Exuh4xZXbc`r!cxCv`ftN-rrWw) zsOp4I2%x0!RM**PhG1iyi*P4fV1q>uXCcKoH}^mMCNy?J&Byu{ArUzVG~b@0n;7>k z4W>6*Gstbiqkz!EELz2sQ>|Yex{TTo?|(^yq^|5Lj9aS_~nV2Vu4VSVTT5zo@9F1QKho zj(iy$+!)?}z-Y{G;}d)X@)^E;0`tyE*rqTIa+w$~a|ZIMU%xJ{+ORYPuK>BhM6pMHW((W9G>`eB%P_U=c3g;Ib5mAm8EgBNsuI;p0jm zLJo0nsL8<~pIbx_e5SDqM$yxUy5cdyiNbtVCe##P4g$@vDYQe%6KYRgQ8#W_dBWi- z!xvP{5JVOBbTbzrS5wo_(znI{h(Sox8Kh5Zlj38zX? zaV`Wta}g27q6edFlZN2?N=zmi)xbxsou z(2F>@xVU(MNMSq{0RbWAs1d>4A%1b*UIx01jXgYGyyZ1 zGJuBD<Hm&7j|J@*fzeX`1R{jFcch`iUrdJtWv1pCl36~fj(&1Y;7H! zp>_$r{gD~+9d{jxkKArY*&QHBW(X=dIW(OF%6nl_1RPmZ$U7+&|3sV^uje%AK(ZU4~F$h)z_!=UfD$1p~Wpv;#@ zh)MVbuUrukxrT|W2PIKJVBE&m9`i9BpOpM0?Nyuk4Wy%J5P9lL z(8aK5`?K+!-4Sf8PJwsi=dAD1IcT|MCqBEgB#)=By@b}x1bO`O#&+@~g>=4q+zRS< z7&SGS56dPwq|aSgYkx>kb_Nu?Hvd$?a{gG|Mbhz$sesf@U{dU7R1A+F`nduxiKZOD z_U#?|=B75*y;{O@_R_cu|C^&sd`1=d(cKg(0T)$vFqqP8-kbAFTb-_+M{ut+j621= znSR6RWhy>7UdXOnFUTdI7(pHRa&449N4%W1aa{wge5FEqF6wfH>uz1Cr~u163aWR% ziC1zT&?2oI?mxw_hMC@S5e@ON=vH-%7UO}c2Xg$^2qLj+odMyd631N~W1XmGx>Sb# z&eBm2x1JZ^o_fU|;lP*6L<{AL^%+?326i5e&AZKt|Jb!y?#W&jcru*XtsefRW0v)z z-Y)ai-SgHGG-p9FCr6%N>+UOae$du9-iD{PSh2dZMmSQ%RpD@xGfs%9zCWg3zQ>X& z^D8KiHLDhiDpt?E6PD-Twfl)b8Hbi(x2gLME#9&1R|0W>dJ$h?A&{%-!1>e8?c3q5 zcwkflN-)1dR|S#5Z^m^8qc0!tU!WoOvjK~+dQ`{yjUnfK@Vm4r6sM>xr*$wLBrLpT zEM1*=r|7(y&}cuy{)>shE#uC>QbydpOZVlKdcfj)k~U+?+$T=H$76U)bZT~Ip_}d@ zdYr?vz?#!K7T)@=Z+Ou+zxNuRlTpigR&P3C!RNYhPveG%Dc)DsC(6pe;`y2CVG@bO z<@DrSlB4eHy5A=sb|>z}G0(+RIXqEp2Yw%mJTfO)>nHBa zfVziwH!$=JF*IajEqnOe>yM)NVTDNESlai+w8oVvKr<&v{Q|VGXch31P!T`RDV##n z@u!hgibxSHUB38cf8h1n^=&Iq6}Xkv_ufd754)Uf<%5#Wg?=Gxht4`zS(?|H0XONd z08MlWd-+Jx!_biId--Idh_kN5o6q7e{KtgwV>E@BgusW;?^EPqezi^q<&QayaH2tB z@ye9uD}~RlnLNaAs$0Q(ijm_3s^!$rY~opnyiuNp$@LG6M*{b*za0zcckmttKS;!P zbX_Qp1k`Cnh^`)x$30OcRWEa_*1KGxFaJSI5@ak;aqhJW{Zw#{to=oyogaOz=%R+U z?(s0y^+dxKjzFM%9)EecuQ^JdG^Z+IB>uv)!7Y=fggalhIT=))Ot|fU?dy3mFZ`G4 zPMpFq1j05;x7zy8ebSvq>2R+7(n<051rF25zSHw($_!Fmssc_g8+LAyX2U!d)){}p z3R}tGDiAXNL@Ub7#4ycqiiW}bZj&&z=_N0t3}*?JAEMr+ul&GwrUqo9?Hhgq-irSF zeY&lsmGrY56`8EL{n0ifa%}owG-*BXmq6Y2ybMW9rfTQvLf13vaTV==%?H_RG1;46 zz@$*aP+|CWhgOwTqoX$=H-g7gl?3}KJE&hN>j)ocTmcIy#P1iGLo~Q?Gsokv$ zUD|EfK1=!Bkl8WhUNs@G8&pEQCo8Yhmf>g$iYLmrGjV=x)K97M$dHs`doMK|IEF{y zkf-8GUeffqmRB3Sevuo!t>xG`<4IfisjR|e1)#;w6w3ah5)$62xO3r4Z1~%2EknFh z<)y8qF167Q?v{hdPSJX9FZUSNI<`we`|c!CsC)inms<&Ib6+VtUlxo4QIE^nD3Uo! zzC5(@Ghp5j^M7iJ!)4orwVYL^&EV}42GT61`h0(t%_UBdt5pVi{KO^C;1ykQkG5IS zCB5L2mIs3Vgyor0X6#cMmN%?7E^T=OQ>`QhY{S$?!y;&9yX(NN#I^>T-_+0^RsfzS zNMy_YwaL&I?v&b~?*8eg*l-|t&GqAK_IM`2d$IH#(Wt>0fkUxB4|-=Uwx<(&6DO#? z028{0LCI-O>n%?k9*9mXc}9IEs4_IYXJCVmW5&S0Rt=OdHLh_}x8SIAo%lTVVD7}` z8am`4dBh-p{<~9bMouGmw67gY5faSq5Pze)m{iI*{OaQmM0lWodX@mMzkGR*gCg^l-WY>>sPQJ!#M*L}Pn!ROKBXDZ^~y`RR@@w0 zq7D&mnb9-S-kA-wIE>bhww>Ly=w7D-y&8T75FN#m^LTYHY4*gH<_kVY@fhdvuxB8W z?$PQ4Wctp|^PCa<{E!%bc(TGvKBNVQL;E~oi*NYM=P5}ySbs+bmWxYulcO%|?j^7; zl+{p(E}QW3d?#GmmK%DX`#sPM;MUzwt17RijsF@q{-<7OXC0+jGbVavBm%c%?80ks z{D%2z#DkQ+v=z?}E)6BD)ywbPc`jxt+C>Z8HG0)Sb zdfZubOZl60Yf;O8Yg+Dm#)aH)P;j}WD= zS=~ZyTd`O;EyU7u-@`qjfX5y`; zbKB!MQ}zShhN(9aNhNZ@c*ts9#d#-RgEb`UmC#ex;(@}>-qHK~UHpwtXZeCyO_Y5ymlL$it$VLjtWt9yc5)UrDz5Z10P#ies`cMDKldODL%dCJeaVU!-5l-9WnyoqcK2+1vE9x($E#*x zw)q`EIY-+R(J*?Wg2i4# z4qX-%M)eeZMoAIKq^!P~TD)2cuu4?^>|F_JEfV3lmAwhxI|tI_@u(Pym$&(>Y^t}D zz%2WbZ@}!V3vWBu4MXkRcc|LxnpLg~8}^m)SH9J@FM&Msck|>s{ZG1X0PbkF z^MhVwdo7wX!=14zob1SVO$}E!LpZqI)X%G*6{MVf`-WFKz$TA`(w#~p`cHJ|95cUj zkvx8ndG!`9M=m%jB3ojt{@{ZzJ@I?8k?xGn_(;>{gTU=4v8?vl+T_x}F5E`cCzp`a z=%yhJ*Co-JW`j%Yj`Vs$eV0@K(2x0NE`zq73WpsWCX&xcugFv0Njj}-8> zq3pC45v;LP|FWU6sTs7uG-z1Yrn3v?aQ?%x{)6-acz=ocqYNfv_U|8={x6p-I5<2y zhPMhZ4;A(=eJ=*3CBdvnF)K36iX5||z^o`SD=N&28m=I%E9i(`Lm{+iCInKt z|9gN>N|FD=|N75={QDV1V*gL>7WqGmZ2bFY|Lb#_6I!i*ZxjXZ-T%$qdeZ*oZcX6h zKiq8q_J7&84+sQ|F&ha&{&VKAYQrJ_eP#LY)>)qe!P)oKeVU0ul|`8nBa$BTG=mkg z1hNUOd|}pMmP}#$fXos{QbK$#EOvV-R|9Z-FPlyg3Ze*CtN67<;x!tq21Hoc3*!P= zMla{&%5+y>YrJ0_|A6ZXvUe!5BY;RKh5~6#ZIwhvqGuNMEUK<67Vd=66t8bfCMC<7 z6*b-StMLbQr75X0+gFn7Rw`8QtpQ9FuEQ7ybitC zCkwoy@G7X^kUJ)78`{?$eN@|?iQc2fC7o2!kC~T!hsOdgo+W)e^7VyuF^N>+pGRFP zdAo8eG*8I<^xnstJSyRg0ghPxw_a8thTJp5~zUrL@x(MAt&m%E(FI|OFf zKltOS4`t$)+ePm4ySz4?58=66us-87=6>$eZL_z4&HJ@moRIit+Kl%ysi{Yxi(l2K zTvrbn>&m^J=V32sLDP56;MFT%H?XT~a82?y3KlIJ+VTsSg@0ZRhQ8YON%SQ$TYcIeqac!JH0&GVbB+PKrY%B|E6MslpA#vL);wMN72~6AQMA-g zD-)^n&;#B9|0X04klaOg5Rs+2OoY>JaZ!q79CVV7^PeDnjQgHmApiS^D;8I$#qw0I z?|Zmdhpd)X=C5_^zAg93?LiS!N`ieF!mO>;A6TAO#V7i>3-TWu{9#~^ZOB~zIXt4e zB&!61?yo!UHsL!R$NbQ!B(SCB4?gPq>1GZHk$3(GjYb%(^@4r!fHbhc}T^p?}@Y(KVyip%W zD>j{{q`RN|?dJ#8lP7ZtuaLLw;(FDI8lQTorA>W`!;tkK3d9av#2hW0NQtn9B zc7H9qc3-X5+oF{s1J?G05}SHXSED$!$8xqocJ}L?>ruR1{Ulr%CXv=liE8&0ztumbRTEmJVP!Pp07P=7Iz# zkzrnErvvg4&w5K$o8`QUVxmLU9+4j~B4i!bX~KK=kfKidbvEAT+#YfbKVP&c7pY?K zRpcc*(0Qa_n{9%wj#p5Q>zp5X-EoCbQ70+Y0~HkOP56E5Ifx!I8l1vkd}`m~`QyU! zPA1{E1g_A5T~Q|)25Ji3no2&T^^@_lObx_-^$R$>cjA`f%YL zTDzk_j@B^JE;V+4D^-K5xvPFXe=b@VlzL_DUGGKGZ}`?6F)$gmQ*(3zkfZ%fZMt3v<_x)TSnGkE}%7Ux)3aT8Gq+ z`?uL?w(li8q}%|9c;^|hOkFrQjHfp)sA$LJ|7qkKeK$}uNm5+fSzp)+#Hu@`8x%}3 z!Umbf(*~2?+LIa($jCp=6cmd*D&SK{1xg3EeTqXqX(Kve`IW=i(ndebUQ-wm-dE;M zQR-fl*#R6*3}$W_1}DaTFWb!+bahv{oqgWNea0y$8N%H=iB|^YpEK--^}OpDhDGH( zeses&x8{Bppd&d-`X%dc#AC?-%Q;C}vRq~t-*+{ZLP}9WVp9D(*kUG?^*K2+pX8E; z!JNhVW9y+WVeVvVZ*;CiON{0#oHuV%ThidYZ7JKP+y={M!o|@ zc{c}+sUN`=>$zCTpML?RfhV^UdQ_8EzVqIwy@YS^*{0+;c>4QqZE21%1@&$*5G(F> zd3cxY>o-Qh!1(c!pkk)`d$dsgYwOgAqiNFR526!t3z?`_ZM^Ni7NJlaN>w~P<;i~n zN3`)CGvA4_$pnM?Q5HS+GC@}haWx0+8FJ6?&-I@8FKFnmZM0O59gBl5|1JVu>zr=N zhB+MFg7H(8|*uinlFbYX1)G!n(KD+ zkByxMFlz98;p59$@`m2ayU7>dg?$$3rRWLr$Cu`&2x?&mGuv7+cl@hJ+#B*lNnrIk52_wP6!`Nes59n;zwCqMUDH6W` z2^sILzyc?y5;I|~2jS>Vj!SP-T~w@ezx|-G{b6hA2QHgAqt`^S*X|YTnq&aaZZY1= zHRnc~#EnOiK79XOJpi6Q*u1FdSI~hi_9o6>znrRgcYjbXu&MPos-Gi9G|>t?ubj>c z;%Bu{{bT!^PPmRWqP9-k{Qltl3-wZ6qw+XMJlu!!}rew4P4d^uls+ z$k6THVYk`g%LmJ}ec1C-tvytiuS>tX1ZvNOe9H?QcUT~x-!gM9JGTEC+1ox#&L*+s zkt|RQK7*k@k3C2H9j<07{idrRjN*0sXY-mQLR@5$cw08%rTc8zhc|_!Q%BW!psOirVwdxwzauzIC1i#4Z5rHX1 zT6cb)qRiSo7O~B0qQU0T>=l z&&bLffZC_Ok{6ZpeJ|7%%VCv%T4sUAUQfufRr8^&UjbuUV`KlLEQ#1b6)Y^{S zGXMmw*~UxDtE(TSwn~G01{kAV!2E$apmVWc7}gOdJ_M&AzN!jJ0leLtU{5!}DZsfV zZ>RB^&J!W%WGMWkp9Tqrh9R_5TN{r6=;8xO2Bz6pIjfFEo9yfzoGK{b1vWyzEB1}l zI&mo6IRMB(7#kFen_S>vy_|p#zif$&O-zc5OUrOR3NMTdK(;4rK6k;x#Id%$eH?vo ztV(dGiAj!sA&AEWA03VgW=-%0emK-KRI^PrF5g<=I$W|sFmxeyA~bBxw}ak#WCXry zcoiqM>2SeiW#u>$LTebEJXm%46~J&dZNdXHFfr5A(n7c144f_Ld<$Mk$%ShKW*xY1cOu~2wzf;ApA&u9J&hYIQ>a24Bmo=f%w%R zQV-8`XajwVQ~>-I$w?3=$*lwtN^T*DFj9yhPLV7G5l&Jd)tMB8SZDn3A0#)J^rQf| z^!Uv&@E7x|tarl^%x92HOq_+UW0zo zO^iFx#H#UWQ^nEio4yk~g);w1aZ2fpm)O+sXu)Dmzk7D-g~kcn z(M9w0lS%iy^1v2&N|U51Q(9<&X<8y06sK1Le`V(uSe;EiLs0A zu6#LrHn#*p-RY?N6s1GH?RS!;>@SdLSXN~E=Id3yLYeyP2H6x%YJCz-!K+S1sbh|1 zac{3LQa)~4(@@2x(|VC8MlaDY1hk>$2u9q;;3eGZrqNr>q>ky@ei&+ zMFB^PI2X$qzDVkcmddyNIT`4>S72r7N7a#({nZD?{r7NvD9|x5&dzseV(rS08Zg#J zIy~&Mx#=YBHz)Os9tp#XZnIWCL+5G&_|;RrTlgj{P>1GxK*1FKeLq*{`4vWMY_q!d zNSy5b-%M>S>TaFsoJEU02`UN7NTesZk1ODgS9_iLBLR82!u%m^GMxz8?tvyA(0K!G z=2s1uL_O1&S+%@%f4?m$Z{%&VJBNru+9gPuy5B=QY^1+@Dr9BuS=11>nQOVQ=>U)A zjBxMqA7d0zZnK8UfSk#I$sB(n=hnH@itW>);>SxkV%~I^7YCRi)bP43y(n?)mCU93 z-`^%MkzL({8i}tR6I<_obP>raaI?gf`W^Y!sEQEZ^LU;H{m+_=6XDvjqbe>(* zn@<8c;%|m*#1u8XN^+*XXpdAW>+qnsd97ogbzYlxrBpYX87B9&gXRLpHt5_E92Oyo5B07q`*sSUQwAP52TN>q|IHL z1+C5gBuflTrwpq(^d6{DtEyevMfPwU4o9M%Nl%CGdQaWIN1czn@VGWjCG6!~2v4om z?Zuy@4mbRal5&!KOjP)2ddAPs{`z5BSf(ymM5z9dmcZ-OQZ^k*61vlsPv zlSN@$r1-uojy{(@esgU9@P*{q$KAQt%3Syd+D|iLC9jPaQec&ASk2`#_udT!Zh>_R z#c|6=r*r5!EsXieLml(|a?6MkU}&Uu`{ZkuovF*Jk|E}7XP4ZaG_re)UOrc9kLE8y z>9xm8CGBluUF#PO#)q!dcX3%bit>7j?6oOt^s$P2jtCL!XL&b*TNtduhODv?bQJ@k zr4zp0fATrmJNu5pvg)tpd&{G_9sxhSE4MP#-&9hsf+ry@Orhi4fh)_6j*IAaVkeK3 z)lU--YWlVmt8yvOQ{U^JPV{Zo9kq+Up7*mol5w$mGRC=CRVA24_Sd=~`O>Q%?7L5O zC}$+5sSJkT)DUM>(7_5H90N9f;j#8nNM2mmlw8;^-ZR{iEmQi1`U9aX0Z5m z17rchP(gh2;RP}5Ons9n^P=dO|D73z@G#nc>%2^v7j3NbGG$)0vChksdC|r?FH`2l zdSk>#=857bd+-1v$gP5SCxmyxWFGTB0 Date: Wed, 10 Jul 2024 11:25:12 +0200 Subject: [PATCH 57/68] BUG: create output data folder if it does not exist --- aidatlu/main/tlu.py | 2 ++ 1 file changed, 2 insertions(+) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 8416572..2ab08f4 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -5,6 +5,7 @@ import tables as tb from datetime import datetime import zmq +from pathlib import Path from aidatlu.hardware.i2c import I2CCore from aidatlu.hardware.clock_controller import ClockControl @@ -306,6 +307,7 @@ def init_raw_data_table(self) -> None: ] ) + Path(self.path).mkdir(parents=True, exist_ok=True) self.filter_data = tb.Filters(complib="blosc", complevel=5) self.h5_file = tb.open_file(self.raw_data_path, mode="w", title="TLU") self.data_table = self.h5_file.create_table( From c446fdf2fa432663d6d28aca38321c581e0f3d4c Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 15 Jul 2024 16:42:21 +0200 Subject: [PATCH 58/68] ENH: improved FIFO pull + raw data handling --- aidatlu/main/data_parser.py | 23 ++++++++----------- aidatlu/main/tlu.py | 38 +++++++++---------------------- aidatlu/test/interpreted_data.h5 | Bin 75307 -> 1591607 bytes aidatlu/test/raw_data_test.h5 | Bin 8994 -> 4236677 bytes 4 files changed, 21 insertions(+), 40 deletions(-) diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 5ffa803..af4a70f 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -2,6 +2,7 @@ import tables as tb from aidatlu import logger import logging +import numba class DataParser(object): @@ -37,7 +38,12 @@ def parse(self, filepath_in: str, filepath_out: str) -> None: """ table = self.read_file(filepath_in) data = self.transform_data( - table["w0"], table["w1"], table["w2"], table["w3"], table["w4"], table["w5"] + table["raw"][::6], + table["raw"][1::6], + table["raw"][2::6], + table["raw"][3::6], + table["raw"][4::6], + table["raw"][5::6], ) self.write_data(filepath_out, data) @@ -52,16 +58,7 @@ def read_file(self, filepath: str) -> list: Returns: table: pytable of the raw data """ - data = np.dtype( - [ - ("w0", "u4"), - ("w1", "u4"), - ("w2", "u4"), - ("w3", "u4"), - ("w4", "u4"), - ("w5", "u4"), - ] - ) + data = np.dtype([("raw", "u4")]) with tb.open_file(filepath, "r") as file: table = file.root.raw_data raw_data = np.array(table[:], dtype=data) @@ -105,6 +102,8 @@ def transform_data( Returns: np.array: array with coloumns """ + if np.any(w5) != 0: + self.log.warning("Corrupted Data found") out_array = np.zeros(len(w3), dtype=self.features) out_array["eventnumber"] = w3 out_array["timestamp"] = (w0 & 0x0000FFFF << 32) + w1 @@ -145,7 +144,6 @@ def write_data(self, filepath: str, data: np.array) -> None: data_table = self._create_table( h5_file, name="interpreted_data", title="data", dtype=self.features ) - # data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) data_table.append(data) config_table = h5_file.create_table( h5_file.root, @@ -153,4 +151,3 @@ def write_data(self, filepath: str, data: np.array) -> None: description=config, ) config_table.append(self.conf) - # h5_file.create_group(h5_file.root, "configuration", self.config) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 2ab08f4..5bad6b7 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -6,6 +6,7 @@ from datetime import datetime import zmq from pathlib import Path +import time from aidatlu.hardware.i2c import I2CCore from aidatlu.hardware.clock_controller import ClockControl @@ -263,14 +264,10 @@ def pull_fifo_event(self) -> list: list: 6 element long vector containing bitwords of the data. """ event_numb = self.get_event_fifo_fill_level() - fifo_status = self.get_event_fifo_csr() - if event_numb * 6 == 0xFEA: - self.log.warning("FIFO is full") - fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock( - event_numb - ) - self.i2c_hw.dispatch() - if event_numb and event_numb % 6 == 0: + # fifo_status = self.get_event_fifo_csr() + if event_numb: + if event_numb * 6 == 0xFEA: + self.log.warning("FIFO is full") fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock( event_numb ) @@ -291,12 +288,7 @@ def init_raw_data_table(self) -> None: """Initializes the raw data table, where the raw FIFO data is found.""" self.data = np.dtype( [ - ("w0", "u4"), - ("w1", "u4"), - ("w2", "u4"), - ("w3", "u4"), - ("w4", "u4"), - ("w5", "u4"), + ("raw", "u4"), ] ) @@ -317,9 +309,6 @@ def init_raw_data_table(self) -> None: title="data", filters=self.filter_data, ) - # self.h5_file.create_group( - # self.h5_file.root, "configuration", self.config_parser.conf - # ) config_table = self.h5_file.create_table( self.h5_file.root, name="conf", @@ -446,18 +435,13 @@ def run(self) -> None: while run_active: try: + time.sleep(0.000001) last_time = self.get_timestamp() current_time = (last_time - start_time) * 25 / 1000000000 current_event = self.pull_fifo_event() try: - if save_data: - if np.size(current_event) > 1: - # This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - for event_vec in np.split( - current_event, len(current_event) / 6 - ): - # TODO Carefull if save data is active at high trigger rates than the RUN LOOP is to slow above around 24 kHz - self.data_table.append(event_vec) + if save_data and np.size(current_event) > 1: + self.data_table.append(current_event) except: if KeyboardInterrupt: run_active = False @@ -471,11 +455,11 @@ def run(self) -> None: # self.log_trigger_inputs(current_event) # self.log.warning(str(current_event)) - # This loop sents which inputs produced the trigger signal for the first event. + # # This loop sents which inputs produced the trigger signal for the first event. if ( np.size(current_event) > 1 ) and first_event: # TODO only first event? - self.log_trigger_inputs(current_event) + self.log_trigger_inputs(current_event[0:6]) first_event = False # Stops the TLU after some time in seconds. diff --git a/aidatlu/test/interpreted_data.h5 b/aidatlu/test/interpreted_data.h5 index 1932c5877afe2b6b68c726b76c88ea502c35cdc6..f932b2a38316867f22cbf301ff2885011637ec40 100644 GIT binary patch literal 1591607 zcmeEP2bdJq*6d|TOGZQx(F2MJ6(mSfqJp9%0kZ;vASjYSF(Qa!#2f(QniFQsikN+7 z#jLHEbHwcaRrj9mJ3XPV|5KkRv+sMgbLbs*9C}W5pHOxD4%_vqU86~jfM3flVBCahqo+)oGJ4wR z{f6(i@3eiRKOZ%5!Wce|&eJWU1D@~o^?c1fuWJSNZ{Yb|9se8JcW6JHz(Iq0K~Oj2 z_YCc~%kYfX6x0grE03PHZNHvFhUoLEWIS*C!2@^Uf3W*`{+8;&uDu5j={spf(6pMit>_Z&LB(DU22YNOBF-29!@E1NL> zyiO{!@htrSmIh->`McM`e{TnTA3bCAglQ9|A2f3G6#Ii7#M6*#_|+_!HtwL&Q>X2F z&?FN_f388EZ+xzO89joDGe%DtGk)U1(T~-N9u)YV`CRjwr_G!+T7P!U0?IP*1+ zn=onmG`wX|UWL`1jt(WyP*a}{XCa&t!+m=ip7lSIg`l%8HZE!JCG!R#`uj9VVZ{PfQTl|ElbtbaCc$s^E<0c~q&? zo=!E9e;Ak8lPf4Wg2$@{BgapiI*LE1|L1Rdvmywh+)`c7*wRXszO0X9___z5L;Hdd ztf8MQ{DTuh!@kwo*8P$V6eS7!Y zZupkN2lVVux-&dfGvj&LJdpqSZ4%EXwM4%+n+qJzX8!)RiRbfNi=H3xLH^&{F7dp~ z-&$GNy;Cb;0llwfIsx!~;S{a|qK7IT3!)a-*TBGYL#?j}4kNK7V`E7=0K0ms~ z;?MuS=ePZX&u^D_{{R2=w~wEndCl?rU;kEr@cAA7;PX5F!RL4SgU|2$Up&7K&ZUSK z@Ojn~DD&DS{ryioKRyBf%jd@@;D7o2_yqhfpC6xq|K;=J6VU(sE%~z%k%Ztt@e}OQI9vQlgtE*ud{InJ>Ifu@`k)d zb6e8T&`vgvj*t7ESFS)f*(0l&htczo9I8L4 zKk(v{_TA{De|(1ieDwU!JK54#^!Hym$^LwFy!)lRx(R~r`uuy2)Ei;_fiZP!n@7>} zpF7F^eR?>!_|Q7$Vf6bySYZFY=y;p=SuF^H==d*JChuT3+S^_qM(jHF5zSy`k5u z@eM=bXfY_h1I2fs_zo1`fq%<8P^qMT2iA}He_kVyO={wM_mWDbm8(>(R=uo7&04kV z)U8*4jRtErT&q#zwVSNdblvr&nKWO2gBBZZwDBgJw%ly(&Q;qr%j)6@F6n~J?!u!jy!6X96fu^F>{YSZr<@HoOsg7r_4X~ zwA0Tx^Q^PaIrqE;3m2V#!G#ye#g~*{dfDYyTzS>i*Iawu^^0$~@ur(^x%IXsx8HH+ zU3cGe?|t{n(g&73_|U_TJo?zg#X5{ch#=Km7R9&%gZoTSX9}aI*yOP52$I#ln>+yb($X zmBU+tcfY%fKViNOE2%rV3=OMf7y89k`?LBPxzN7XVv;VjQWc-AQlc!9Ze()iO9)848vu4kkd)&MePCWUP zQ%^hNth3KOZ{Z@j;G&DmFTMPVtFFHG`o%Zibjz(v?zr>rd+xjcfn^Up^62AFJo%J7 z^W5_&78`B6>1La^YTc%7Y5NWxJI^XF+phQae3b(S4Mwofun~NTW5*qc zV8+2S`SOmQeGG#6r=HH&wqW7;2(G;98osWZZ@CS@(gz;oi~7%#Pa}BswKw>J-h2N; z1mAqSlCS2siV_61YS-l}Y1Ft0f()x{$UPa#>`ytqE_dWN*s~9~g${>#n~6!CiOXdmmoK!;d_M;Dr}o zdKs_ct?(TLpMC!2S9lda{P;71s@2MB;8oOLV@(9oZ2b-JDq3!~1%ghUx84S?V%zQd zAlP~E&|UB<_TFbWf&&gbXaZiv^cjaBm~+gr$Kh3+GXFFLi_X9BBD{(#uDlw-t+(BN z2VTYfOP3*d>gi{n!>f4Z)z=Yx@Zraw;8lG6&36cblG4g}6*X(sL9lj{rt9KWwAgTC z1Z~@G)d8=fYquTMu||N0wVMU|@6 z5j0qHtwwki>q&D2n{Uy2OT3DXow^{vncEky0%tA)oVFwJD#jf!9sy3-!|*C_$|At| zdL~}Q!bKM#z`1%IUIoro1UOM2#;bVpsb>)2Bz+670w*Z~oS{G9Ra69(5a8^rk5_@S z69G=mmUtCy+P0rnzM{(VVCagf%Y%am#}Y0i+)j9w@G+s3(3G$hVE|!&!Yn}L^A?_e z!9`!q`0o3KtyeC5e&vFLS1xQ4R0$WL+)bz!?i0K_wa9euF>%I|NL1TUFixFpE> zHQQ9|+&QSP$G%P;+~%9$n1V;7;E~r09{Do=kuKlh?k|%fc$-3sXiADef=$h@f&`nI zUj+#^m1hJ*lgcv!qDkc$0nw!LjDToTc}74qsXW8C4ir&2L}#`NiJ>Z?8lgI&ES!vY z%)G(oUvXwo7u1lT3Ud%V!5pu-^rl;GvpHVV=@U;r{mgU19Iq+$-S&cj-9(OwK2i&uwy@_`60}1%(4598a-yLj5}~VGaU2m zp@$#IY(JMtj;Z#Hv(7npK~;W;km&FMy@45j2@@TH$C&Y-VWLCu4m18oOmql-X2!3? zM2BEaX8d)S=n!ndjNhJ#4nZGg{QgXI2!=D`k71%ia0oO0QA~6QPGiPDmx&I+)y()e zGSMMe#*F_s6CHxrnekUJ(INPb8UGh1Is|o?@f$MHA=sE1zZDZ5f*#EH+cVK2*n=5= z1QQ*CDa`mYndlIl$c%qF6CHv}nene-qC;>GGya22bO@F+I$xFAWeZ zVaC6g*98ckVa9)n*8~VYV#fcH7X%0@G2@rh-Vd4>cWnY+h z#NOc)CLXbOIE9Hv>>W;F;!(~h3l9r$a)Bgj5^51@6Y7Kq=yfyxy;>w zNe_X!%-x1b4}rPN-GxaHfw|0`z@&%3T;?9fq=&#<=3d04hrnFs-od1Yz+C1&$E1hA zT;_hlq=&#<=2m9XLtrj**JaW}U@mhzFzF#Mm$?IXM(RaiE_25+=^-$exkt}I>P28K za~Ck_AuyM@H{XiXi@;pw{)b5qfw|0m?*pV>1m-gLHzqv<<}$a@+DN?!%w_JDOnL~+ zZDL>ECL%ERh$DHAh``(*9>)7a1m@=OOx_$KFgJ$R@x~B=xhs5_cZCSd9pPKNBSc_s z2Y=x0AOdqMSf96o2+Vz8OWp?}(3@4HUIcoliqwlh?^2O^5$G){QZE9%J;iMx0=+lI zZ6E@@FU4&j0=+54Z6E@@A;oPV0=*l>Z6E@iw!CG=RSE)giLxs%Q4rvK<-Ia4PY{@E zlX<*0L4XsLH_5mSL}0EmAIr-fOsS>DHR|diAzcah)3d* zQUT(TxTI8ocqA^Z6(Anvj5^`H7(pdT)Fadeg9MT1w_nrBT>gBRL1)ru`_@M2rj zt*LGBdfR5)TDHEyYi?v+d}z(p?tB&(5SXjoD_LAXV6JwTvbcc2TrU5SXjo4Ov`3V6Jw%u{ehS^$lKgBkLkCSG$u~l|z8~2Cuo1brG1W-Ah=G zLxB1Quep(R5tysp7g&cwfcgfnxsi1dn5*5YEWjZ^eS_EB$hrv3)ov%|I|Qyih`<&H znePy|!XN@$6=c3c;3|R$Y&nqCKm@K7h``nXSq4PlYJdoA0kByA^Vk1MS^ry6vOGA7 zIsaV3jfBSuD+s?38WLI&wkM1r%p{ynxQ6iHib~5t27`m35ow_@VQoSa!a9VeB$9Q* zS*sdftgM)MCez97s|;PRyUnsxbJ9g-`95E+mCQCqzFaGrZH#=JBbjZCe4HbhZH#=J zBbjZCe4HbhZH#=JBbjZCe4L|nu||jzUIUWEnuLagwFr&EE3-Kxvvon*h&UrIWN6(u zu4QQHSmJtymXG6-hE|XzE^BBNIj(GIAz9+;h8C0K0*BU#T%<^%T^Ize1%tq~Ul6$73j%Ys+k-VZ1gLee z;(~%40&}%Hg~d1osCBU7f=V0$bG2*Ag$P`&5CN)iS|>!{ii8MIh0_8d0#_YGU~Uyn zWe|ZY3nDQ0h^8cnz|{j0n4805E%0~L0)yo%DlZQ{VcxGySeMX&Fpw~oa5P~7;by{r z2=5VoBQzpxxeQMYF~GAP=|Kq12+axWlPxyjqZWh>!}V4%-U$AS!W8aJj(^dlp?voy zf4rZur4mPD5=U0^I4A2$R`WO~>q=JhIEQ^CtBIb&K9bc$&tV_QYNF?`k7PB`bJ$0+ zn&>&~qr}lD+>VzeV2ZT~O$h4{nuepZi6g7+Jvkx{&8()H!AomRuBM>DvWjSeHAM|x zVQY>xbq!WmMDwhvZSX={Q>`g)u*d=$bR`a6Z)?UiRSwo#M6<4`bnvoU6R#3W;WAOcqvL}0q!rX+}=Ic4O|JL^z&s3E?in3xv-I zRS81qMA(^d0AUVc(WvF%gDKdSBQtDF*o3etDWfGHZAREU9Puxp4Dcd5E=z*kTGk)u z3y#_HMnlLKxf4stWGlH7OUYy_xf4rC(vjSWr6lP{?!;1(bR>6jD@i(%JGqr49m$>C zN|KK9Mbq#&-mQWl)*}d^8KHT|#ZL2&Vp?J7Wn{I#mqvVnii)Pxc)&@s>Qm;L7F9Mo zPn0p>q{%ffm$riXiYC~2z)5p#Th=$ah%GC}GfuqL)>Lc8oW_f-F2|!zyyVu5Yo?tp zsPg|TGM8zQ8Ce&Z&oyZfXom(_7lCPho9+w(ZOtI-B5-XP1lp59)=ByC{)BJA3StA6d`Mo1&jS!gT_bAR9Au!Ev3|$>P2Z3pRn{pun z)BHB2LIkGyZR&&wO!M2+2oad(w_ml(47|WRTUnhJ=s6*J8(1WlCVG7|y!li_J2+Ijy07@}LvU!LNlr6{$tq85j z6C~Jrvb4y809Yt3}1YIp{+$@wX=IK-iaXDB%pkwSX{7lTMm)-qlPz>98qBUTd1Er{cirKV;x^^(g~ZHf6vS!SY}> z6aGTNErcft?-MErjR|cCI}%0_4kw&NxSsIHiqhqvgMmS?4T+&Ep&Ow)p$DNSp;tJ& z$QY(>5<$*VMxrQ{vJGd_t)y(jnRF{D+i-?b<*?ClxSh#136wYphSJJssONo$E&C~zaC z9Bhq7oj6t6P0U__n=IvgYc%S_F32SGZ0x8LD=a$OZ>F6%lg06VF978yta2w%i!iR(sLK8we z@=h;a0&YuU*pASf(1)-+VF%uP^yQ-+!{$ZWh#13s&!LSrdZV#6Z#2?3v5%fD>6_R{ zQR$o5OCON*P3*Vdk@QXMl1h@kk=}fLN#98C*-O$l()S&yw9z^|gT+qpL>od|LOVkH zaII|GNN#*jE{SM^OI#V#PCChN)@C{EG)=h6l`-w41N~-umcve$3s<@_rk!-I-z?E` z*y&~AW|vMo>7Z%6OUwPP8o~uH-KW)g(|DzpYhK&b&s|GLt-UyQT3kEtf%D*RUpr?j zV^603sf3dVml5tIyhQktP)1mvur(ojO&s;D9m9!1uoHQqAE7^C0J&ly9}Obx9F8gy z#{Yvb()Y5vb;m_-y8efx?`0o(l%(%vUvQzM?`7Y6pQP`nzxkG=@2CG(A?f?+>zP>t zEPuBTm$UQv8SXJ2_uVpWfLz)7e1%?54F z+7hdJ!~;$`)NdAPyW*e2ZhUjE%ng`Y`4KZ?DrU&k%8$4?Q!77WMolXhXHAQH=kZuJ z=z%w;tIpmzx#D4_|F;M~5b6_J61FAmO_)wNg>VHSxhGzk!y`L~TLi&iQo<0zP}0OM ze6%ZJH^T0OJuq0mYAx~wwkw)%^6BqU3rVyxD7O^V^YgVegmOz!Un5^zLnu2H^)>Rf zHH5NLX{;lZor?Mz`Pv#n*{P_nk*}>Gl%0zD8u{89LfNUPuaU2DB;6}2(g)`(cc>~QH6e+w(1WJXD&3#fXup%!gU0rM8nQeQ={zj2MZkeVDCUN<69HM-}wFs~Is8ETqo9C;< z=6Usa^L*6uU~Te1Tf$C+{Rl@8&L%7-JW6<*@Dm}=u6W78dxZ0YU{4amUSy2D`Dh=) zFv4)ch;Z8?W2E0=Bp5?g{tBjBQI)@f=@!|^sQeYA9Z{9Pg0v&5c36;hMAZ%p(vGOw zVL{pvRXZ$5JIWYc!@b!d14VQv^dR&k^a}fDGlt3!#-tH3MwY^d5znK-htBX{C0Pm| zMnjKdPCCl}nq(<_7%4rDIq5`yZL#7?X1+95)T0!M>U?w;tFdIpOk-g^N|C7C$K)DT zYNeLiLef#J)u8g3?XM-9P5B1__^Je zWHFNLFp97rVKiY3VgGP$kulO4BhmbJ;2^BqH2x{7rmN4i>1w)qUJ1h^6#DpzBv6B}0bv`$E`$k$ z;|LcK?jSrz$XOOIfs!phw_`~R;|K>34kU~x97HOaz(*6q7Dd`fr;W|D`Q3oc?}V^< zo}4QQVe>pWR}#YJdALUs!sdCnM-sy3dALUs!sdCn2f9od!sdCnM-sy3dALVuWBc$O zPEvp;`Vw{|>=Ztd%@_%xbC5>F7|A&&)rvMEdRD&__d7_ZNiL6}dtlCYHUD&ZSKEy9L`ZiMVDaond&495q-Br?Ke!W6<(!ZcFF zbUvC9jww<_I#tm6iaX!ykt(tcB(n3YWE)6i=UK@%(#Yl;$u`o+<{Qa2(#Yl;sA?pQ zG_v_dvW+yd`9`vhG_v_dsbZ&aLylB{Ao>#q5C#$kg~w#`MO=)^^2V@s7Am2)3_^aY z8EsVbFyAEB&LU&LNu{HWm>%Yv#M)V83^=KRw9(XqvY*&(?+o7!6_Pf)v=I0cyX~Ff zyPMlE=d-K|PSp$nkJWP>-_4pl~eb?LZTQ2}1}&3A=)EmY&)eS)(QNy+y5zVo5!9GqM>UeQ!}mql62GN=X|N z4pC#HgbRm?eHastszrYz+ZQVO8+rYW{+3E0HZjnL*otg0fUrMd7U4X?O@t>1?-G6` ztVKv}idTY7On6cd97SfBMYcGak7g6*5RM_t4g36KC|( z&2uSN^4sRQlq>md^Bna^e%m}pJ(Axx&ry%$x6O0ZBl+zE9rZ|l`#?uM${M?b*Kh_8 zG_f0DcfuZoJuk{8jY3u#PQ5gCGg31n>$)U5>7@Q~@uZVl$h{egPCBWLTs-N7t#9kP zB+lN5ZgQ~=2e!Vg3kOyoBbOs@MfgtuK>_Zq9-j&T2g?uvdxEMLrQZ&8MrMG^avARb*<792* zAgp3-J7aZ^c*e=Bd(RYTPGR4h9vtc@7kO}StR78oaUL9I-`ja`(BKG7?^@1-qiAwe zG&!O~X0FN6-%<;#Z1g9&u>CD_e{I4>gzkjh36lvY5XuR66J8|bEQ+Ileo|OH2u>zj zoI+-pPdJru8sT)p89aJsxN(s((hF0GweK`u&$(mcY+ zJCf!RPTr9;k8tviq5*h4Wbx9*q1PpFe*GGn>Px1WyDs^85$qPtyv{L zBzA1(q?7u{8PjIwe6+qLHg3+)_%Pnh%=u`2OYGlF9}abuGZxNNRz>SuVjE}raHzSQ zadM^&2U_2Cvp3Um$z60iD!QHb!FlkvyPabVgTt|8f|-QV3D*!FB)mabNvKQMgwT_a zy(qqHIoM!a5S&GFIGb<|;atLbp zvI(P*M~3>x8P8_*GD=t(L60jr=%h|^#=V*19xx7c4$d5OQbRdo;!G71QB%3te?tX6 zjF&T2NJPEmV*d@bmot{mR3Ry)#oUOZ(a~i{kgi6EKvyA)rssdB={ft~{~-muNBE7< zh_EH0FJUC%Fv6LH>j>G);-gTa!reo#h_rA%;R3>iq>799=wiYpgz~Ujku)+nqauei z5{l>PTiN>zlk~0ZBaW2xt?ctJfJL?IYUFEbNcvXx8*fVbcKWZsN&0p=mebR>)4O(4 z(ij^q;Q%*S;sC;dgz@3m*?f`Q`EC7bLk$W1qMPIxnC ztHQ@Ar|O`Sn#o!F4NQOCqK0z!bKjd2S?Vcg?Khy*^}hPbea(GuI!ddWw6));0#|7) zrXxWX4UUR_=T&eXxQT28f4ko~8KV@ zyh&~2DskDH$zGk~WF2d2u@Pm?ZL-(#CN+?g{?^oDceX%mvWKY!$D7ngPVkXp+xrB& z?5(nT7hO_r+TQ4T%Z*(2z5{buYAN?Pm%X1unMGaYYG!VwgEQ26qc~`~Y7UyNK5plg z&4eHu-`_L;*CT97=tbCzFpY3B;c~)#gqI09OX8)=K?hZW;3ksA&18pL2)7b$BP=1@ z&ZBo=bEDYD$mETjl2k%lYKBJ%M9%gofyiwhB@jv9#{Tv@S?N&%k+nQZAkx931R}e7 z6j78mW`wi2_XD0dgfNqEDB-Yh(`?!(WRsD{$0*ar=x8X&yGf_B>1;vSDLd(87QV%# ze4TVM8{bU%cGAf#e2Wnx!K4!{I8@@JGB>_!!GQyfkVar?*qSzH*LxGY@lDw&T5w<_ zi*oFBjHES;$Z5fW)pRgNan!WD%vA~ThRlpLfDyRzAcCUX`CsXFUNT@HZ8AoY2@WTm zMYx{u2q7f=NLYig8DTp@&Z;=b=MJoO2X~Sk?jqbxxQB2r;XX3P{kS45GDa$6Bu1s$ zc|<^Dh(`oO(wDMNJ6+E3h=9n=9uW|E-Xj7c-*`koq=82aMH%C;a2XduK@mp~jwBov zzMoANh0HOy?wv8-L<^&YgOPCEJ7c`568$W6you9I>j>AqGsc_R)6YW3n>gLnU%2kg zM6bphs_p^f4ePrBdHLDaYe|ha&OL9T@mjhRCghyMy0QLE+L~A64Rk?}1mQr=AuM!2 z5PbFZw;aGi`vU>2$2on4)(3(OT5QD0D{P`8FiVN1JA(j*<9toXxd`Cp%ol{5ivUjJ zC*s8*=ORFjj<2M+cW%@v@rD$=&dbY;;JTR-oK?;q#?#FI9}-FkO$hA>{RpE8M-t8< z+(5|Q6Q2VObf`rIOUVll5S9@hBs@f#c$kkKAv_B8sUl&d5=KHQ)xk8bxLQPG|#F7 zhumt{z3+cuneBT&#i9D;Ch3Pv`tpHY_h#Z(3l0^NRtJvCYqJ-Ee5L*y9XfVKV3gQ* zq6ImEeQaAE9S{Ujjd}Jl2|Fz zJVy|< zfi6Wp{K#VnbR!Z|{s>&T5P_=|A~5E+rcQ{!6$ugi?PI2Yzq?VHGYG>QqC#mH!$#no z!4RlI8m>+dI7=`DI7xM45P|aoLtrjT^3?*dg@NhXtw{w#2?r65C0t0jo$xH-V?rsR zDIvKeUYQdlkA}wv!DD2G#|cl6E&jttPZFLYJRSD?$52K^dBur6Os1(-@^xmGuc+AO zo4?fYJ27B;okt2pp7cn8$Y&lY5UK5v0+B5|QXm*H8kkBwa*#&~L{9cdq9|(|gXsyZ zih?GNB^*bXM>swlk?t3%$s|AN9UdSgLRqbfD z>5+W#*;W4yBwqx@Y18sDS0lt5;_8D4TyYSAD-0rVRY3%a1!BU!YSdp*`!g(DdTe;cgnCbG6`SxJ>W#; z+>C6(SAh@J_ZTGB++we&10Ih%9ik>2Vmsg`&p(Y<+Ziq#SE~t!*bex8OP6u+JHv&; z7?R`azs83j+g3f=A^7OJ^>hU2gYXri4}w6wH_!w@Fu*qF(Evf9rW;sDN8pyx5xD*g zf}3nR9=R6*q21cOzjl zhrnq9BQRIJMi3Z*69Yz|+mI+1B3QL%PFJ6jU{&0h@-Df&uwPPeFd5=h!c~L^2(J-x z_Qi3#vOKI51TT>rUM6Y0!bh(XUL(9tc!Tg}xcT3}98ine`5MCk4NH>u4Her|?9e^< zQ(gJ+%NFdQzbyAI3_%_EPZN;os=mobJ^a1`NO!i|K-2`dP{5E>F%5w<7fER2^d2Oo?Ig15*FA>nPp zJA@U4cgZF1!5O^R)X1c*9I{B1r_xumE0s$6YWDgYNcw6v)VjCx2!crZYWD0olD?vT zNx7u2s6Vhw(pS{qS)pWcdbkFKXFw5W63!x=O*kh!Cz~+}`DM6*Mr>%5@G}xu*I>5S zJMe^gr$IYoG9emx;>EV6+Nrh=ek9u95Ku8m-&LApYiCYo z-EwQaq!-7NPd$T_{rd{eWu^~q8a8-Ncgl~LZVdv{2REG>1f~yuh?zYqFEf2`)1tu< z(+4;G83d@*X>SIBYs?@(iB6j`2&z>#-FP_UB0z19-FP%)5a_NX8Zro+6FLH2l0-uW zfwMqI;A(~lbTbn5LIk=NiDDrFU5P}Y5P|MP;-(RSn)IR}gTR#s5tzjoQyN6z>VgQI zSS5mDO|ZO7Mba=jh&Lp&Cdf{Be$OQE!a$P4Si;eS1%#Uk*&E}yTX`>R9t7``8$KX> zNcf2GF{$DcKKhjKSy;PB8kwAtyZ_x@?=YJ34kLXv`@Z|d3C`xPGKp&c6}-k5CpeqG z%7i4DE(#(}a5jIHNu2O*{%V^z;obbzHYJU7!d)ms1C}_Cuz;|TuqYgwO&WzvGwgIx z!qX^WX(V>YXgDtKovo1$KAE{Ev9m@Lpwhu7O|uw$GILMDrU+AQY{;S6*33RZl0?`P ziRYgJlw36Fnh_{Sl9aM3f{&`YaUk&`C|GPR&Yj}j(Tzxy2oabC8B-oapbovL4I(g` zF{UnvK&^RE6hu%g2>#xJAW5Sed0;ofB*O88O9*!nULbr%s7eq*a%sGZ87KKHoD&3} zlNY`qd`b9WIa2C5HyVj?+hHC}5J_LrUUa@(;L!w; zdp(*U@R8t8{@1vZtC_I;c(N_vsCe;ZDhyM zvzHrw63%Ct(W4AI4po-Mp6%>os&YOH6G%v97=mJ}9ur6iFa*WHEG(%bP!o<-MC!E6 zNS(gVUJPEF?o6T=gFqK1(ThQ#%aZ8DAka-o^kNXWdLaT`kwmc&0Td$H973TG0VRR0 zA}|8oi$sMGfi6X&Jcz)R1`(Lm7*iKS;A(;h%ub9c2qGvJ1Ix=)Bn>Ah-VirEh(OiR zaAwXjQ@2->36>FFCwxb!L)e(mgRlo-3gJY;rG$G3%K??X`086a-F!!CSV{Pvbnycp z{YdzU@N;BL;J}J(fA~zW$+z+`egP>@8z6z89t7;h#^`u{!@$)z)1&8v$8H8&I4-wuZ?B* z)chsE6(#7-+mxO4_it0NQ?=kvZGfZQZ-3K&-~CR|#>*ak5c$EQ4+8nsbbS!%-(hY$Fvzb z^JGOo1lRXE^JG@QnfG<($%=m9#w?n7Qq_-_*%1jLtzb65KNk#VVdm*a3}QsN%4lb=|Kc;bZ}OADOJ)| zloWDK0!O@0qNpG=CbS{!NEk)PTpdRvy_9qEmB|oQ2vrHy2-OK?gc{-G08C=D>MwBu zpE=(AQ5^sI57tScjcsQKs~T-|)0W0YY-yb3(FcK;MY=wSyy(#fk?%bEAkxsI4W=V zUZy*eC>G)kG21exP>8@)2@#lE#}>SEM1aM}E?D10c@Tlwk1?e|1m=Em;$+kX5tzl8 zVoi`Y&YqfJa6ec3UL*;8PN+s`M(9i!OgNBm4B>pjZG`M4@@30G3AhZbNph$~s7$fK|R@lyPb#(`Ibh6n;9&u;oP2wbxU zf!j|<;JP#jio5B>-Sp}>4=9>uW(b?x&PHA%C33{QgqH|k63Phc6S6nSM?sz{oD~EO zNDpfg8WPqbWi;ZW#)P%Q!K)%~1gqLDM`DVqu17CKT6^?Du!Wqi7a|iqdLc63qZcBJ zJ$fPXv_~%l=#EzU=HzqfA8ZX=Kin1R?IIL2M8$ONY_vTf>7%cYI z@i{FHpq7`pk|Ev@S1&}M`;n*>B5;L51g=Pkz*PtlxauGRR~kf6EDM&GK}(0?X*fag zhPVMj1n`dG^%BlZ%NTjBlt>IM3EL9(CQK)sLb!r(Kj9TXDQ*`j1B~L_YPXg-9)rT8M1!Q45ju zo$Uh-l<^+55Ht+Z)k5T2k7|l?#_bSEhBPLa;!eU{gu4m%ghyo)M<8B~5tg}_m};3A>fBb2Hhu@KaD(nV90HXaCXprA5% z;z7bggogp8k38~7vaeCdRl`ibCcTfMDJUZXg7Gvr1*Oye(G-*s0fDNPn}X7z|Lt`O z%B+RM4$)3QjfF{*3W3bzAQU~CxE3yE3qc(_v?YFxaqPgj79~OIm}LZY>_B4#yipuW zEpDgZi}T=b_eU>T_8?s~UM3HGMW{j8fUpf=7s3R>afFKqcMx(G%0WG?!*zmSOL9XS zLR&&RLVLniWRnhj)G=&OWRBmlzmZt@EA=RcNc#SE&t9^vM>#}Bd6Yw7Q8YJ|ex%%^ z93sm+$|11MelL}NlsO&=zoFtj_~J3b{yu=-r`eAn(~;_?KUQD|E)7LX~=V7;_Bc z-C?aeHmXm9jN}SCGRE&KJ(szqbv&myky>76mS;?r5O0W@`6BxwFk3Tka(WtpleI)p zEDfe;R&qf+zrPaLVJRu%Rl+xfT7(S=*(>F!b#)An2!c-Jh0cU7gslnNkTAOPQ8!9L z6mK=Ww;Bn5jr%>SA(Fnl{ab|u9@P-f@^-iG(!-+~A|pJiAu`LO8X^~Y)Kio&o(MZo zejhaPB;hH-(}ZWjsoAVi$X_GY0=XnML5ilI%kWK0&{7hJrumzzHVL0Is!fAx$MtNmg%XAC3M*o)N(1XyE(2Inz zEgx-1=uMHiB5QbABT=AA-{4-jNY3}@hR8i0-4J=hqZ=Yll{SB!34Rjk)FWLzx*@WU zM?Xbb#{yg+y{+%lUr3i)f8MX#g@lJP)_RQJRPKsYu2>S zFpwnl7If-=$~5PQWX+o9pNeT`%)hE2NbKFAnjp09fL8 zWJ`)3Nk%ysT%l`|MZffX9!dWz$;#@nWaX&BR!hMw62y6gn+Q)3-X-MOF0Z_A@7}%p zkQ=rq>_EQg%SSsBb|Um6^bfZxGRN;IP$lMXyLbddWKWNPh#cw>5RnBQ0TH>=BOoHL zdIUt|Cy#)Lq%X5~>a5K1Vt6;LT0j*q5nd*|5`LCV8io8d@^~Oc7D#6NNuv2Dl?93C zpHvm(A+eSiu`GxwaL{)^-RQm-HbyES!>W#SX7d(iP1YC#OK8Hz2)#Shn6B4$>fNE< zbbHmv*keb|bW7|Aiqoj&Wll91Z-{P7qE3jw$ps@&b6*q)5tvOGR0qHN9)YV2A}}j5 z>r>bgf!g^(E*L?vBv@XiDry)boK;>`71YWL8!ZK&k|C-P)+2Nz3?hsp%qA=(+`^r~ z{^4FhFo0w+knAvsurpyWVF+Ppc<|rF7!@lk_P>N#=k{ECja6_>JYmfAy+=Jn8hO-1 zq@zbY1VT&c>LGHlM?FN&@u-JL`X>8}%jG4Hii&c^EBDj41w`>0;dR0rgg3*hvw5SC zzeXM#qzo6NAAc6+eCLEjwS~q_oEg$)TL^Q$GeojE-+3bKGhAqk>U@!`c8O_*A7|IY zMJ4&phbF7aP+xz8b4XgctTxBA+#)+NnA>7U;5OJ1xb<}eu4#k7?X4ql-5LZ%m!zU` z`ffN6aGvToAp#Xv^V9_^;moAJ#%s*|IaW*fv)y@MGT{V5IpJD6E(GZar zJQ^a{QA^hlku^OUBGTTYAtFOP8X_{yqah+^dbCuOJl+g@(aZ&O5fa`eyhB(K?w`#b zg}gRY49aPr_A{A3mOq8Hh{^oU56O)|VG8PuGbK3&rOF_73<^_F4?Qey4C*DwvZ^?U z5$NN**7rZ)2FwtEGf9efa5=BFY0G-1Cm#EFK-L|f?xI&x(L;R;oCklqhdTQk+mjDQ z5M~liCtO2#knjd!C7~{16GHZ0IqqEc4i622eMk|*2*U{@2>X&TM)J|9aQA;dZTty( zhKa8DWRG-+oaT`ZksCeIA@Zz8Is`%(>Cz!m-yOnAn_2C3WAt22WFteD&bXy zC$$bisUV1{c3=id?2>pVSW`QLq<94v!X;vt#Oacn;gb|?;zGEjlnoN(hoV*7uqB}{VI<)&!kL8RYIzkiS~4o^6a@Q`97Yqy5cVfwjOC+ogaZi0 zuDG`=-cI+#*WsRcE01=F4De`&$OMme2(}2)wL|23k9LSW<@ z_$ZCPKocJmJ|TP>zMV}Ng^V^h|CHs0WS7G^@QP-*aQ;aZLAK>`IOm_#iq4Eb3Fn_w z5o9`-!#V$?j&x@HNoeAsq99jrIh=w}YD{N5JcNTk^_#YX9t$n5kSvZ7uFFJ=TM8@>9cVjsGJ(kQv|A| zhLaS5a}`6NB5D{TMBwbiW|d=61D%OHrc1#blEWgxt%Rot9}oh<+Jv@*odA{g8*?D} zU_9XLRw+`3mohpjWi%sYeBzM~k@Vf|O6kqE@c)NQX#%LqcZ@rIhh$Sd+exAd1fkUl6_|d=*}h%^QVGH=ORN&G}CH zlCb1@zeSt8k-?_80rZ<9D@q{IZ24*mc13k<7_FR2dxH(+ywh90U$y?HB9Ll0G? zjtxCj9c0*u|EB0%W|kPAd>T%5_>dRvl8WBxf4XjERTWZ0VQC6;|A~Z43HK0|6TTo+ zCp0H?Aq*kpY?R}6WeRIgQ%MffNEp-kXa?b6!Xbp2tYEFatbu;VLoga)TRyAbk+UJ5 zXo+9x5e`8GJzY3N(wDcZR+H);;Sfn*-tM!#?BEd&k+B}(5Six@P*L9aDjYzgND#$0 zgl`Go5ms_0Be^49$Z!MK`Ptq`Is|3i=)?^{sUuxH1Z7R>#0^2I97z9xIs|3C=>%8( z*bb+OlPZE7#qIFp;NfH~>M%pFK+QbVrtZd@t(nKYW;y(kTG&N%YwVx_>*T?n2!i4` zYIzw(qPQ;!(+s>Ju1<)c=%D^rI;dARJL*&xd6Q(2OvQ+WNhrm29T|Pv5dt_9UJXXRY65f$uiysI-5`H5595&7-k3zN^ zcKX#RpxVUmL{P;he&>&56Td4ki%tAG3AH#e3H2b_BTtA;{AiER(nFO&KKbkmqhG?5 zC)ULY?Gajfs3!HgaBMe*rD%uO&0c0lQiMDy?K~jrjsSfT-C{>j97`=PnyKSF_#cT_ z23xUEwIk_Z6yb2fS%m8ej}St_kAyV{n-Q{i%a<(&C1A*QHW^|L;TXbP!m)(o$Qtu_ zS@=(44anV2U(S40kx%aSuXNl#?~T;fp=f#!I+dWgK^ zQ4f(y9u*ZOj-SI9=qU-N_?7S*p(1=Sn==ZTZRBxAN*E)Fw!`E3C!PA|{F51f!s|@V zKk4v4=by~@6Xwo3vK`*72j-t>x(pE_SQ|`27%jINARbrqZVzG@Q$uFwLx~o^O`S_8QKy05k?b^B%DLY zUMwHA9DIOHzT-&`ClF2~oJ2U8Y;g)7%?Fg8dg`hFB-Z$wOqvoFe{Xs8L!{!5>W4^o zkA8>@_vnYnQ6BvexzM8@0$ZbW9TlaFim(ys0Yp(ks6;3wR1QxM-fg^K#mc+*ljoGY z+xTun_ve(=tGc3QI4vhO@cf86?@!WD_n6)z9g_qzTk2Z(I(Nls;-vc2RA2bz*D5H)V5uERspy&xR7u=;aS4Rgi=CN!d8RK1a} zuvuRfLgJYyGk#=7qQqwXE3;;zmfHR}Mxw-KeN_mFXQICO)(C`PBubd|t5Z6?o=Nc6nt&|CRCCDIZ>w!iI0yIh3!N*EF0`>F2 zK0AWHT_?3@qJ)2ifAiXUwa92}PGK{q;A=8NO+pJoSHiA@iG+ECiwSoU&Y@`Gxg?15 zNE!?HXdz({;e5gckUlhgv1D;ziDSv){{?Zpo<*d&QFQBtLvQ$tM*MX5-LbnL7{QK}L$h<+KnV=5Nv>0HE>akfD|&2EgVNZ$z< zj#aG?S;&p?atz3-dtGeop$2v}&4|>C&}&7X1nEd!Jz!#o!0ogna0~4S+%h`?x52;A;Eg5uu#@10OxeaeKD&0HZ{sY^kq#b35!uzFC?YdF ziXvE&PFEC>+dPUQvfQI6BI$eWYc^E+s1t@Xtp{J!Bh)9X5k8iWISM&&n5>_4Ny;=y zI#4plqBO}yV^I`PKOZGHWPKfr(p-DDjzt-n5G+(;e#>;n!*^6F#0d4#&56t6Vv@hQ zd#GYaGus{C%qYFrF&yC5+L6cOo%a0@xP5j6^z<;x>}MlTKMyRjBcPdw*p zO0(@Iwo49?5V2kIp0-Qg&n}JYU=$rb#)<8c#}*%EY1~+RSci{=^>eq|k-Y6{J2%@A zsJ91NBnXOgs^w*nnWPCks)l$&%tno=7$R`xLIfDA=AI`?g$UFE7`KcFjBwL<&Ql{O z)(FeX+~SUf0nD_IlF9V!{oC z8wod&HE!mkTL`y~SOw`LSk+2aTWiT`1D34P7rU=tEH`*GMKCawt|=m4dNf6(o<~yz zn{DZuA~MLMDI$|R+A2yOjlwZO(3otoHlYb&9YWJ^+kE6v$c-ab3u$BxG$OSSs05nn zD2dfV;_;~6qwy#+9VM|^$YIt%ciR@Z8hyyK&%e0b zt?1I*Nj0n0=%cdPbjL>)vr>GO@IXgk1mDeyI|8@gjvy*RkDq|REw&>t8;)kF9f8|u zM_{zw%{Ds%BkXS4HVC4gO>vF=n_`jBIFI3^aIRxmBCp5U+`Pt2WQj`%cM)D7d`75B zNUoh%=CH}F;h8~j8wp|w;da6uWR5%e=q|$DVYec6}(cdF1g6c}T zu!x-O5f+i_Ji;Ov1xgndke!Mfy%^$0>}Mre*QRy_9Y z+T?{SIgCh@rq_5fN;516qu^r*Ne0cbw=TKErhQGc9E=i^_BGkYlTn&)IT$5I;6!`m zvE7IE$lKz&7Y!baaA}Wxr~U)2z=?{8(Cwp|5jcU62tKoJ(;b1^a!24++!46-b_D9~ zf!%flZm%7ITWUw(7TOW?-O*fyqj7`azmQKYZnEQj`!`n!t8=>03>S_n?9UW@M~T}?s>`byTidja1ROMUc!AOjr;j%Dd7RavT)YFggH>>y6`k+vwU@~*Lfh< zcH{q{kDIV^s^L)>f%SE|!ienXQ5cZ}JPIRnf=6LQuJ$O5Ncyt-habtu9;FrKj^^Q~ zL9jl_Vgo`8!iM2J`Dmk%DMudTq{uhPOhrjF7Nr?B9*fc>+eKLG;8>I<+99?>KFoH= zA!#KndC=iW<*Mg$g`DzH>ST9;*bezs*QlG_k~`G!Lp4O6sgbekj%4H(-4PV0RLjep zyfEGn-K9j;5P{PbMqrj|Ot}yNmMBfF@PP3M)Cw4NLIh@&<{Tk$}{${v9cY2gtVk?lMJBk;jU7g|x~XcY_(epz^MCkcpcEv8Zu$~*0qQ(h&B}m=Wf5*fu_T3Sv z*$0{=2r|piW%aD82^u6gqATv`;UIA9?Fe+Y9Tf-!)25p%aqP7t_@ip7tIw?JYFZ@Z zI^f;pf)@#&6RHuK5jqnF6AmOCLpYz1y@HOLm*;pf_&n+11;UGj<%E|AFY{L96+U|P z{=ez21A-z0J~xG=hPi%#JFI%k9f_^Lo*tPIapJc5D^KFYZSz;2#EIMHuRKZmy7yb5 zIC0zjl_%&4rpt_ABs5)aMd_n;cxw=BNxo=9XiI1pew&Xu3Yl}%(bU?csT)MKS>Mj0 zN+ci>k49;d#b}h7jFKsuXpfwwqfwe`P1WPVi!TwoM1CD+xYYPV1*{)a-w%m8|ySAc?E%2Ugt?w6RTm^hgl69d`tA33@UDBmHh#I0(4eZWr4T zxUF^sTxqu(?FigDI|A;q7gyPh0y1tB@rD$Ogvlad(11PiO-Khl340Qz5>6sqM!1*o z65&fi8DV`wynJ58%$mGPLAuvS53dv6AiPP+c#Drh!rNibzlk^sTLJ#rtO1Hzw1ZMq zmNu@Z*D-W(@7^Ur=isedOVIGe=3Dbp^PMXm{AyUo{<)37$A{UNv%LIzZjI#ey0dM} zaV>t$ZSonm8F&-hi=Jb8TCnaSf1ZjE3|9*SRcS|*Qo zyx7JhNq+Tcojg9{3LDenieJCBOCGO#uZ?@^@jE*ukN1Ai#?kw8aM$GVV;{G1^!wZQ zN*-VKjE$rB|EoU9S7ev-=<@e$Iq=)DRKOj@yX-QRkz2Z zf8VnwCy&>vYmd`8fL}vqB#-aZ&>nBE$Ls0&Y=0W(%R2UW^!`10RPy;tn%m>i`Fp}K z$>Tq4Y>!8;Z~Nnu$J@6`{{G5(zDK`*YI}P;di_gJPd@*OF7|lz`i?v&dHk&&_IPyu zY`rLXyxI2lIE9D!^}|KUc?aAYZjVpO1qYC6E8~p^c;Sr)AUR@#uB_Tfaxw z3!JOq*W&B!zaPi4^L;gIV*fPCxA#;|{?xY3lE)7%OCCRXBOABYzrSOhWFiwsBiM{^5qn zRxZujsh6w{e6$hS^8a?|&V}LYZHa_e&l> zuWRN}EI=P%SYgwQ=T><*f@Iqb@bmO`l*|$*!&jp(G#~vN9_0a zYue+{>zjx9r_8TrdVdr>zxM-~M`2;#*gijce=6y}SM*b-t!Ix%|Ni$q5goDLA83($ zeTP4jJpO~;|3v?NonK5IZ`;N`KRQ2FzLGpXrlUO`y?-~qnLJ*u_czh&o3tW%{N-)! z^P|u279S>$*V)k?kNEs!{r8T3s;Az+M6d6Puc9ON`^;VK^P~K~A1Zp8U$^a@{Qb>; z&O8d=tdaJ3bpE`l=R@>U>yJzR{R=DGI66LJqK%{L{oTuw$IqK?@IB@Kd5)}!|IqdDz58z-F;iJjnx$5CrJG00RMM5?4nIPU^oW_t1s*X|xz{6R zDsOs3U2S2yQoDBTS&QC^(1FmA&?&qzUun9K4Ji9}TE2}Jue5?|_O9d#4Af~AH(tEb zLay1nl2@>JtHs=S@k;BtX75U;5 z#yBSGqUtY-Sxf}#Eeahy1es%QWjf{t0X-Zk?!lIqnZ~pkN5UK8W|0uMIV1$89c_k? z5EKWH%F9&Y9VaN>5S4ew{vraEcE_1%*MIZ4K?gsu`dpvTlCUjdZ^CrKDTFHs_X8@u z^4i;!nS6)!=M{u^S(AQ`kKQMIK=?46^RF*Vqb$$6#GD;1PgOdTHCX8)Yp|lz{VtD) zsl4V9F_oV^BBrvAN5oXN_K29uULFxsIm{zs3Nh()F;{J8c7jfB(3wopg|IbY8$#D` zLO$Xs7{tUURt-img^9rF=pj&N zQHbavZ~}S=oO&LD|B+WqQ7aGc^}l)ksH$qZW02(orvHGjHlZzHC&GS&BM4^`784#N z^X z3(4KKL~i$pnaax^F;n@$BW5a%Jz}QP$s=YeyL&`kl|Z_NM+QMRGDdeo4?<5uuW++` z1X9Ql)Gc7ezRhmg6+`$?@QW6(jE85&0+v-M6(oOMz`8wa0n2FBy(~!n&aCD0XuRSV z?BQCWQh|WBnvJSh=|-H#Y=uoRt5;eJn_^B?4{6CdjgE$9DFSsF#ULgEYcWbKJp^u4 z6oK^?8j+>D_n~H z7};VwLT^H!5cS>2_sK1A74ibLIX~gsoUm;coAaG@bH?UXHD*~!Y+h-m)y=EUbaNA% z^PNizXYfaG`II)J7{M27Fzw7*tiiPNYpH9MRGp$85_cr0_rh`ntFUKF(bgINKL2L9 zyx?liQs%dY20d&;j@X4Tfp8q*B0{#n-7@%4hiIk$Kz8_%@Dt%@!Y_nh$tS<@QAJp3 zHRg`r?IO*F4~aua9AA6HOl1v^n5m>o$qm|B27AOzWr|14R8IGZnaWKbF;hvG)cf)) zC5}EJhCsF_UF<;UOW2XHQ;6Wyv*i;~qUR{C3@hNGo4_8d`OrhcPnmmnkkzDjhN zI*dX>56>}!_@<46z-i|pFoXD}e}lmI%9-8`0yBtT6wIU5Qn6BK4A5~>P~Y9GFxIrq zj?Ksi+Y$C5%plAsTuE3;c$M%Cp%x)q-fk53gTqsT&lf)V?ZQ?tY6MA?5-O83s_;?O zaJyi|{8=j&Ol1lh34~@l1WAA27u9uk2D}gtGCfK_Y;-t^Qp@7TK*5IDU&1Y@C`1iRCsR^F&$c@RZPY%t=a zaQ5exIPy9~lONV3Y(eNl7*05Za2nxi!ZJW9W=*Pw{bOeHQ)~V1^aXnQ%Tp- z+i@r9=Mi&N;usX35Cl7uDFzdU5QY+V2_+wK6!HSiW7=#NT}{%j2S*B%^fkxEgHf7h zF&8B^>1(Rp!}iE2O=_|&=Awj2{|Gv{S$Apm8C8MOOE14ttcI$Jl-^@|zXx|`k+Ia|^a2^6K@K1>;R(XKgkK435n2;! zhc#%)2!5zbs7KDI&qr$z8W7ebGz?p;g6x5P-I3QC5^I>zA+{uTC1dPH z*qyLP_C}an^gB5~~^+{pd9J@AiG)fLV+_|!_Js!_SX{MEHuXhH{iPdHt>w;WSvH9W~%8Sd8^MT`XmUPj2;4~p@%@t zMbX7U;KcI~6em^7%b?W6LLusectea>hba;w&JGQpH|`y$SmehVjJ9?Q#^d12tJ+hoUsQX4*D~f{EDoKXlg3EOkdi zQAVRqOxAY}&Y43|MxzezD&(`Bk+aoMbzaUh#3X;U8da~Xvy9a!rnjh5TE}oe(bl=V z3@#T}+xj7LnCdp6L4&}#8X-`@CR7a(uw-Z}h6r4_5CO!RC?|zdAp%z?M1blXb)uCr20LdW78ybJsod$?mE#*1yjA(*>m3xDAcGiRw{nk|sVwt|naT=} zm?@l%)5T0BT}ZBHFWJ^3W-6mRVx}_3BkHOQGAtYt1j9)gBMAEvMiNGayXIq%LZ+aR zFtr;*wIi|7EUNTPjz<}7ejShEbkw18SaLi{B}&hSSe;R+zE&t!cQft)oK=f4nzq-z z@N|~9+?161Ivb;Dh}9X*>U#C9U}>hR9zMrKd*|{pU9UtH5pRgDR-%H4K=&$9K15*F zYfR}7fvX!LFncwNZ$!-yfmy0C1w#a8p{7_YR5NtEA#TtRfoYSQDMR?Wt<5>BR-}dP z2_pzI38xdTAv{QUgRqiNmyoS;hx%8G@I?BgfGIX2Y)sgMuqlb7B_D0ZPG|Av!*}zM z=#`J~2${+(kC3TcmJ*dQNu6tV=3HA+u9#W}Osv~R9+CDtg7XQQAB8kaoYdRt1g;m#Nn%R^IXHHHLF$ z-5r6NjAGXvK~#%=dj$e@7sZl00%I{}7Tgh-M!9L?ATZu?X0si^;34Kx9O)N9)Ve9I zwDXDqZ%DCFSYD>{g%~fit?~urf}08dA-qTUjnIg&C7~~2B;hbZas|CI2TnE%`%?BE z{ICU~6`?gbV@p12Luea9-ls?$KH{Jl5AQkFXVq$5kI)E&{L_U-WFL>vh#cV&8j64M{q@nV{G_J5R4;J96&gbFg}E+P;SAhkQb=Yq)xgvn;F!o z%7OJpYus$}z4LC4iXCvtgFJ&~*}b_(&cP^6w5)lEQ902b`6=v`P^VfYL})WAM&(3h zMDBmU89G~c={Mf2W>iklD?!3?+wKSo)}YJWiaUPH?YARvyX^>!xtwX44x+8c)-nITp7@t9UJ7;;x79tu*+Um>x1Qm?V*F^q=_#G)d|fBT?j)6;|X&K7qH^hB^*axArQni zB#o|o)Q!-c(1XyEKT^Es@ZEDzAd>eS8}pvy9FNL~Eb*v}NcxWVcPr(4kIINN@~DhR zM~}*g?B-D!!FpY~+KMvA_D0I-6*OZignYdE8dfg z;V9$anK2w?q(dZQI7+oc;^8PG9U`3K+Ig@MIZ^qLF)Vw~WZEc*2&cH_9AiXIR7K?6 z1&hu%A}2;jq&BCx+`>B&r@01x@g<0fAShUXE>m++^liZhdTpbs(o=NLre&wBB|UW93clL?m-a`w+lVC};jie4m* zZOIbb5qcB)5Vj}mz@vS`>P7nS-*`|Tne@?&^fA<Qy#|4+8zR6?CAU6t34y>B z3=x=xns<5afS_0`EH87jhIm7)`Wnwp+v>>g4-JyIo=ouwAtd}rSc8zgfR3A&zTrGd zLVzQ7BJ?BlCk!AABySAjwPEqL!*|<3-GA~%Q}Raos(0%xrHw~sM0WPbj7a*b_xw}k zG>^=P+~|=Rk!L+JBl49;Zbf%o#Vc;EjVK89`VJKl2(#5WPv|D&mku&`F8VSYqr#u=X^0`N2MAEmsH{U{9c{E0F z;hnBAA`?6sBXWvIV+0N3bgdQTjHAL$f?yVz;%LHb!W_ae;nDesqmWfchH^+e5T$DX zW*$nI^mP>=9*ELy05cCI0|xDE$B_1hs+Dtawn-oTaiP1kiiezL8{~^FxaeXx7A16- zRt=G54>><)Yc7rccvZSfqf3H|yrQRbag}}5le#2#MK*JIwQXq9KlT+ERi zGQj%zAK&Sq`6h2VTJWahM32^pq;GjY_P9LZ(HfCYJX#}C)1x&an|ZWGWG9c-h#ctA zTu}l!COj|*=8`dvB^*bXM>sz0mXAORS$4P?)Wmd@ZUM}iEjk^gn*i~2lr96@bc^V8 zlr983@uc%vvKCNcTI64Rr6MA~+7@~B8mc3*R%1*r7^xH05@~H);+yk@`~k~yYYHuuf5XAfE9?p!cxQzC_@LyAk{& z_t~pzc@TA`y-5Rk44i^jc;IV7O+pJoS3=GLIyh)Jcg07LBK9S1jO3$Hg#8Gk31hf% z{!ikL11shaG7PcZ|Cl=xeexYVG9xn9BQqlNJTfCNo=BG&kw*(`dqm3~$dlqmWyN8;y$HmE1Tg>fGSdXf#UGY&;re zGp!)+Cly5E(I}g6Aqr`mz$0hX01rk^41fp6Z3vHA9@++;JNG;58xutic z8fyc>HVJ})73eb8#KDhQ;}7n*BXHdt1a7$2{%9D#y(y5NY6_6Uy1T#w)gjPKF~N8}-o z;E25E5nfUHI3W((ui<7Ed zP(;KV;-rTWxauJSH4sMS5P`Y}qil#kor7`pfWXxY5twC~Vy*CZ$X*7*soMP3P2h$b zNf3_{RuFz6G$dqio-c!=M>v;L_MnDIgvo>{gsFsSB$Mf3{~~APl(=%1Iuf&04|pU- zAh(n*F(Q>b5+ky{M`8r(;OP=0GSVY4BC|abBXWsHYDGEY^ss#poI$2IlW-Q{Y{EI= z^nAoo$g0DX7Uttp-%X*~q_2ahSTactMH$gNI}~MRq97-n9Ewum5DrC|nJCdNxfzP0 z4Tx%o^xRfmKm-kwxcET>DAlu`O51d?FUxd5tzMp)5byIcG?jbH7C=*LEx6z5g0Y6;vze@JTXg+H>6l0EH8^E3uoEc zs*6YkcMzT^hM-CkG_bcZ+O1}4W+2$RG{J{6@OG;MAA3i_ZcR`JvuAO8|Q=v2f?`{ zit`8y2nz{|!nXN%qmWle9!Dk3u8quKREBCuJQ<})wyznC66AbMwDDw==2~k5f*UmJ zuyGpJgq_J!EaP`8c5&`h{g8(rbzVzUbhS1hRZs=Tx;t`K(Qm2f%)aXFva?NIi)64N zp&Ma0!X(1+gi8o_5ndpCMyN^3Ro<5)V=dAV{bvt*h^X#?O_s3VmDI>yd)~o7KvQ5dEn=o&c zT>3xmbwoS@+j}@8a)gI70xCv4XGCuHa7N@w4`)Occ{n5Tn};(Z4LrOREg(0Cj|agm ztQfaaCR3(_D{|G2e0Ci+@9X&gAo>|8F_ir!IM-VN^qeNfGI zT}tr@6_3)_TssE;w@KEe62xHyctGW(If%mu6u}0Z2m*uS`Ht^X1j=5+s38Kw;W1-| z2o$-S(1^U}0gcFN4`@WP#!YscJfCHUN&RjP)lS5^QPhJ$ zPQfRBH;&3H@3V$DaOy~yV}WkvT$ZMo)bEUz9uvbkab`>Gk$=E;Nr)*~u8@nV0IOCT z&WVz&s~S5HjBt@9Qq!0~VTYV{AZVAMXkboi5Bx5{6G4C>QT`6mCqYp9B0A;P+i_y} z@7!&F2!U(iATV2wTx$Ouf$QBMFvNG$xIti68iZ+jRc@}q|_A{%%ZBhuQ#7(x3Wp0%QtV_G;o2<~O6xQ{ZOazAB8*gRL| z$Y<4YwL+uZ6snzvO%I|9SIM8B_o8*z$dE(KWE2OZRtQ(gne`n))n@%PI7GNg&aCf9 zs>TG07(aSI9VE6%ek51PxiYLv0m~gCs6{Y7 zB_MG8O9T#ni9nxUG2M;8Q7;kbgKN1bUb5Yh+rJ3~q7kdaE|dc)Cs9UF%I=`!=4&E9 z4NhWxxS4VbnMGMD_a9$8Rz)JBT z$)H0rLs1qTf_!hTn&r?wxNElgUWcM!Eobw+xp5YAEzN_6+Uh=V4# zP2tru%0n^b4$7UByI3vm=Bs-sQ^W0(y5X-Ik*lijJfIP&;Q@`vHXhK3?CSxI$jKhi zh+N|VjmUHlXhdG~09Ukb%nVaO@Gz^zBa}xevnaDeyaltbs`8n2n8Y9TQ?gU2Ch?ED z3V$NZ!|EQuN zIUE-;B&#-eZP+TI5r~qk=>t+Zv0HMNhbRp0;t+wmHALWU3=z2NLIm!r5P`cVMBwfS z5dh;ylXzSZB1kR=V|>}Gz@ml&<%jhdkl(i{_=I(04W$mH6=ioyj?MFeIa9-aylX~* zxR-Jti^g=mx}P$G@<4b-QaSvUql31{o3TY6zu^7S%kqkcFe32_-c?dk)k7GORvy9# zJdffDBQnTC7?DvP(u!7&+2KAx@EA+Q%+#^_|6%8H*BjNofD14RS;AqX&rY23#TRlFjzG;nu;GqCoj;KJ5V+NL1Zw$#1dPCd-%aNRfm>)t;Fj4D z7~H$rWJi!}u_u>2-^${xgswD2HCB!12PxZ|~WJjKnRr&%qYq0FH?OPL#PnyYx^v+7{tcel$n@w*G= z!wwfFew~ccJbTW0IvHhBtuXPAvx#5R?bLgx(_BNjA@U5!R%n#a21MB*AKCW!QoBJe zBwMKsh(fGu*iXM3+YRy>9N}`i?)Vlq?eV?YB|)J6ALS9Mn$mSkG-&V}bwpwWjz)|? zmn^ZzfOqT0 z{D${21LRl_S_Fi@c(jPz;X#YY^B%Ma>?q>VB2wf*tZ3Po8@?3;&#_KCPkDhdFMK~& z#mMK*;jT6~3}w8OB34S`Za7K-L+mgVW}$xgQQSpGQKiZ$1%}vRD9l1NZH6M59)@b$ zZWj{PGxJdNd^+S%3__=8qG*1D#3| zmrDRHHHs}g($PZ`k)u2`5sBYtzxfue8uP=$0=%J6CSIewPI-f}AUq&f)yU_{k;6U7 z?uzTutuW(jg1!9?EZ%YiN^`84fD&eW&9m=VBMr+4F`#TT!iBS1iV3O2o(OH8>Tl>a zafLQdx&qM7cEWeJyW(p2QHir!=!qa@xs7%NYW0C;2!hgg%_+TW#u__L+zvYeY%=Qp zIs&)6j=+H3o3cTJz-_G~Fhuu5*qK3)Y^*2ufMqwJs(oJ$!?nFc9%?uAuH?fcWDhkrWYu&_%(@FwfTTdWvw^VK_)cS)dIkM_maQ8S~P zK5T5mhmF1-hKQW+VTi~i4?_ftY4HpZ`N+c%kzYLw5!uYc5Ru(HEEO#q3&KeO-dd;= zZ&BW+yhC|6teLB9X z)ZGJX00`U)JAz~!^?x>wnsVdZ_`PJ354&;6vfy!7XIp-ua!o`h1nMLtZBTyC*B5njq86o%C zPIwv-f=-X^gfFm*;(F~tPispG!5aTB&Qi&GJXx=ghGtK^d38JrxQ%usm1H6{F2PGnHJSY)~ z-{n5@EIHeQ5|JA`C=q$YgH++)-mDUo2taK6B5FV#<@0cPY!C1L-QdqR229lNLm?rL5>-l)iD-lTjW^vWDovigqCYxGCWTyW z@0ZM@CMN74o1rL_FRmq99rc<0%i1&X=dPpNOL?BMn6jEucF}ymdlkO<=37>W?~R;O0C|F8{;&3QgF5P{HTa4ajdo@ZK&hN1?c7%r$&*VZFbrb6x%jFyzLO^Wi}w_H7V2Z*~N(VS~V#vLkSg z>>tW>Q|Id_nnxvJqu_ zN)O60l=CU$DAOT@m^b+`JUj?~Vu@HqSxxzwWn&Fr{X$tAp8GGZ9e=vd(MB(<8uG%b zx(6tN{1Xopk@$`7K_|&z4^Tv|_5elXJ`YetUiAP)V5}DpR?))oRroa@WKk);rhG&B zHhd;m&B$lSVe`G_)y!O!rdEtaiOu(#UNdu1nqe^(B{tt{md(sXX`;nglrY~9q={U_ z>_hYIwPUUmi!#xid-qf|`_QyIXYTV=EXo9_7g-X+p>+B{>P4U~A4t6j+$uW)x5kdZ z?XV+o`|AjR_|?u00=Kx1z-_G~!0iWD>ywT3$M}qhFGzAbSX|jac980a=eKAI4q#0f zL>W%Gneqtbb;_5NfU*f?ZMbm|{L0es8|8P(AFLTAc(roUsMV9cvBOoT1VLd~a&)kC zQd9HkyVTXu-}Q1$LH^6CeGU!&(*Ah<2aZ2oG$L13FMC)bvdqI0k(7reA}u{E5$WM! ziO7i_mI(0U@hlOU>S3yA(fF2DVBfJ+ET^oXd{0>!UXZJDYtf zP?}&RGa98y_QH#GG)nWVjJFmV8A8YmE{bzBO4F^p#6@vhJSimP(il;Fi}B7_htP+aPdT>j(_6$uw*b zBDH!z&erBPVHRFF#J{HB4j=y@eDu3xHSzYq{D*kb2d#|b@ zE%Nw%?mO<3yF4rrndf1NNc=u`QAMegXWb*c?IF!QED`DMVTs7`9;S*GkCowRy!k}6 z_>uAxWff(0xJ$0$kfW{tXm%Rl7v)yQ#HwX;d-E?jc zxULNXIGExONSKWOF^aAH?Q*!HqZ3jpIJngBK_tQ+}q@rnIDVrSzqoMY)EO zwQPg`YAh7hDK#iHDYYoIaavFZ4;`!;!N0C}{ORY8NS9-G4^Kn}cz7Zb zzu|q`?NaRFiGaWn&l8a)9-fF4dUzs0#l~}0v~;WvXYvXZwPFqB7s^^nHW)Xb6GuUG zB1$u>nTRruNy6Q6X8b56#7smP<0PSZ1~a}hPhy@Od1V?BA~ern#&;e{GfmP@+bBsH zmk(Ge8E+@s8ehF;ZJjbMAJ`N?+D;smic`8$iK|0=LDu<*>c8}c5Q`ibt=gESUz;DD^1yDH~EY;_1fxR9Nn+M#+SdWA8Pk zY{Rn80{`7YNB*adKV3K?+Z=(1FXCD9?$}8>d-x()Ux??6$S@CIM5cK7A~MIr7m?7z zS<%ArD~rT$l;0_TP)b-#vI|E(JB|!6#5pFV=b_9vlo-b(oAjM&lAVV#<52SI>k16{ z&^l;*K~jfJ`o`%){s@#AQl0DL^)R@l*bsI4knML=bVx_r7Vpth=^^U$QFIL3BuI_w z^8tbg0@D^Z3+@Qqb~}Rf_4EAK5WrTNzb`aK5SRr=v(k>h?Xx2=ZE>^9j=&(@O~VF( z!MP_(?7YJ)_ijolXGn&>ImNDFcpHIpB13>DQ_?l@0R@4xAVZ*_HM~g?IPWn8N?5~K zAp)H##7N<=Vm8Kex-zjk6i_y$w5IGsIgWA>C9`y1#PO1i!$X5$6PAcgDVtFmP#Usg zY|d8_o?i~jM(|%WIZDnixxB9_H9O@fHH*wiuC2m9y95~7B#&S6{%Dab_5eoYcMo7h z8m6zD^4vH{2M=HbThsA?5xLL<7?E2%pcO3}CH(9WuvipODo_e3MYzLSvg^5@t$u?4 z&$A1bt!~2?vkPkFGvqL-UsG&mB1*F?2cpEJe$BL*i6~9B9EcK=`ZeKZCZaUwav%!# zZ*Y0i4td7xL-`?`i^6s#Mz@q9GVMOhMiC>W7!i7XR5pkc^h)r3YdYj#(i9zmTXaWI z`VKnfHr#Qd-X6$*2;6Er0=L(W!0ogna0~4Sl4bVfe(+Yj4-~nEcjvI;DvDcs?eziq zO`3w4ipYp>=v z_=9}?xBvJkrrpt_L-5}ek@_X0t~bB;iGNEGiC8)f_25Qiq6ar3k9%+<@}UPef+^E@ z+=y)I!HvkS9^8l=?!m6`%Z-)5BKE{9vT9VKRHjs+q}WAIlWtIE__mrA)XHbfk;6eL zZJ=bvqa-~arO9?!8X}_kc7l|Fh&+^rh-liKFSb+u-UrGNF(XoBNhwO?cVWY%A_ln> zC$izjZb+5r_wA~d+IYwJaWbfyxUZKB;AJJfD=f}$(-h2MxmZZ~fl`gql#;c8j@z#m z;WwcLD_S{HoWrfkQc;akol=AIy4gh|pCJc7?z=J6 z$Y_+Y(2!E2Q2?fLCidIqj+t6gAWZnqM#(08=cKelYvBx+b5q*eE=aKK>%xTNES3@#55n43d$afra(8X^F2k}PCA zHX=}GU|bg>P*>pOs?f{3tblhW4LN484!lJ9l(Lqx0cC4SH_B0zb0}jdS)1ntSSJkI z1i^N!4coJ5?7&w$Qd(1XqO^e&wr$(C+%-Ic|Kg$JZ><~cb*G~#cRGIZKt`mV2Qq@& zm3YXA^!7kT!r1#9OI2#YIZ2d*aRzUl271#s>%l z?y?Yp17jjcE((iNZpaW{5I12stT?E|R{3Tu2s=^sr5sPWm~s>4LCPzXC6wPNWw+1q z+|o829|SwIMzo{sLfMtF8>Kx9N{6t0Ieg;4)a-e^n3=MsX4hG#JThYSpocOdZ+a*r zaKetKj7S|1Wklk4y!Y=V2Y4tWa=M2yBJsQKGak^|QJ1ih4OlGdQR-7Rq-;byNp|hX z=hTtIMkzfYW#*%VHcHm-$<3qMyl=cU-jFmqWU>9Yn_-7wK+4QVNuj#g)v~?vP3;Of zAt#omD%NI$lU$CdY9S{Us#?f~3BF_37piKq$d11(V+Cmjy%CsaSTp2}K%ZZce-Sw9 zB?5=MM6kZ#F4-;5Y1PC6QABA-X-nCkasnl~cwW)WoOB3#2SG=ciB6QxlrEI6tQx!X z)gIwR<*;-FR!R>miKD{T{*yKK;fMMkId6yLt_&=^>0r{I2^x z`^tVE(ux+2jR-j0n3ZA^%BGafC=G~2%q|@H%sSqAFT)MV3`mh1Vv;_Zu<(v-f^jL{#GpxnKpjBPAVHAKswV#HKj3|!JT|;*5h#oev)l-rQyBuK zupQL<5ClqK!}}D0;@2*_{0anr?v9YP<42Z*>Xc@bj+8?wr%|q?+)jCd@($%YN+pUw zDzw{mkFahKbYp$!PT7;P7o`Ua$KHI^ld@0PAgLZ%Ggw*ts)#}0RvyfV^z>jx95#pV>DGzve?RXFn5b+}nnI~D83 zQ8^SlXs|@#A?BKc$S6S8t@#tikxkN9ct~b63e*m3{si2lp`0i`#7sx2(ULWPf^V*& zoG3dy3kH04~%SjsfYbCgAtRg{{P7L=^* zbJU)F!n1;4UzUdbDEqTq^x~@nC1=s(-K^}SL_{zf>kt!a} zh-~TMjL2Rd&WN1g;f%-?9?po|P6D*O# z1*D&4h@hHtiJUAc<%nE1(z<|LXHg>60k?A7?nt9gSjGw3Bna}}K&M<22md!~`oZOP z1g?97z_o4=xV8-fv)pKUHV9n520^mQ9vxLpWkyvO;HzV{Y0RiM0)2u7_!5CWy}}(5 zfj+t-10!&_O9cANTJBMog~YDWsUx?06H3H(tP^`t`csBduBT)zpQG1)P`G0d^k#`T zm}TP-zB-h07^M&8a7y2P|B(9ex3k z*Sc#MdWhJ}f3D5^ns2YM?ix37mt1V-S04~fyT%8EJVCU`#d1#62Sh<4#s`EpAZU@- zvYZq30m0Tg@{6ItZ%emy1a93Ofx*D{BLyCT+jB?YdN>GN{{}&NyZz<)2ylnT#WBn& zAuz;u)3rh1eA^KuEA7cO;UDOdr`RRmoCRTLN-xTZluId-C=XL!qb#MAP|B{LKL=Dv zczqBY!SZk<D=;}^Y$T_TryFe7ri2QwmbJ(v;s%!3)h z23hZABhuA_ThYSNDjdgYZ&Zq{DcexCrEJH&qU^$vN*>jjq7lp%<4Fv@(+ z7EQ33!6?nK9E>t^QKEU)Ea-ADN>eQdqmcBAD&cX^?dcLCr5SfCPKJ_nf-M)fuDcH= zxN!e$T|o2-x)68Jr}g`C?oQWhcY6ccqk)M;-Rf*-PkUCpQGO>6gyCMq_hs-%2hJ*`E%f7wT}O1 z=ApDTUSP(d&~HhzLo)MF+8S?d#-XrXpJs>bvmYF$neMeUejK|bC&M@848@0B#9>fE zPLv>Gtv>{VTS^gmfD7a_eNvE!wf?9G(DJe>0NFhc{P7G@W!64R$lPH5JCsR&gxj2=tPNSS2N>Vuf!@{x1!x@qI?e0xC zlLj8nh_v@`M&t+&XGAXWa7M7*5YHKrCq29sEgY@GO}QV0a?ys;ma;RYT{t>d^~mSc zk;6>MdMTOAe=4jBP3G4`d-)a4QpuV=6-&le$!31%tfVF&l5tnc%tg`lNdY2-6)Q`| zWNAZF1Dwy2nt)W<)ima_2$a8u1`Ps*uc1LRthlm**E$W)@7Wa0 zV|Dn1vW8NJ(u%S>cHxm+6+)%hm9iV9J*7jq zPp-m|&!{7ZqmmtpQZGAM_>nVJVt4!pdTVefN`WC#&3bEOh#^gFcYM1vFhnvYAPNo1 zn1HCkot$dB<3sHNIhWL&*%HYn)k<%=rX0DJ%9gi^azfSp* z5>Pgw>`3WJIhJxEWjrNo@w^a&S>bj;a2^Z9P|EqN92fA_g_MgZ7gL7KNvemxdPHoV z4)O3sWQd0^0)NzazKA^P;fvr-Kb|ilt37-X+0ermk@!{j-Un;-=nzif-Vn+~CrW2Z z7fRPKm8*K>bLueTuu)f~^jwr#AQxk<=Zuv~&qbL9aw$C*rRb0`Iu~WgAz~OO&Sohy z8b$Y~H_;piv;_lP&TYvuPMqOV<(j2kK+q^b;z;IH%iEmlzx1ZCpn45Db!@?ckmcNj zpSzU@vnUHF%P18nS&QeWLBqnaL2wCc#-%J0!zm*umr*XKj10T1SCs=E;%9HdoK=$L zA&wREzZzcE`@nA93W8mN8Pf{_{@Ca0BJ)pfckoB62B2@0`q6HMjro|uA73_#o}aSH z#%!GM#~sb0=lA_;V>aaZqkpUD`4AaKV>_6PJ|?XT-`HzeqWpDukX<- zdcJfwdrp&I{-|_t^t@?Td!GLKJ=Z6C-e<4qzkk?K(ettU+4J@XyHKI>$Ap8oq^J~Vp%!$5YYs?dRL`*824+m>WGG^rk&e zfB!x`FM58<`}REj=R1FX^!%g6_B{Rf+ipShyx!;bJpKMz^-lD>$Jh2e{r-GtVf1{| z_x3#f`#bgH==lq)?Ron9bL%D1^TOZldHU``YvL`{UAP(et*w?Romo*Qr(XJpFa}r~b1-L7lcAmjnU-$FD&>{6QPwpPe@F zK*^-F2TCRfd7xx?ZiePhluY8;ICbjk!)DiT30V|)y4-`(jnX}w zm+Lt*pSM>I1ICE|qTCampjOJ5nV{wX^+K_37fY-i*YfYx@WM<`bAY;d0uE zBJD68Oc|w&Z_7^DU^|vDU`=3Z=p#xGTbi+uHe(;m6TDGt0-4fuHp0Nwc&{M z>M;|K$@wtIfAuqF>6*dMHLMwiITMeAS-wKP_rS=cwg*NA)7u?m3y<{jz{un@4~$I4 zcwl7mfCtK^HKTjjoKy-_ioGa3D0@?ShQo6ej(px;8N^s-g4!a+FhOkws3n6K%S=#P z#26;1O>0^*h_TEBwMC4SL|GL~{3<$B6E7LFVEYJAu@sUH6J z7N6=^JsRVmov!f^$z-~RNG7j&h-C7ehe#$hJVY|t#zQ2NeLX}nIoU&I)9TSPJdx-k zl#6{S`%(6%^a?xYsvh~Qy$oD3YQ$)K7;WZ1>uh)uIL=sRm|Al!XQ{>b9cjMJ3{z{$ z+8ZgwfpHKxC=LQO z3j+}cfurFdP?s>IKLp86OK}R=1??^33*!2U2wYPU0g%-7(fLdoie@bVUl0uaH-=jz z-kq@a+Sz`3>bm=Y{DzOg%PbV1QGTV=qijRTfvPE(HaGLc1N5?|d+ zxrHR#1h8Mm%q5Ff7J2U2+e0G*={=rCCZjwwGMVO~kx4uf=Bs7$wTDI~RXsE^Y2~4^ zY31k@-ba)XO2vVcgDAZz2M^0tIPy7q<*;B(J209tYT+#h=csjWFf&K3n6a9|%MQ*_ zD`%{QG2y~G4J=vAm51=oV=t`Kz?1Qy(r#g}WHDBeLg36$418Zw#w`rS7se{GYAG@f zzI`1Q2Z2N4AW)Am@Nf{QKNvC}0tdoD;2<~%903PGvf5Id0&&6I5}tMjz98mdaRm3T z5F|H*(Hp`_dP7*8-{&!C!z!^KWgul3WdbD&R0I6LE#cNda4YM>WXcrQjNAC?c1khj z4$7S&+B-@0@Kq0X6ZzcHkk1{JJybGj;h~bro*pWh4D?XRWTb~mCU<+NWb&eiN+w@= z=xka&4h~lkYlL!fDCICppYZWql_Q_67qfmD>&5gSwMnqTtnb_xi5crZKnJN!o)u>O zi)_}{HNxv}xRFL&N*R->z+gJo`ZFf+JKXvQ9||YF9dg}zL^|p^pg5fPUN6b(3GxO7 z=bwB_SOM=$+Ilr*G03ua#LwNvgU2avQ@*8Cq+~&A3a}^|UK<2=v0mKG0&x#zDrFkw zUdnyp9!b&gUsiEMgGHkei^lUF7@2(Tfsuj68xJFsrXCoX?BRit$*~?7nT+tj$iR4s zhq7tW=tC;r;Vcz>DMwI_q#Q*j(ro5TK2I-&OS(H|oAoo-%(wzHS%3CphA(MsxY9$^ zxbt4L7&HWv^+B8A5cMkBaQ%i){pgj@C$eTOxZR}hJR0HpTPb5ZkT%0<@6KIh_dQJi zQT@UcHb%cN!<{_i%-Uz$PQLnufjNeJq)Z%a^dV5AFz|2?C=3TI90V{%R1gk=b%9<_ zs5kh+|C4VB+36U=S}>I|m+}$iCrS-Ub4n-5VU*J;WhpgyZn=*;c+*)o?q`jdL3x1k zAmt&-%<%H0Zusj)Z6Za8r$90cUxSPTvV$G|}_iPkWNe}llmZxFmjPnZOJ z!?j@ST;U6n+zu9}oO`Jqt@@3npgv_=%AS;dl=CRpQSPNYPgzWff@&(7Ig^=Ozk8VV z;StKClv$M7l*d?69_Onk!e&YJ@K=w>P;N&LkxY*A5Xs~s50OmbnJ`a2B~N>ZWb(0x zNG5-Hh-9+4hs>tcV?a2YL_Cy><0!{d28Jth6^?vFi4qP)X{t2@4-Q3XwlyW#v>AGZDQ(PHg$WHJl{nV8sROQC ze@Jx3E72elT@qxi^#!_~vh5jmXYjjzG-FzTK$#54zX-m{xD_B!7z4U92;BW4g4VWW zgv&z&?&1)EyER1MZVVB)>p}$Xst|#@Cq&@x2obmoLIla>;GgAT^O+-uy<_kyOT-tH zKPVefwx{%<978#uG7eH<`iv*SlY-z$mWHP&Pg9;@xtPOO&r;@w1CyHJuNjdij$b`6 zGTF=nBa?U>OrOK0uLnjZ=X+peGRXrYlP5edG7KTbL)mQ2s5p>6PGF@tkur#K5@m3B zf3Cuj&(F)vpUyG98g2x2p&5q~(;7F{i#F*y_eJXuDb9h>CVicU(rtjOOXp`C+ zKY~pXdWG56I8bQ7>=+i>2-0Rsd4*~2y?bg(y~2=xkej&cBH5M?;!W=a;M2DN8y*fI#7V|{p@@&aWZ3&)Fm^%CV}$}3^TqwueY2@i>^(Kg${qkxVxB5Xq#She#%ed5C0ku7^k_@mQQkXXz8iV7fD$%yMxG zrj-ZhoUs!j<@acG-eF$ z%@59e*nINVv}EkTT@DaTZ+)@Ud;r%4fwgRuRKWC>-4Upc=`DxFLHf2{VeFD1 za6B9Y)+CHD90ab1gTT6jk%EK3HE$3kBdWzIcTtEh2(IVoOOsp@zRou(z94SA5P>pU z@$MW}T*$dXT6(o$Md(cFLpg(T73B`fQNvlJdI4+ zcxYsDu!qW~mE&~!Gn~OvaVF&~%Gs15;g-27M?O2R$WWAKR~?F)dM^^J*sSmD7kS^k zz#4EF#F*HuZ`$KOrQ72bYm+BK%h(^g5{SrsU z4^R-??I8kpb%?-S8zOLbh6vn!Ap&<M7yIDAO?h_Z;XnDQ~@6V{ZF9}NHDs~jj& zldjStRc2=ch3Na-B`RwdkZduQjxAU^R(KdAQp>{_k?lN;5!v6v7=cMuJYz&gdl)0g zmGO)bdBek6(b6$AtV{+s3dRML3n>>-E)H+cRX_4Md*$$6RBwwRW-v-H4Xfmk(e_jB zL_3Sb+|R)%lv8WQRcbOyoi40t7zU>8{y4c->Kdko7uGZk;Am^hr5m~&;ZlrQ5ZqFh4Lun4a!%P z0?MY8)|7oH$5Ae#+z2Ve6Jr>j5CosHPJBjLLiwEX1zB4_-vR_25OMx(6>JTYK;#vX2KZBJq3fqpp&xJ(v|O92bXs z!=r(f;u6ZGl;M;S;nBGYM?O=p91e_j4%IqEE|my0Br_QWA4cmGxv^xt7>Q-r#`p7o{R8a&I7hggE2H{_$%O_ZrA_j&_T%r(W`EpNfcvY=Fx`AmM39a!Akc{H{gvxpI``NY|5LIuPKF;FA1dkiuGX`^{RT599=T%H&YCH z6=Xj}{GIeeQps6BwqyZ0(L))LD?OAEke1>pBl5C`G9t@7lo3gJC?nF+Lm81C9@>f) zkP+cEbZtPzxSTSQas}neutBZ@lF!_$!R8K@swRvXUMdHqWWO@-kj#XXrdt_S1|DMW zK5he{L9?zrWc%b#*)?+Je>bE`$ccPz`{XO^8hI(>FeQmpE4}ZI6qC%W7N>Nx5_uS3 zkj`DqB_i@L0(XIk0Pcufr^MwU0_;+b9(x@}tP$w7A#M#3xGO^hdS8g^LIf);2N^@v z2n-L?;4l#wl+%{{%z$8>do->SW~QhuVWqO9fz$Mxvis^prI(Y;LZX#Cd{58P?ZSZ4l( zS$;2BH&+o3NplZpM7n!8BXYclGa{FJI3p6j?fv`)GryZkwQ1k6Q<0fz-_uCpjVh#bN>W^ zTX9E_*>A6dz;$vEWER_ZN8nmG2r?V(XCuJ<-3a_Hl5O_$`Lu{HNODtHTyV*yboLt0 zLXc(kh@abl2U}CRQI4XVL&;h|M*&(Lb`OG|Ss~W2bo|0sYbn1{exv*zUcFwGj&;;2 zrN8B4h?9G|Ol3!cFofKnFS(M)t}(*^jw<@3WuS zUio2%A918HFwk6U#-lhLHB4;29c4f9-e5P$r`%p_d*$~(@Q}=OcOcJWSXMbA@By(l zAfMOCUU^5_vit5_cSqoM-4WPDcka0(Q0I^S#~h2mZn(3LgTQUKBe1LOY~UbBrd5km zxTNE@XL40&h7IwiaD#>j%%>AGWoQ@5E3g=BM%jt7FXed3#gv;U4^m#CETNQLLC4+K z?_p68{K1-0f+DeE(x{*S|6Da`G@de0G>UjqG2ANnbmGu(YQbj{wn8p=rr@hdP0eTU zQe#hl*UL2pnDw%)h%*0)_9goq8kDy|t4OzeiU&6$b3C{atP#ZHMkMgyMm)RT9Xm;9 z4{k*Id2l1>PRCcu$94V3Ye8^eQgm5+Rm9T|v-b5@END~aKzW-dD> zVmMYa?Y-7xLoyJN=dH;`8X~et%|PUJ9Kh`9lw1(Xs+ z)C{D^EWYELR;@rl6+vKN@Mhy3K{BseoYLh=bZhVhNw(UHQ@EcalnrlLd_kOJ83LuT zp<9ChZ&Z@l(5*qB7&g2~5jc-B1bJ@>*(^VW6=5W03gt1%Ta<4oMU;k=wv_!T(Jk~M zj+|5skAv$fD@A2W6-tUym4%}kUsVrJW*xC9{Acrz8UKsou_&f+l*0*KTA=c|qZOY! zj`Wa5Fb*0|8j)K(q!D?_LmH9zh3{W~lixk05ozcljYtO%aYYNqjeIZN#7Z%NGLbTg za&uTaSK-KK)?q$k>oUNr7TIJVB4mhgJPIQQxbIeo$RE~U!$3sH5aDNn*T|Y_)T( zvz!nwt0;VJ*6acK9h`!WtPzJ&PNQ5&xt)?@54}LDhfRW@1`9+@N-aulN*#W;*X64X zDD}c><*9lWfi3+C2I{G3x@T=%YvXj%fp70jaV`^ z=BrI8n^HCl_brEYBPeh8RUP!PqZuDN;`hB*t(KoXxDkop_iocxcJ|;#C)LD-SeOBFA zDu-j=`@j)YmwhAO!t2_3EZMB@Y?d}_D4gPQR!bS4A042~Ho0($%Q-Gt+KDq=y7-bB zoZ?b55ZplE`xng;j&Nb=9YNlE=#<-Z$N$Yx;7uO~f$QNQaQp2D+-^Gp!+kfM8w93H zZn`!IlBM?KlJLA?#TD?*q+!Pd7K4W<^C_QGey40m*^aUor9WjTC2I}60R571_aJD% z0@08~V{^U|N+Ze^l*Zxce@NxPu+$ZO%~ML&uoM;m|Nk2vk>WAVLmZKZJ;V`-U-b?N|K=cy2wi}kBAIN`l5uJ>yqR=G{?SCi!lD&?KEC-uYcBb^A zoJhHpGKumq$;gT^0Nqd4=&*xI6Ai68ChkAk(vSWfIh+!$ZKwoPzx`$rTjGMIL2g0pbAGW4!L)n(H9c6o# zl^w#V5B z95ceN=p%+o@gU_P%FOWDTs0$~XGbZ5NM&SZl2Qbb%vh9WSThwRr3fOKu_#Tn zW-3Z(0;LdW75t0}c8TT;4E4yT++xtek(LWAlf2-lg$#Ef+)}W>u*sjS8|9;nbw82MP9SEGDR9} zo^mVi$Q9}cf=&qnbpruc1c6$CV9^~xGO1de%3K*M)P;31tnX4kc>?9TjMo@X{dIl?7rqN_!TK4t&*-(uvYJ?3GlG z|FCjg;USI4Js#4CyyPK`U^6qs<;Z8+fzSJnooXjy9X=HuazWO2CQCN!JD(+V$Z#o5b&2m(U>xZT>LlykxDQocR(u;J(8 zcLc6`gTQUJBXDgS1a74rf$P^GNY>et3&IOv+ClyrO*?L8F?fXXI^|1BK-q+{Bc&(h zSjvTztOa!R+B=7xgP;owL|4l0tQ>prRX0j^%AVnMN#*ztD@XjM_kd&NI1gh)F7q%( zuB}o8y-f+95XOJCJH-Fp5M$^v13#MuzC-}_uXbt>B)jaadbhmC}ULo^mjy?AG}J?3R;x z-HVms0Lp=sgDAZz2eXzO5}uiq41dXpIFmo)fs4o|9=M2<{D0vh(#ZoCk)u6u5s6=O zzvWh$?18Lk$(R@JOvhprikB!aQ(mFW56{R|H}Y9^Am^8LRx)Ep{G3l%Dohd6$dIB+ z4w!0lKAEWkCYZV@nu>nziU7Lb_B_2>fbq<`Y*j0WaS81 z41S^1rEEpngK{Kg2xTZq_zQa$|DBQjTYfrl_6H+u*p=+nj%Mr4tPFe1Ns2qV(KLl}|v9>Rzm;UTSP^_U+H zrGGKX#cPz;DQ{2~gxlq+9{Kz_Z03*nD%tT<_Qo+3B{uWJT8V?Or#Wtj!G>TcN^Is= zZpiI-IFlu{0ug5Zd3JsLP3Hx&+rUA9`w#xU(7izbmLL1|=-ePMn~i3l9l3rPnOt|`$0Z5BxM){RekFpJ=JLPD~ zxs;>Ai-X{3)`xzS{*+@_GY0V0v6SNorc2OOWwt-E267|dkzG7s5q!Lj2a8DjdiRYt z$pjBrL>}{iMP#7|EF!;nz#?#TjR&k~;aCtpMSpu#inl0lQ{D-`%~dn#UY6*TlIk5o=Pd^oBb! zxLa>W;8xoaxV?4+Zl@iATWCk%me~=2{Hr}11a6BRfm>lmkgTs4r_?4G^V9f(s7Em1 zmk87!7=zOY^br=H4-n|{E8u4c9PSc<|RUvo;K*oWOc4xT}xXjwZwojEUulM5|iv+dmB#;OA8T`^^c3-LWXi{JWUIM z)h>p%04$~ZhY~{!xsRStgocPo{@5C)`-cLoKMsK|rRNjbAvH}6Q1=fsNswDG8MQGc zw!jZTu+6rnb&u`{g6@`Pg4PHEx6qCt8BHxtsbw(k3h@O|yI|ZCA^>8NC_!8jBACVH zndE|ypP}$3#TUd)6(VpWg~N&qR{uF5uR8sUPi2${{d!H|>u% zVSjw42QDISd*CASqX#Y`@vGfC>?o~0a1lAk0~e7qJ#Z1Z-UC_D0bc*ONljmxd2_V*KrPO z!Wha_%3R7vl%FUyD9tIID2GwXuAJl2>#Xp|AUK<)V+hN{Ih1oL=TU}I&JWLCN2Mdb zMaN%VI8dY>`oe6)hbfhnEMzC#)4AaDB+m>+p;cnjzVTO*Bac>Q$VqIK(CJjMA?p7jqsJ;bBx3-g z^bqy`D0-Hy5>yM^gK&HcYwift`2z$I1Zw+XuAo!tE9h2R<3#;Fu-J~k>^7REb_A|> zgCO0wxpoW!1A8}38w3XQo~*Ga&g}Nn6Gpi4rYOC}&eE}j)!;Wueag0!Jt_St=TWYs z+)H_$5?wnl;;_m2;if@w0V~9Xl#3`AQ-)D4VNJP|uZD*(ph(&sW$H>+yQ7^xcr@aJ z$2K0mh{SJrpEOub_V7jI8V_GYrhE7z@|uS)BHwxVB2vS{S<&jTBz!ptK4-c3g0htI zWr*vn?81@Hq{B=`Ma`7VJu~~`9E=i^^fkw3CZjaXaxe-HNx1mdRBJ9h==!ADmiHc5 zfx-Q^fc{%`8{fhGw8x6+Ql?Xx3ryX*+uB0GX)i9NX@JQ42$rLGxI_DV`zE6(rQ6bMU0 zJIVo+L6qT?thICO6pjja4T7s!Bd(@gL%Ej4V>Dllp^Oc0TCa-7I`Sls+*jT0!HdX? z9=wQr>A{OgWe;8iI}Gu75!us&7mif`1mCe#ET^oXd{0>!9-gam z~*XT*Hgx^V%)%2<0&^%ZlX*G+y0Ad2l^n-zs(#tyR83U{C(B2 z9>j>m?|9!{EO&SiBl5flF(RLP5F=9LL5yH?Ivz11dw5VQT0K@0V)Fyb#gCMqD61%| z!;NxPk9;m2(Ge-lu%!%@;7L__L`oB_9g)I>)VvoZIwGa{){aPFLTb$~;zp!6%cW`8 zOi9uCsnu5ECZ*bAU{)z2bO13VsUwc8?FOb!!Q3p`B}m_i;ZowtUM^UdY7%ntP(dRkWoDuzjSXqvQHP?mJASa*eu#C{I0^7T(;YwW zeBBNhskR@&M#)5Dv!}ivx+L(J+I|$WQGzO=rXN@oK)@|`yX20b^aXS(a{;{_PTXoc z0<+y{*4hyybE?HDcSndXh&lx0f)Ig&U?NB^2V)4AEEzeynphbIP%fa{K)IjtA|<+b zUeQdMOyQ@!+gKWIrxa7}pxjBhi*h$B%02x5tw+6%b#z@7DI6brFeCDZ2Qwm@OQYE8 zBON`M5jo0(8Ig-Tm=U?vgBg+dUH3(cwQ#HrHx7bdSuTE~{7(6UQWB2MRXy^#bPyO- z#z@JokaHRq9dc1GrN~^A?gW^zC}HN;y?}eBIaei{`OzVlm*>Cwy7EKJXq1{OS;HrE z$c2tiiV-nOy6UlH4WG~fS zluao)7SAi(IN_e~JbHVgNKB*LOSz9Soi*crzM2vCNeYL5C$N)FR<+<{)g%vLM4s>v zM&u(8VFY(7@q`hH-|^mMSJ}-&7?Jp0_w$Cz`5w}W7LJmzFO~vXDGDeRD20@waKGTQ zBM$j=^%MMm9#pVw^#*(~yP#G+j}AA5YV*DuMCCZ_%kwcVX7awV)%XM-`qStTOAh(< zcYwi6-dA);y$u~XwS}3Cg3qC0oG3k{yX}x0fU33s5C>7Uj1$LEwZ>2DCrdS1Xh*Gg zQ{D*l85R@|1a7<=fn#1GaC6-Vj1Q!l=|)h#7TPN*cMbPUcd{TnO?jX4JtakHOxcan zn{qPca!S?)I?B%sV(A`WiFlCm5M?II#>0H|2<1`AtTp>p=C^f8|AN*0?tah&{E5Hw z$43SE7dv`%2Cc74Pfw3=Y<#Xvk-gkqj zHt)MxRJ6!pu%4cbGRx$pCZjAtMBHSQB1DF9nVkG6vr9f6Uv@5&lOd%P5woXDjFbh5 zV5W@AQg<>4{DdYrGGpgc)=it=>0OYqyRgUz>for0guclQhv@#Ww6V^K`$_^WpUvEa-< zNv=ZlXEy?O(kG7weDbL8p^Zoz4{ZcSLh-Z_Iom@UksCa;5qZQz81gzl-)dk4v#G1p)}kr94M@p7KI?esWutRZX(y zsv@^lZ+QSCaD#{kj7VJ%U_^HG07fK!!TYq+hkyAae6)hii!+}At0qaFQN`1>?tI11=goBBB$1>ePt`I`h*YhJi}3Y}shaGvqfq^8?gv>waymD$PP|3=hEhanNNG#i zpK=1_5=bFNOOO4*FkU{0>Ok$6ooi#`fG2jqPMTyP%##y7(SX6V{9>-LaFz4^qLE#}i z*e2opmhwZ6Irez579h$HIsZbe=nlvHmSRN4jlZdiMVz2bf@}nqDg8gtBSC=v2pjn5 zk05aS?Fig%I|6n5K>G%PTWUw(Hrf#+ld6dqdk4G^6uyQxDFOwrVUinxQrGbAgiVJM z*KQr2-?}Mi#=6jvawz3A%9WJcDNj(|p?qgvg*y?3jq>mw<$cNrl!dGtAM({lkfKHS zu}&U402Jx91M?4nBGghp$|W~9f+0zHFe4Jb=e_4%(!+xpkrO?b5xLTX8Ih?T%m|j} z;xQw#%!6Ce^3fptE(jX3UTjVgN~7?}T%{wQTSpE+u>uHMQ2Lgz$lMart)m`O|2CBF zl%px1G*!~~#%z-Pe$@?;q z<+$Q18DDbCNK>OWDZ4KIA7FDMm1CX z!HmdV9^8snjz;vf--7j`F{KHmDWw^Q!?WkB@>zBmSG}mWQhG4TY>-Ro!6?nN>A@(& z4w2G>QJQemgHeVZg5`Q=2crCtEo_^7YvZ*6D;2PUwLXcQ5mFr2> zluoK**c(Y!?Sb)-h`<;`8UQ8&eTGFAMxYO{$ifKp;T63a1p4HPUJZhBjJzy3X)rB2 zhOr`K`8VO`e&@l4lcQTkJ|cF$3SmV~`YGewp7f|X+_UwujWin5IIb$HP~qj?3?m>)T#UUQG zqLrf=t?iq$RJ5RMNoh%GMZQgT<;dsN@oscDirz{QYbBw*Mh%by-7IQmIEu4T?Zo!U zyYAs!mJT*qKg|sh+b2J3h%;LnX*~DFS{sm?rHl`V!mQx~Vr@WPuT#bc1gio_Cs-z@ z2M8J^2;8na0=wwWJ$D3d$sGYuz}mz?;I`Wlxb6)Cx7LmTrbqmpBrEO7MIqs9nB&Hq z!jRX@jN!0iwmNeAH=#hB$SQFuWfJ9K%4?LeE9kiS`Z}yjekp3kw=5FhQI=CyP`;?TB5$ReZ zv+$1Z*gtm>ol0LsPrePm#_hKwFiVbRw;h4&;2=o1Zwf0Sfaiy{ZV;HY#$=m)izZDu zPmM1~a!pvAYQ@3w9f)GEwIio>6HCOql;xDlltz?YDADcnA`YAUz|Vs}vO4@kSw&e* z`I)kYMdg?9=znq9_|yEVNS{1@(R;H7($Iq$kq#cr2+UIBF(Y!J2QwnKcrYXKlm{~+ zi#@m%TQdr`-FDmUSSPlp>_FL((mH$~SJ}vC)nQV8)KbYfDv=z*u_*Z6T302T@}02~ z$srtz5|i>Z(Hfs=&P8di~;#xHt*uuwkqz(!=P2R0&`dSD~Sh4HWvIotyqk)a;gh)ncA zSM-siHJfHTv0}8Lw59A!X&0WHtAOOQ>!{}Lm>U>#J$KD)=A$G%Af;;onE@%qhny^@ zmNHo?Js<_tx|xp>?vc~@8m#rqj1;XvfLb?WQo=c@g}4O|%UFRJ^K@`ds+L-KM^bRx z?g-Qa1e@*%)Bpr)?g-TU1DzZMZoeIY+igc+;P0k?gTO7dBXAq-2$F5~xlA_m&^ZPdi<5(@GQ|3`Vp{$`~?Vn@2upqpiT%n1fdfUOBFjf5 zN@Yrwuv75a#MY)<)K7NF(`7R2t}4a%MB>-o&mN+Mqg{A$5bVNAu`6XaN_$F&uvMeP^|_^De?UE@!u7{hyrU z(upV4;2c-tx>TIf4a>xs9b?@C%epS5)O86TSCHV%N0sUPsDc1*+2?RqiO(qr6vl>e zZUigss5wUY5GaKWaXVRgxC^v;_6Mkk86C_klj5Vwf9& zKA&Qm4?*3GVHpCvW!0<}^(h-tHVUO2 z){T-0B?Fe5Vzyz9Y1(zeK8)N}t@OY~q>cwR0)T5gY(x(5z((YB4{SundSD~+pa(W0 zZ+f6BS~|Lf6@#EF3&!r0Jt*BM-NQR_)sK9R9XULe(xXvkGD_&FM1;u2mpEG`9gQ-R zQIc+vKWJOzPuhVb0UiXDA;~R#K``no!zPqTA;c&8W#n;b~m-MTyvi zvMFUVN&`wm7M0DzW6ELe2+CWVBQlzMzXvk{+(kTQM3#FnBU00Y8If&0m=W2}gBg)i zJeU!=)`MHo!qGk4D+u;vrPzzogR(cJXV^bi;mBv!Q3@WCc2=^BOT5K1| zOU*^CwM}v}79~vj3J=N5MG+oiAlm4W3oW3!b$6ptw1Cnk`3Zy2BNrNhoO7;Q)g|Rb zR|Jd?NF@$%p-+O^;nv*|r~?QZBnV31Kd1Em87&-~Y?kTYATaEAv)qorZMGx8{Re+H zXxbofEA0quyN2Bw1g=$sAX#URPN}Bcpf|ocZps^hoA5^9*p~<#_Y#4FULsgufS0Tt zISibFtym)Vpd3jVLK#iDhw?1tL&}ek3e{_F9&XFUUKEK&lr1QYDNQI%SvZ>URr4_T zhg1)Y*^cUKo>sEPZ2ywoj!3)XBoA&xuJYhUFL3)XaVUNUKIrUuwv{>*^jb6rB}Flt^$(JvBQm`X3Uk;TgjS0iSt*oE>JolrRnyx zGjJ7XlE0?h%!HI?-P`ZLo}<|j)6Dw>`z3n$Y|TKxvNx+@xPZ2}6L$x#CQ$#yJ#@;f zJDOGwGLeD6n>BX?X3x>|a1g*DT^l$E%z~q7-XL&`?FgKIJA!1XJuzor3m}QY*J=;X z@8J~O$O7>IoelwT=XYv?FK&BHO=)k2NflG2jWigjZvzS^3y4KZ~|yW@v+i`@Rx zv^#nq*sWVZuuD*Ni$WCGAUN@7T+ZMJ7d-f*Q!D%PHVT4s4llAlujjvQ8$G|bpFQXO z7k@m~I(q)~@%Efmia&<5i=H<**`BlY!XK?WM9+^rGy3oUzDxA{rt|DMAEWqVdiUu0 zn-@p_`-6H$&+A@p&)ev)Z_z7ye!)0<-cip#?;SmVaH2hLr{}lziJq^R68-f@9Th!q zako8BfBiQb5IsL`x;;;S{XQ5NJ)bbso^#lmKW-QtJ%9T#d!GLLIq>x8dEGhD^IAiq z=RM~||NE~Fjh>Hq)t-0I|NfO1N6(*r%butIe!Gu|p8xuRJ#VSMzVOQEdD~Cyd0Rc7 zb4~R8=r8Q~&U${~b>X&x1AgJpJ{1cuMrV(;xOc z{pUaZ&gl8MMfUqE{rlf`TJ-#ps`fnn@Bilh==nFb?Ron5chAh|dDD&TdHTP9-0bN2 zfQHdu-}tHM`IIL1JpK3c$+OY(Pg>gZ^!xATdC~Jtx3}l%@4r6tqvyTb+Vk}H*M5(epM_?0Nd{r?^q{e8^q)JpJeI*DQK||9$p6{r%stRrLIu zhwOR!&;Q}J(euWS+4J<@?~Sda=Y5}v{`%hSqUY(a^FQ^U6$z}>gf@NB>=nKq1PAcZ z@<7T#l-}V-xgIj}S$p9Dv8)TDPEp%uF<{uzQ`GuAmYJfqk7V%MOixkkW7(>oU6&g3 zbb`A=*Qw?%VGGVzXtNsgbON%7Ygiv-MwVR98uN73adY@z^sQ5F^aD?Z)+LNE90YFW z1A#G%F#{h6+^`1%hrdDKraTZB0)iRwK;XtZ5Im8Y?Lgq>IuIzF0dpM)Dp@c?ox1f9 zh_$T2W+4LST!7$!1I@J^um=d7WdQ=$Y((I^3J@rO0oVfs|D)ZORA#s34*W+QGaCVc z*=8~9Jp>MxjX*EE@vMfxQL+)}EqA#mWEXM~f!1D^^SN;{Wj5ta%GZ=aN&`w8%6^oA zlq^^cCiAv|t1Gs+@hrI=WqUqf?!Z?&Qd(1X3P&UlnOV4+teHAKII(CnXVLh=10|Dq zDom56(#!)TlWra;nZ#3JhL4cTJWw*Z(*q@w7d&t_EgHSUIzezSOT{6SLn((*`h+*- zsvP<3y&_}Onq5mHj|ty!e=WfW@`>AV@O zS){XPtYyt26N|>;lyfUUepLzs5-$DF~X?X%+zJ(sTDWId1~`{TMTxFuDr7!GoQH?H}+bZHYOOa;7r|8j#rS2 zro^$50<{mrPzpYN*T>+u`iCia%&$lsIkl(wYgqUfX8C|k<+NL<<^f&QDc~+He=M7f={Ey8d*Os zMUBxi5@Xa|!1zp$)E#I)@*ZiqGqj8ZcIHgjIHyPIM%YijqsJ9NGuj7l9e6GY}jE z27+MbI}iZ=ODh}9b|6UTH!+(n|I!;mR*sy8k1P;-QuJXdsHPvS3s5RT-#zUC&AF#X`=6y$8SK~0T&S5%A zmvIhLliXCb8dYkVnZNZ5zWy)WYDqa@Hp;A92}9u4!4RY|*|$tapwF!6&>%?jvEO(T zfj+F3M>aMLJIwys1{kJm$o!wf>oJ(fgPD|9DPK_jpln3hp3;MI45epy4JTw#8uq2^ zN7a-hAD3B2ogQW6;a|?wbEc5 zWD`flL6G+XI^|F}_`f+04g$x(LEr#52ps$dfg|4_NT@f-?O-pw4@%z-7Ma^YdV5{T z#D6>G3CcT^?F&m)sVJUlWP;^DGskde|dZ<;Z92WwvJAu8Ph2Ze3*qF=o7OWu^2`lwr<@@#J-Oj5g~#N5*qdO)68sO+S`2NkHajGM5SR4evp6_IsI2{o<9u5N6 zyg}d^HwebvVEXmw+8}TQ90VEs%{vHOs|G=WzDX_!fxamK`UW{ax6vaC#2Ks-S5fYu zJVkkrl0~aQ{pk~?*tkT2=u0_*MdL`mI*M{Mr5~k#*eod@{_?@eWpf61ndyO&$=e<%nf&O1lF0@hD4E2=aSk|8%g5{xTnfyv8%8y5k+wfR_1@`1x1)za8+J3P#x0V)5`!BP z+aF(M`{O@Z=1d8=!|CZ%DdQWa-WTupi+k+&N?L9~`>E%I^0nQkYB$F{7B$+(mL6S*49B26o`QC$O)50+% zT#D6KR*G{e=TU}+&*iEa`8>Tcj4@}wn4X6+H_Vb@jAiDb;K^e1y%~p+`qqYv8wt*c z(dK*O#VC9BP|VoD90yfDM)t0LmA(B~{l{sS_5=Vy2LMcO(F+`D>Rfa++nq)ZNbv@6$*K^j{d#}g)elHzb z{eIu|?z`>%-ki>PuDzc7zW-N0%6XKVC=XL!p@fuQAVr)p84zyHyJuL#K+1`fL6nmy zCsR(Lqnyf*g=u@-*B+0xI^Ogk$>e(vk_=mE$w)HU%7Y}6?j9tWoa8~0$<-btnLOk{ zv#D{M6SfS3b7>dnQO>7aKp7Se&*dKZqP=niFq-^Da>h6m1p*FcVRaE8nu^k001ibV z>J0wqflxqzFkpVbFFF9j~;Uy3YTb#!h}bg zI8ziz2;)pSqD)czWCzL-YB#*Jh5}j}tluwx&|`2r?cpiPEXqPk0i_|O4P{@-agc%w zP7O!$#u+Yg8s&7#5Xu=ejiG#XCQ*f{bNHNtTl~DMYJvakIMTx+!(Kr$i%eeku*l?F z4~tBam%BG_DO-40WU{x1MJ5A1Og43nVd0=47*4CWka7{_V#+09`&`bEFVibW24iLj z)l5Z6W-LkxW3QPQE={i^Ojcyga+~h>`E_gVC^RSxEAA*XB@FxRC{{aueGMH_U31phtLg1v zY5t(cpqReUjIt}GC*>qc*5Wx<3XLtCMT0n-at`HOn#Os2bw1?+n#(`PIm+6Xjr@pb zR@rGe;QM0U$+~;`pMWl7=`4GC@FLRRgBOv@J$Mnh*Mk?4S3GzTS>VBo2nPBR9Y{9w zU{=&TE(sqAf=lTZmr*XKTmdN>fnV8uj(o9RBuoDAqajOX;ukZMQHC2LJojA!qdoUs z2xGUsWgp;%T@YhzGRkm6nv$`+J8C`VGxpj<<_mokm=Ath_|ykIVj0Uwfw(ISRZE~IT- z#8($XR+ap;s^rsEEie2>Sw~6Pklw~1*8Q8UgLFYYchu)|N0A3IBF#OJ5lPa*Bn{(A%2kxB!%4XwIr0U2#mAzQ8d5HM zQQ~7!N)5TwMsYoe);MMg%#r_Gj2be>_QpR$Yh2t|6hp&)B|NGME?*+xIwCX;-o!!I zqX8+-Z*|?Xo*R;4Xc)Ex@I>)XVXqfIZUbx3roo?Dw=97XL4g|(4J|-{8xXdTuqA+^ zfo&fFBZ9(36`*i21t^Z`do1r^aCL~n9|360_f z4^IR)s$>kRLV!SexkQZmTvD5OQi;|&)L{m`O6|bX^5Xn$NHnquH5hbLfCWHxZnIl{u zaVCli8LSCmlIe<%yvp4-Tbzl#axQ!nCoYbrKX>Px2?fK6i~mk@+pWimi-K$Hc8eW_ zp*ERj4GQkB+x2x6hT3GhG$^n!K0e)BH_-?nU*ZdrUJ1s@mUN4p$&NII?v&#x!zi~> z9;3wf%!|x)$%@S$SutkZijqB+mn>Lba^>gD^fK_zUIx-Qazr`? z9+|q6PhQ|~Hx6&*|Q^wFSO8DwF?gpl|;p>LS zFeAHqFd}k<2O}cqdN3lAyv_ae6q)M5h=4Gjj1iGvJs1&be``($Fa`0-v`&SG?(!8Aw{v&9D2L2dd& z3rYt{FUqNuto3r3&TV9f+)is4OSywGj&dhG<1W4`C5a^U44-Gjmc>_kFe0*n2O}cM zd)$Zgl0!Wh5zt|iF(PuS2O}brJs1&0A132e)H6!P@skIP;&#ed${mz(;RCsRBVP^& zKH*o)R&>#dXn^C{DKq(GqBF`(KA9!){jdpRqcb8lNHqCmmc)mH@O!BXTO^Iz7JMA%(BQZ)rcASm1d9?;E3Nl zi*H;{nLwFN`Gk_SUXEURDT#%5(;&uE?xEaE)3}eX?x#$kJU{|sY9BuPh%Jjh>0yb; zdmfgEEc38Lz|}}*iO3EfmWUkeVTphNo6HiCn>b8m=3cPDXYh{9bMqF7^6m5S}W zwi(whEgpHLfy!fM4_|{)VvS8A8442*ZQ{sKC~OVm+9=#OAqu6eVU`eunQlU`E=lN!{dVBLHff(^o)o3>JiGLl*cHK zhbN`Rk=16;igAiRQxz%8AGzn#9kC^L(t=miFvdfto7j52h63UDqJ)jvM zxT3T1864SiS(QOJj~s%^$cRRlYwlcphh6ksjuJLeKlMk}+ibpArQ68sE4DZNg9r>a- z?6Dt;CbN}|*xd+fX5BpdCtNbIq%WB&z(E(X0VVw z@;`X+uTR;AvKOT<y(+4H)tAf^3_|ES(LZK z)~S8??IYIeINrk%!QDwRM?~)Na75%~4@X44_Haa`nujAIn|e4R($&LLQTv$84o$;T4qZj%~eWM*LQ>?R<4cpf`boX9>+jB z!o`%^C{I$}qAZ{Ulnp7{QTCx^Et$iF-VXZ)!8|ciaoANTG+QqUP~zIEPoEaEs?D(5_&&{Uk>?BIuzZkLZFWY) z2QfoYoQYa2wm-hA0v}|Z^)h%6*(5#`wQISdD9%Lnl!M(+)bYr7Qbfp^?3FO%<1(ob z@_}B71({?LmFiar`FLk`nyQq>uA6~w_{eG}TCzu;JDLgha1cFW5am+JSjr?y#dUMs zdc7Yu4T2Bo4jEq#x$Z!u|M8)F9?f8%0F9TpxuaT%yp&SF~$TV9c+wqAhE1%+O33_RAmD6s%2; zXhrEv=}j3*$+3i9@blMU#~@fhmsm*ohVm`tJIW$D$M^hXm=0R`2CX_NzKWFGj)!|V zBa*!Ced}l$Q{toOPpJC%*#_L9?Ir z5P9l6b*BnDCev{fquF**;)m`Ed|$KN-aC#HxAcx8omfo+*aLhYMCnR@Bk8i5F145D z&u9uRpiSIDd6e=RWj-Zq3mp$G-wzLhA7~OkQWjH|P=2Ck{LEKNDa%NxTVve=_gRl@ zX&!&F?z6IPt#(iYX-or2UijXjt?cN5jz}*LbVQODzHho&Zt*}zz!8S=!mTF zz*p2j-VQ%!a}AC$n=*&;ZuojG@5q%k&djE7VByyo+0rCF&Vt2WKW_nmxkuf5QV!iL}A$O z=CTll#slLBMqvUX(_2D5J0pz@Ul2ELh@$*0VHJF5GL<8DNE0mLTlz#HWh2TCl>I1K zE9eDal!QkI!E)Ne3d%}a#xH!eit;PvxA62e*f-#(ydul$$Y+_xZfY8v(=^`ophje= z2Q?z=dQc;>y$3adHMwNehz#+dM&w2hY6M)TWaNsP#=BuNPG7((=2G6Ld_egyygZk4 z!tvP5{XD)WHts$93Tm zBe4@>4u1{(&|W!1KTPJw)Mx6sOOQ8#bw#fPKfkyHVa5{{-ce}U2e#c&tZQ5O*mOtX z*4$B~GpnV=X1Asj;gk4+XgV-HJ)qENU|bZUaA-^v=_O&pPCL8hAJPkcqST@^r?jUW zLOF$U1?5i46iUS%bUeBI7B&uo-)Rqj&@#&KYH`V)K>>fK3S@c7;-Dz3Z;b=XUDa?D zlm-h*`kT{~C+c7PYh^*%^0NNz_&+x}yIa0*ub{F`TD4REXiopQ-a{ObPS=c$3f8>km$Z$k< z+}T|;+q2)^H4Af;*e8$Xq?99qIVm$HB^i!LiA~qIC&LkWLQ_D54HFAIF@5q6>`pn4 zf2@>)cOo|1nFC!K1A>N$1)iW`(zMwog>0DM7&CqHc8uFVq4^)^l%UY?541^8L>JN5 zpg_vB?z*E$GnPt=-IXD}Anv*lg{A}Jt`LReW1>j!2}_Gz92veKnOVb4S`06xe{`WG z97Q>kavkM<%8QhbDN8AJD4SB^d+1foyh%|wjOGCEs7BAIPN_jDrqra=3J(gt7;~+0 zjP(ju&@d)F@^|>hCk20BryMTz_-odsD)col^ZRF?J!0Omp9eT1CwqV+a*YQ#A`g3j zBl4C9ID&PCWZ;ObkM$QRf#>c*RH(eu^Z zb7WW|n2$179a4@Z63s_xnw`1vkmV~QmPj-orJ;7_;zJn!q``J(L`svEZ2A-W2pU@K6ljeU`M2t2RkDBc(5aKq6a%7S9-7`@_+|BA~QYM5lLQvFRrQH z@kRI@2lrqWUs1lMEC`>=WgGcYJ95M-X{wTqe*(s(JV(Ubbuj3c*z7$XAX? zG#h1xlE^y|bW4~Bq9~E^q?kU0Auih`N5ra_F8OPArMz4sh#~5ccT$XQ2@^ref-3lV ztrIQ2qsV&;ozAM_s}o%t9*#nDf^lVt!tB=YzVIp(?ye9;bW!*m3P;97kzNv(7P}F{ z;ic7-z=qqVA|C#YDQzkHQwC5jq>Q0Vq`X1-ijuX7UUhEK+W55&9b#R|dXxr~^=TLz z@YRNthT-;=&^m(3c3%}+D}U8P9g&3|>WI|vP)BfepG+N*ZXW804De7#Bzg1wo_pm! z4}C>_V*$Yg3+Wc$P`;&nM_Ck}o69`%MR(*#SaQ>-=#mQ#em9Y74}Le4iY~dh@hD}9 ze2%n@3`!MUawjMVGubNLOz29mkkkdq?3` z-ch)HcNDIdgTgJkqi{_e6mG*Eh3np+aI5VoT-yeP>)D`i{TdVi|B^4YhE1!kp_hb( zWUzfvkT1pwCho3Ja0{Z%8WgTmg95i8#L}Ztg95i8$|pvFYdTG7N1@A>Xw;x^P+}Ci zWtm2^o7rxBLG+OojT#hhzGaqr(5OM7&#GwDpulq~!JKH+pun^g0c{xQMxk&vAc#>Y znGFbH6mH-U#d&th9N(lU+@v9j^3m+UE8GhFgnqD$vKD1C%5IdyD5p`bq})w;mQryW zefnG&L&G3wMvK^l(ww%@g0D8EY)09f(lSKsHe2PD>eedwn;t#T3Yqa3|K%rFyd29K zwrZo7R*iURwYvv9B7HsB5d_#JV@KpJ4|W81EXmjrneV}lNEHuuM4EZTWxRU@}%uS##mR`6x_|xl0dz=GMb@ zQidf0NSM3((3|IIMvBlA(Q~&-k-LD7Mx_WnDdh3n=fApzPN!9I(z#nh6z<9pg}W|9 zq3OXme^I3Ognu@josEv1Ax<=imnff6R#56uT2gkW96=dExw;>IKRho8wxD0MqCsp) z*^1JdvNdI!@Q^jwH2!ptJhs$P_WwnVNJkH9M2_~LMkIOF`}VPNhX*wx&wEfK^0^1O zVlAU!*>al13d%~#FO*f`1uk(09uf^j={mp?GZTfZ z^$a|uCb!5r6s21Mn{XzomEhyH@|Cs>4A*Mc^=4!+~$F;=yS%Za2GBJ!6|;D z{7(6UQWl<)%Q*67cGyEdmab&OmCT{P)z)GUeLZ74ckN~meccAYK$O@+UpE4V+L#TZ zLku|t15v_5f6Q&V7;v9$k54p9qwS&=_u~JVlvBSx70M zG^Dhl>Z3?MkIN?`~45( zLl0y`e(^v?WJ3>RM0WN-M&vLLY(<@;EIc28e_BNWr3$5xQWS0(%)4OwaLcLuCx0zi zxO@p;OfIOKFSjE{zLGuoO|tWi*qqPIMd>O)G#91Y020kb=|;eO+aCWu0}l~17p3>j z(P)%jIg@fCTW#&Goox`5yLl$%q*nQLcN|H7?)G{0lU39BCH!Umn|IlZ%xEEVJ5Hwq zj8{lH9HwF zA`LvC6*Z5da2of9;1<;=)hRW?Z-OtU8PoUyOC9_tG4)+HUs{LhEXK2y%10~xE~dfg z;+05bFbUHcY6vzT@qr&H)9nFfP;AJ444TeRL%_KQLl6t(Oaf7I$Z#%@6C=p|aWP%T z+sB&VQ_Z}4{E4S5--NMuYZ<-?7Rcw|4~ofr97R|lUxXA8G)GVrR56Wvthl3SXsIUX zilAt-y&;-lw;e?~p;}t3;la2n#1}+^gKMe$dOhEO>n;(>ikJH^Cc@ zq(PiPxrTBtWf~=G^BmUGHC#Uk_M|s-qwGb$*qg8Rq3lcPPT3Ft+^Xew+0TQOW}zdf zY|gQ>HpVw*WBg|iWklBTP)4MUhcY6`3*LiIlhZwv5zMeAQ$}Q>hcY5_JhT;cjv8Sh zw@cv{H7T_ywJCMNd-&{OUBlT&zR(VPzP9h^22kzMpP4}w?vIz7h|*mEGZDpss9S_X zNId%8II2DRGw2Yh5D2210cI#_DaK=el?s6%N)c&-{c)2AvQ>RfCh-sI7Ts~gRbry* z8aks|tU1B>w1B^#Ar^d$8E+K&1dI7@6#ueCb~ZZpp(7kiIiGSfcNZ1+aA1#ByV@G zRafeH@FKE}2QMN$Ja`c~)q@wo=3FvnMUA6Q*p*ABaEiK=dX)Nh$v9QtM&Rm?c5J@nl~D(7M~1Vs8B zr}&V;wm*K}1xgUn5Rf9X<&G049ZpTt*()FFlE#rUz={5_J*7M4c*-!!t(5r6c@gJK z4rUkQ5PC*0n#7@$!zhPSj-VWgcdPX7UFoa?W3p%0w$DM?#E{jqLms=YI?2Nqk*hs? z5qZeN7m+tTd=dHH!xxb{9=-^Mjgt8y(%r*ZQS(?k91#TT&@I-btVd};SwC!=%RKUB zbr@Qd;;N&;D3h+iHH7WjNG4c`)R1T}%A{*>8{ydF#2oymR2YoX9y#Zt(1gMSmx4nc z;0ih8pQa!OM8~7BR}Y%&oC-N0O0#ARNOknc3)m`we`p8@M)Og)C3h5>{(%j56mGj6 zg~onhwH-w|pjuk&ZVd4Sakqsi+*Kip^qR1=Sh;KX2E`WyDK*^T!F=KH(i%vp;SNtw z&@X>>Q*b$bVjSgZ%52JalpL$)1p|6>dg>^e#L<*Klw&A;=_vj9>R67)R66enD%*Wk zY$`W-!ModDvbTpWA_F~i5d?83(?w*0hb|(od*~wat%oimH9eFSb&mDJH;5&GRcuIU zNNE&)n#(lu#dHjGm{dCn>qx0)CQ33xQMv#S4Mph=z#EboiqbuRuNJs)B{LHxb{DJI zu8yw@o|Vg3GNVz#R>@A7-cpA3Ui-MHC6oJ9ldTf|LD4F~kFHyAN8y&+QMk=^6dL-0 z<_HS6(vHHdv!igk>?jQG-SllxxFvQJ=>mItIoKZG2X3|y_z@+omF5p^3VxO^jPq^42fu_kLOx05zGOu*9u z4_ZX3d(a}XnFlQ*dwS3!_-LJs7Lm(6Xc4*BgBFqG1^2JMR?}z{)+L|-R?(Q!gd!9J zD9CPd z0U*i@x!5+yud!?6!qF|$AitN;4jcSr0zjtOwedPcTA2pu4RM5u-?rEJBl~Rc{kzhDAMiq(qat<2K*9V zkj#wg9|4Nh5w}#V52zsB;7@?>TK*~UU5f$_r=+mqTNZ`+kYaMmP$+&4-5C^$UPE^V zg>u)>ok5|@HFRfCl;2*jg6~X*bj;`JpOx8!-#eOboJF~wGJ!IklC^JMFc-FfweUeS zh?6KMQ%<32oXS^&DW_3R=bHJy!ai`vbw{gwcU=E}{78&>c((^HBFUTG^S_WUJ$Ml* z^58`flbVbdkG^1=nX-;Vo_R96>kuR$wJ{_fp zY`IJ&a3IT1N9kE>r=u_&HKs(|eFqPJJ#wFAw}jzOZ@ek)+T$~%Kk3P92BaAN^hcSv zAt|OmDaV>QAOUVS{7z{=*_u*u>l}|Sr-ws>UCQ; zW-3ZD#E^P6R-=)L)v#kxW-3bBD!F=h%WUetzrw4J(D)A-paAP3+#o*>^IOWWzFOly z3fU;Z=`+D{P0%Glk@o_+IJ$r?#6RXX+fi_>-EOs`Nas}3O!mh3KKTDqF6kRNW1DCX zJ5qX3PM};wDWSyo&a0Y9lXFPIJ(r$w9!=tW$_12Rl;M;MAw?JAS85&=3@7W>YDc}b z68vY!Cek$VU z{Xgn~13=uNN17*#TtM8v@|h#H(ebedF#?EEGGas;c@QJAs|PV6M|cn;a;^t4A|)Qg zh)ngMR@63HhmFWUgIR1t*_N^$rA>HEF7L<}(xF39<)f4|Ny$b&;X%y+qx6`KhNASO zo%Ie@P|Ufnr|rUT-JLVJAq9ot3uo?~*&}br{c*i|w)r1OkvD`BMTkTJAi5uLT>t;( z7JBtFRMRbXxXkKETKc*_A>&Z zf5Axl#Fdn*C|6Uip>15tSJyE*Fn!+eJ#WMq>$iFcBQn`T7?BS=gb@&1lL;fTfrl_6 zJ9!8rlDy|W^h`O+Lt0VeXcHbqA{v}x2TEJYj+C9keR3H`zJLxK`VNq44}Hf-MTZ=z zCYiw~}XFTka^D5~ICY%T`;qM&TMbDBNy4 z3fH+ok&dXA7Q0(Qd_lmNB>XtNA-sy;r1*m54P-Aez%}wmzM>oaL0O-&4P`G%U&^_Z z8z~P_UZ!L%pQG7+9rMPor$daQ+(5aJu5lAz-AuWKax1gTQ~&V!N9@7lU=L(O&hS7+ zK)gtXjL4H7$cVh>fsDv94`f8v^FT(hM3D?zQUBN}ypxzT7{)G?T`BD-?ZX@0We*6FwY1~qp$=(Cs2diV1J!r?t+5_kaS(#1v zy|?(r0!l#Hkg^@6;_~@)Yzl^_2f=9i!x%~ljpH`Hx}7qXaz}V{Y8-y_VXV=ywudny z+j$rxa-fGXBByy6Ba*!3{pe%zxQ8(!vptLv`N_jtQR8SIeo2fboT4LTH%h1QrCgqo zFPtMsj8bMI$_zw_cE_WMC?qNIx|P4lv9wz52ifI#mM$=t@*|}NWn;=N zl!GXPD3?;kQYx;U_nQkp*ft2p(HZWfTinH0rIfoV<0Rzu?{|0KPxki^M&uL^VMMO=5Juz?4{1ehqf@vUd73bbJt&vO+{&n-S%cE3R4GmeSB|#19d7&!|aYXLs4L=WVj*GRFr1g+58VAWsO&K z$YWd|XW)}rA7|bZmUCwd#&_|)kAMHEr zhC*XMu-%SAZ=lh{L7{mcSZhaNu_yp|vQOACmvQ6^>BteLWV4iP=o2D(&7rSn?9+}JVvr%2 ziV}P1>rwmN_byq9?Qu*+iCqrU6SuK#k8f_%HJGuwi|mTCztbK{583|!4FFMq$bf-^ zYMf;H_~?}2C)O>xqsV&$U96EGXyV`>bF1wrOvI?^-k@+h?I_$nI|{eVjv`%TPp<~| z!1uv^_OlaM!^2CfD{t+zi}PnT1;5Z3)~2+gbf)yC45eI4xsUP!<>9bL5IjPYc$D%O z<#EbH$`dq>C;723?Qr-y936BbcT-N}?&X1tNb-vJC146?v(u~`%`-K$>kgQ@;P#3DMe9AoQM*$idzmFVzzNL zQHc{#Vm5La`lKgqFWVjOo1uotsqBz2^hwDfBiJFi9^<%o4U>h#ON-1@A^YPCdF~fb8dBO&_N5$0xqxyDtLm83e{qD|Pq^pNABFB3uBXXIC zG9veQC?iPael^j8AatN|NZ1l%n^($n5pi6?I8!ZyDq|hNjp`jmWkDw@j z0bQ(VAJViL-og4f|6EvUM*;A!c5YCZ#YWS%L6MHAmKHl0CcYr)1z~Bin=8Z@#C$q2 zLxr}-F^y*MA>}7ZElP7rd&(h{Qz%zZ?xa*)I>(LIjIebOyhML^nV#_qU%g6sjq*BW zCgqJVNZrHl9=qy=)h4{K8t&nX$T$yQM5cN8A`*J|A`*D`BAzYx4jpAT4_`!*x7;rn zrtV=(;&9r<5tJh-y(vf0R_Z{Mig$P9Yv;b^Zth@+%7u`v(T9Cu`k0m zJw7zx3zFUumKN)5Aw~;_mtu(nL%BI4n`jgFQ(mNeOj$~)Ly2#m7je?$jqti4c$3!g z7Hwh{q0wH9P+Pm0jcScmBY>&xauX>b6h({qsMw@AGDj zzpD0#zd!ZM_<#S!p7Hk=e{0{TP4d^U!{YC^USi)T{*k}h9Tk6nbeVmhd}03jrEmQG zcdFVq7-0hK_xD}fzOlW&zs=zI`&VsX-^hG@zds}X{I!a4Exe`y|nzyGlK`#ZLZzu)|l`1@yUYv0fO`^~!|{{BS$#%O2%v0&`g@%O(c z9}T}Uf4(C|#ouq)*}k9o``zG{`1}3$w(ob;|Nrlm#NWTIhkYaS_d9A_{QZv)vF~TT ze*2G)zu%y@eLwT>S9?PI{r&sJ-+%4l`1>PHuO_p1zz zzaKmsf4}W{_WjJ)@7WjP?+?7lzMuK`JLl#2`}bX8-`Br}V8@y9_vc*`|L-rI6@S0U zP4@lF*XOZ!vF~SoK2H8H{{HoM+V?a6{;fWXzd!q4`+nx@w{U*^{b~=#|M$l) zh`-w)CY4P_zSr&hP>C5*0%%5-cs`&e@-;DqF4=Ibk zf6^TLe&+9Iog#alm>&wry&uN^`)}8XzaM^X-_QK}U0o;se#5Wr`X6f`bztL=Ffj&)A;+vW%m7yKXhmje}DI?)?YGzzF%9$ z-#@#SeLwU4Ik|QG{io~O_cMRKGup)8U%tM5zpeda1l#Qte}9K2_WjJC|HpRm_fKpd z|NsABr}+DKZ(-lheE*-&HU56)@A2RIpH&L#xBaXv2>5?7L~?r%jZAubXk>D}heih4 zZ!(Qcp7qekn>_a(*(w7~t?5E6pX};Gl5) z8x#(HgTjGtQ2c+n>nZUDU&McNKe2|QS`CcE$-$^8_;gO# zJqX_Av*de}xs>-QA5cEz)8|LwrK{;76X3D^hw$JjTd#mx?pM3AZXJWl7U;##h5-Hs zCYvQ02(!V4($Iq=10N+BM<$1RaAb0h2S+AjJUB9$;=z&0M;F09dL(8cLu z2kI^uu3;C35PM+)B5Ri8;^0JbP#hFypl)5xRG@G) z926Q6hN%w}4ugZjU=S<P1i3J1PHkwS0Mj^g9^K8W&5XAUo|rg+xU z{OOLt02;-GlrfZvls70@lp5S}eiYUUf{*DFpHM!fd`9`4wh{8xJj(oVlhtG(urhka zq@bL0@a6yBJz!P0y`@%FVR#Po{l)snsPIk}CYcXkG>~Ta&yJHkSTZcTCu7NEg$GL} z>wBk_Gk}(H0%aiOM9Ltp`D8mtzHqN{ zg-qv-oW8vT*b08cPZtMevAtIQW4-}4mgTkS2 zP^8$Kw6WL(-v@@-w++8LhnH5tcP7KVdeIcJk{YlF7OrAen6M0g_2i5137zV-Od@PNG$uOgV*eDrGRq0@==yFV!nPPOYbF zxe!K7jFcaz)gO~ zHJvdUtmfSJ_Wf^5H z%4U?^D2Gu_qg+Y3n^F;1gXfoT!d5}>E$!hu$|73E_k8sO&5y7bQ)MlJoY!+9!d1?dNB?i-G zhKY^idIE#r4!ItUR3kG?KK(p&W7Wi>t<=yk%?v9f9tVdbMyr_;iG~RZO$$S_1cig* zpos3G&qARYVW8ol0R7iCawec~7#tL2;8+9>iUoGQ5BwVxhJ%o@Z@3?f1uT3)(u=~< zVi#d*r?R)E8+4-_Lpg_X1LZ-=OO($jD=76SaaK)LGo895ygCSeqGS9_i&#ooMp;f- zL0K7gS%YokPv2H?)PSC`F+Jl450nf&*<>i0wDv&BWIqp-Op>uMS6waFc%WqRum?&e zZ+YNs>KSK{g*=o_aVF&~%Gs22NKei-j(o{pIRY3hb%r}M=#$ICk4C7m=WQ65MU9!D zNc72thrS-QOMZ4~jP}q+pIn#}skE_8Y@@vOHVPaw1J%N$NQI6?sbR_;GofMCm=p>2 z7)~vX3`4gBg^7)MfSnQ)z$axIPXb~Gwwx#S%=|?$_auelY$}5m6;rx~1 z-WZFeKdhqsO8JfQJLL~bS$KJB99h>`Sq~eW@uYDW_RIqwD48ThJ<4?O;>Q<_qCrW{B)k#Y&;cFI$fS(GeV z4X(V(@L}+Wl0Ab0T0|8}A!J#}jX_mDhE(J4R1Y@|!s6NCrn{L7n==c(Dd}%su`%!$ z|5{m)zfZnzub{F`S;gFAfQL*bS9r)|a-WAxCdpWsuNTNd519-}Sjl8E+1x`W!(p6E zXjAtX#_Y%8w2KQV7f~*zT*9=-Z1>2Q?S+r~ZW`5|{5l_Hd1Dx9&df(Ca4edSGUS$wxo&hvBOwnVR+^}6NeXKTU zn2wQylhlTW5s-tz!EsPHG7bvY#zEnbI4E5I28E#_nC1-%*SJApa0sSrgTi%eP^18y z^pbFw;iZM-w0=>LFX$02@jk6$F{PN&jIt{w3t59!dvy-D)SyWeQ)*Ib(Ku@JRUOJ& z;eg=Ffm4lPbSYRtznJvM-(er0Bv?n%fNoi%vXPU`AMnP43PVw7djFM?!ZG(~;USYr z7Y~_ClKC(fUm}-!$Ye6!Lnf1#JY+KY%0nhY0B_+?d!y-=#6yut*okDE82Ip^P>x(&aLW4U2Ty zj5aJ1of77Sp+8>Ng3%lz2Pb!ikMr@TC>$FHMfpqUVh6>+JFbI+!qIS0xYi8{hrvOS zVc?XGM}ez5?bo14`8Vk$;XU97JVt&%?wCjT!yYt=BPnN4uA$sZsYtAuj@Ej(c@Wg4 zJ=CMrr)8|oSL;yLrL0G35aK$fx;cy|1(n7-%0`#<+t&P{8e}^}**j&|_TZbJX218B zx<_n4cfJQvCRIF$GHK>Pl*#TMM49yUAj;$-526gACCP{~dC`MvQ}?(c#FgF%dd5h~ zm6WR}SBE#{vXFckUwXzi-_*^b#!?uO4-lV^($kjnQD!_!^u)cw#&SjAG0sPs@hH)Q z*UU#TKTOZwIqzwFm}sMX(GQv*rUkQlN)rNx>a1`Zica7_+Fw**Dr%jjaq z#lb&jI_0L5gTeuEP?%1+f#INVBpeh-4B(#w*LWyW3QlQpJ-hUSpaOhB$`7j+8<48O zXXBmKk0`K4PnoNn39gk>)y{kC(nEEWb(NOPbOvmUp$#~^x(Ks>xy@KExTE(@L>nPV#Mui9Fa*linU%*Z3;d-6TAy?=aPkn5ZKPC=3 z_Tr3}?WwQF?8jz03QtlQ=vXu!rKhb855vMtLp^cLgcRv$iXOA!VFCuF;>QkU)1(jP zxRgL^~`8XE=*8H#jPwX|55D{*;N8^jVaA2n^2n5TPodiNAO=52L^3Nj5W_HS%bE?&HDc@cf{_jj`Z+GvwQ|yCQOY~uE?jK&#RGUHKvR0aI?yFRajkltqU&BpZOS^OmDcHGLG^zWj5tI zN)e?or7fj-STzV*@CSQS%4U?!DJ>~m&{SIS)s|t)e~E$orFT{&qVdV2F`qm(^iW46 zdEdL&p>mjqIwEI#s3S7kLmiQ)J=76N-g{rMQhxE!SJXXj2|o>jTWJ@gDPt%l;TyS( zBVWo_jxfe3i;)9Ta^b}ew;r32QjCa9d{QT*lq2$nazrv15&6pY$rt~mD3L$dGGT(4 zE(yr`8@iB2n;PkI8p%7U6(xvaw4ijLWNn}q;sz`19|T*`8(LGgrffsmmZq^CU$qHOOijZ-qDu@VO=B~f zM)Ion(q*#TLmI)fX)`R#`AGQ2h5d=X|%bKrcr*ciIQ2%+^z5Cs-0x%r7FM-*77)aybNSg7R6Ck|i~?w%0E8Qi8x?+E!B3bTgzf+&X#bA~7s z!iFJ3q%w+~XBX&)x6Bbh5vhs7>7*$b=5kQgx6QgjCZ|y<#E5AS24ci)irD(~E5wMQ zU4kFtG_tX@ST`(je~2%LZdc;&5QV!qL}4~;%%vd;vtDCv3{jZXny0v0gTh@EqHu^z z6poLH!m%+?I4~v(PORFYZxlyG6W=IIytJA2Mq#q0&8RmDhrvYQ7?>y!ElN-)IyERN zG5-=<9cYyAKu_3@(w{P%GMe%@WhUiI%I}m0l!}Y!xcS4aan=eIR!0LB+%u#5^co#!m|$70|c#TU`AHwt}# z1ppI;NtND+pI}fRTvW-IDE=wbOZLg{q!&z~%%LozRHZbb>`3WBIe~H!CBB7T#A%b= z$r{;%p3#{m(S_2LvL~e*WiJv-QsbzwCz7>Q9-GartjBHw$kD{34Q!f%4$0XoHll!qt}htqO-M!wVzbKb|J7|jf7bj4xL zdp%yGsVF^RdD8)C7=~i?l#QmM^q}R12f~ywzNH9}C=o;{A`Ao(1Cvsc$SbxxKHIL6 zbA0Q|uc{l+34kIvNZi6Z3b*WzLUTZ{>5jr}xub9^?kL=PI|{ekj>7G=qj<^o>4APn zVLtYnb`1(-B>sv&1$s3o(izp#;v$Pb#%Bb4K^oiVR}6Wh(1%w5hf%ocZWIGfFq=L2 zRDi~56k`ZKGc(;N%py(YlDzD_c}v;C!yA$0W$yte z$UqNoL`HgeBM4ba=B}u7Jk0N)N9Y%iQXZo`PMH{>@ExqGR zaU1U_^4>zHldAaYIO;G8cUy=eb5;2DLKNnCan*0QCPb0BBix`N3IHctVy`~EA2exY z_=1$bAFQVLgQfX{oPtm370W4gDVtL|Q4Xh^PPvLQo;O|xgvSQKfpm$3C_O0$Qx2hN z^x~^S!;@3z@HZCsKzaTyE*1u!Uwpa2O5ZaLN&sBWW7F`RXXj(Ud-6?bJT}_7S75 zclN+W&BR(3X$7{J{C5ewl=_z~0nNh%!#7Cp_u(hL6xc7c)5=NEHvG1~$=9rT| zidzDl(wzP-aw)n5SqHb^4I9;S7a?2XCLB-^oZV^iQkuL}DVEvU=E$Ai1cP{zF7Xy+ z0VSYpNU6Ab&Igz95~fAN8v0WD(KC+atK%rgQ~HPdrJnI0dPacuDojQb&dva`Ub3 ze%VaIT2|8(VWtw9A+OqSjffdC?+YxCfAifU$@Im|Jx3MXc`Gwy9Ss4A`r_ugV|!eE zD>$T!hJXnBB!`;tCrtrSnDv<&0#e9630y)WK)?_|k4;p`*veX!bDm$Zz#=>pGE z=2Cv7)Szrk*@bctWf0|3N_^+Ms+lk8AMVb`PguhVw2Og!bs}XDnNuE+Wah-M{@Ve|X>`(nOjj8jp1Fz(wRJ4_rhp@W4f6tOv59p7BDsQxLpJr)iCIqA!r?%3-rGLO=>Kb}6NbkrI+!PF$=>QX~=jKm21$+4j#D|!ZUsnLe-Q~iSCYq@z(XlAq1c=6>bQ$2g@5SXT z{bA@PE^5ifKe0=~C=lHXXv;212h4BjYQX*nq^V2zc>PbAPc6R6uJr&oj6b!4FEQMW zLLXp35kaBEOH6g6a1-4q^syD=+$btB=(3t_vE!1dA3Y#PcvBGajbA8hQ(93vQ?l01 zVL(H}V;I{8lQ@fVHsu`3xs>y09Ov`1VLFlPo5*cn&29mN#5_i z`4+j=Ll%+A9P5!`Y01CI*j>2_rP?)tw)3!n3S~e)$ zDmx0-t3ly**ipD94T>~yDcxUx1R)*dtTCix7JXnLrGV0q(uT4xK{2~V+Yj^y3$x8IT39<~TR zMJKaGWNi;yM7Hy=MdUyaTSQLtutj8)hq0o@@ov~T2;QSp%%!|f`GE3acw8>y$d}Qf z#E{W;1=M&z{Kz zbb@lf+La~61Fk0L=%n{mEqPyciU%zs*Lu(*$Usd-i^wbwS_F6Y$!HO&>p_di)*iHo zBrmz2bh0|fhveIQM639i@(JZr%4eJi&mPImm(k&XA<+Z!xBzF62VD?qtGI|>)vjw0P*PgB{u4lk{)thFOAo|`wdDfoiU@Ec`4N^8oVls=TRDWfP4 zP)fq12*HLq+)f!wxq~u}awqNNu5ie|-ZlOQ;ZCs?@^3tF5kZ?Y@xYaq9=He+>h?)A z9y!4S7m*PjxQN{Efs4p%9>|J%#{94jwuTa@?~`7$Wn@;lsFG7 z%Pp!YLWa~;WJrb>BGFis9<|X}l%BS7_>qp98q5DsaEM%Wjf1D&VUB;tPbJ!@7*lkDQ_S#XnrFIlvr7ZA&kh&9>R!x?IDavH4kA#HuVrjq^pN8BFB3OBXXIC zw4(O0AnXwY3+Wf%P`;&nM_Cjemdif!1$CH-*m$B+`9P&3v8;m6`z}<8J@U+il);7o zLX407j+)8?_aU8-GW+AmSjVS-2T!%pPhUj*)StvSfMclI=qHC!ZN8Q)vU1*~0@_ zQRi3`qGR?wt>OpDkCerfC1HbH&XF&p5&8H%b@$o~LI)$&a;FxC1M@;|UE zfKPyx7^s>CF5&NIjkzXdqda$N6AWTAy2Ngj!ziawuB234K*zn;V_`d@OW_X_DNoQd zp5&{iD3d6Y!<*M&<3I;w#$1_^Dsta znujqWp@%UdfrquC*0Cg<8w5YmD}JUdr7R2Q`L( z)|76PV<_iPZlF9!d5Q8FWd$X^d|rd2CX?~&X}ZG{I>uDKdWP~W(SQ9YF-O0iwD;WSB9({_;b;_Y39%fk z2kr+Sxnw2cLpU0REy!Pg%k;>j6c9anH$jUWgnNXY>har-Tjb0;Rg5)5K&l(i38LQ|ItsSH=3|V?2}*nc|_0$VVQ^h^+EZMx>#KG9tTp zC?gnUO{R>)N;$RPNYR`DCvaowD=g1e*kt0$mlc;0{qa-sKrRHLV#yg5MajCM6supV`FkmA1`#~1E&UvFS95gfIjY6MaaR8%m)JqhY z@YBg|6qT5GSxv!frTKH4f&*z2CsHn<+)jCllC^`5hnH9Qaqv|d#A}q-DKjZ=P~POj z$Xk3hD_k$N55IlH9z2paz0VjbXL?v8a*KyGBFUTH@6DC>J**K~;bD!)`X1JZ?C4>x zsC|@$cYv2hzbK$op%hYz!ihmpmH$-PTEW8Qv-oOqLEU^w9sI!WMp2_gX&(KCA|ie9 zf!|G}vPo`&rFrxliiq&&FR@3zVniN&{0V#X8;Xc9Y!B@crhq6(WWH^Ze{c85g@asW z%-pMH7s)xuWm7=x9(f(|t=z^t&Xm3P>CW6Ia79qKRd*EUS;`5xA}CxZ2ZdX3N8wsH zDBNZ{3fH?q;Tkt6T+;?cCb7N8fhb&BI||pTLE&QBQKX4W|GLYXF+1>*hk)9sVhz#+7MsRuLq zJfIPI*8>{CxKT22g?~kp%3u)lX%MiAYLx1f8sRI!7a6aBt?)Z(QcyQvMn`-qN)Og@ zVM-F8iqiA-TiYLBhW5C`r=s+jHQ~}seQLF(xTz?{KIv(@AG;+?ed>J_`cLNEcPUF2 zaS{bB)f;6S`Dxfv8pnPP%vT>)G1Z4cA5<~YheDrHG0um=d`x+tvwSGNvGJ6c<3pi5 zHcWD(urM}$ucB~chA7Mj6BA8_!p#?=C?Chp4tegtCRoE3^oKntM^et9Ttlh2e2(Xq zx#7-aLcke5pnOQT_=vAQrhG#A6u;3h+nJN=!UjL;>tvMT zLogX-2BUc(XcXXLb_T)DK8^=fnA9BiIcjbJMIr;6av)lxPY7{liC=gIok^BUv zparQ4nx}m1ja^llUKYSd`KSlfJWpk4`@Vw@PI~SEe~h} zpRtocBa*!8KIkMl*#lfr=cp472!gd}6?G}~DD^38hn;dcN4~s{a`=#FI?Ak(a~u}; z%)(ROtdZY?yB3$OWKVr`$c3lA;zOe8DB?rRsgDl1Fz8wdB6TuZAZCqRqdf zw^h3-!)kLftdcjqPZ%gCdJrSXok&KE$O9h4h|KgLMv#!2j2MyRjrW#YNGlI&MXh7) z@PQy$hhDKRWj#s*%KGDSIY+*%4mXKvXJXwjDi7G-{=lSgd3eZrHeREtP1oRD6o8QC z)Yr2%8jRB8wohLq{o>R=(e9CRf(v~T=71FpVAgN;8w+&1A;yY zeq=QS1T+y8XpnGp3k?z!l?$huZnNXBM4w5W34UNJ_E!i{*NaMVi_ioC>ZHwt}T z#auTEeOSe09}0a?#XvU-M1Jro6`xm7;M#P!b!4xlt&E z4d16I6v2jXQWT0`!-ydYH(xlsw3>3)aM|<$&;KQqnv_i_?I;IRPNrN=8Ao}VlC^#g z^H~%&;Gz}`;s?r)l*N=Kl%HrRKl9bnaDz%{AHm<$>cDl@!>5?5l&tHltksSk)IS>2 zKWcbzBcSyq<3^;L2R9-EJh%}=OeEt*L|*maMr5G}yQ2QFe)vNWY(T@k>2`^{+-Q`=hlm@EQhrD@8fA9KUxVM;{?(P}waST=4{&=xnA}8I-T|uKgUVH0pw)-!xp^IH72S4>T{)5ZyC|nB%h1+UJ z;d(bH+&Vi7*R(;ArY@BhYf3PVV0=NMN!7k690e1FKEvV&MxoEIID%1-eQD8`C>-|^ zg+8@bdgf&zzBAh}v!gTppf_bGrnI1BEuW*&zBD|GyIHV@<&+ha zm6TuT8>{&0*YNN)*f;+4R!3|$_azTxM85JsMx?3-G6FbwGGs)$cpxKkoCh)@$=mLw zcguJWY(-6@5!sm=(JC5Knoxw&l;3^XosN829kHn>JzdLZD@klBN)K6`idwk}=d8r0 zqV%j4I~BDBcgV$_`YvrL8jRxj7JB4j87D4uDVmSs_!fHPbuHs0&0eb1ld5azC1Fs) zmB2@723Y}4_`R+8Mpw$wl(Q(;Qz|Z>PoE1{XvkW+;iPg%s+mvd#+E+zgMn#tv}l+ zk4;!zH?jemxUk`DGUsN>8n-ZeAG5w+$ zWfMwsN{euZT=tPKu|vD$YgOQfa6Za>(iZKKNApq258-^28IQt)T6{iA`5{+k@FAjI z@+b{NyX4P4uVEly4Vy0cTyB$phCwcK|G+^m4Ff6U9YmkfV$A}mBqD_pZJWiQO`I7QGrQ#BLKLkOAw{p0COt5s!PMDm8VN|EopcGST zhPwpw#*8!v>w16hoYg<7PrjpGRtYbwI(j%GaKb}I$PW1xw1GP*Qz&yNizrnoO(;83dQeWFTtq3MJOL@hoJq~_5ROUWUaB??q7G#( zN?l4lO8xLW^Q^%SjzOiFttvaOtdE`BZBr2|RXKX(zj+`dvXKWeBJDho5lPA$sE%9p$dPOVBmXxh1t;0uhSx3I84twnD@%r^bcfo9q z{enVat1%*nY+#{HB!?Jq2&SUM9{YOOMq^QW-eM|BcOwWDwg?I_$fI|>)yjw0P;PqW#lBC12VYwui~Ke{P6l-4kq zGLlkCd4}>HfVxa9vJE!aCNWO{_~-+LAeYhUt~v)Xr(AwiRY|D(@}cXM$=Jx+{*1003y+Jl%BdWi(BN( zfYOt9q218>d6{BFB#Hy6S0N5WHw9{yUw6j|o+dA)yX=($d|6~Zq%g8$PZ~h3{H7Uv z<5S9VN?pq4l&tOZLhOfxXK>sFM$w3}5v4Ju34KHOs%hA}5}HTw-}E}hlwCH@7*5u( z6dqXq|ILnAuY9NnG=f3TWYCB_bOZgEuH&QU0K;PuYgD7o{)dT*{4mFxN{_%9p;j%fcaDqz(AC-%`+pFNuJ*`tkzHX_OU-h)q*(>=5ixxqslk%=DK z2oBq1+KBw@p^eBo9_k9e+~}3>6iyF?Tsl5HaIXoQ^tC2F1stlp$iqqc|OPyNq??QH+2xo8-@8TX=@e0+}Th z!ay*iuVElI3#6ca_R2dRKJ30bNA*#-U3V0A(VctlDBO}eioc1gx`s}xuA!HO*yli> zJa>K*EaEo$#FLb_C<`b7rQ#Ag?!PtqCtPRd^Ih3j;otPypF*sFAI&nnRLyZ9#sA|y(?3v)?|EEw@*U(wjVh6#* zUzQ_aqHy#}6kjhet36A8T8hG9FHtz=C5nFv?~={(obgTchZ>ZPDZ5Y(q70&3N{JTG zi#T$!b$Adjpy3bO(lfT>t2UJFDLYWw@~$B@4xe$vZmS;hkVfQ94{1b_H@$1smO38N zh-~E{jYxM7X+%!)kVYhVWDk9pv6R`&mGbkF1@K ztmlpx0&$>+HX_N3-uK-v6FjsLz+#hWBl4|>HX=1Wv=KxbCDTS^Zx3}v?W1dWRS@h+ zzvxEUi?TOmpRjQ*`^XpCkt1Cx6Ru=Nq$D#Vr4W(JP0j}PgOwpNPVUkfDU-8NZbnKO zBD2M|$v?HrJ zE|?`g5@iB5G9yu#h&uTcAm+`P@3NI_^iveCByJ>%(NDmvvpGK9HpkyWZ(QE9(N8XE z$wog#VN3N455D}SJ5Ieca4Ah^uN1&b_Bfi+33jFQq?|;#jB*EMGUXl0x0FIk#kF%h zuyhYc@w4BUVCC9BhQkYf8FS_@B@I`WJh!r>@5)ZqQoHcl#kOh}8`3WB^iW0Q1rJq3 z=6R?h*mX{(ieSYonJOZkJX8@m#zPg63q5odwTqtN!9j2^{oxQwFUp~m!@{1q>>^)0 z#}Ey$8*Uro5i109P-YBD$W~`VJeq@2R>(|6g-C`K@}*7Gh*%-N6IY7l53#%B>tJBZ zT{K&$iDjn>+3Gg*$?;Py)WjlG3)vfiL;O=1s%z*>>gvx~S}nJMw2Pd1O>~7?l;)K7 zltU<|P~scsMP{&M#Q~43D4DQg%zn#DmMky1X?f3`!wv<(;e5_GBD^TQlKKyu8Ao|& zB65L;CL&`!G!c2uLlcqDJTwvc!$T91CLWrIbnsAB)G!Vs(dKYE#1WJuDZMF2v7eSr zIn0;JK`@kpsTbKG4GRh}hduh>!ki~4f1x^4jQ6LwLmz4N$fI^>EfjdLt#<)@FV=6djDBS!u3QX}S+Y*Hv+(w}f zrkL7BF?)`AD8)A~3OBBeVs+dE(lc_TGzCY|8qTC#N4cN!BIRSsQc4}lrjUY;otD?F zv#g}W(lM_stv@Kdupl^^R?&xY45crnU)VHt4!?73ua6oV@lm6Z2PlGZo@Ahi9N__q z$hjV%h$QcFKRrdJdVnJGu?Hw3zk0wb>KsRf9|!o>f>rdP97E|FzLm=~@&$72VGsQB z$w?ZV#A(;Tr;7_uvIoA)PqGP5=n@<~tH_uj`2zhRjuCFBR&{{F=#X%J?YGh709#lh6SNG%GXngzTi)%#&FVuZ>*9%*<005~9k@JedXY4ua48UAh`jl+r;C zXFG(fD6=4bssI{z=2?beVm8D%oMn?fM%x{L+IRxcqz|-1aBik^sHMfaG>OYXd_f#k z7{%(CLtXv3N~`5gu#jVeOykI%&IE&KOPAQ6GJtX+Weg>2vmCwjf#Fs`a3Wn|5alGw z$&^!Q8mIErV9IG>L24g<`-pA)-Q>ZD$dew72%4_R7!g_K!HCFu9*l_W;K7Kjv%%0SAAltE#)T=tPKlEWVTvFxPG^pjzOh&}qd$KW73{bbl6 zVvqh|!^^`#ZnPm9cif3axY(oLg(P=j>Wf8h4L6>7Ue5SO-j|}^69gY6-ETXsmu?j zQTkI(XW|&ZS7%Vp47+5Bqj=)jd(W<2%YqKU(LdD)`1RJywas6-zQM1fceH=sM%dTi zq?UQfAzgm8+$DLw<`(vx6IuLP&@*|y`!@EREqH#7+dFxFUKe{F{r-J=C(rNO*`Bkm z#;?^6N}hk-)1LDgieGOZnmk`?Uwh6Z$*-%AOrG~S(4KFp=X)HNJfCrlJ@2UJE1sM@ z|Kp_OKYwQb&wt3CW6s5VeLf+1KIJic9{u~>J~4Uz%QSl){rL}jAbH;Id3(OK z{`1$Kk~}}|mE`~bgQ>~$+h^JH=-+SbwB&jCZt|bsYew>Xt$Fr5djA^FOrG~%XwL~E z<<}dpCeN?@D*5}5n3X(#n(}@_dgilmGl<>m|=eY-`VHw#u(ojgsdt?qJWO z|Gpoul02{Ip8V(E!YzqPUmf?b=h2_<;AYA50sAF?|20Umt@QO!pXBd9XZ_^)=l$$? z^z${kP4axbW9)hK{_Pbd3jI9}ImwJ@^Eb}6=h6FfN%W1Z z=XD0#^XSjlZO7!FZ>M3&^Xj`K&o3BZ&uOO4uW3D#=Tk@7^XSii?%v6B`~Uj)YPGV4 zn}1Ld1pFWW(*ZCY@LR0Yo*v*B%3bNeF-fPs+&4+?_W;M_4G(ZkzV`sfq>%?W1_SqW z@R~ko9v9xqLI$2JPoSJgIf-&|i2J5+Dx#Em*O>#859Jn!0TjYYe6fE0{gp!9vg6(uy?()4i2)lEwOFn=8lQ zdD8V85x8a}0@r9n@YFP8MuRRR0@qKg9%Va9Z^|i@sw|p*v+)qQYY+_N#w)P^tnhUIdC%z{QKewH6V$ zz9ItGQ$*kziU_i1Vm@cL54e1QH>6}Ukx8Sdg(GvrK+08=yD867KA`+WX+T*YQjTfV zL1CRBIG?HE0;Y?>e03pZ2<0Nm#gw7py8o!eQ8A{X|4qEIDvFWv|4s-ppSzel)@JTV zC%%0Cg?#Cuj!9h)bxhKUFWq;M9vkunB485uW9b+AGT)Y8yRB&$7ZG5fT04sfTvri+d0I3rMFb9yjUa0$CfkX%qITlo(w2_F%S;fTP%0>^ zQQA_vQ~FWPri`KtrFYUWrie=@mr^dH45wU984(`-kIEfLfTbAue`n%I4Al+u@Wy0< zhc_lKcz9#-nTIz9wqrVPOxE}C#$;y?ZwyW(>D)C<90SAS`A~~YaW>@~%DI&D!j8of zM=9s7B2J6(!V0ITCA1%OV~SWjMy(JrX|%HQT(p0Yp(dS@B147O@fig9FhYX|Az>ML68**_Ano>LwJ@M1`GBd#!wB;dsmD2M@gF$79s z0A36Ms&r~efB=AB+R&huhyZ|J^&&vfA~qsGpv(oB5Fl{XLj>5zA{By;&H3Cg>yd!6 zAp#JnS&>1>5P_=~B4DvFs}-7UG&E`OhLo%omaVihox55w9~3w_;_r>;!4s6XDc@3Z zlyxYTku*p_*N{+qEz`qwlrfaCOc~en)eV#z!yU7{;m;eKb78$|E!L~jDKKlVBk2^F z&f7~D4|z=bdB|fj*h3xzg)5yrCeuCSFK+Ph7T8e;wWX> zb&e};aCb&~d@Iz3*IWTe`~6R2*95H z<=5Z-K&cRcS-fq$D$0ZiT#XQc>5gahLA5Hy8&a}9$X3VIOab>$W>7w){7h*`X-(-u zIgrwyQWZ>tg4c~<_aL~5sbL)DW~Pf<_$p7il`=jY`j5&P6+cvr*qyi4V?_+;|IT6+ zr}vmOS~6=q9{Hnelh6lJeJ#`vthzzs;HOvJz;N*) zFs3kug@Yj5X36JV%@A*hD;Od$&y1#6h`{XL9>vB80`stFs)Pt!i4cKo9wq<@P}wwl z3=hv_+YISq1mz0Km6VYraTivrN;!9#n_n4Y2N5}=jIpT10R|i@Ey@_XVx&$-8Lur{ z>41qP3NFeRd)k_9y-c`QRPYc3LsQJykM>hMjYc(;8-X0 z$7>lF8hRzT$J7!G&@lwrkZL|>84N5O;tf&$0*ZzRmQMr5|5bgkc3dCqSK7%jc#VnT zGfFi|Q_5zPBB+|ON%w@u1i?fmjeD6T?xRei+)sIc@?dyWmO1>@szm14%0nZQ{XH}? zROHiXWHQD>Ba=rxG%|VDLnD(V9vYdf?xC`2<`@}HWdjYV;%dq$%INT`Vo9TvUsn-# z#mHRI3`9vZ5v5B2rp!UcnC<~I7M42Jgbk$b0<>X|gcDJ^4$w_F5!G{#J?Xf@m4Lp) z&Cm->w*tV13lCWab8R3jX4CW5R!n9nJm6|;qSL*P`$sd5Y!4$9Y5E^EHDg=5f>8DbyG z36zT|<0u7~8n6c*4A%>ShnO24rc9*yfeI6?euYvKx!i?zky-a4gE~1W2W^DBTMf!(mX4MVZwAxepjjG)qWC zQ`Xo^oCzgx*uZ9l^IK{Prq;KAkTydTNQ2w0$N4RX#lg?8aRf6=90Vnc(AkhG-W^jk zG&Ms6mkl>PdR%`9On2NA3lX?VAp+AK&#Huff{I-Y-Mk8kzj)p^>3# zl1?L&^!@HV_maImG%`uwb02w?T39TH&Sk*j0=w}mN`mUce(Ng zhhcREppwC&Bxj;@6Tr?yVI*q7N0=oux4t8++gm?|tb;LXZhZ$@w^oobYaQ0e=JI#E zb!!C~Bi9=g?78E1`=?;nm!B!s<#dgmosMgm3+|)5K$%bZm9jErBg&4HgDGcFs;-^m z*=2gzEeM`rdU%#HgYq0x#`Apj0_8=LY_q)K%NvOn`NPju?WQnJ8|dCwLGe za=8aFA`?A`5qZUf7?H0%h!GI$(@`s$J;sO6a~K2Z;&#d%lnLRyVu_=amscflNIVp! z)BmQvA-wc;1K_I4;1F}^qcbiK0?nX{0P#?iw#H4hLud-A?2y)I%2mKro#yA~QXd z6-^rxh`PCx$>J``-IRMM6T=&e<&IL8UPWvd4Ku_dLq7Rbobw_V0pgJ;-33@}b^@-23}P9rAv*LvE}Xtvw`Wl?~hkhAbmY57Id@TDP#wl@WK}kEnc>#uPUKg|XqI3W8OwcQrnyAi((EW_W@IL<9jA zDEah^?^6VBzz~6=*Dzd&z)cpSN3P5@)R~@U26&J1Bc&dtC8ZOk7v*HiC6qj+VCx)@ zE_1>bLGUhf$9v2Zb1CmrKA?O^33)m%Twy6C5M(jtjLAWz%)ys`efB`Ay56RvV#up+ zizlkBet=_5W%OZU!0HYUWkjC$P)6ia4`oDZdnhB)%0n5!+I2c*M2_-MMr5dmwxS7S zVz?Uz_>eK~qfDaQPkA6bpjZMaW$oq0qjVJ@9*?ry0H~rx<59X15RXUMr2wo*UgW^3 zap41&M|axY0C?uuCi%4Kx*zbWZIZt`*Aheol^BOnwO)~qq>3JST@+;8t~-8=|0y)p zoNLqIPwiO52qxNHOSt1Ac#a0W211MgL`nq^BhU>?loAm*@-PBjt;7w8z#)eb=uRa7 zlnAm#_IwVHsQ<64*c+MxgjL(1sC|EESfsR7h*DHcSfL zD19ksQbtnlqC7)+pR$-zpHi@Tj&A$B@S-4?&qT3+@)6}@$|uYl3;F8Pu*bhXc_4K% zD;0Z?`LmfLF<^DL2QVT-Jb)2N-|?RQj6CZBjL63xz=+iF07j&R2QVUCJ)jlM91nz7 za>5&_;vvezl*yDS;cCS)M=4h?d+R%7YNgRA!wr$dXq3U_#iLR4dBcgl^>s8#!67;t zwK5UwVsCxyk+%lTRZVftbQBH4&?A?GV;CAHG0BfJT)2GeCmh3Y0po`mc421Q{oY9k zO4g!t>Jf$u7{4r=R?X+k<6|`rSmO;b+cc(Rh`_AUY{toI1ZIsUs}+*JhHp~5A1fMZKd`|g-@+EV| zSA6v~<(u&GEO8X1l!8YNI_a=xwJvK`>v#wwlD_8M_YgVMLl}_@JcJRs#X}g8r#*xb ztj47iMx>gDw4#Y)N;r%2-pCY>QXZpB4c{u3GfEkB6fs^*_%33#J~Y>4PW zz=2h`A^jt4h-icSa?5PGZmgn1ZZ{2b@J&Q9)=zPPoS(bZ7I%)NH+}R;IjawS^EtzB zGJEd$x&3oR=-mF?R4`2({C~0u)qKvZ)0ko*-Vj$PMBu7~2rx;_?M_TmBQX4?H~3ir zfnmKH1SW#tNiwO<`Dp~p=N>zIas z+%h`?LwfJd?G^;tBKtD!wzO30gUldBU7MIFzM<5ntWDX9vKQqz$`HzpkaEnM{1hG* z1V1xH{KB-cgs*<3{6_hm@<({Wa-2JmU5l2n3KB{%>vlyd2?m%d)EuQ_gr z!G>TqO4x3Uxgn;?!Qm*qW>M(S;V8XoO|gUaPkP&;-XUy0_Off_Iv=G)XEgv33pmjx zxmna52b8Ni-A#Jnq1qO3^8cwnXQQe}a%Pv(6buo#Vj+S~G~6?lLIhYNCkGi-LIjwp z))FCtOD;74D0mIuorChVB4e__rOlgy?U@)3pqxe-PPvWpB;_5-ca#+< ze}wA@Wy}-RDCLyuki}yb1vSHZ%pA`KL5?@U!r;@fcbeOjH|pQ~^>bP2 zK6#J*f@N*WDp9fO?V*gwnI6iBT<4*T;1hH@Wklw9C?oQVhcY5fJd_dX;GwN(_Lvdg zz=a{Ci{~jXP+p|W4C@xl9;K{0idZVej!K-4l6X8ycLL(^C|wJXi>2`pa;sQvr$$Iq~OfOu-qIW_)38wdX% zx89Dxt+pe`=2i1KS1-gHVo>jfiisdA73Onp&=7A(?SG-Jlh4O0nLb0q-%A>;!#5!22z409{^)a9#@mb$7Q(5tjW}?gn zIc#vTod#=Z&P3^6KwayqVYwleaALaSo7fd{vaQT#-kr?`xtQjo`hRG5{3QF)_bfw$ zU(wwE>i>aVcl_*TldAa~tUkC`36coj5RfM)+p-~or7@|xoK^_Sh7O}gM{&<4B!|_R zA=*)TP!6Y@ODWhrNA9T?b`F9SnIY;^8c-Ti8Zlw4#8)eGFvdJ?VDxC2NgC)t9D15& zvMO8NOKq`Z8*P%eV3Yh!4`oDt@K8o%B@bmp+Ic7=xaQI+BXX*TG9p)bC?oQKhqj`5 z<5hYSzs79wI^_+@o0M6c?JGp)mh$SLy#_D-N`6WW_)$MXhY0O8Dvd=cJH!+_I2E;z zkejCk4~|7CJH(7daVqL!8S3gDG=9Q;7f(jf2ILW`;sb&KePjH@R*9KVZTO`dlHwmU zn^H9uL}Urwtwi|{fh!#%(4|V$4H1~d8dEbw@b^urE~iyOHac?52kTI_rtD2Qo^lc8 zCdw4b>y*za<&;Vb=qP)w{8SJ$W`~UaqN{KPzw-jyCbMvhR#K0 z=&4WOM2$bNYO!MBRnJs)?|KIi#bF1su^W%$~u&F!;_a%;;2|$ zam3HOe5U+W3*~rR89J}zfq65gG15jY#^s_so~%We;jZzVe_( zBz^6DgAHXP4{}Ab$DD8i_fL^7-lNQ=yifT6rK}>49;MtmidZWdib%AUiw8Q~{Cd&G z(^0w+z~LyYs({m=TLET6mmW~M7{Dc6@Q5+Sr67@`?RM5_cAMN9fr!DKDA9WC4eA7< zND&%=)H1jev`cW0sSOBvB?wBEp>uB09luPCKQN?^z-_oA$R<|vIae^m8)6#erdo&~ zs}$yQ3Sq;XA>NS6mBQL_rLbRV3#Xtf6U9N40hB8!6DUtpl3VCyE!Pbt2%0lXv|##Z z$ye)9T2a=gw5Dtj)?Z3Fq;#|VukLstTirjzW~;(V*qsh*=!o3ufsV+F9_R=lAnEWGO&}kHUy@URj1f}iQRat_7t0-`OgoAgD@DFa zW53_-B*j-Oz41HrmG0(AnxsyhO;0l}U-0=MIiK>a_^%t2rX@TQT2z~J9a z7YBjcYDeH!+7V>y?Abc|ulPQwTqvv+6$(*u+KjniSIQBT^C;I+CQ)9bETH^GX-p~D zK*w(32H~I}*pTUABT5^}#*|GcZJAIu4fp)l=Z(K$pFBY#dCo%`0nj3yG$OS;q!C%q zLmH889@2;$=^>3^RU(}&0`ILeU^s?DV z3)==kJ7$T^DD5emQ?_8z*pjb0gjZ*o!@p48QG4ah*(<-$LmH7=J){wN#zPvB^j+_2 zdPe}ubk1;L;~haa;!SoV85jXJ%853C{T+fc z$u${7Brt-JR~e`jdN>G3fVT}C1lh1^KIh<=ctf%(VTwOHyB)>dn~)!dF-hD)d5kiP z@)f1(9(sOu(4lV-Y{l%bHKikE8_Kp!8=d%SJ4)wp(=2!Rb4Q|9)!73ZkwZMN5jo!j z8G zrX-ioYnV}!&f!5pusu^l7s?Jy7hU;kM@l!!PT^_Gan7jtvErHIdG|e9R;Bk*uuh&> z2>ipt8Ikl&?~dEZwjR!i9OU7Q$hjWQh}`JmjK~un&WL>A;jP%LQSIArzhk2Kp7I0b zN6MmbQn9>I%CCdiC|v`X{f>@BDYM#4MG42Elv^E-MJc-46gh-=yfCLrjJ zAgEk?&ZboHhUh9KiiHSVp%4MqD78w6z*Ptl7_(qg9z>9p2LG%vJD)g;*f#|`F+&_e z8A!Q`ayR8!$_JF6C=DRh*56>KaE&0?ndzZBQ^qcQ)q}DtWj9Js%I;yK9^#5Va4ZV9CJ_zk;wQ?_ zlwT-I2)QX->?q~f;b5uu=6AeQ&chzi2h^^}Ux_oZ@mQ2{tA~$(JEOVzm0f+CHQ4|^ z#NtDqoF>j~$%0NydwjviO0oX#2QlsO-z-dzbSrfOQQy0DTjbOY1bq@*YH9_7CJ6#G zNI1BKJ$D4~O6SxTmfR7j{|8z)2;6o%0<-66Hro-HB}db^L6A+V=5uk0@H@OAzuASK ztUPE24Dp6Ik20W0B7ZV8$hTk;DB|H1JjjEWDW6a(D63K0QYvqsBLnRoo)ZLnFhT4| z*^9C_Wgp7El>NfJv&`WyRV6Y<`j+?TYvfuFWkk}qyl1~H?|3L9^0S9B0u%0Z%7|>~ zp^Qi`4{b#=$CB_|lF^VVexv+O`GZmsZcr?9l(Oo;t?!_z_SSdYR5ZuM4MpiC8xKX< zdlv6WG!&&<0gd3UVQzi97=Up%+a2G0OI;4w!G7-T$?iCs9Z{=3r@$>8U-4VE5cLdh_gNyD6Tnh(*S#&i08w9R*gTSq}BXCU{1lg2oRwPU% zUX~NQMyrlI^T1TfTa>RUwJ6Of9VmNJj-^~kDcC?qn|(ii9PGse(VMbA z5l+MA22({Dr5dF?{FF%p2Q~Stz6d^Dd=FntDXU+~sl#6SZvNC>`fdbO5+hN1$LdJb zeq16Kd+F;)lwP$u5;ctQJh7KPw#aY7Xsi-M%v2OjK+qeP>E`ZN7ZA0)Gs96d0YPuP ze${n%-09J-dwLN%r@kL(kKq54IWFaMY95S&A>I%*4#tNE1g=tuKplg#DxvWw!y8i4 zoIO}Vr;g&bO~?>HU9s(Sst9sB4L~ZWOTofrMF#dJ3QQKg8 zOWp3wcoZooa;FjDGr~wEXBnZ^~18p1x#&^{8a1dk@ zs`;EN6ygnWRYC+=h49b1v$NB27PG};j3dQ$5DU$6)vats- zB0W8b5joL=7?BYk)QaYf+F>_-2O&|cK&eBiOQ{zgs*fB<7cOs5epL0?Dxy>f{Wor6?{tW`dem5)a2#njPY1|-y z@iZ+-(6m9|7TFPGJ-YUl+!5v!^XjHi<|N$n37R*qlc~Z z`KseQh!MHWgBX#!J%|x`$%7b?^yTgq>PTG=VnjCdAVws8$^DpPHG9+xFCk?U>7qWR z0i_|O5itg)V3o)orR+NF&7ZJUvaU+j{^?Mm!$u4aiD#qquEl7S*qdMP+jurguUw2q zN$j)qmbv_$)si}ah$WmT$vU0Yb0Qr8G z#~q>mALx=GC|Q8cxwUruvTRH>b7cR^S(nw#SRrjXZeu2RlJXAaJIV@_btxSw`%q4x zTudq0IWLF1N4RAWoWtyJF6BJRAjF(6?|`Y?1&C&%3@`*M?;u*~Oq8w!oUb!cW_29aCK%pw220lX$$2cz z5OeuEpCxPjgz2cSFuX;4$ciQhs0|3(BuE6<9j65ddL#(2JI+5L`XdOiJI;|UG)EAq z@dwu05ny+me=f9d5V+P20_=`Igg+4aHVE7zJA!OPH7gOOI|DenPD(--rcxP1< z*ofm(}GP0Csnp)?EkEtWh=S#{7|gCF(a1S5M0I-F`RNaWdzg4 z6?}CiWhAk5|DfD~e8|L6Bt4+Ob>l)FPN}*R{+FbVM3=m;hb|(6JaiEm=b?+pQy#jA zgdVzx1RlDGH1p6!WIGRKMN>z!a5Mq_$QA2Q)}=J3viC@5pq#P>r9EXg%8`^olQ)W_< ztLN1@YBDlhB?zu!hPawCidkbcUtL4FmU10sOt{*=B!B#^4<3nD$C@6>h-~Abj7a*H z_gQDlIUdT0+~A>%z>YPYG9vGLC?oQ_hqj{GqeVD}B!8rf^(d_<>xZuuOB|&jhem`h*x0phtRT?yb=6vhrP8>{e;*#ATMA)Jm9 zgE&!!NP|Y||DgoVzS0=<8l9dQk9NB9taIM{D{Yo1*1&=aEyh-_zQiHM!HsSDMnWL0LM-eln$VHXe;KSFKbUjneBj9*r_Lu8aZK%GoR3XYPLIu=K)A;S85^S+cfI&S>e^ z-|LZU<(A#?BkVTa5vcJ8nj{D+*PwH*je`@@BsaV52weXLfoYPP<_!YZxIti0@1|>m zz!|qA$Tr!tBH^Mz`D*yiq(?_%rh+z1Gbtk}cTt|9yiZw7DcC(PLkc2a4?x9R%Lb;c(?jxln%N$k4e+wQv5_{z7E8eG^DyMl6BXYF|F(MCo5F;|ngBXz? zJ%|xm*@GC7%{-_T%^dB*Tj&9URMDQYIb{pVmSL@8nWL0PM{+buZ`Vp*N|GFn(o6OU z>#Fer8sso~H@CjCRdS@StAeb}kQ8UQ z`W@E54NCRL3>R13^Eusf$C^8Wl2z!OI(~%n7T}ke4*4QtfDwS@r(5j^Oo!a`ZV(vY zyXo5?FdcH!v_aq+HVCp!_N+>Hh;4Kf_iI9a_=8DeRmvumohgS>s&1a+(dE8ypCFjT z^l(4r0m_3+84vN*!<5P7B4&BRmp3}-I>*{v=V<8Ri%44!Uqtrs@I~Zg4_^dm*mS;# zO!DwWo?H6v1Rx%Ey9o}wxVoJ=@>p!EM=7P=ZJtIm0Xk(CQ4F6uhxbu zIU^+w!(t%H%tMK_)TlHNrI+l1YW*QmXS~1dj2l}eOE$62N-|c-A-CJk`27zmJme|0 z{wQaY1a~xMqv-X76?X)fjUv(n>+J~C@dLZ<2;$=N<_Og41551)FdJn%H%B1=^;fsq z5ny?o6I$40M_@qjrd@;J2}0hp6?X3U05Oa=B&!YPbIyp&ri`LaP0S3(PzF=3r#wV? zg_2x3uVE%kCUdT33RA-)lt(F#F=tHWtH&u%P@W8%W{Jb!=SU=uS3H0b`Pu^*krh3F z5wJAU0VA@T2QVThcmN}Exd$*J6Fs06O&lG=R`d)*y4aS|iLxD~b9jES>`}_4qllT3 zT^{EoEV|>OeoBd%D7|aVNR-?-F2;t$Gf{fwnvp1Z4TG^t4w=VB$!D02Rd|Ro0Fi2# zk5z!RnU13ClQKk%0Z2`{K4HrpSC`s4tv}EqK~T8>ozns|_S$ixh99{85MaNN zt|VA*MBujB5rFzjI}&tl5Hz%ndbDg10QXBDl5B;&1wS<44atgw$rX08t9mmhoI<&j zax3L=%52Ivl-iWFDMeP!tKB&6$*_MAJjMJljXC3KzM4*XhVm?BM%X7y9R9?SXppDx zc2Brd?((ojWTuBLB42peB2vf076H63oh>4}c-SIxtcS6piKBCPEG@*4DY{U0pme3| zNH62UUBFTX9gdM|2Vos1)w({RI9Hh%^4S;8Q^~qMVFlA%`g+wiT~lYG^tRn>bCBf? z+C-rtyK;Y=<{!pcgJcsi-SLyHyM|6j5hF!}waEZEHUEIu9d0dk{(#pV0=MCgKrKJ8 z-j2X5Ihy_r0`>Yp^9F(2X-8mI8%@^+K{lb9&tZwu)(4Nk8=~I8S#8kxlHmaLKhP(^kFYiWV3P!aTXIKWT|apH zA#g1m1lI0@tL+F}?*@U}X-D9iHVCpQ)vQoB1K$TFt=P*sSxAqL)tC_4Qo2+6QO>4} zqD-VbM+qsvPzqMh(Pn=oygCS8Ws-P}@;c=W%A1r~OdN0VvtgDw{$H7+h6gbsEj)-3 z>FPm@$l)Huhz#){Mr6DPF(T=U?h8JWk3Far%^bUg-_dds`C?bfZj_$klwwaDrTjVU z9ITr@wHLk{Koxu8J1ZrYyQfWeZc1V=eCMb{X2=iLQR9yQeQ~iDzB5)LHpCP?X#Ih{ zxLCM}dVeTA#4P91`U8FO`c-$_@pBw4xL-FGftr3`xg9~}B6LpOKF}V)iR<7XFpY83 zy+MH0ac$ioaC__sq9yi2`XPYL2RH4p$%r6ZV9)A$ zqpVJ8N9jR1oKkh=d^$X#!aajvHuJ;Vly@j|DDN^|yvJ8_!$C_aX8qV&S$Oq3akLieXq-2=m0dhcF&mAZdmx!;XO5hJA->*@4L zC*7o+43WP1oavG;WTOPPw;F$-NrFIKKhPmTu+%ZC*%mwgD=arPLbf@I8a6RW98bB3 zaua0=<#kHct@D1fks83Gd!I?-1ImY#kcnd+U(Kg1pnMdrwUkl_(i*eIAAL;bQZf-Sl7Nk3^^ZJP%|9wUKnlh@@|LzyE=J=z)w##eWMKkxm}S2pquEVJn(H z_NLj(K1>+nnaL#H{WMsDW1=1N*P81+wT|fkrTnZ6cWi_<{QI3dp0jYsmS**L`Qc@2P zv`Y{e3Vg9jbWSVLXy)LTsp|(iIS5Rf+-$ZZfa3@I_GsZCFm3W`+;KtR_Sq3Q({=>e zE_+rbTo>O5&XmkfS{=xw(4TTS<#x(blsS~|DRn5#Dcex?rJP6^3Mt39$w%QSLGUqC z#3xJ}3;F6(%4d|%DPM$Hv!jrPRj|{M03UYr@I~NjeNgJHD`$K7B9gx4{pe#d)x#H& zxgNd{uv!aQkclanBi;*b~pd3i)6Mj=HXOuGNu=jn!P03E8n*07O__Ifb zN{O*3yTQNsIv`yKSM-Q9M`jl&W<ei-+!f95C+%th(!p8L(yNCAPjAax|3Iezg_Mx=>{ zG9n#3lo3ha@g8u7oav#A$aNmdh&>FNBeF^lnaY+4T|jh6 zK;C*=T|g8f@-^7=34*>o)rCQ)9bETH^G zDcC$O2Z%kqG6;TThFC;dO!;U{_*$fsRy1)O8g56Id!&lPD2G#ypd1+n)%a5aB!XbVou-wKHVJ~K zZ66;!5(I9!9RW>0%w~Hv1Tg&2t#$;aQEu8d2n_Juv~Cb&v#MEzusyyH6upLTPz1_d z!*}PPeAy|d(y8NOCWCR5M<{PlzMxd6tV!9N(vxx&rC{|O`DaPkGYEcVmiUeGJLL~b z1(L>hW2XgW;b6@hLue>n(JJ_O>{sS8<%Rk;fBjrmy4lfVzu+%zmY06;_|wUwqjt)h zvr~Sm2Qnh*d)|}omj^tM5qZ-C8Id16kP%tQ0~wKa9>|F7<$b@7PQ{uDDdVjq+$HD5@BEeQ#UGJF zq>2lO(nGEu9XTz@t!_|C-IgrlBr;rTINQxjEyTnuS|#`q#yUCYxX>m+;I`cnRIWnj z)b|5x?l=JmSX(#<4Ef!(ZxGn!cJ8(#Fvxe)w?Tj+o;Ga|WJ~QSv#L4Hs@idHyj2c? ziNF{{nmKO-@Cj4)B?8C2M4(TunC?cPkFA*OMz9P6FWD+D?%aeNaS{{7Fv=~I$0)NX zRhQ6F`zj0T1wl0?i*ibJN)5=OG1mpP7}TcZ!nIA}K&qG={KSMY`QHCU{+OGRJO1iH ztG^(3Bw*|5tKPfyl-)g`5jn{N8j&kJpb@#x0~!I%DIGK--+DkJ(!c{;(cE!dI3oy- zXR`WU)J)4*v=28|> zR-~*)*^ZK2J+ICwlU#Tr69Q609ZFqRr|R+5ij?}424TP8qcPi?G|{*$OB(u^RnX?> ztPdRP^MT_l4`f8@c_1T_zUIA4582fN8NupXI%GtKdmtlnj|Va$FMD7snlw%db3t%2 zQ^hHiQz@rW`iJ9+WsXud9YySv3_6755ROE_QUnvRiVlfqqKvTyKkL`6Cy}ud(IIQE z>%5gn4&g|Y7>bnALt+aM1&DAmN(@X&AtFP#MNXcSazt)Ki@cU$N}*ALutyM_&WtgDat7s0%0O~%3U@n7xpfq=Rf=4d%zTtY15$d|#sgA%-JT}wmsCQC z437{Zdh6aMwo5+AZjw(0;L2Go8T%)RoIn&N@}2FH|3=KZDS@oOehL4e*cZT$vD z;-Ym;!a1(U`o@1|OuqM?UAvYA9fHw^f*p>pueV&m{FMV&{5p4UePP4kx3RU&E6(!r zYwO<0^Y*vc^XUKobD!k-Nq5+DCMteCbZGK?!bE${)(gK*IWl?v$%FQs<{|Wt*=hr@$JU?P!^1Q}udrm$*zt%l3dA|Kzdmg<%;o#)? z!1?x^PQLuQVQBLFp-=5O!7%*Ve|Ylzhp+8<^zXmw$mID(i<1BRS)-HZhyH5Mqd)(Z zW0U9O%J7(lujtRW+qmTUJGJcjX8O15j8C4gvZ6hY{`}8RNS=3J*`9aOzddAP^8CWp zlmGnfA4r~0Z)VS+}qNA8*Y=P!IedH#Gadmg?2 zx6V(V*F4ysM?ZguEKHtncep)|ety^bGI@T^vGzRr@Auxf$@7O#w&&5m|Fw&f=Zgl| z^XSjF&ywVMyL0V1mz4R{s3LiO{Dt;B`t!Y7ZtpGotKqhBi9L`0{VuDWJpbg1OXWwYF)NJpbcfdmg<% zOPVLoH+ndEK6(A*`H@rYdG!9D)+Tv=^V9aco&Nr9+a=FGeBPc%KVM&Okvwnosy&b1 z|GPRS&v$#vo=1QFqdF(gFMcn1-eSk(`Lub-fByXL$@5UlzJilv+J>Op6pV@tq=V4&;WAyWXmFCUp`|scW*J@=AH~*jl%e43( z{~N4~f8iGRYo{YUa5A~r11H0nSUQ|cp7X%TWT6L6hMmTAIGL>Hfs;u$52Q^WHV1|y zg5WGZU7k%jhjK3Eym0km51Xajz4)N$n$q?`(e(+% zKn?;o`hma<)|t5v1dfV>032W)_(0%>JrEcOq+#J80D*>o3M|AT$T&Fp-1&Ah7{z70 zA<>G2j#F-v_~fB0OQJKwo7#`DxPi@fc2aWmCZuv(-1G5UrX0_8h%8o1GF z`i22RhMOhxXw2u7!+`4-Z-_D&aQz~1%|--f8MkXUG#U{AzCgPgbQuwtE!+X-vqL`T z+KV`GBy9v)YcZd5YYBKm+)jeU2P|eLs84A{=}g(5aw_FA%6Q5Xl(#8Wku@lEwhZya zupTo+E6Vzm)|3sHFgE0?jVNux=Kqq^@waxkSU6$cSeJQYo`+5*Wga>ipo{5rGBoqk z>12`)hB;aSCPj|P-4pKxb+XbhwKB(ER|7Gz-ds9N{XM3>6+C9U%-+qU#-S8uuc{FD9n`MuY&Dpv`nGepQTur%$GK2CVUq`!I~&jM@y!T8$Dn$dBOuGlMg&#GD%0nU_W6kX_lIQWLpoIOwtiK zXP+bIdH`*jI);Y5f?ybP#U+$WDVI@(hkF!D9i=S3c1guFznzPAcKXzW>p= zGFsnAOx6hpsuefZyrnZ|wAVf+>!d3-YLqy(Z)6{)(6JLw#$=tSPh(vDP^(7k8;Qxf znlz3CCkNRhvuj+YH(Qp|3L&${D@+IrDFLMkWmC#7l*1_JP)1Ykr94lWM_B@?wo>Ev zVQadBBSUOK*^;TF17B@L*_zUkvQ1e1AC*6r(r6t!TFf5nFnb)}p_9p39y*zf_0Y-W zF%O+g-t*AOoXoiMQRDA1Qb&9;ya?CU0h{F^+BsY04gZn)DgysA#B{Z=Uj59j5qUFX;4EtloLW(x5PO_!GYM=(*^6=QOtWvPm!&w7njy0J%R`bBg;6#`X zCzDA7pTMIAShXb&bc-YewhQ}AaMN~1dfD* zz%_0Vz$T2HdLVERxQ-2i41becZ^^~$EqCGF(Pvm7vk{P&ZE@KMjHR^M3qw#wJ88qo zM&KaX2--#4V9PilyPUfS^!FOhJa8N3Ny_a($QUI($qkV^PXIi`> zH|$91M%jt7Go?GT$u8lrENS?YMxt2tmIq8Gi#%X5Y3u=$NqY~NO!o1B$)vvrOeUi| zU^02g18CEvF`5L5YnUporCdiDLm3+mEtWY-nS2#7V#LRMx3?l(pIOuTa<#HUNWAr( z9i!M=-&rzNnuj3WafazZI*-QsmW!78G-m9Z zRG-GB!oCSUqRH1UOa_f88&Gzj^r4(i89}*&GL7;sr7EpvI?7rh=4^W~N$g75jnb2{ zJ7o_hjy?HmuW-FAd-$_QV!CRihfF5-d&p#x4u<*mJNe#2CX+@UGMQ}ZA(P3T9x|Dn z;vuwY_81!;76jKbUEDyqk#ZAdT-dc(_9*4?Rm71oVaO<$L>+8yem9J2Z+^v%iMGh& z*(l|W&5Ceivf!gn*djNxQ6x_)a;#=;wGTs9U(JHioKvIq4^#WFa?;28W_FF+;uE>6 z9U@Q~1Du@^xS}Bf#W0{^h`?0~5x7zz0#_zP07CU|nAXCF2LxvCb~S!*K+rm7Xd`g^ zYy=1PIhfO07@|gC5UOU<8^PuAm^T7P%tqjF*$5me8-e3wBd|}c9PmT13^TIX=Qy1C zpsML3|K5DcuauQ38&P(oRAtumLz#=f+P#?`_Mz-c*^eos7hmD-APY;z$(%CS>FP9M>Dw*8tp_0j~9x9o9plZ`!eHq9I3!rO!3 zW+sYTD0#}Ql<{G;VtJ#Kw^tD>MpIsxgK=1TF$(T{m@#r5HXe&IRR)YjnW-qjov*;L zcr41GXSPw>FfbK%RpwZ44uz78274CxhN*2BtaHxO?SM;(o}q7;E(loLuo{@(!jb^4 z4>b+Lk^ll@AY_$0~GKg{= z<$lUc%14ynA?27e*`H4n2QWVzNa@3zaS&e}OzBHGgmNgKPO|J#g>qAnJ-9T>M#ma# zbfkk}T5lj5dhle@!-FT2bTG^%m&#=xJel0>!IQ~L9z2A|#V_81?29t5{BUEEH& zgEAp}vRL9MW$=~Yjad&yYr)9YIA)^6Ui!KTaESHfGER)vEAm{im%c6pTxC7E$Qv`Q zam+*sFa61n=w86Hwl!{i8Pz*XVsA!k7U}F6)jOtsQ$6;0uhPG-}bF(KS22<~L2xQlW(=^HbyNe{?_4?8c$#5@#iSvU?QpM0wGP{xf*YaNPOCuU4cy`veH zgB3N_*0#qDah*Ig>E6Rp*W=2^v8cZ&bgWM0J$Kw^Zpj_NG7Mi|PDfO8k32e+E1h6w zAL9<-!%qV%Gi zOet79FGug@!~!QD%Q!%&qU-7+LR*hN8^=xEQ*LGi79Z{07?|zr%QP zp*i)?!(1SzZJ6RiUVYu!GtOVp&`mOn#(a*|Lt8w={i+LS0qGm2N!6v0wF&`p*@2)1mB>1XvQ}v0ykR-Yp;c$(%S1c zCWFS5Hk59ZzLYa5BPn-Lo}s)?DcC$m{yB+z8YeSBoI*L3avG&S<#eW$0ep1^_dc=* z58s1FqF9x_<9*1X($51Jk-;9oh~zzh5t;r>I$#9t;B>%(CgDSQ)e%a-24mBQ%;!Mq*gs}F(s_&c56TnI27s_h#Du62U|)1lh8LEu)|5ukRb4I2d68hf_JUUiL~ zO^&0O49=&Fp*%o&iSjY!56Y^PO(;84s;-@*E`m?b>2MJl=YfmJQy#d8gdWI>K5|T9 zet3lPDCIHAROXSwoKecuYl^KY@Kb(dy%>!`nGd#NtQYNlucJ_Uy*9KqToThlT5sq~ z80~#;d>Cc7-SwtD(6+^ojN0Pr7beD!aj3cWoh75inwSs0)iYa0wu?|XMAmXuLj-1{ z<{df{AaLbE1iCv3O9BMuiP6*v5&WBN8J9|BkU68My(3e@9+YD!gDKZj9-<_d&8u^~ zk0cr$k9+7MaG^@4 zi^%UDx`?dlp^LyXJ)JHheLQp#Imbg6ksCae70n$}S(SR68RH4cla!|@(^$tUOdzGa zz0Bw9qzR+(U}SroH4e-W?-Mga;+ZJp#$`(#phR9g)Y@@Xnu*f(I4d4v7Q+-968na| zZQJ9Vj1sdSrk)o|*eT(PnA%>fgzk7PvnKY>!l*8%^QpPr?Ncn^m&jGvq2wbh9u5(> z$!-LOd`9Hu1O$e9W=6UZ#H`Er5V%=x1j`oZvUXe`>{r_CF}Rhv;&IAs$~TnSlp+h~ zWkW6|3U?@T#4zTKOZe(i%4L+{l*k;0?|s?DWO}Wt^{nx^>G@8=~lq5VqM95+4XTwZ#jQP zqFm2gq0*i^ZVwZbM%5r8tuEl<=55ky78U3Nv# ztG59lX9YrIXNosOfolK_56agx$eke<=hK`XnI_h!Y)?6WavG&z?Hmh*mxnu$B!r}K z1+&DJl#!IHC|6TP(f2FM9KL#0;+bO$4_QR^^N>Y={7xr}$Tc3ah)nj7MdWP{Sww#F zkVRxw4`D?!#|#eNKF3t?Jmm$-iyYD|Od6%kIljind>x3=+5gJEi))Ix@SXRfz3`p= zV$VHf&%O8AuXlnM5)VYdh4K8sLQ`Jn#K_k8IBt%!{$du#g`G#!8h^%ajuUL+JQ+V; zSdWuinJ;5Lr@NGB&fxlT)k6fjM2Uw-1g>m|z&tjZk|6@KMq}!Q2uy3-)Cv)}LLmZE zCCrM1f1*fO4d0nG=cr&FSdG$_(w)+eayDfYWg_J{N=PZ#I4{Gm3*iwwnz`W`%C(g1 zC}SvNDc3Wl+`tcpS?2I%j>H1_j~=`T07>b15!uXx7m>X^co8|xgBOvjJ$Mm$(1RC| zSsu)aW{wxR?>CeA;w8$x=HM^)WDTMNRk2u9#>rEw3N^3 zJ|$|0ctbL$rKPjN&ThxCOb8cJZlF9&d6n`hrHryVr5&XQrRvH#o?C7R`;i2J9B~t6 z9OY)pEle4CzPdF$G0PkNq1?m*`6LfmL|*rRMdUjVSVYoyyEooM+IqkuvWEvOA}4#m zB66h%u%db6Rq{n%W1@JS@&@Hi$}AE|3iC!OdyXPjO0K{GT8P-y@k%pMiVIm;X(3`A zHMG{zev2*bB$AnlG9yvKHc4FaAU)8mjz?or7Yr7*NiH>96ReKY`eU40f2i|E`D82z z;AT|c4=e~E$mUb?xmgx7jItr#kgxaz`Qu{XLlD%oT_WH^5V%?)0#_(Rum_(RO_30R zs}Le^6iftJbua~S$-Gh2u8HYkZ_4qMizqiyrcja#=QYf%$*n}ujc0zijdDBX4(5yr ze03+~F3R0uy)1k9vq!?*=>!j6L@xK>MP#A}FCwpa@FMcH2QMNkdhjCB#)B8ZhD17M zMYG2&vJKy2x|mIQoAM524!;Epvqve1jv`)4c7L2VKle1uz8<;mxo6 z0M}Vl4GRr1o=@nH3&*&W8!|I;|4?+uN1vcSE~J|%KIC`XAU6zE>-mKKcukr&5@&OQN;LDUm0_V5$KjBS~LizTjD&H1Q6(YB`6{YTqO|!W~I1S35o~;S3*SK z>W2ti?GS-(QlfB(z!eP zC^J255&6Qy7Lht0#)_tnIRvV|%UtmuWiI7?g4YYPMk!|wm`GQ(QBoHrYxgA1Ny*;$ zIud2PG>Eej9f?w6NIVjSOU~LoiD{0nZ+UryXPf5u_FNvfc26h~8nlVQ=2de2(2Mti z3v=rFfy)<{nOc5igQ{Z)ox~fG`LO46`jm7d6XrT zl_(ohx>63J44@S3oFnl(5O(Hv7Lvn5%o-2#)nv*P$|ICV!z-6k*1!i-n-?@!M1T3~ za|ZI${r&ix6|)iiws;OIAXX*HRq5;9HET(24_!oBdFUdNzTSPrk#dxWE`p{&I$cEW z@X$r%c@Jepv&Q@MZu)?^;zLSEnMavVx2M9?QOcjg7C0&~L*khzy9yv~CQ8=<;+ZIm z48dGNG!tdE$7%NDfT`$^1GsXTgQl`YZWeRl{c)cqhrmmV%enmMJu~V3G2bkYe^D2e z7`Nt*yTR?aBUpwJs>{!a>TJ9TfO9;qRTtgKH_1C@)eLPztur(QAM7 zDXwNAYfNRDc%1SCxUS?}l&%Eq9AQJ?#Awz6%v=<=!xSBI z7SJJPK}@tqezon9-xT%8@1adP`6lJ0i1f|p+`2n%iEL6epL69yydkc1h(K2`R6>PcLCzLC|w5_Y{sGlb5Xhy5YI*FR=`6Xi+UVy z2f?Wpa^l#jF}?2_jJ^E`eya6*atKumIUz;02K=6|=Z>G(e+ou5Gg-oaEPZ~(?Tf%o zcOx)NJkH1L=N;IMqpHwju?^aJ%|yR>Ork&;`laP zJqW&Iy7->*1La4`qHsj9>`}_3qllT3MWvdX|H)}$Z+`eG#ir79efpSlQD!Vk?9H#> zka#XiyW|*)65jk9w%Meu8;#-smv+gqpew!h=Kz;l+{FeU>T);a;$gKd;RH^1xJA_c z1HBRi>imH|34&}?HJ@{3L%boG8~fi$x~yf`JGk@*m-cK5R$+G7n6eY)5XwNxRg}9a z&r&|1yc2HA@kk_zcbPce-uS#2eCd z4YO8>@*#rFY{v+-Lj>v>jJhELEK_RH5P?<Irf6gF^{QYK4k&r zBg)5=Pbdq+P5<>dr1awl$}4>6@mH-9&GLOctPwfg!y1v%9@Yp*ed(+bneAbX$YKv` zMADbuH)}7OdzdSlJ(h&yg5X!Ci{B`}Q~sb-gt=nbqm*4oQ~gdtdXQ7Nr@b17%N2!4f)h&_aGB{FDjeGs@?b zFDPG9zG7baI_#fi4u9s@PWv6L*zZ`$!y1ux9@dEL)aBjMav5 z0SY1xH+S&3bZrz0Zus@T;sg%no<0(&2s&1j9_Vsnx zl#NT|h;J$1QNE}Az?AVLUoE064p(x?V=;feC-JBJZ~uC)tn`k@&f5h4qrE^BweG#& z{QDMg+zZ+siGKNO9_9!Z)zXUo&P$m$`zrW8Shl>`nLvsEU;;0=S9RxXMiWMkzD0M0I!tM0I1Np)wj#8E#=F-0* z!bdrHs=f4|c~;Drls3!fya$VEj!9{=oKsTh5`pGwTsCO62d8O{Ng0z3Vy;@PFUIdw zo8_?`NDtV7s2d1;PB711jyprWK+rBhP_h!8jjZC`v8$CV z8zOKeLj>m0(bNkOxLP5Cop#=ZM)COAfFLUp=5q>S!#6125T&r;yK_*!wi4JzUe&L( zl~d4!Ibu`FE|kM4=TM5QqL(fCJ=~gwXC#OU$Twr2MpXepHA*?9dU#E+WbrTIkg{JF zUxb^Wb=kr(U;Zx=2UFB9DaoTpui$_5;PI#X9f{=8-2)tvV?4kStP-RHN90Zqa7148 z07v9=4{$_Q@Bl}owFkVS$)jF)cMz<|Y*C-mfYOlCXi%}-QOdNVh`Ul`u4HDUBpQ;^ z&474FN|yr;l4wXu7X$`ahSLS4uA3Ptu^gwdcBlL{7+O#=sk zTX07(hdz9!bAuq;Y|rY1uj2ba8Ep9O9F(uA{Iz^(3#VWR6UB{`$&}Y9pHT{S(W}oc zuU@@+4W@{ic-;7LY)2Fj5acK;Q0h?XhV6rJ%si7Y8ka3I&mAC%oN*ifP{l|hONVBa zFek6&;f|o^n$8`OZXWK49O>bX$i*J+h}`bsj^KlQI(I}CdiX1vJQ{`H1i?zo7AsR4 zQ&tHdEtWV+8F%o*zC)+R;67gZ=6;n?*TmydddJ4&QRbSJ=U#}%qx7nc$D_<`E4=j8 z6hv7fhUUjPE`t*hg08SmPE(L=wslZHnn0Ot@_I}Grb&J*`z84CHI5(`UWB~?1a9FS zfw2NH+wKUmk=4wceLTJo@Q6w_8z%Y?;Mfb_5;r9Pzrr6J_k zG2ND&`5m%{sZj;XPRbZlamZxNV+Av{ONoG($QjRgXd{xo?p>{1s(WZ7lD_V}eHYom zLmQF9JhTzmv8K~T)LMRUd~9MM~qnPN3c6Uyq8rs05MiKCQdhti`QHPz0<#^6-A z@>ZUVQih0~jKW~lh8u~icJR_yhKQYv!eG=v2a79uoCKij0NW%#e=zzaG=jR~N(>*E zyI<`?~b5k={Xmdo=4%AEe)n>=D376ez~a&GH3K)8aSMCF6A1^eUuj{^C`blR;Fx3 zN$#IlH}fSw^}lye*-v91{Ap~j#bbY4JZ9YD&ANmKl?7`vkE}y7-7@#25&Q=!1H(~6 zo0oh#nQKl8#%@7w|Ff$c8|y&ssvOAO)&m%kgFJu{Nni24{sy_x0~i5)JRLA1A9w&G z@`nd70;jxYsi{Y^Mze5AfNv+HiFGLJQksX~6-yYU96E~FDcR+54#1)vE*yeVY{*>O z4_|1P$NBjl?Qr?MBB-X=kQHq|yh&4HL(mU5Gf+a0YbA$l7rB3EKirsq2&c8w;_ejN z4?pKTgVZo9qY-!pU@K5ZLw%yE6!~751zicpknFl(vTNO#7Ts#I-3{gQ=lC zWjD%^ltGm1DECulQa*x|{a&%C!&i&OZv5leH-i=&qiV@Ku^y!rWqr!OB4gl_t*x*X z7PJ2QbdlKn`_+RLk)|H3h-~e_iU81*junx!JXjGK>%ocuD4vcLk@q}!6`L+xUDI<&0AP96JA`_i8-xhlU}q}je@s3?)w|bR%ajlZf=Zgec75liK{WcV{U#|X0~Qe|4FHN>GM-Fk0so! z|K`2*T2UzwyBBqM^*5(%L)n*dB4sG$X3C?KHz{9IYEUX~n&VleHPgZd%oiK-)kc&y zl#MByP}+uDW|^bl5u{*qBau1M*STlEE$?`UBJ#6`C?czQh$6D3hbRIhY&uay&hQXL zj2hwHIRr|hQ)_Tn z7c48a{ynscsQgI|`7qvmt2Jcu_0kjX{ZoX7Mu0#_GAV4f2V z1`|P66U^rnwuU)Eydg?j!*}PPd^ss=wC5PWJa7eN0_ADSdz2q3^(ZYVohZF11zYCm zsJCSaYEx#8cFYo+QQA{Br))vlG8~v?4u9rIu&VldXd*JoLlcpQJTwt`%R>_ZI3t}V zB8@#X5ozzCiO4=4s)}ZgHsSgFD1ub638gJ%Q%bwARk6%b%9_L8`fk$H&b+!|Q?a+c zvr^*NtByZyz-@6!j6dnF=x(X=Xv!eaT}tc&AUNALjL?)p zpi7i!${^4U%FK%0%x&WhS+@9=!7H@pSiodZ;MIh`*Ov!pQbtnlqC7*Xx@JCoHnIY| z5jrqGY(?3c(vh+aWm{&HPJFdp*d)sy{_N3C8yrp9;Mmkd6p=kWL=ic~Lllvb9-@fc z?;(oF8y=#Fq%XBMXef<5WEIUG?ZRjH!361|J!Nys7U9Rm5=SX#jv^LH2?r(73pO5q z(mR&pPiFcF^||EulU}tPe=^fgShY;Le&~&BCZNbN0Z-mdK{dpjmR`K;utP$YNjWdy zzWJPbe&F`S^`U+rxP1|**#~dmWa&AVztzA?aQouKEU%ls4Fb2gjsPa;+OR?3Hr5fi zP7Q)=TRmkIbvdmMvLjyHr3vZbCFY2aDSuE_rEEf}x?SE6$aT&?c4lVSp3;S~1G7a} zzS@z}Ej%Dg8UB>fQBy{9ri^bq5D}^GfrwyEG#w%$Jv|T+Ine_Vkr5tCB%9+EVLE;f8yflZ5lP=>zw<85 z9Ua5&e27J|*p||XvK^%}y(kUfFu@rq<;!93eI4|Vr=fJ#AHz^$?|q&3-^I~E3>*Z* zP-5?W9s7@`p>*;e!%)I||K_MWZU&+_wxx`a=U;qDtmlUkt7Fd(b+=pc+aI+p#sm&` zxYE?{15FYHCF{>Qb@@OG2fs`$KG45GfY~T^?9sbH;MUd=0P)LpFf?rtU`t&0)e&U7 z>REa4Eqoss$c`B*9F(sW`H-PMz9LgV5wE7;QXbq&d7Ltv@(ranWo^nY~FGtN^e_<-9D5K61#mUAVka(Zb!^+DI{c9+Z^v@&C&ZE zUZ?UpJAQmkbKLB*Be>qS@3F^@Ks`RN#E#&VxPya0%{|b*LEtvm5dib6eH#RBWgUTG zHJOGDf^1hkD-d?Z_kohtFj+V#U)@X<(vf2zFaBaCO+WFV0cCy4_LKuC1>5E27|0^G za6cxEUd$4`Df?3npd3i)L;7KsIsRXnqooHRB0G8jA}EWb14JZ!kNei~a+?PrA~QSy z5&6Ue5RsZ5kcwuG9mCgH^+c-JiLx`LdpM_9(kNxb@qg@{3A~ll{{NrTX*$i34B;D< z216N(N-9GlW5^IG$~=b>rIbhrnWExkCbKd}#t?~2;gKQ3MWRyZ{6C+yzRzC!IeYJC z-TS+Lx0Can*Xw@n{@%rP?9N%A{jBxqK>!THXMS`;G1XehAeVANoas&+5Jxg1KKf6)!|+QK5b~r6`9Rwi z86ow|_U}g7@vEDeWB&_t4gZA+Bth>{E;WKo_s5W2;ol*1@}D2Im)@|j1W zC=N3MX3{e_gy4Em2@7Fcl$ecw_i}wvdOyy#C^3CeCOspoFUo{x5Mg!eWWmNi%}$S# zVFfxVV?LA_@&>NQ(Z-AhF6D+~Vm=fd!jz9125W*bcld{9d|<{Mg`00jk$nVR;s)EX zqNyI3YDeKF+EKW1b`)-u9fg}?NAZ8arB>1&)e=qr1>+L$8>4>>;PO}$i2YT#C5jB$ z@>&$Gts8|twW6mRh3n@=QL%8AH8DcTcJdlE2NaHG3a+G2+(ns1`G~TVl4t0===5{K z>w;h~{o!2xdY{Kv=TnAIhEguz1aNv@{4&+G@h967r%HPQ57y$BB$mK6QKHRSB_C%BVho zgX83ym^gG`O=@LLxMTBhK3hg@V@b1BxR1aIm@_4we?qWC~W%A=agAMcIRLG$m)?9HWF6ga-w| zFnYswb+YB*&C)&n#Knnu!tm2cCXi1*7tx#q`e0$B8Pdv zB66MwECPO0GFU`rcmOME8hu0IbsDVV7)n3Nv6TMd<@ubWPzXm>M^qG+6m>+I5dh(? z@A8uDuJ0m~cJ3%y9Z@|6PvK+w#S zEs6S5tJT1}qtCC{fKiy_M?<AV$OXw1#DPt&?QpQp)vY7jfe%%ZUjG@AmJ69(GU%X-WsV&I1^c$2@=$dCvnFk>5Ok5ozQBj9~UH z889NfJ%AB8#{*hX2k9U7XWs=Z<2cIkl!25%;a>S1q)-}1ygf>H*{skc%-v_VN9ks3 z+oRAOb=&QT56Nzi(mmI!VLr0U}KLFhL*f zJ2Y^oAdEHzM+Dmn?n>Cig)w&&8u5V{cN99{j`?;Jn(cv`927d)j%yqg2J&vMa8MYE zlexb^QMaD?FphC{6gta}^E(vjBzt;FxG{bXT$iD}CC?ws1fO`9X7K~1DrFPOPL%SK z=Xid(JUlZ9#?dCOpp2(nNx6!yaW!8}2#;N>tYZzOIK^(s5A>i#}Lo^nF80Zd~wJ*xFMM-VlX4kj4rVKR%eNm<@N^FkCp7{Ll(5bv4@2_DWa=PL} zGHD+Quf9&hK8kr$g5Oq+`oJ{_ia8{|n;CZ$U)mdd47j7noIuw?q2V65!9jsw4|{#n z356SJN5Oe^JI;;*nbLY^gW?*}-P1XC&iSC58gEE?N?2MF^%hRK&H6^(U?$qbu9U+l zXHYJq+)jzlo!c;)31QnHxQ704E#*4OM9TFvjvM&uM#@dIQuFZNlE)$d>v|DH_drG@dDi`3Kgv%Y*ovCRDdD$l$ADX$Mme2wM)*QL-zXH! z!H?GEf|Hn=WcPerjtdi>bc;RkU>Bcc_k3KA%US0PK2LYrQKMaolHK!hIW8neDLCYD zdpkbsMP-MW%nxD0lk!6{p&yD60hOUz4b#8%pBzG`9je&h)4cWn8RC-tReAE6f(dkp zdnvOi3n|Med1lV5oORAk;e;T#na(hYatqz!R=%1{nL?Qwc1=CQ?-{Xqj^y#~@4lBG zJa7?79`A15Mz-|8MPwfjTtrUxz(r)72QDJ_c_1t58E1rBvRw~OaTeul$~lz5;YIn3 zqfk1BJ7Bh(zB^-PE9|s8#ho+9TB39iV8MsD@i&{k4g>sb12upQvBZ#?wSaUnY!ju1 zY-VrBx3US&M4N~URC*`hiRB9Z4n}&F_)@w3=U6Y;~t#iJj(f$A(Wxy+T>EJ3WalE z(|6sccGGtasJI^oM>1-Q0$DxQ7G(y=yC4lWYKt;?8d+^oW`O)m!DJdH$Qk^h)DUy# zL3We{hX~@H?!C{jO%xvT{0lbt<4wyCse?H+H|mZ})TVwg2pxr+aYvDT^jzX@Z?Ix> zK6ojD!d=^-a3k#~+=Wn`#Go_m z12Y0xao0B}+(7I`Qu>Kent-`MF5ueg{pk}@iMJm2$1p=1u-RG%n^3t=mi z`G76Dw`N+QbbDnhl<9;L9R$G2Kuc3quo`>xdeI zo~`jw>W7AY6f<0-UmCAe!TVE73b%>&`QiD3VmS3 z-3$u*w90-y6cw0n$?J{0Ax(6Ku9PDvXH%}A+(9Wna6SN_l5ndam_d7(NqLg;6y<5U z#WQ^MEakbdO6nVa--xwUJ@0{w$mbro2;zhOOSp)1@xVpo7!O=ThI`;5GQ|T~QQsI9 zwrATn++s9k4CPYF*zl};=20k~BTtHw-i>!O?NEY^Uy&ib4|P#WY=b@3v_oNrB|?Ub z8LRzJnrAnK*CclVP<+2qLuPVvoN-UDn&=Nr{y^;dXUeYr-hSv^wnE1PZ1P7nG;U!; z0DFj=Z%2`4ETtJs6#{dKAr3rq83fPM zA!botpliIySFxBlj7_3kFe{} zzu#6<9+4boC`)*o%edIv9&fs8v-FZ)Z{e@HPdi3u%V0wJL>@2%Z+yK9tJ{n)& zd5B$SP8h#Foe^I@ZFu~jfAcf(^?OI#_2|#%uovR%-;T5E(VtJFSK{j}uCeRU_djn= zeEsMfr)Ayv`VBwF z*S~5QU!T9su1CKgw>6Hh*Z$@KuUw^D`eEs6Xj9riZe76}CU;pEHyB__1{cv)8z3nM> zJ$ircJ|n&!{ha?(|GP?2!<{}W3j+R!KckKCFS;52yUQ>Sj0~XmWEhz|1IaC6*hJ#TPv6msl2h%&pf;4Ii~Rstrd90)Sxi*RiHpm1>3yA#6h76 zVF2Qw&~z|#eV}k892Aa%gTnQCpm6vb6pnp^0uccO^q|25MasQN?-K_g&nrqV9aT8t zF$nl5xgljc%086iC>K($r#wh`jq-B1ZxFn~C(2hTuklgyb-tQId4uw1IA*PS)LcWu zpEOSz$L2JSojoivIo!h{lOY}!nIscoX3Uf)JuEW$$ipI&l^zzEZ0KRKsd0=CpXEp~ zoZ>3V)szY0zw&uTp(w9Bk&AY}*R567UC*U1+Wp=IFA^{&d+fD$PqW|aE_-x8m%qr3 zR?f4nbR#WmW;`0bT?`{hXmXEjrF+b>X0l?!6gO5o6B7m~4mRyHkufFSluu+_BgC7k zW(0vzUO#isuSwaAvJ2&4$|;o5l*yDCly@jOjGAJk)Q5Q9e2d;Nmwxd!U%f+_M|qbr zpYmSVJavx$@P2E#2S_H3JwP%^Cc*SRL=N=;$>dxQkW8-k0LkPD50DISmSixSI>&?% zj(QFK;#$gel!=tS2+|x*isp zZ0})_$-y2L8OBjg*@xH&Z5s1M`_jp-3Aruq|JPb&-`w7g%dQUjhbE5>q?_|8?_R~OdB=3sP_=tR=59w4z+HQBH3MGXzNlg zE=*ZtH{TLL*BjpJnyUGYR(SAwioL-TVx>7GWNY24^n;MHlClnEOUmw)zLaw*S5fY! zl*iQI)a#S5br3A1Pkc)GjPf}pqMYJWw(@zyoLFTQ{Q&J~4)P3OL5Cl*yDSl&N8}d_M7~Z!^1HTD2WpiELphr5zLzLGkizt6k8d2i7 znyPG4{W2UE1Ygl4zNUObSxn>jmao2}d{3C|T7*hoL(d-UN1=5XPV&|sB$@Q`Aj#xZ z50XsAdyr%}q)A4S$y**Inf&NMl1W_;noX@^YWO-)74VANDW#NYVVKV~3T1i?9|5kg z?yM6m)zQQ+k~79$YT!SysihQd%_E`UdtU}K}mfU$Q$;U>XQR4gcVP35x=yKq3^oX4P;_OLN!N6LPb zL6khKnxe115BCm&ALtGLqWnm|_=&H6rYxcS5?)q`O#=@j_b+YiZ-bl1WbwmP}6aV9Dfi50*@l0XeU|E^|D1Hua5ZVIAU(;1+jM?xNgH zxhK3epLrC@_WDMfqq6cB&Bm{+vBnZLN0~UTtmY_Xjr9bvBALr#aw2Q-#)RJ}CJeEOI64jr z4GhDCAqq_k!-OFUO$x(h4hoG4!%Yqf$HGBjfC%Oa2Ze*+pl}2n6e<0tLdP?%kyFCz z3TZ7Z9P${PPNx`4xsCD^Wj-Z`SA)lvU&0zeu#_J0D}CcPzFJ0EPFX?uow71)S&1D4 zF3NMVoU4@_`(PV0?PCl4cb8-^%*(IHs~$L+EcU?3q=pAhCM`X1GJK3qhLcG$AZPR# zxzqz`Q~S7wguHv{7xz)_r#wKJ9v+d;J_-eVku&MKQEgwWYe*e-k#OsGO{sS4cck^{ z4+*z^m&y35iHvlyjNu~T*6*SjSDM}5goo9#*?qQS3?jA;)y2teJ8Y1vu7Y|V$raVGJ10UfL zd(kNRQHD^iq1;C)53ea*@KehjgCe>_6-qIsDy15wIxJ=Rq?JL<5aWJz^uzaeErA4w|V$v@`8s?CSQ2?Wa2^PG*#z# zG<=^xJXpo!lqV=N!uRr-MxkV{JOPZc{6%2^BdKFY*f6dPb?j_~jtTEeNFBSP9Chpt z-j@J5DbWqNX^$d&*hF#>k7h#|#l~{6kIMx!T0EK!4J&S(hBMY=wlmy7hbwV@h(aeT zad?PArz(+BfI>$qacYRdofx8U$Au`|Q6UQRuxQQ+QKV;t|1Ke$rja+~k>2nqrh>Xk4^{4;eEa{9ic7 z!UWT(fc?2-2Jo<|IS;Eo^T5euwFgcnn@ZC}=RsCTGMr3~_Q1*HA`hHQl1JX3c~+kD zK-$zaW`rA%kO!-HlJXSgY05JU2+Ex&FO==YUB6reqn#w@u8&J{VPBLY$1;6U?=y{S zA()uBBo}5yno07kz9=(EuCZaltVpGfwTW`W6g*~P!-QFp2bIeW)A$P$8&*9{XiVqX zD>5IO=8-4qF{n% zBR#AU4B{m-SJXS636CU16=v}q<$201$_wFs`Mjf0yqD`jwf(TJ5!J>;igrgSK_t^1 zg^6+-7b)5ur38^ocN8YdZCoTC<8VnnHo7Fg-d>X5rkCVEVv4yWe=52pe{GINhbd0v zi!VzwItBnxM6p!xJh>uX4KK#-k@+J?kLQRqq(+0@k-{- z289`JH1{(e4O zplnFn*od#1P&TG)LfJHIQvnSmsA$Jkq>b^(qXnNl`gnjNa=r&RA~$+~BQnzi9FgRS z@8v7xcMotxHt+yPq=N^%qVDlRIGIFM*u`whOO%%>uY@3>u?7uIFKn$c`T7h#cZ! zj!5#v_qEr_L=SUB9``UuSD3tGI_k7og zYFlDmFRIu*-=#6yJ>LZ~N~|wRAtKrrwHFgw#P0bnoACs*?YnqJiDidfpOqcP=rCN4 z*DpWxj-4f%cwh7t3ODVJ!X(IG)V)!KK(UwLxQ>}+TeNJA?`;P=Y}sYEZYXe0$7>{H z7@*K8OKiU=%)E^`NkpOJmDqk!=x8OjUleoftr50g6lTK4oE@Ujfl6$@D9mV$IW$Cp zVao0BUEstJg_)@_r-dj0ojeZT1&#_)m|>a`d|X7~u$U+Soa9L+J}sbl$p$B&lNyEg zs^Vh;3dDpd2or?~l{OGe6pnz2LLXkS{i1N_OBDLlTH&#m!Ay<==8t=XJ@lkU44@37 zOr%Vwl%GMLy#StoS>7$^4lOCID6J`NC|gptqN!}nkA~4Ld4)N)3M$%DN36Z-T@PAB6mj+5ix`0+84!1F=VvZ6fq4E6I_^B z+=&Zmv?*d5B38^DxL;|BW{6?)!|tN_Vc3FEXm}VlKNK1qhAkL{riNh)Mqxhwnrj>s zCN;)f;h=E$Hz?dpI|?9x_2LFaI?tXS69)J>sGSKk9Z?!Ir+ws2e56Y}L3x|FY99Vxvjr&7jHrch>5=0S?_$hZUPbUV@?cA~VWbf9PK%vZZm zI)+!J#^E=P*f{yV9?XcG;=zo_6&}op-0#7R$eSL_2zJqA%!t(SU`Avs4{k+`5Cyubufj|{4hm6Vj#5tvQ8+9n ziu8mqenMCyIw2fYIPx(VOkcQ?au;P5`=U1=Fz_-Z#w zS4uZZ_i*bqWFE+R9QYVFpqXn0L6@mryeAjlljH0laqpGZ9@>Z`PkIkJK~D70M&vRN zZ3I!e_a%CeyzZfm;P@t)x}x^+QTQW)%(3Y9^aU4IQP!nwMcIRrGkac)l;Lmy(q-umd(bxahcY5tcqk*X zr-w2k13i=x8RMahNb;!rOE0T!d>XbRni*#CIVGfgL0J?I$>$w~QacPb%Jrezec!dB z{sdI8>xIkX_iGiFrit5Q`>mSV2yQ<>36!}!q+n{;6~l?8~oA7<|ZF75fpC59YyvTbcq{o$4X|heIFF=_69{}r2Rq^ z?%D=LW|sXy6gas{=h!U-IXxpZNo6BSi=KXlsU7)qoL~{X=o14e!znjX9;W1sp2LB9 zhMNV!KD3Q}=@Y#u`%(6%96&jcs|SVk{_zHaPr_#4G5EjRN9?v^lm{~+r5?^y zWh})amV~q9eSr`>E(Q-7azVrn5zdn9sRsd4y5XAkD0W9ZCFL?f^pgD3&m*F$l*HCGAzMq(kkz?8u+v1ebV{R`D+72TE1SCY16+=s5d2C>$9C z2h${aQx2gVN;!<4(TA@N4-ZIJ%7~2cP)1~`hcY6w zJd_a$J(Lj%Jd_d7%=@lg)HoK0Pmtmdr}&QYJ>`e+*L+QB#!ZiINOE zB-0dS*&+C}Z+fC6!wy+(ug6PlGZI1CrYluUTdLjfU1ut<$2A2+On0hH+@ zQIx)vqv;vP@Krw&>(Zv&a*rW7tyQr>^1nQg5sW-1Z@ALg0~wLN9>@sje94dzxzz(1 zk!L-S5lNnP|Km^V8O1;R@Wa386F*XZqWnx*5$(Rw@#e*41+sw^eu+Y#Ua<|MaMVi_7I|6miI>%tyH;8_zbW{NX7LxLF{Le~2PJ3p9CINj zg#CixL|Vj2w2hPb>J-YUl+!4uhi6r0@90$a+E&~F%g*+iN9>yXJP&0=Ztze>FqoE1 z8Icb>lo46sp^V7-9?FQc_fSUUFb{1-&0|?OoDnc^ixrgLDJv#X|Rq9SZdZnvU;PG9g^vdGUyQLgDGK`wUiml(Q-4PzF=ZrJNTwsKgHPZ*xdu z%=H~SoDp>MBy&dO91mwiuJdq4Sb3DA9$B%>O(;J3RhEgt|45M5~OSvdKI5iFb6?s>^ zB5%zr@?SiR5lJ5MZre__^)N;xdC2?pGvrJUV?-u+7$eB~PG*eAJP&I{O=ERfjgeun zihxo?sX{3Zry1wKTUL{Q?OnmQ%TMHsnML&rMRrWMmh)g)ol#8LFzI@>8&&K{|De zl(Q*UP~zj~)lA39MPcV47*3}cLAjVRk}`_MaS30I4ktSISk7PVhxrTsw|{+-kl3+z z=b#|L3P|>ITA1%JACg_nN{$&|g5$q$&W%B=rh8B$GS`C|k>p|TI(4O<2Q?zwcu*s< zp9eJ}r+H8#_#~f=F@&M%(%IB2dDGe!Y zDBU47jyifYzsArWE~Sj6Tt>^doUg`FuAqz$w|C}|;t$m{bMDGx&T+DbH6r6YtPx3` z^L~Afyy0PuNb;O_Ni9j9cW>QBw)C(@kj0wJTv6w!8IEJ97_6cer8cDwr7n(4zZ&MAgPX zi36!tGc;|-QnfKq;&`f=ab4ztX!PBoeb!E_Y9;MhEz###JR#!qxjw|=2@!?4DL1X& zDD>$SecmV>_!5Oaw&Dp9MFnPFRzHN~ZOP_vIh(w|Zt86sX2gmg5|;pukoh%!u^x zU`FHw4`xKhdN3n$w+AyKuX!*d$gW7nj7UunZbhA=Za9~zldy{Vlm?WB;V02E$Be8; zjzUQt*za90>L+HuckQUS9~ajdrSOnUXOv}!zy_nvD20dYfp878-u+~#3kI1*Fg)Hn|8-W;zr$3q^V13>e8BuWG`lOA(J}t zXE(tc4yHkzLK#h&OqoH+89v8N`*mTHAecyRxSoD-17F=pxruT!WfJ9{%u)79 z+4&|9aDMsbC!9U4nV`U!cO=hw7gv>P9@dDo@UTW?4-acZj`y%eKp;da7`{Y0t!Gs#(^kHWWDt_G^i6! zk=Ji!F7(~JJ8T14Ap9eQpK&5(Sb!_|RJ6xtGD#yeaQSPdJit4rM&$PRjF?4=KM;YEha| z%8#GV#sf<@EC_C;LrkVjp-iRRM!B7yQW_pw5v`+P*|4L`@y6r|;~gFJvb-rT%WwC9 zM&v~gXharyKqFGc0~!IdDH${(-8`TX>F)uJ$Vd-xMZKeO*qecrFpCW+8&Wo+GzkyM z=N*LtJMyF}#ln^FBo*(E(ruRgQKmf#Gc)o2DBW$@A7$F3zymgyHFRhU?LSA;{4RE4EY)RRj(wA~B79GBl4#Qy`uKfH2j$H#qf*GDa|O&!?*J}N1?!u7)2!08f6X_OlOqX zUEgGEXudRugUuO(>5LM)>+Ah^rZvhOHJHvQ;jSMLwr&HUFiFl)VP%Q5Y`vve+==o; zGGQQ!6gm9JzBMiG1XvN62hKm(z=g{a6q*78tOyE?0KuR;3OD4ALbE?`kAuQZx1%r< zj^+Xfg(1J2%NrDKrX7XLZby;Mv!~~Sy+)MQF!$rw?nf1lZwkJrFBDTYrtC=Bk1~id zf^rk(5hLLdLGUQe;W5hNG>j+sY6fK{<;n2;e~4?~b1rYV|DLwo*dxbB9?%GGbdy0N zvY`hwB0GCPBXYP0G$KPhpb@#r0~(R!arX~CRL^K0Zo+tbIK>u}mXubM*5MWTjH6Io z#~W|C!)4nK>&}=Jh+I2Sqd*iSazF1$n0>7*k=ohg?%28AtUC%f=Z?Y+xuekV4-B}Y(Bu!? zjG%Cn?I;ZT-Hf%Pa3k#~+&nvqbe26m9-NAw1J_%KxDL}-$heM=xbf#pZ#t99C6R=3ehXjmQ%o z)QBwbphnO{nv5Ee#vas&?Bqd>NbgJD+_N zO6)LOe>_|%J6mZ08UwKPyL_eW{wTu_0RaXd`(4bE-TGb9(yPd}LL-+;Te2xoE^uk3 z7zc2nOO|xva+kKOXN(}tUaHWIswLW}ie7JQvKkPKM?@6*6pJQr6#4{ zoNJe^lxd7&U({d1q|>a%DDc)ZjZy52YAfwrV-z!>lp$hzqnHD7tdt7^G0jQjTSci$ z4RgocvA3kjOKCcLg}`3&lKf$s!5qq$ls_r!QMRG%Md?QwLb--ge*7HgUay7igWz@g z!yL*Rls74FQRdQ7-o~qsQu&gRE9xBEhu;Lj4z!9LDLYZxhqLpUMxnHhqT-xDrKlsyG(^ev(d~Gq zBg%}Bvm?qhL`eo4lIe&-H>%z6O+%{OaFdcl?%+KM`6dbv(I60c{S5_(e83TMCO;`d zM1w%8npt=F#M;7Bt)%^_mGpctsLA{Bys=Gmhnp#nQr@I|O<7HekDga$pUFEkhIzDz zcPaBJ@9}?opRX2BJ_vVSL(dy!JD2t9%$=v~+_fT=d^dc0X3SG7{CAhPJctqb(SsO~ zx*o)cZ0$jeNb-#L$*0Jv9>j=@_aH{(0S{_LjiY_oItV(@E_SBuLg`596pqN}9)&_X z3@}RJAs1LulYxiuhMa9tVs`#gGNZEkqD;)jY||DcX6ILUNTx5!#B303_2*w`IYR@N z-jHVkL2y~pT=PJ{7DEG<34A8yL<2!|K!AB5H4NnhLjo`mH|LJR&A6k;K7=lD!|hmc zlkF(nR67bc(vHH7v!g)tw9c}l0Qi^p^f`LI_@%!>cWDt1?Xxd${N_j*7hGRFfNk>pA5nk7=p0~(Pw9?*#N^nga>BoA;! z{i9QON)U9WVeCrjLfMVdl?=7qBdbE89p&gDi|ruRQX8{@<|v7}qjamybVuoKyPepJ z^3Gj!$K9uw3tTeIQDQI3P3TglL5eeCpskxMDB*3%WE_Iuai{B(VjGuQvUFOHdGkxP~UmW9L?Td1xat$U_^Ec1@X$u2rH8tr_R%$bFbKNQFS=89r|bc#x+lJJ-J?)yN1lYGtbnC~CkW&A zTvIArV>2yMX0H|Q{4Q%L)*@xLTwyO4ZcLa6q9~E?I7-f0VM7xU_HtpAoQWU}V~HSJ z*h%ub^Z`u-!Ho%ihuy$C3ODSI!cDrPaF;nK+=x30cZ-9<&9Vnv^0u?eHlhgfXFC>;F~g+9Gjc=BZx{A4n< zqX!KkC&dZ>+sj<|jIx5#fYO@MjgmcrUbFzNa9$8Bre}OhllYGEJ>>_=zbHS3N2bQ% zf9{AiSylC*MkIOCyIXhJ-GdsD<2(2xx)Hs1f4iaZPub?gboqc7_~c^ zWOcVagf}KkfI9AYn7!HeH4H>4BIXQ)9bDJn(7>I8+>Mr5+?Zfn!8r(nKrrZzVu8KH z$5jam9dXBmJBpeXYl2Zn6gu0En;aAd{%&q@Q0P!Qu5eHo>btqVLE-LhP^7c$={eyA z_&KorHU4ytFvRu8=m2j~zM+&+HlS=v=}8$t8Ah2%DL;FT$Ce+%9T*r5XZV@2gz^hz zDdktnZ!naq%kWic@5ynNHGP?R?8!OH%4yGyJ#xI@0gcEP9?*ysc|aq$C{6~ANLLSN z1k=^Ypb@#)0~(RrJirxoj-KI;L9h?~VqZ!x%6^pn!*lZ4N1?!u>bk$K!%=b@uM|sH z5<5zs)g7ffExMyjbCg8*kaI1%DT5EWO0z)3#%z#pc?#11Sel4yN=Dx6Nl9g%UgR1S=VShyiEgnp`wn zDb^uHeu&xhaZN7s=4bdJi=qxG@!L6uw^bVg6fyFqv*nwHB*yGH zcB;*P630)q0ZkPH9MK zL+MUAiZYmTCFL&4EK1J!Ih?1M?76D+hia7Se9EYSSIZ{dic}u_FSRJO!;P(Jkl~TW zJgH0OoK}Gd42#kW5#UrH6>?ic)+? zrYp*fkiR3C5H@$?pV=95wr`oc@f9mAL_`3$(l8JOiEP@`hJkEpSt517IdQ}8c3T?)nCW8)`@4?rl)GX?7Iu!Ulzby_?$_6z--5MdfT& zEy=X1o{0BAvw?xYL_z+g#b2UuJ>Dqv!4*3&3X=?Jn!8cx6KjPBUKW$U!@!RG!A-D; z*XR>pP*zdarEEpXnLWoPdu<*w*P%burES#XtNN4%l!lab!lQ#PZ@S4mW;CuiF;BRF z=(oyzQ#lt9Yb>oJW*(1wI3x1DhchC}Je(0(&%+tPm2Wat}qZ~`=AD)oUJqo3D>#j$E zSaiLwL6J_g#~W2kv{4lw7Vz$9LNGolpg@n5A}~=n{v`^1e#It?LZ4mH+Ks{t(;UaP zZWI+5dKui#vA`KLgm)<4QL0dyP)oKCtm8qA z$aWsoh#cfWuBdtR4=)LV0d$MwD92LO)|`K&Fv$!5Bvbf0B+ zl=;Xly4Mb2x=|KDgxyi5ISTjwX3I6*QH%o7UDq^75p)8;i))hNyclTfHVQJyd9Xt#*|Gcn^J_H(Uh+?3%mS7oTKcfvP%aV z3vB%NL)Y7SXd|+}hc<$3E}1rh#M23h4kQnHXe08rhc+TVduSt4-$Pwd^B5Gq8U!cM zEl#AIL^(NJl+QN`#dYBGwI(}1NVHkqN39{Vu(EYxQkX|Q>;mteh)~=h#~Uz zVwbS=yV>)Z1cJca+KLfb-`RrV2%yjm5X=am&;St32%ykoXx!wW_%d^igTj3HHPh`V4E){P-=Ns0Z8X-7!p*d! zaMA53(s}muknm9a9JpXI+n$>@yNUKthth(wE9EfC8I;Q?w^N>m6rTm_?HJXB6U2V5d_sGgGOXu4`@VA@qk9; z3J+*R?)QL3uvQS9LZ>*DavJ4y${FF5e8y2It;25my1Cj|C7Y_mR#dv zrBzs;p$YJZHk2(XThTMN=BsTeZ7J9?Xbb=E1F~dz=yW3W76f7iUq69ifrGxBE@Y%tT&$i|hB8oLW$X?YP5i+u4Kkoj6C?hC0Q68bZLHUaE7o{oXVG!HVG`8of9Vk0ecA~Tok4>G!?;Nq`j)5M+h>Y(G|gff(Ho7`#gLTMca8RZU` zpEv1x?u_|EF}uDyXC^o#YK}785IpW-*LNvPHU!EAEp@^m3%a;m*peljxV)v)04xSn z&}A;!5GWVBG_{@~oTSl9=`{PL@QaE?y)3%pPNsF_&u@Z3w5LlPKsk{zk}`>sGk^~N zX&<&F)fA?&GhJdAN=Hg3N@vQhlrFPU_wc(%EM7Kw&bwF&XdNb;Qb#Uo{u2Qwn2 z9?Xc$_FzWjOAlrQXpdyvin_2t*F8t zq^!Oug@>5FC<9LwwjvDyKhRu{XW$_d?e+N7+ouUTlI{aW&~a4B4>7G#%mG;-<$^%; zdfaqKv4N{*!>m&f9d*YBQh}+em9$m0#DqnfZg2egH~=OJlM-p#yipkbnd$LH;i#7= zOg5xx?na@HtZ3{;QGs!n90uHiUeJZohjJ$6a!M)X8Or;VpC~mbXoc-~W$=f_K`@+7F@kb2Wh7-3+cR>XI0^-JWUwKZWI~mqo+#52B^hi; zrYFi`L(mgtTB0O_4axLGnF(@+Kbb5LsZ&qMAscamd~B1akr?q9f>!K0%?`AD9zBVd(E>svU(JX-5%V z+;r}OqNly7$E6Jl!+THX*h!rSYZz~cLtvuNh+yz9Q8@M`3e5(_Cj=A@dWpgjFHtz! zB?__QJO~Fvv9@3?X&iZjo9GYqDXl17DMwJwro`vYtFq5z&u~BD=wK2(D0@?SQud)| z?8{fZ*io7G5AG2D`1H*u5hDAESiG?#r%p)PAGZtatW0}97C1^wv}7f`OFJV1Gcl4th3sC%!l z4*7F1i2W%CP!6PN9K=@#Q+iVl2{&J>?4xXX*_A(XYL`&PJsq4;uUfGNU-1y}yv zu#Ols_XrPVM231OBXYBcG9piTC?h!dPo|8>Di38uHu6wL(5aeCTTufU8{QHGm(ejU zr;MXqK^Y&`&1WEm!aDL~D@D;trZ-BW<|rKoxYFcnVDr~`fV<=#m$GCxe;2f5dZWZ% zk$?4#js|3cKy*5ww%9B3#vAB_K=UnJ?2^fVs+BeJ4%=|^?kLjerF5SC0Q?-RiFx+w zid`!$9N-kprCThf1e6Ua+fi~R&@mZuNO&5lLa>O#D1GQ1hx64Dlp`reg~zQ`-m!*q zI%3YTz6UfS$z$G!94d!-KqGRV2Q(r#ct9gE!vh+T4?Lg|S>XY$sB?@DKM#T{X%$yd zuBJ=~=j1buLKz+WwC_%rUo;!OJ7E3XY#-%HD=_<_H za|ug*%%1N;md-p|*u3R}mTdBq%UUYEqb{4b(!8Z~jQw)BgFdbTeS<ew1S={V4+|$Awi= z=g4_Z$vL#@podmXd1#e9i68`98i6?<5MdVLjm#~AYcAW}7r$xRfTeFNbMVtWkDecC@A;bfX+eIfpWyawp|^%7>I+D77ffC|QH&cy2i^98V$y z>|r2f5ak5QiIkIQC?|(|q`r~gH+mnm#~ww&&cRO|Fz|-2f%S3rf-gRO@ayg~YT6g7 zr=C^YykZY8zm6XqU%%^oyUs2xer-N9zW((^cAYo!{QCT&`1*#U?K&@B_;t&u`1(QP z?0WS59X>X`e(i+#dgJl&^|x-Y>-0!|&7TlouQA!KN8jJo*T>gSzu&G$|Ngxu#n&i~&+13w z>#xm=|NlQdBfehcL%Yt9VSb(eOnklLXLfx@UElGA`1;VV;{X3kUyiRo_`O|^-oFRu z#Mi%FV%MYZ|CG1m>szd_>r4jVSL^rU>;3+UuYdDleEpVU9B|_+`tvFMG`{{}iCrf> znqU1E#n)jjM_2~Wk_=ouV;EnA%NpbwTVM%=bvCZvz^zYYuS$zGM zR(8Fe{{0PC#n-oPYuBTnueqz^>wR~$>(To^uDHa0BdSw6#{c;}YR1<;?P}Mf_orIj z`1*!D?0WS3`(nfR`T_gd_2~C&c;oo`gx>Lgey1k!^*Kkx|NfN{U$1_wU5|c#o@gFl z?=mR<|9^Jt`1+7j?Rxa{(Qcdg`r~KY_2~Wkal82XcSG!Y^zV0X`}lgx5q75BN@|HU5h^|!CF>(To^xo3R6)=hRj`u>jGKfb=tl=%7vz2obb z++o+F_xJri@%3l#i~s%C^o_5tc-XE-@8ACYKzyI}<~Y_1G`dKd`7$)NrTI z%7TFZ;d|5w|BjpCzq=&kU>Djk#ZB|X3C^+_k7Qpg<`!T%vh$6S}|kjqc&~S!VpGc#-6lETp7$5 z`lwACwS0~&Mq%jVbu1;?c4BoH>zCjngTwQbNi~$~u%SDZ5kpQqHBsK{eG(YwF42K4gO6 zq4HG9X_V6`XHd@MgXUR0MM}Hs$~98t98l8yX&eSTv!4e>1{{1cj7+Zdz{q5}2Sz4y zJuou)$pa&kdL9^=B(rh$?WM*sDZCpGy>yCODU&HvC{x3te8y2I){C2dx%fqkoZ+UA zjymC{Z<4sO`l!hnGn+m->V$pN${9QCaCbLtVm( z633o?){)n3Oe8w$sxL(EAJ{nXj~U6zXN0q9 z66a6`Q_iKFN6$E)uZB>D5@wsahtECu=tlS0n2#OFOqkYfWJ?d0O!o0$$&f>pj3twC z9xR#M=fRRmG8^Z+@8t&%o=x3jYWPDC+(x^&ol;7f7Cx8HI0^-OxsesS>AR^F&d!`a zR9ph1-SpkXG&lWw?}yu(O&^!jcGGv5^#!JlS{!QqXS44++PcjQ16SGr(Kaa;8m8lf zyLDST!RwWDs-?t{va#Fi^D9QeQ0TKO5ZNdUE7i<`q0om`u(44n7#l-iDD-I+17Ilh zQ5BzBP`Jr26#9&c+)xzCZpG9W3I(@f>I(&aUik!#sV@{R(-g%g{BW{}R8$l$%oK%U zS}~D;BAa$~?;Vlw!)plpQHKkQ#W;(C}o=bHf^jQ7)ui zT*OzyDI+Kshl5hj$bYq!eN@HcaC$}?dd6!W7@2(Qfsp~$n+zk9Rvs9c^zgvQJ19l*nz^3 z4@_4F3fIwrV%hTFnO25&4iv7H14YYBW&sKl3S;sLP$-Q7VFf5mD2xdzKrzn7(_s5W z;Svf^Jo}va1di<&g^MOY;c^L3*waED7NRi2x2@V>`$b`o33*D0!W|K!u;+v6@!-Hg z{2|2~Qjm*X)l47|RyK=f@DXJxr8cEGr8DJF%ITD`l-npdkQ(&mT^zRN7&i=J6iwq2 zz8Xy#L%EbPmU3BGZLP8ognpgdf*V&^zR<6~bC4%?*)iG!C6hZmP%?Sh10|EMJy0@9 zCc-ppE-gGzGTFleC6nVla5i<2dq~E+mzHrK<$lTol<8rId=645+RIMQ#Bvz%k>ABI zirxNQ6rjiny!y}VL9v+!g@$krGa}SqIjbnQFSP(o&r+A3+ zFy)bOSw7Dwl<1|DvF!B4Sok7h(Bd7`2xN&msLjW1(cAH#YzS9|GR6*S^Ql|(cDyMs zO8CiJZ^w7;s9|AvmfOe1M7mT)n-->FVa2#8xu{p{hr6mf{7UgjF~eI zc0=jKcvsU5g*zh~z?Ibvg_Gt}&3@0rW-xS^#bQZl?!XyIVHy;Mcr+e5+jK~G?rceD zJbJG7AD%t0dER;&$p{asG>3z9jl98*G>3~RH&Y&^yh-_*vYN6!r5&Ug4JJ2)*yC=b zLEJ>SnKFrT3;p6&zM4##61Ga6!|xoiQ>te?JTgoWCiBSTPY;hwHumtyB$)(r#F288 zhew9{=42k3+~VP~>BGj1aF-yMNxOKG@)YH1$}{2V`P`#Wq*tEkMVq|Hb8Z}Mh~4>- zzIdhC`7@L;b32ZXDBP5A=hxfuS6*{DjG7jPn-cbJDQN6>n?-Ko7&R>nHzn-d(m>oc zTe(z5O$)2p!(8Jd7Z-a{n#@>Q;?4~5hPVSm6z;GPg*z!k;Z6xrn4w#9M2Ny252A2q zgD4y}8%269SX!clR&*AQD6J8l3yvzB@EE*DcleP~og$PDlmjUzQASa2p-c%+U}q%! z;Wo0OV~B@CCO3IFWb&kkLna@2IApTY!y&_m z^kfd1?Cjx?$>AOzn;OS6;e|o)ES=&x%JY<2loyDt$R#8fO7qGSy_iW}WE-q3{?4T@ z+D*S|H3OJ5`CE-QkPU7Ac!n;v-42ehP70dr)5}rTV@YAk7?TT!JJ`C#pgG<;DQLB` zsW{le91;gb1!k^S(gxKMG)VE>5}<7S`RGF|y1P+0LN*H5){VlUu~Fz_EAC}bRA4N2 zRdYPZ3-a0A_!m-^QR-1zQg)*pPC1J*jxvps1F0znVIbUsy)H0_yD9h3H16fA`zZHQ z9-vGQYo_+$w-1hM(mpn!eeC3ck;$PR7?~u~V6K}e*Lz@O@`MLQhCudY7?~{hz{qef zkPKy0`*Ds< zzC*0rd`L%Ge^?9)&5_n^KBR-Ke~Eqsz%Lze-R46&=K6Z|Og`jV$z88J9jcY|l(1-{ zCQS3nAMFT(xSuZZGUYSM3Q7Y?4xk49GdOH260Lo|qoDUVPdr94J?JnWg8hTk+| zhgH9NIApS}heIaYdpKlru!ln?XL~qga;=9$hHW&NLng^1?!PQm(|9>74uV%`6|YiW zqr6U;6W*E6ISR#jUE+>6*bAj}u{&)5*TauKE}R^H=DFu>0#}AEW)3>o3bj}`IsOv} zn64ewuUnaOcBZAFc#V(-Qe;ay2zw8)}4)nd@1{?vx$qQcT1 zRg+PG&*Sds5QR=s;@A*{J2OOqXGc9RM1i(y4tnCb5rr9}F$aYx+$kXnJS}p%Gd&^f z#yC5?Aq7tedDAh8X7Cv0Ey_2PGRg*&Z7Dq|11Q5N98Qo}@fQ zd7AP}ctvU)e&dMEk3ZxAi^w}3u!t=2fJKl%k_;A+b{?>Z9N+qfnez1{acPh|&Robu2AJHn9m@Sq)J-2e7*h;F1h3 zq;DJ-g0W!sLyeG&qYLt@CR}Ty!Y11ba!m@8N23e!7iMczm?mCmQdm_p;*Q^4%?ZP{ zhe9*La6f_~`}jF+OT`=F4h&Ja!$K7QY5Im+{tS(FzjFY-}jHebCIZkf7=-#ud8Rmr2>$IM7H+OMWmO9vZC(sc6eD3yhFQ~M|qbrpYmSVG@pAE%Jec* zVDaol6TN76{*j}^v_|O#`3*LP%P>Qtaa?2xU@BOdA+OsQuJ`QtxSh%s12<7@NG30= zmc1Zvg!^$ZaFb@u-GDB8xHRr!Pkj2VVc;fdG@}VA!2a)!4^gDijHM;|po*qGydnRi zA@-V?A@%`Ar4G>BIyuToy4X}r!?b0}|wr>4%~ zcMd{XdCSop|J|jHhb$sJJ!BC%$wL;A%ROWfFyfQRA~MHA7Lo5gWD!XobZ^yKo#Vam z%^-N6ROAA!o4?%PfQ=>Uh|)=b z9ZmEWpbVHDH^&{q^NvIN9ByaFkF#UB%m_me%TP`x$VklyyQ*A97-q*!K4h(|A$RNw zZo(afhJ#_c9fcchN1>@;xWPf;X4+A>d3F?Tm>q?gWE_1d?rc!FDRva;1baHcJ{~^@ z*{6fm^>nbbaJXa8oepsnWiaJR%3YM4k#h_Yz7aO#5dys7Ey`T_#oK)K4rLzYUCR8h z_8M{yL^=+)J1Ilg1z4*FA~Xc`k5|#5nDh0m2C=Fh8uEQ=^f;TMA#7Ywehlqabb!Mng9L= zAAZbuNJ|d^sEd~+zb#*32gj?RgUiH)HL!EzP3qt--%YsVx7dxhqbPU?owljs-7&|8 z=F|{{J2FIJAWr7E5QRG{L}4IK={X@Eo$*78H^j9VqHz6%BT9?eW%6ZFp=?L^#Dg@8 z*C=05R#Dca97|7CtSn#TtC1%992v4F2WfUK(jRyeBYk4b%2?f2)JfgOS&vVUje z0qgz!&H8XV?9_A^oJ&6MdUUQRzzO#U`6B$4^~8qJXjH2I45I8q^k$7qMorRoQNoE zn#5O>uPNV97KeDpa-TN}g>yv2kgUu_$LT{;ltjdkOjnc=LkzBO{{s&ao2QZ06{W-w zL+!hG)Fomk$gcuF(1BA;@Q3Dph@Bv3`F{de9y_})sL~}6Lj<&H8ZDUhunz-l6t{$|A9PL4iNb+p=Ew{>K4_ZW? z^Poi}dB*+Ezht!sv7)xIIQ%fc&k}s%JIeQzAHsS09HUSwM;0&Sglt}jxn0DaxLgKK ztMWo_zD3)ilu>PtH-s@y$_shZ25Ed?r^Yq#LpTtoxa!qW;)fEeZQuv{w)9fG-FBMz zq2Ov0_)(oPPq-t&vjr|l_+8?H1cgR@V6GiS_StjVnTj{W9TTE(M}#Qc`5+2+Hi*I< z45CO61xrg@4jJAM7ea~Od`xfnl~RY&g0d^+Fv=N}%P6-)ik^OU z*?_l}P1^alNuLJ)qCfmd`H4>*Kl9a+@Qg}q7iDLZ-QU4Hf^;eJIYw-9{1XpSME>v~ zMbNgBj1-ZM9;ApI=|PIf1s?Dm&vKdcEMl@T#_WoD5F&YhRiUv_uyc%hVURWIg$n-(!6u^PzYJ_$hkxlK5%P;zbtJ{ ztwhmE|EuG`>PlI|xzg+0{1;LFpfsXvP1%!j4CQ>v1j@aX*_7;2^J)uz-R$z<7aGJ; z%CD5)Xd27-YB^;E<@c~&Wwwva${reK?BhzWeJ}`{4;(G{z;UAoEFv>KU=c|k>R!G= ze)oVyBzdTNr}omp0~V1!998Ive;&#CezbKG5D zu=P7Os%?SQjwm|-fNwbJh|(c|Oh=TZg`g2_ymmw>E@Ya$6_02kf~hofEB=}t9Ov$D zZpFX&(n3QJplEN!SJ}aF&ZpsWgs~rHZhX@^fLXZ-ceuf>_U?Y~p8KLmds9nG9Ag-7 z$eQR)UGo7;YvgdSn3y%*i|g%Q5sWn2F{Vp@q0Kj2v*V~ zR?#>9;Hy6=e^FLb%EALGvw0j{_S#m)JP!1lN35yp5D!*F279m~GSPz-k>ug-`R~d5 z9;}Eg^I%0}Jr7nycJ$y?)I64jxA6lB++qdgcgjl2DiUpS&7)8#hu!#H18R0V6i3G; z)()k_kf>n&1(e(kR+f4xpS!8A&NWb3Pj*=izR_Pd5(_is%wm zD8-bjlxmdf;Wc!Q-GZQ=*+EYYzPq`tc~$P#`tK-L78SlD-?vXt(He5w>4V1xeDFw~ z?{2rPZ0Dhi$Uz>uh$PQ6{u!t6d*j*PY&CCx? zwVVEsMt+DU+r9d_W`1b6UC65vVoi$4&g)lN@)tw)s&d!EukOEh23>Nj<(S}h1bzppRM)3sFqHtyE76QKYAYr6mt!QpoUzypo9^Ly_qw{Lx->)TI$@LFq#2 zLphUjIi-~H4CQ^wPn7Zl=L5jeof-r+@T~Fcq+5d$xAx6Ak9iHb`RXA74khOoqaxlA$mkPfQ{i3iIK_#F3#u zG!37b@dJuNS!?JjM3H?y$Sd+8w1aCX_fcM=d`ekPsZVJ|=}I|*ayI1(${mnmG@8^4 zj|qbMG>Hb3hLm+E>(VnC@zr|a*}+%GJZ%i)fTHF6-G0~$ki^#t`U=gX~0gK319j8_%DIUNI|A=Ok z!6-oKuS(0PMyXDzL8%#bQSZPkC+vkkQZs`3g~B=P#{X8i&M1S&GmTMVH-3Z2(_;=s zKAAWT(-XfAJ1 zxKVZ#w@x-6!!gTv8Y2^&VwA2ZVDkH|A~I&))p9eaD=B66Y!E+UtC z;39I52QDJ7d*C8KG$q4Dq{IUkk=7o_in>S5@Bx|x?4lN>Hl+@wZolBG6zMr(X^HDJ#2ccxHBg2}lvXt@h79QFz|H?a%1M+_ zlv^l|Q|3|@Qv%9{l!QqNLc$O;qV~Xl>Q);rZkSt_-b=XGfMOD;D3m5;A!Qu zf#$PqzNeLi`l+{EC+`1s7_hnrE`olcWVndz>4A&LKo49*#(3Z&a;FC_BCmMhB9c7g zUbUKfN8NAW~lo(>Teaw5}(72ebzyAP(kkWj+^M)|`107ssz}GVl zVD85!apS{p@4t5pUE&7X@%J>e7!#a9pl}yBC^BR02cmE{Hz+dm>!VP(D;pH)?0RX* zBs=DVR%*N<+N_FxZxs3zi|0cW`Us2d7ll5)V*5p*&#u^hQRs6kFqbIwp|!#zE{m?Z znmhh9?)^DgP59q7B&^La<1fQ|?g<&K$cLu_=? zEX>r{7R*3*+PoKfVtZkF+`>YH)8pLt-J!GH_oH)X$?A)miW6|%bP@hxvp;4@x$qBM zmsqSxbPC9*eG1uhgq!5M1 z1E;5iGuUN_H$;JJxT!&5X=`jRv=`+KXa}t+-6%&=&Y_H_+(~(!@*(9HO8K$#Q46YV z$*-;G8e7vQwxP78w4-cG*^aB*hdWfj=Z>=N%Z44r-KlI?g)xv=!qW&3WJIQVAS1Zg zO@@p}=z)w#;DL;I2Htn=BD;AYBht?UTTufsKCwO>V*|>Dl#M7&=q%>8Ja*$zD5xV( zpiYyPYim}!Vkg7*;`@^p-+NI7w9~bURP#6Zh8FxpKwyKtv=xim<4)KQQ zU?mO?QMf}x6z1vCoEV~Tr-djqCm6V46b^-nB0VNdh-2q%`7nCIM9Or^tCWzklClnE zOUmw)zLfX~dR6wEY#$yK1Ut|tcBJe?X;0}u*_qz43qKy(W~=nFgJxyEk}o?tdoUx? z*Mk|63q6<-xz&RiK`&`CW<);y?DItTk-t2c5lNnV@7PI=qX`eEHl|Z-LfMoeJjBZN zj6(4o1{D?0QZk5CUXimkN=$E*P6E`a=Mt58Mb6eJGzI|ApaTKB?$)il+3$5GfUQvg zzo3arugEjeABqsU3^(M+vqBS>Vnj00A4;)i@<$CrIl)Z{b}w^9{yDEnP!v3YE^$Nd z_{%iu0~78j4D{XH-k?Z3RZC0UNg>`4cT9*PJt8bE8A}Y0=`zF{a+_@|2V!_cX-)PQ zn)X8U)fUQZ3ihQv98bB3as%ZdN}dVyqOErcHwuD|G>A@=&Xip#UFa9P@l{t!w-95} z{|M(OTT(XpV(wdU1A_RiUJgw(gkO?)~nh*_?Dp)E=c7Ol#U0?7JExR_Z_TGq;%dB<>VEZ53vq>(zTmZ?p^qQZyd2;z!@IYh3s3}#V2qAaD_gMo zm#=zJ_M_}iIUu|-b&mfq81NhqXGE^^a7H9~%=_K>@}7q?BENY!Bhtvj8Ic`4oDu2m z;jO51wB`t48(PJdl&vURQ?}vIV6Jl%3h0P;M>SGxl*>{=2D{nyU7iwe$!&KOnxl^C zC+^IHsZUA|vE5My9)dp?gE`Ug4+V%kW^c)#$0a#>&depGhJWZS`8OOTXB*eD?J-1-BAp(7x=gxK{0~Ej+gQj0!7-YT3Vt} z!T9umHw3*>%n8O}AqvOFM3Ej8mX^3aL%boDzQ&(UfJjyoM3O(S2@Y{JZQ`E)$KIL1 zTRDAw{JGtxtJzQpD`Y4`ib|A3kz`89kWv&Pl_83x3`vGW(nWNWLQOsH ze*&vRJC=0oDu2m;f%;|4`)Q;SH9nR zTi)?-Mu4M@=Zr`-4{t?l$G&8a)MK&Ok5Zq~fNYYIWh0wM$2po;dtdCvP|ZNB!b9XP zO|R*}C|w44j`zGTzw)Z2=pi3iTa7e5#H{D8TC-N{9(id@aA7cteoxvPH(R=(oQT~c z=LDDfe<;nmo!WoovR8sXtZM!Nnh1idchGt1JLtLi%igm+d+fI(;Bvd&ZAai1+YxZ9 z-7d8wa2xFixXzwzvl|dHzAfMlNiGMY+wAO)U(Gr&iZX>VkFu2VJ7pWnzLX;=ohi}n z^D<_}q(gXW5S+@=a2n-w${Cc7lrvdOI)zsxHN#&sBAevjdsrh<*~1!<2u9rrH*^LEiRQ&9-J9N{EnBeu$Zg>JJ+bG)b)I z6Z+#q(@gWTkM zJDR(K<)AcWM@l2gag=i@*HA`No}kR9d`a0rsR1dqUxQ9zjUedEB5@X_3#BXNY}Sl( z_^KPFJO8?WMT>l+_BkRA@<%+J5qaIi8G+?>JZD5IcsL`nmxnVVM|(IU(!;|U0W2_{ zx1!afG0Ex&vRpKw97H*o(v&RrlGP)dV~1m7GNu0w{ z`nF>l5DmH(@XB1lXcRFg3J@{VQFH@QhRB+AqHZAC9#6Z0C`zR6-g)W^BnJaN5_N%X*zY?0`f^Ypg6Q%0_+tqY7OK6S@zWh6@C5t$* zJ|G<|KLksZ-P8v}Io4Nki=4w-79&y->uPS@9mxO_Qv6-Q_6|YT`{z8@!ogo=Z9mw* zLEw5f2+Vq;Y1|-iO&bKsplWhS*a4pdg|A_{5P_1{@aY^_kW1pX-JdC)@`kvSg1hN##(VWr6r{my=F^R zjcg_zHsib5Q=9SK_^H^8?>v>*8P|EJGr2x4HskkVXFSafxz5^Z+(ugsu^HbHRHvAv z?;xsT0VfWmYMq}POSOsxoVZ1I{Mk)yx$m_P0=MCgAhq7!u@eHf+KwQ#*M9481b-7x zHQ8dvd;M>|Aq;ZZ8?VknP><4@au%f@Wd!9>${fmPl+~2td*`#U7sx8nmvT7^#1)i& zl>U?}DOZI}lE)2ymm~7HvBZNHky0MKi0tCQi^yRfya*oBpOhch9 z6CHaiPAi~mzjuylVJ)M z($ZRMC@zG?PqY9L%x)<$B<%sB-EnIHBADG$aL5EsgpzBb7;9?*QU)+r^hoeWRt-SV zAVHw!A6Rfl;I`Wl=-o5gHwfHXI|4&+GAr!};EaAbZotvBL6FR*7Ua46LA)W!-QXYP zTynPRd)9+;lvCq@n zx0sBQG&7CVa2zxkT5T*{hkaCZBq;04x;4=F!V@+iAd4yLrHTu8Z|GAz6-2ySMHxP@{n z3q#?wXQ3J+Zb-;v|#BJ#9{E+QX! z=pwS#Ls`+n(LP+nB_ULblPRZAI)w8x)r@Qw9U1JD(r!wehm!O_6bS3=ji(2qjHyOY zN^*+LeqATvm zL~g$wLE^TQxGlkLX_L6Imo_tnwCI?`oc{{tbIKY@HA-E|;gmBdmr`z_JVYtFah{8= z2syZSur}OD8OeHa7hjE{+)Wt`DV>j>q;U8;i%266T|`dy z&_(1j4_!n?dgvnZl!vmSg`-1Qi;F`j7pGB9r<_6Q7~YtvdSo-|Fr%;zl4>)*nPl25zDh z>u-$-2uQBKp+#QV7(b~A2-+pc6_}CQ9luNTN)WjHb_7K)qVv@D1B>lAq34I$YQF=4 z+h|8HBi+A2;CeR*(tGS{5V)odf@Ft1xhGsZupp;&85(tL$6C;Uax~>^%2kw+l*yF2 zl*N>FloCtls6qMR$RN0fMdDr-j{Ep(4CQ{x1C+60)8vuE-zSeea*XiMMdS$&T}0mV z&_yJExx3nyvXzG}BKv#jA`-vk-n)-n?4hh^<>(l8d;| zp3%uD&8}uLii1&OgrunP00uf!C1d?$o8)i2^^Uw7os804`>Sn|7v|)OZIV~w0y*I( znsRr?0=aPjX(+`kK$ITRf!z|0aCNU%%mM@u5v16^hQNmE12QZ6T>fa|bH zpMz27OAl+RL829Qb4iX;c8EHE$h~8fVf~10kv}y<5hCjRQQB;}BQ>h;2U;Wu3;z*NZ%A@Q7`-B7;rNNQU<=Bwl%|xEC_O1RQ0}KZO?j6RT{|z$QIm-@8h@De;StKC zlu4AwD3e)H9_OnmG%imrtb7+%4RsrEM{WaF@<2vp9}i?ij`2W7+T%^eKh zL=hsi|0rkhCcuawYpMAM`XmV4b~^&M+K#}jwIfiw4`e)jx5i|hzsHqGA& znl=cM4ff=AumU~@&W#Kn9dM@MMEOAncg=3s6wG9e_?Yqwr6OfF$|02K&Uwz*DdELI zFqO683Cfd{X_Ti}G^X>_jIdKuH2$BD9P>PE5&6c$7LhGHY!Qjy?rwdQwDGV-kz4C9!eHCOe!1X z>47NCvt`8^YNUxFva@qmGV@TvHVK_S6dH1ZZIBy-C1d&|Y?JiC54mTH zB3thG^XpdJ5xDhs1a7w-f!k|G;Fj7ExP^8EZkru}+hj+OjHxDe>}TS0pwu;dKoJzZ z9W1N2g9X_gn}U5U-d%HQl%?#hRbccQWo(xGDq7KDbBV=3J! z11Wb?rcz#~d_h@HDY1N>gMq7XL=enmeVE0XF`KVmrp%$dLV1zLd);S3q+5 zm8=@s+&Q8nQJPstSU(NAC;_)(GQM+C;$^oTiNbyN`yaqgtLR9S=2<%ug^8%L<;0Cd zZ3}~IC5M=)C>nnp*hJi96rDd5AY!(3Y4>z~kE(7!>PpORp-+N8yTb`L88sSf?g-qD zI|5yB$9_8k_4+^y2Z3(3qkn_I5Z_Jj27znbAoxp3s>voh-qC;a6(PGEnVp(Y8_KXi z>_llyIi7MJWe}yv<~g2QUJXwOg1IagudzPNqr6U;PkDp#W_V3fGyG2*k+~|*es?Vx zEX4Cgq=knsB4>H{B65v~FM=!oc)p0t^zcPwv4^vwHKSj+l0X|&iYqBsQ3ixhW_r-b z=FIVNN&lpXeUjMC@glQOnqBKFFGLQ}4BMuyb5hb-C^HHry%bq}rEQBFL#10y#a)^g6B4>K&A~L{37m@h=_UE40!Z9E`kboPMi-DADD1#`2!`_*yM>cy7x)c<% zQ8LUBBv~^LCG>mJM4KLn8ex0mW*$l&d??KfNe@JswQ+U+5c)kSHe`9q`a{VfW-Lkw zH&J@X_O>^^+a3xKG0q=l>Gy;#335{6vXoe`Z+?tR7Ld-Y30F{Vr#wP=nX-toic*EL zC#41DG|I)4VUSV}KC~e06$Br$NGzm$MERKV32Vlue6=X-vWW^u;poB>nlbqlZj(bT z^3$}ib@QN_IV!uf?N`QcNE$hW~lZ9;^#=|bkAO+xRF$0kof1ySRVSLe<{pr#+#aYs<}`Z>?6 zIGPR)PTXcY0=LzUz^$|+aO>;{+%7u;XVs1%*<(*`2uBPoD67OZTs1wxB9OtdDcFw( zM^U;^`cv+plvq4R1zN;Ex}UL1d`<}|i&;6A@YPbv7nCnUe5L*eJ$w|dD!k=&=B*ij zGrKA>n0ufHF(Ms2h!MHmgBXETQ9NQqW_S=Ive1JVk@#iz>NR9r4{Am0$B^&^LeWq# zhEi^#3=3CeDjnGzI`EC(&7jsc)39y~70q#Agi~`-nq|{-Q8v+vn~Tz9d&_OkRte2< zLE3+WX@9C+A1C1iy%M;8ykU0(@P2)==rcn&Ssr!&C^XySyWNsI0=M9f zz-_l9aBUm}*6f4b5d^M(g8=wnZQdYojT;0on*P-;vLkRE8wAM`dvaM=2!{@`*67e7 zEC&ZtPN1Am8BDo{GL7;kyE4`xM+#;`Dla5R*PTPU|uZleqjCuFJ|*<3p8G_0FJwOQZMQpIL{=cZ({zB5!3 zoAtk3X0pEK+I7}fqYM%2Vza*URw6fK?|q%Ul32Qlvskj8PtIkjO2h#Kd+tcxrbCV; z_xr~pa0~7T3<4h8?eD&i;PcS%Ot9IGz_oG^xRrJUseSfF2O=Z_~T273#(>YJ9cC3nCbzH$h#iEi2UpU zjL4QAzz8fQ;sGPl&I1^cJ|4h`#IL$PK1FNC@USKMnJ5+`D0fiqq>K#D$y7VC8FgrK z{d$F)K#lk*iRRe!WR#{^PDYu*DA81#o{Z9L%gHD+7=?n14RW1~B0Wlzt{ILZ-URd1 zZa|6ipW zLEv`U5t!XZ)4xGrc<-ing8)wG+PFcGEV3tegfHN8K;oJiFZ|FNb*yGJs7k3rIgD~T zG9pzxkP)fpfsDv;9@vT&kCEXGBx<5sjH2948BNI# zcga*dvRQS&wMO&nNfC4i6F*0!aK)EGhoonuG}GR1Mx?O9m_mm<{jAPNX~MNGAi&dq zu1R;rcg|-iJtq;#ZQM!6M|i;0up!V81ocNU5Dlnsj=T;6aSYFb`rx9`ztbWWEP6BHwxtBT~_WTG85(AI>9Q6UE|Q%6*hE;pdsk zMmB?v42DY9PstiT!4}J;e6vFS$#2wfw+_I|8-)Ko9S;#!?C>3vu%s~&9@aGBA?n8`B$kH`O4L50-_v|3Pm^F zk-X6 z1BL?&N}J(A8g=Z#+^2m^^`G`8I*S@-%xTXB^J+f0Zt5W4uT4-78NO#STZW} zRTWBAO0}?6uz2*HrdVu~v)Po6!d8Wy?ey;X#k&xHZbJ7@R*&}WTD8gvngq?qRlrjj zl4HNh=6|{A!Jp>`^U#T`19+q z=y}s0?KwLy{FyR5dVcw;=-=OSWb}O6@AjMzQ2f~|KYIRCDU<>Huu}48`IzYWo_Y2> z_3J-4E_yz)hCQbbFMqmBjGn)@qdn(ZK7Zt~==s*Q?Ro0`{d8*dymeiBPK$W{+&w*d ze#3tDoWL0Vocvt$d{!fSexROjH8XntdsBPP6;S@XJtum8z~S~h_5R#EFM59AQPID@ z&0Ep)M~<`SsrSF!`_c0+PO|5z&(F&Xqvtixu;;1Y|22!E=cjjx{`!Y3iJp%*H~RPg zwk&!+zi0H{{6mSJ-}$sXPyPA7qjvOs@r(96_5Phu zCwji)EA~8te%rhDJoWwzX&gO&XQ4e$y}zxRM$apLZqHMn z&r&U-=dHf9=c(WSi>;#PSFNz;ssH|0wuzoU`&0Cv-{iRH`MTB7^HnEC&l_xr{`yaJ zh@PKc+UEDvzhAG8(envg*z?rqZ=WvF^Tk!8fB!e#qUSYh*z?r;^H7iIdHWshdFuT+ zyI1sl*sk_G_2+BnOQYxW>e%zt`}f%u(en-U?0M?x$Ic>nhHjDMj#W0#jcOW(@d|wB$7ppvewUc7K;Y0g2#PkY^VB8`lOH&tN02>90^<*320akCArAxz{-z+$p|kOZ=yD4#l@Ux&FNYy` z+ir$oF${s;dE=oCf$@+wplk$=l8r!bx;J}5cFq<3XzX%wzG2E9Gn3UHV8Q0oYKz=-HQgeCAVYddJQ@q~T+3D{6LUy&In)Cwlg=JUnGEzm%H)0zq)cA&K+5o4Jswgf zfd|&61>~`C0d0R!F&?K(p-c_m%TznE*?XnYW6p*#HBW8EsU?jbOV3kV^ccSLn{jGM zqsP+o)Mk#Ec99&X{#?Q(N+0{4GZyrVQ~;Sehsl;(D}=0;9jn`GALWp#b69CZ%E6yl z^$i1y41uwaF^C)lY8VDS4uVwgdeUSB>J$br4gzBsW1u();2WSr9|(+7jG^HmFg7t} z+5>^Hh)FOwNn_D|eZpD-J`}o>fRzMWJG!wPTum88nL?RISxWhxvJGWl%8`^Pwx%@4 zQ)`9i2f@y)4!clxrPQYEM%kV9WRLLNe@WRu88qV^!N#Z=yfsYo%NBX~WU}7FCzBmL zd@_k=!<>GGboB7aSeLdA zQ|F6|F;^!BkEZSy!)|d7jn*!5;=|=ISeLjos#^iFRsz7;3;;Og$JsAVlOOMz-R?1H z#2RrN0F+Dtk zR&l5oPg96{@iX)fQ})>BvUuqi%jh4b{INCboKK@MjohLLCkNTnL2?kN zaTr=92s+!*KlDiusACwKIS3pU2Z2N4AaFb!1dfG+0QedHPS7JkkU(&fTf%SgIVgHd zST1!-i2J79SQ9c>J_ciX@GRwh%6F8qloH$;5DDsqLxW&HR*3qP29*6-Iu78ghLlEO z>!fs);M0^K32+{PrDGSCj#E5nGU@93V<_e?0ZEe&VD;k4*mc;N)Cdo z_t1H+m4m;`G|EjM2Z8J1AaFn&1P+FSz>#ne7#f1<+8{_MILSR>Zy0>xlSzZG;VcFZ zQ)W{>rL3e>rtCpElyWMi52XaRCTD>y3yoPI4x}_;(Kv{&4yH7vG-Gi|9y*HMbCswZ zoTOvrsKv^$wTDk8@pzbaCrWz{p9~Pkcs`lj;o+0XlO8^qyzk+Y$*&$xn^umQ;Sw6i zp;XMKyiA!BzM83MWb^k@%%s~~DPkqx|GylL5mO&nA=l9yXbr;9--28z0Xmli?mVnN0C8 z+O%%W;gH2EtQN0Q=2Bjx%p)1E_iNjH$^eGZ-cGVkB|wW^2xsCXS6);Fvry z?Wq|gj=flnIEKk6GZ-b-pGzmB)?$Q9v141PiI~(-^w_SuIgdt*Mzbbjxg_MEUYvTG z;i7>+hCuDZKp;bKlLexIi-RD22|X2o1L7cnVHkf?AR{1fBpd|gQ>~lo2pj?j!9l5> zO@hC{G&SCk{R5-Gkd@<>3a!olhTJ#K) zJ{sh*9I#={vFWiWO|xbyO16hPnx@+HSd?a4GZiJoPAYJ$W6D76f(zYnl+Zd-Hw11S zt_EVdBw+l*%F;R#Z4zWHbq_<21c5q-p+AD4==F0lq>4AhT^Ay7SA_`7LXEj6MBwfS z5x5IN1j*&#A4O#I!Q%r~g6}EiD77d}DD5acDA!W%r94G>i?W=O11Yur4sH2m@EF#I zV=2c`j;EYJX~##A6Zxt=CwPmD+htniS@ zB%YE}r>^YfA+%}rcq@E_KBOoY?@->QyhnNe{!GOqo5h!#Lbda-ZV=TPM@p1Ac8+!B z>Say2h{F^ejnbWfyR0kMSZm6~$tc|5nGG>r4S3D=$KPL|fkWdUP{T0va1b~q4g#~~Xm~gXj9-lD z+#oRPjizgZz)^4zn7u~Ru0fCxa0>Dy+n{RR33kB;4~TfHl$wnxZv^`M3Su^bd+3y4 z#=8;dgDX(k2$YkJ2Sfy$F(td4l3I5{hkQ@gfEJX~C>K+PQ68kcK>3jJBPEYgf?0zC z)Se4}C$T=9OgV+pfi>e)zB-L^I@bo1!r?0%5#(ig51UN(^svb=BpS~qlXE?6G8y7w zlgR`Rn@r|<*krQY!)VjO@qYL{eX3C@7EnHj#hgT+7$Y~s=*|s59$YC6Im_?2WXqe8PF+Cc^$t_DB ztBA=hx9g5f;uhTzBtxp3!I0{I^nNgxGlewwx`TCK66F=j=ae;+YLvQ^!zpJ_E~S)M zJx7cEbTG++GguosQqE+(=)_l@DQ8i-kZiU|iU!;ryY*t?nX&P0h5sb9tmq#3p?X_o zJcZ|YAS3dn2QngMJdhFD%>x;cRvyTRoa2Fv$aNmbh&k3EqC+mShFtw<%px}LJ7Y%D zLmnt_-i%@mBb`5^HH>r?ja4GnVd#^fVk9<=1$nwsiMvC*A-Yb9dqV`eONmQE1ZJhi zTo@w29_2aR&n2iDf!U=oH-!k?H6a4CM3Y<*{sBgIu!8np0n5R5lm?WeDQ8ozqKu?W zrp%=*rWD^ipAFk#f{?qiLYz%Ghoz$%Uv;OPOF55nKC#N1q<&=Q?ElrkWK^mt>usef z_Hgn4@T8B7R}J!TMr5pqGa|D*oDqrN@D6gMl!r4SyLdPw@ac``j7S#`Z$<0JqVN*> zSEFEjP6;WCDNDkJnd(P2i!Y^zoY~pUphnCX0o95QM=3po!%=273O>uGN1h&zf-hrg zILgdM3ECy>k(=Qta-QmKl%hY8vx>FW>LMm9{@hpBs5E?v4hCsvKEkl5Y*Ap+g2 z1WW{hyEsIUuTBLIO+?^s3=x=h8gpHUz+Dw0aQB1=k~_jbO37xcBctIXtHjroQk0sM z11QH(x>2sC*yZ!Ca3iJ%OAi)}3s@yCr1Yd*MCnE8O&^zkdFA*Eo;xCaj^-Y`h;;Jc zMdWG^UPR)zyq|wTUi9Ea4d5uJE>zbr`i3Jpn*M4A2ZEkO=66H!R_#*1q&&;y+zV+)h+=^2J`G#ay{r=mzV z(eAkQ3F-7wxH}vX-YYX(vxxn<$lW3 zly@mBD5W8}m@?^2Prg1Z4Hr``pV7udnq^izg<=GwIh0PM=w0{asHQ8aue=IlQjlfNJBS=9nADM)}jddf? z=T(ePBhY8n&5?9jE_FkQ{`g+30WB#VDVI@hrA(mAqIg;J4{Y3KY3PSp2fdFW5M zl5!Pg0PDupd^M1c^-10E)s0A_<7p3EMBbDp~ZW#O0IBIHvqdh(n%9{!+T8Da z8LtxqIC0*L?2?b;8u_CbktIDuObKunjn*^Lxio%V*#J)5zB{T$df9z@1Zn|-76}6S zf0!-zwg}Yw15F$RH2yH_?E?_F?hOJ=h}ymO#}T-;4FcD*LE!o|2$E&?WSJdTg*o5< zK(~%uR)ZZV4JpS`x>E*H?xswoyiWOoQeyucRp^Eg$!-YC#Eq1pEFCxT)iBDd57Y}Jf;+Nj*H_!^QGMpU*t5`BtQ`S({hRZS)k8Exo9irn=nqbX%6sMzZ6t0oe zn%$Wz*~Fj1hX~imXMt?xJeG_F6niF|j`~h)i+nZL$kl4eSU|C7LL(5-7I_`^Oy~rn zH0#4!rc9Tr6>|bX&ji^!Fs{5jF&bI?vfpki&zhaP#&N>LwS$#Eu{=) zCrV?=@s#5G=eYa2HS7`ux3OXjXNkC-GJhqj_MV=Z|%>sTp%qx?=;PuUO_ zWGWoltUA){5a+5CF;x=ds$`SCGgh)m-;6~`njMm!i?Zwx00cP})m~0YHOjkkFti7* zz?C4PE+BHljY<)@^DeakQILqbfRr}-?nsU50Ror^0`>pEqC0|QQnet@T^`~M(Pc{9 z93n7Jj^@@7fmy0CSB418BjXuFO(MWlHTOJmSBSvDFcBp8gfXN`7LJV0O{@!)QD8_phjdL4{8KEZtLH1dbA0G zE%?`V4VJIkjW1^8RLN%AVbD=gb0tF&vFZQhQ_#x6amJ!iKGodlqEtx5J{OXSr;hhyJStEc9L=icY@9dl9^k1N05xG z7UbCtOWq;k4RQB}2;Ai%0(WtUz}*@ma5shs+;t&>!757sfpp2yyuyTy!s|UjqT=`hVKigCICw!y-e3FxW zyW^;4!RF@I5rM9s?_rI|P!DTF9`>+CWS)mLf;EMB)`)E3VU0*#4{Jo?x8Bb^Ps>Mc zI4lTCvtE>;l%HnHed0X_n3Ux)bo>NAQ{E zkd&?k{3t)`kd&?lq=%$*J75=K&xAHmN)b7j8|Cx@QIJS`Ia$3x6eg1P0#TmG^;@L8 zK(P9bgy#0%5hP=)i9!3O;$D{3d%}Y37EVD8mWll+M^U;^`cq15qGQAG!LWG{jAwy( zh%$jPkwxQSzIuf6D7~fsK`ryYw%hTCudO269ea3SBXXn%HX_|Uuo1L%<6$H6kOwv* zuXER%7{Tl>sw;h3N+#qmE?Fh_L zqv_ZnNEX_YYrcA(HP90@g2ZVAU;SV7MLn0;UnOk!zx zj53+>IBUifzM4vTg7PF`5=r6kP3cA~0>AL!Mx?X{HzKt?xDkop^zPDC&i3F&e6acjYQKB{NK#Utm`;)4= zVX1DwyP{!&^qsgaCGPB-1@w~bjy|jhH&Mn>o~JCJ{6HyB*_m<>NJQ;SP zRW!=OQ!E+N`DzB`Y05K{XTytwb*qlIUkz9DhHCm`1{?e5)0nHO*3Ap=D!lwq^V`_A z_}CNJA%E$F)gON5XrTkTbvU5=fQK}K92QR+k;NX;h~#)kBiK)iCyhu84{1cs@{mU4 z8V_+rOGmZvq#)RmwPGvE)|721)x-9gN=G)sjtu5Xso^Ly8znSXiVR07KLo>31|K4O z)-5(1rTma%)C)xHLV4G7y4gN??~4IaHD(~{1tQjMgHv3Nr)ohb7O9%cMhU9LKZU89 zmtv~Q&iGwByLJS)q~ppZ8Z-!W-4Z+z1iEX9l>r3KpdG=)hg0{52;AKv0(WtUz+D<5 zs8rcFpW?<4fx9h4;I0Z0xNAZLrcZu3?HCcLS8#Gah&jWd!|;Z<8AAlA0mJ8B7+Ap4 zkf<0&svqHE}7%)H67yl8%oW#f6)i5Dm@QeL9Wq|D;!Y<_Lr>>fM{ zPb$3f3{yZ(+iVI*={(Ze{@E}Jde)+v=GdaYA zUeN+lJscGTHCQpWrEEvpo>DWcmZ^YbGwvut6KQ&g4oQ(FVhGx3l1s-klU#nm=I}b(B`;mpc`sS) z37X_(=>&y5j6b=F|59SlUIU+lU3N7OtQhM?P}F}ZPuXnv)Z*lCT5V?yX~VM6m2xHJ zPRe7HS1BQ7EoDnehE?=Zw+^2j4xr~J>ckw%E0k9$b1AQ}cFg0~!_BUC1e;r*ypcY6 z?8GOJnjYkc9PB}k$mt&Bi1ha$MpXRl=Qy>nQy9#F7iH~HRJY|v}ZeA}&I9X1F%5z`;v zuYqzz4zd05qmBk}-FiUbKZ*JJ-L04x2(i|t37O{>-;oE;eDN$$HEMcD4&{#ml7km{||C*Tdf*g3v9m7100bx9^iB%U&86e2~&SuHFKsl!+^vSXDGQznnSr;g0xP(4A zpvH5Y>5_GUa=uIGlUKxTj9YuhpJBK2jv(<~O1ziK<8!bn?g?{wPe|jA%mz+n7nHpo z!+`+2EiF4gm_d1m@(m@If;IH{TtlDFHT3yG_pb9xzk{E5St;J5yifUn)nfr)eMnhI zF3CsXA)Ba<6pk)DcA!b`+x%4)9)&vBeIPLJ|Yc0@DZRq;^8B5sRzQMwWM}$eCoh8uGP_UC zVJ_^G(-uS<<@LBu-WbTRriv74Z9C;Bo}`WPv)C~?uMAMHSbRsafGLRCdXK-2&U35o z_wiC?1(h*;8(PE z)CtD{_{Cze7iDkCK9qgKGMQ>eHll8XBf<$OllL{nmMNDf5st`C)@dV+5oz4yV6k~$ zv+eQ4Fd`U`66XC&Qmyhq?3R#sqIoy}-Z4V!(eV>B_dZDnb@EO${bKdKy!8ax>=~$t6 ztXju|ShRZV8-5i8^;jSOc0TU+F5V-N+3NVy`{(Ayi}iMxrW4^)LF z2mi6#=sdlRMneZF?16MQ2LXIQbiW+|u)zF1p^by!Tie4y3kQMQYDeIF+z})j?a4*q z*7zJam$HEcr44_LMBR*bPAm}fC`&27Q?{Y(OF5F#nQ{f?c5)S$hrQ{lfZFg4Wd-G1 z%6F9SSxtW6WkXUliam^!pjt&nb?1AyBND&!y+sA7=;4mwjz69|B5gg~5xKy_9g$%k z?ub0<;jd`XXb{#7g8f-44xluoG@>*Pug+9Cvaxg@;#d92DSU{@uIafbCRh;ek@a;j zN|S7QE{b_pO!LuQI!9bVm~O>neK(^TaRs669cneMVBDx`#1(|@ca=>ING4Vjr}pcS zx;L*o!klprGUq?d?Efxh1*J4)M@l2gag=i@*HA`No}kR9d`a2B`tw6rk7f#}5i0tvWTJ;WBCmPKBl5L}JR*1^ zkF7!&F^VUT$Wb2hh@9skuxJ5kO#jIPSuvVW4x$`PY0B9RgS3i}NwV>Di%m)CT0nY8 zs<{-KlG5#fE@!8%oW=Ia`*W$BQ&PGlkahBziDDMPwj%ytHCeo#=Q|Z9wq{Ph{c>Y z0tdoGpii&(9)sYY0>KQb(zkp!mVrYkr%-xPZlsK*JWF|>@*O4PPCD+sR)@oh#YT-- zOIb(xjm6`4zFJS&5VrUaSC2ouCK%cBIKqP-k!~LJ2)wuB(IYb6gC3DrJm?Ww=0T50 zSr2+dcK0Ayv~)BL9}j|NtQCh)no|yicpNFGqk|vv8-Q_&dQpm{B9~H{ zQYIYCqVWq+5}0&-I#`~6rTGrNvG>dI&tDU>V&3+YU~}8@I8Zwswb<#%^`J*!RTPgN zk;6Uc5$WndkHBC%9zBAIqnP0vLsK!jsaQj{Q)o{Lg|2*;wZO>eE1Y{riQL^u`&!xZbdlpc-Z0GF9dV%{HH zASvS|;{;{<a_6jHXO)TfkKL&tqrrLZRvbtn*3C{-!d zC|gptqHG;@4VH}l%{*vqle5{B4s;;89I3ylVlC`VMzxAqcwFUyj>x?p=m=~i;-Mq5 z=(EpbOOUMhKu2Up4|D{5<9O(Zbo9Viw0g7&uLy#pSuWaAj-eb&IWF8UQ}xJ((=9R` zrG4`Bbd(uH652sIfQZff&Tgq<8z=KHneCEz^sN(&S=p9r;AS}ak|g3Abddio>Godi_(PB zj?#m2EhY0hdd>orhP}zALy@RXsX^J6vK?i6K91Dns~y5p$-_s9_45*q^2nvtK_2vo zoaRA~NIwsHL`HkiBND&=z3?OX*n=LyMt3}VM7H-JShRc`7d{sR$Fp9XKxs!gG5ju5 z<;aH8b=Mr6j?(P9f5Y@Jszj%wG|8UhC?XP_j?!Fv*WH|sLe?Mu5M~!rJU{w@C`{xv z+aP}*{c(_5O!8Mh5Ou&Svh-fn(fxGm9e;k+3j`bQ2-FA!O%eod)g6J`b4TEI+!441 zcLZ*^9f8|yN04l_CpU!)@HxnOQy6T;7Wtkm2`wn6Q7)zoqdZ7?f$|~cM@q(3bUeN6 z5Z*${5Ng9t6rt2&z1W$rcA@MVw)~eA4Lqt0c_Qnh%Kr{0iPVkN9{7mV@W4l;u?IdP z@w?uaUWP;&4h4y5h-R%3EeNK10A;~^_^p=p@roNr5sKPwk2B#6=FBa?yMSn@YSA_I+VKM_5YI6fy&6{ zeu-xXTyg#HbtBU3c+vwJk@r2I5&6{v8j-C%pbdd6^?8S-6Hsq^k9_cS2GtSMerf%!6;3$W-dyY^flF{2ctCG znz<;U0k#rE%xn~`pcHBS0LP<*UQmj)rXz?k1W}fVF$5{g2`=zNkhR>hJA%Z4DRE%h zEYO#%9RbV1c9aH`qbX-ouA+>jOs34GET*iZY(?1{QmWOFb;B*lhCqGTo3alJ$G&`3 zkFp=7KBYleJEp0^Zx3oj;`h8KKQ2=|s1bR`gBp?eJ?|=2rJ4sdBK19} z5jnwwT+!;$F}yAa&SbghMCnX9i_(Q3t&-EZ*=V|Hi>2s*lr94Fy#jDyllc`Ok{*y6 zWBDNy9}(=5b3jS~BIyCCcPu~TlSP7V3B91cS>a}+=n0Z*`s5WWRl%EPfKq0coP;a& z1kojd<}LEn6aJ=|Y?K$IeqwgIsqk&2OZccdlt0Ku+1f~@z? z$)qaY9eZ8K+d>5Hst|#_C*(CD0(VDtVuyP7^Wo>9m zIf>Gfas%al%F~ph+vjMrHwo)-s~5H5U`kU;Gs+>9=By@%@>L5W>daC{GM(#|S>hi# zR(Mb&U}D6hMkId8`=~Z@v@>T2b$fR)iA2}i&@(CWqh|KjMMr64MF(TzXh!NS-gBX$4 z9>j>8>p_f2{Hpu-hqQ3?2#*bd3s@;Gr1Yd*MClcto~dx;6l0L23WtJ2ZiLB(N&1Sc z=3JB+i^2uplLUvP=b{uG!nr6j7KQ!A=vhW(B~8suip9f8|%M_?A*P0ta5LBN|H4g%94Hw_#F zZmS)EX^@-F4Fb2$j=(g?lT~&FV&V-+t_KV9h+e}tCk%1hMe@5?3LdAtMp;7njj}am zAIcGwPL#_j#aGX}ED4{az%Q`)j*9K%<~QjQC6OzMWeZbUld=Xm%c62IYH zFb+G*@q7`PY*8K9q|omryPZx6M>H zvRQQ?=WA+B&qQfwposH;UpxG89QNpDEb*eMIng&Poe&PnXN&Q<3bAL^{K~Acb zb6Zjikn%^G7Cs!)k+l-XrGh+nZ-_U zklYOxp7FwFfXFV?2NniQjU^a4ILD3sE0x1VKMmivE-JG zUeCQ3b6exMJO0R|3TY3Je-=eGSz$-6{WsqZmNpZG_g2TE_s zP)Y%%#L{^#?4bB4(TSC#Gpoc|lrEI6l(Q-4@U&Z4J}DpmM~=vB)jb}CABe>p)C#`7t7!aNo1Xr_O45VB`8AKUO?q(^TTHCs`@T*_oz(^FVCMpDWhbKyHUva2psk$063Gnra)UgD4T0+- zM!1~KlC^&_?Q!F@v_uTx#JMf~W&kJ7aB1tx25^#?E+y{lzo8!K11l!E5fn9D$}?YD zjBgoE@TpbPG6YIvLyrc5lGyM~1%YDN@HGX28#P3r{58xOB5*T?2;6|-z=E9Zwx?Og zzN`pGQaV$vpxjP*gz_?F5oHyn3Z=y2If_uXa0tg9dxQJ}$fs4SRJ07y4m1A&tZxCF| zQgI#SdddxyAz{T#m7`dPJSSB-XsmG~zVPc%lyXDNH3w&+o)p_3PY*>IV+|dO;!M;s zp|M7hp{T+lLs6WG+E#3T+zdt4#q^euy@t+%@wBeC<0KhWO^n&Uz~=xne59}eIgFsZ z^{2#V6@lW{@Hs``Mhp>Ty(Z);;Aj?uCn)nNUs5(uYEbs097X9u=}#%Xc#eCo3&SHg z?1K7m5v3QUH)}>8zPgxlNjU5uRX7S)72YzDxn@RAv0El=i*L+5^2jD&bq`-e8hQ94 zaW*|z^#E`nFi74F!INSn5azoVp zL#A4P4I-^xo@3A^iVsoukJ4YTQG!3Xt1Q<9T@nOYFQD^M7tqHXhrdkSJ}{h*z;NHq zLOTLDedsnj0t0+Eo9qbO8ao2h9Zy!+-@;ZWCaCd-IQ}I92fsw%z?TRd^%8+2ULw%P zRy1f3IMgKq_yO~I6%85${}je0t42n%CKiXmlzS-CC~s1}qC{8DOVgd^lCU%fTu>Y? zqx7X*&Z=<*U-hH(r(8*6(4^T>tPGWCc0_Kg-tz!PtmDX9wW`1X`WPP8$T!v|A3~(tqWSwo1mr1qAIUNPYBSvI*;qo|} zkZJ>>BFkU#YpuFPNjyt|Pe%q% zrPLUznTwLtV3a1=^k9_c*_x6XjM7|tfbEf+>t-_-C2W-Fl{41@y0K9L^C$AvIU#$s z*dF=NRF8ZV8zp3$C_iM%7Daa5@#puCT|nm<_Pg0`$KTVy_U^IYh`_D2BXFG?1a6-l zf%yt-S~durZ##lykv+K|d~jevS>>%2WH)XK4r7@(opK4~X3BUsTeOr`$jpLb;JLl&3dw4fr2aJ__$H?Ax1(tFZdtd}$SV_Bh5v8IcP;lo7evLm2^y zDV{PSZ+Ivp@|}k=BJu0)d+jazcxWqHK}LoXgWxWfj8T-kDWfU*xXjA%?2*l@gWvg! z87rkGq)eg}W`F0cWV62mr(QEy=m4b?Qkrwq6H+Ga3dvUL1EQ(d+=0*l$}9;8Nhj_G z+LBJ(C3KDLDi!eo`Ttx*C-bVPcIFw$OS|kXRyM{qScy(aXgkBx>Srkf`H{t*H7!O{hgp{?EEh#0o&vO=_GJr`pjD_N6 z$}N;zDYsFEvv}Md9`lbX9w>;c93^_&8@b)Fzdn1^>X9?FQ+^-xBzz7|gzk@G#2 z5gF>CjL5?t%81PKP)6h%4{b#&M}GKi5ZuF3aWCaQ%9!x^OhqG`O-FPtN*4i&*eSu3 z#3X!Ysl-cfI~Rp}@2|g+=vu* zTB>SL@I_bM@kdwp+_C14K)pY(i!|uX|^41d*t_6Zw(!c0^LN| zDY3Rs&SfdRrVF}BE;}Xo)0#LfB~DA5-yS==o9Jnyfj(_)&!>%R zJ%|w*=Ru4}{C@Y6rC2S9M~p~m4`M`Wdk`bi(t{Y0vpuL4Egj>+K0)vxYsGlVLzD@W ziDBbRr6Ze32Vqgg%#`d7IcH(fAD1CR)8LTwXcWOA#`FpOaVY{0d7V4t?_n$!#13ov zg#NgEi_utRhpgugxf(24(f6mgppurq{;Y8^?d9mYQLYZwHqvgN#yMh#7|Jjk#EbRu8&X)bGRCI&)-8 z{XWR9Hd#MU{XVeZj!dOyAD-LhJaza$!v=qk+h<2$78*^b27%dT?AZ%#8U${M9f4V6 zH2oO_W`)u8W)QqS-*o1a;nY{={+DkA%fg9VfD7;cgH?;=BGAQ!R73uTDdb1DOAhp>(5MO&LX*LYYTdO8K3#4W-0}d8q{}2Txj= z-{jZ)MZpuS5KmI3QJ&)M)b#N9q+nz&8137&YLyc-3A$B5O~p_BWmU}oa*B#S?+$~v zVv(N}tt*>|bGrPwb$IlAx8v-2>hEtmGJ4+qBzw+YJAd->qvsEtZqM0R;m<2$qUZ0Q zWzTtg#-G9CqUTl4wdZ_R;?JQIqvtJp+H)31{;Z!AJ@0jiJ#Vb%&rFS;zca|5H`VjL z)1&9*Z?xw`MDpi==c4B=Z?)$H5cB7!m!jvF-)YYe)$_;ZM9-hfx983DyvMxg`Kkx( zIafFNv*%mU^Zh48fBi4ti=JQbm_2WyfB)Ep(esC&jQ;(d7DdljJY&yO@81qfqUUvH z+Vj-sff(ko#^=sJ4OHgZ}*9wudQv*Q@_874Wj1__p;}y|Nh+?N6-7zx95%Y{n@o?^n7Y# zdrsUQf5Jnf=gXVf^VGlJy{)3>d$hFYseixI+Cs|HSC| z!jtWJ>fdj8hv@lM9qoDQ_jhc^==q6V?Ro0Ie}yj5^IOle=c)g`bGt>)U%AMhr}EEr zJ)-A%mqma5!+J%}kGwMa>la=UJs&*Co~Pdb=dOsJKQknHe#L<3`Py6TdFuUbG&p*G z;GOn7_5S>FL-f2)zCBO<`#nA^dj8l0(SQDh!=vZlJ{0}u*Bu!>-~BOrPU8{&d^I|H zZvVgkUM-bVt*O~Nb4+S_m}3$TeL3|sIo-n? zgYRxUb4>C*>@~fQoEjb+;HxMeDxaiGqdY~K9`?-ijG4`~%RV2vwG_J`<2F;oJ|DX6 z6l(_QIO>vybZ|skNn+}=wLL9Y#6BOYBUs}ij$kwd!@!(G9KqDr;^IsD8sr%tkg61i z-{5h{IKzN^Gi^EowE_e627$4JSwcq`1nL2X!43pwV$Mu;Ab|OoPIMqhL2iyd27xhv zF{2y^QgjwZ2qK23R-vVu~YvLmGt^2s~3o?{Ri#nY@)#jmTs1f+AJHFdw^py z$^#se86M!6Ec5`!WQ_+n2B(sE;Fuif0gg!r4|q+>#`JJNfDak!#M6{#D9=)!3-`)Y zHnMqkW$;#vSSyOA*YuFIW>^kMn;B{RhNDB$nrQo{C}NmRkNSZrNo>+&wF3i#)XhrM z1X6)wpD)I^G;wFSgo<@8$aCx)q;Pct!^{K%wE+Y427y|DVORoz`hS6TgCJQ}DabS1 zwWgDZH^g-j5x5p2f~0-;N7*)a zAb640;U&sU$}GxkmW`MBY7XUWWJ&-`p6M+UTNqdj>$!HExj@X-aSWY=&O!;&J6Ku&SN-VI$a>i_ti!#;?Ot37YmKF^T zaGr}6ct+dBGT4~`SPaQ5@m(y)bNxlUA&Ku|)ORt@ZFAw>Q7S98xez$VQUr=)#WoiL z^WEe-E*&6n8wUuSM=1gYuwvB!f!#CUnt@%?$>`t6`mmBxnX(7vP|B&4K9rj%;~=?k zt9vEv90aejG|Z*E#&R)_uU@Cjr@X;2+2nb{H#QgXdi>b~8dP3TmY?%RR zu}R;!Y!#W1HYQtUKw2UQv4ia391&uMAcg^HVbVX-!eq{Kc3=t;!-at5^8MiK4vs-<7KXDZ{U{?Sk5cAP zKBKIrRHeKT?i2)XvOc`Un(;PYy+e7I@*d@V$_HW9qI z7=Rmxn-Ym|9mmrT_o zn{QVJf5r4t3MZ!J^p4JAk?S$(k!kg>kejX5RvIHVo>p5NnN}MMd2yzCf+rOnm>eY+Yn`yWp^k1~joPkEB^24xwgkg_eM z1b`-Y0m{PFL9l=Y;zPcLDEo|L9sAkSe zBz16O{sd8`uwCKZyPJPt#|#LwA2a__B?ti=bYcD2jrHRK4{l6`d2nO$s0TMD^F6pR zP*mb^V^YzB8Q0IE#WYpYjIfP0CxLWU3z-jk_jRk=ya5 z-|eW_)bG|*X3mzE@ulCbsu0f<>HxJxhzV1_!>QZU?}+NV?IAiqO{ApyS+wK~bz5xE zn0-Ovq_=~sbBpFO+aSNjn!{9LC;uPGSWmz*>^;+TM)6;gB zQ)DViIbvoiibGL#gcC^nfg`L_QO0RYr=mC%b-K`Li$;(-6=j^Z`hzH(x_FjimSBn! zGlNm|fqYS3a>G&Yzz9-_VrD*yj$l7kqwiJ}euLEV$F8FDx}M#Qzct`)@b@I^ECqSy z*|9GX)ObTMBSjk;e0f0Nt_u-h?drvuv-qt6L2^|Xb5+PTc@>s|Jt-|Hr%^7Z45K_q zd4cjF%h2ptma)gIFCfz*TF|5AFbH`-7hdU;(c=&5tINsyE=ld)dA5a!h zKBO$HTET)E|%xsjTX<}m@a5jq^iJf6#Vlvx0ZWS4h3RA;T#&A(q zTGK6>K|0e#i#@}HRPEhm5BjcHGf1@tQ*#S-1}km&H%MaY3wBxGD-ftB7;+y1H3UPe z1VQRDde+Mb9PS2zK_D2^4FboxL10W^4C4mD{UkmR1QAkW#9 z+Fp5o7KA$}lPIrHKBugqRHM|T98Nid5{1!}=ETX0uwxK>%lhyg<$L}~{(-N4r2Itr zIlOce6%N=pb~~2IXU4|Av&vpNaCJ86I#XA+DgHoG;?9c8e|+qy!^e&S4|+^yzdR>4 z^~zEYdQ5UX=rIfh#iPgMa1VM+x_Z!KGT4J))9SG>JdyXIC>I}7KB0U{Srnd}sd{9y z@5%T%qQxGt?Q&zoXki{NyjTw3f#=YI3#rS|a1b~W4gy?*RDvNSFgOSt0tbQP z-ym@88wAO?>c7s4@$b4OEUzfmm*-%(Hk+qoa5M|W*_5j&BPo+98Td3gd;c8P41!-+ zC4Qx>WcgUdSF0&&C~GO}!mTz@4fz`{u2vOJ`NUSMVuMxaqWr1HkIo(vnGE!h$mD(x ziA-MdkjNzTkjNzPkjOlAoI{$+p&lZe7LY~ZNM4PiVth^sDT^sf_~9z~_>s-UD}&vl zN&cE=&y>`xl;&D<>j7U#ITR}1o-9Rc1DcZY}|b%A)xZ3zCR$<_bP zbzxZrvKD0bbPT4mTD(p9no^2VlTw0LgZ;vF;Z;HK8_UM;tP|@g8z_a4pYneQa>A3e zay$_P)xx#Gm-#Q6FXO!E*~mX@a*)Y!)~d>c`mp0jvVM#-VwNUcLZ+N9f9lUAlS-6(6Ho=z_oG^ zfb^?<90ab1gTSq|Bfv5_e;3HV2$GfdG(9JY_x99 z-v?%RI(;8hEBTo4Cx)&5g0ewm3~#M=;jPuj9^8nm^Wa8cZXS;tk%K(A5jo9+8WtJdbj!ufNR7q3tR~$j*fgO%*sR$S^#@a& z2>ro~J=m`+tD1KC1~yH~VtN)-5hU-LM4jwX8*A?f)EEpq?+A+CN9UP#x#{QN#LyqF zr$qpQQLF-{k%K^2+_B+~0N`Kk;2_Z5c3k2iNH*J(tHO`)Ik2o&jvCsQ$Cj)Hdr?|a zI#Mp9+)9~1nMwJW@(ZQ-CVIhwQsv9%p+x+WKPsreQc;moiBg$GqY7VD4I3quBSRm( zM86}l<8haVI3m+M#1Z+>LmZLS9^#0^FMKy_B#k}95wM5ji6he2LtfF!u_Elm&KgR^ zca-lbKTv)Q+hnR7*<8HrBoec}4oPWtO?fTKNX@Wg$2q@6oAoV5L`Ya?qD>D;X|6T9 zx|op?HcV{RckYYEAxsUzB-JWE@E~WxXn`lzhcWj|a7FOvH8El=$m`P8bdGR&h&M#H zEOB#)KsPMG6+xiumAEoQfO#psE=1t&3K5vi8goyGz+Dm|NG=Eq@|Ru#4>oITt8`=3+%mnu;|2&3hb$G&{>Xz!tp z$R!@?h}_|!j!69S_q*@O`yT3u{OX~O$kra}h#cUduW0@FF&xVQ3>1u?DZfyDrK}9g zWvU<9th|`}jr-O|_{OheQUr>iS#GAJgt_0iZ}A)dmJTRl%y-c%7mi6OP~=eCEI;}f z0!2*jN3UGY!nmw5Mf#*{!q6|V=8))>3(0~oWwGv%Y7?f;7g*q(^>SIxbIpI_E&Rb% zk1#Y#5U4*G8YKu!vwW*q`^vPU%!heYao zI3#j{heIO0Jsc7l?%|Ng6c2|)-tllqfP;$Xv1k=p8Ggx$43v%4lr@yK;mer{NH%*f zH<=oll+rwVR#$QEi#GW?14eEMjJQ*r2_wNG=}9SF7MSxYc3+VE-=;~6^@wzKjMg~} zgk!ThR<@j*nc9{fk)~M=>Q&;*h;*L5Ost2%T_hq%oEbL_2D7XJ+0ZU;#xig+VDDP3erIexUL@BYAjv6F%0I$Weu`}z$E|gsJ;AWj$p>cul72k={(oe z!HL^?N8q|S2;90m0@ubt(2pyRriX*T^=}Y7I?43y(Y!(68aD_&``q;H(X~O~IyMM& z4HF$31O@_cD8&eL{}LS=1P)J(z}+b#a9m;p?lKX90}><9RZH9=A~27UYj}f*z+E3A zFwc*5d3A`u-5VmXmxjrmAs(VVJMOu>Go;toL{@}ZluszXQYuk)r!=Q@p!B8;r4&$# zE~TUOzI%9J5bVM7u_sGK9ZFrwUX;Bl``|QZ-=u3^Vu!HAQ%9ut5x@OC@nLzy!yl2? zJ^T?_;o*-+{PuU9x>$UO=a0zI9{z~*@NihPcx(uJaDorjBB10@N>OsdLrn3wAVBjC za}X_p<*Rb}Vn$Atj7}bal$aS=9hcH1Thy9ye=*Ly&YsaGf9KG6j+o@{TpF2jueH`2 zmMkKMf${*`<`W*)%=?sWo6nrha(lrzffHG$GRY>p3BW6i|10ratWqX7)>fRE~Rv9j!T&j-J*^2 zciBAQ2XBR3|B!-WH~6MPB#mr$!<9WQ`0;44IBV z+HyCE2;4Oy0(XUo0C-AnS|ar!aCe6Y+{GaRcWHo~s>QCb zw;fH<;cu=`k&f1WL!lychN1xzm@Xu-k1*Cy&V-2rv(_dA-d(9~`MqqI&>Bh!Bi1IQ z97ej(FhTBEX?yyhj37{h5G=nVz-l>vduWp&(2HpFauB$ccLatGKbS*H2mlD?$8RJ( z1P1bC_S_K|CcJ6gATW@pWWoIr++s#*RqH_Pb} zrErno|NL7l|3sVRW@c*J?RQ8u%lFu;am6O4tB;lmlGmn!TP*-?Rf)y;UE`{bqV|YQ;EP`A0lvfhX~xgA%aUUGhBMKY7n>! zLj-2Y#@rSna5ser%yx~rCPbhT}2LeD1i&10RvwJ@64&-o?X5WR(X#g3Fix527=F0|;U#`b37EaMEzYK2cjda|1&5iRRzR#n<4G#?&eVIT%6C zYv=;?2SEgDYITKCi6QZ zCfdtlc(pS6f0uqqj3HGx|EoYQmlxbkHUCV8L?QA zD%ISas^y=gMpdPRU5ElkU>9QDLWb2WVHbi;c%+bIYPGOHw=Hpph&MzxEpdN{K-VnM zu|Z(AY|OD6dhzpyW~NQud*AqZ~)M zkWy?j9d}cwdBF#Jy66xoGkjR-H2#HMbz*w|+NZ6kHL?{e=%Spa64fFX66nL#}A!W@TJkpz6f=6Iz@n!)YLGjDzg4AVn^OiVK zcMweMBS_{|3k%GCjkz<#8{+N@5va8=E(;MjUM7O%qA&)A$?EX~Ye4~JCrWEdAId3| zODMNf7E<1zM3>Uba`0quSStvIut*H097{Qlg<}|B9Zxxdaw5@o|B^+IqV`31buuMn z#6MC(+GyvaK06;U9*;K;o*?TJ{}H<^!D&rw1m_T z$8qx%HKQSA7s{@bMq$HjB_x-xhuIK|nlKp;Cc;HHE``-c%uDHZz?fom5yC|{E(O>< z+@f?zAU!XodjcGn5`#fez)0FNL>VKr3=xAtQO<~&oZ?`YqDJ;c%e;cYpd=nmo50{| zfdgft@=QpNeIsxq-w4up%w-4+B+blvBS>>G*C8myOw5XDAG4vk&7OiKtQPN4exg*S z)Td-wOwYUX#Bg*FoWv?IoR#BbzB+|+DrE#^WO&TKrhMSC#BLH~5_$jo$B)RgZetI9 zL=N@PM`WajJ|b6p=p*uwhdv^&d*~zbgNHsMH9Qm+tsRZRXSsliVzE1A56Yh5r`gIz zE;o-X?n^22B{L-@sWB;C4M>kk>2^RlDZvy;k4fp0KyzWwgf>ySC}5U$VH0J$O_#4H<5^{;#e@F0!rJi7734!{9U~K?_dV`>ygTQUPBQOh( zX4@TsTXjd^*4z=8HAmCELEvoO5hVNV$$mR-3d`$FVPS5Ir(h4(h|ZK_C}&ZwretiS z!_8wPhh0u%bvT`6V-#PFrkp`JlX4d2>_JKC*pRkIUWmjWhc8GL8b)MHzggncR9DZ?liQEsBlp}a`>l=3Gf zV;?;a%-!(1AUKB=;#|skl=CSUP%dOmxhQOx)Q%0Q9g$6s2R!%@dC`L(k*_@X5vk}|-3=&a zza-H)DP0kG20#(ziJ;kJ^1gFo`oZM=v>6jN>P_BvhD<5L5JQ-1-U41KW_7{&GFhXj zv^7%=cMn3?6zuVkIum=Q#LN8@d>?G|1$Q<*vRgc%LX>5h*q+ji(vxxmrQ||7o?k8s z4-0}ZtP&Sf#!|*n#E+Da$t7X+AehJ^aVh08$|TC=tR7eJ)s>XV zp=@F$1obahXXBr&AI-F1z61N^^*sa<>Et1hNc;x)*l{x6LmJmVpd$Y&k` z37W_8Bo?h7ZNfK+G(f@FpVE%fK72P@>Bwd2!L)x+3MA!Vsy6MTO)eahQm)82>%w8V zBE~5SZE|7SSFT8UOzIi#maAKcEC=LO(ITI7x14q%N*6K1Qo?yIC5&vt-Esm@6f$Dm zLdw%B3QZFvOZ5st#{_};gkbv}K{B>lSm3S>@rEQD?*B6fcUF&qEC^>)uAw|YSxWhk z@++kpWfw|&%HfpLDVIaaOuuJxcv=u##o}-^UTXX7sSIyq=N@O0?*ZW_=sHWfse?Y9{7mFufVSgwQ95{2&V&U#Q~I# zlune+;q}={M=moD$56G?u@0kZO`^p4FWI#3ESRiGlsFqEoA#X(lQoGF=f-4RqMRX< zHHpFmgJGYjH{JwpmeVLi?eT8Aqrmja?SoGR$~4L?lv|f1YOcA8^X z%Sk_RfK_zLVG?ZlP6o1S=_ih}icWcz$PPUI61xR>1f`g@y7`Q(7Nkd3+u)BxA7zn+ z5s;5*@t6o48xsMX#O$E=9S9s06M;UtmU^VoqUp*iJH%88V*lxdWClvgNg zC`FWvm2~vnZw;>wg4AWA+z~m?!yN%vJf1ru zi#^;C`M|>+fhAr%cSN@Ja7U!Ihrgn=qiZ;glr$8JZj|nn9+aM8wQRK`tB(iZBw37? zBECzK2Kp&i)#iPNR+Ti@k0Vlw6ftN&a2wDusVtU#;+&WonAGpgn8Z3nIYTDv5am3X zs*f~1{lu3k@pA8v!cw}t+=DYd#fQP!!dQpZ`O75gDU5>iY zBM9zhg}8@uFXcYU{gemzIPzdPJ}Dg=QaW1ct<_Gvwc6Ul9sz+Vo;@N1JnRuU+ru6K zJUpH~A`3n25n173kH~rtgGEb6&+sF{(oic7qx7Qm4qwVvHF8;aWOG|8ZnlI~ljv}i z(nR)2!9+k9jt)mDP2{)~Oa!*_XR$|ahNHMAra+M!Z&r5@(H{9jbJZS1-SA#uvxL-> zd^+L{DlAZQ5VT5=JCa$|#J~MRd><6IV%jJSOg1{Q`Z=*SjG|mYxtsC?Q|h|CDwmKaUwK^;&hZaoXEmOk3DWuzxK)B;B?e`cv7<#9G_!hSdBsyDw1EW z0@~!*%dt)zoa0iX5M_&4pOA8x7EUO#Ez-3z*-0sj)^zK4SXXoBzQCJjlfNMBXBrO z1a8C|f#YBzaI@VAO0ju63&;@Gg!3rZQ)W`0rL3Z?r_`kEM(Id7igE^JG9(}KCJ%?F z2Eja5i20O9STz>#)k4anlttmON$J>-(h(WZz19O9k+~k=2*?=mz!CY$100cB9^i;H z^#Dhtmj^f^r+dIFS~>>sd+2c1iX$jTQjVe=9d^!EI&%4VWU*bcu1hBEe}D#&0ZD0= zO^-)uqQ!KSqz#xN<58M#F&!mL`v-T`oZF8(<@ATrv^(OoQIfV}vb+=L$fOn_6)o=s zhImLJi7QjG***>52X3Sr!NzcIuV6?#$oS)OyE_F@~lqHm>C{KrFlKQbB^&`?N@9BY#$OsQ~M6U8cM`X4KIwJ8) z-`{>G-+Q1VQr!a`k-a_e6|EmfhxZbae3lrmZd>{OCAk4C6%#cp=9i$=PyTLm>dL4SvHtD2`ij<$A>miVZlYKmOF51* zEPOIs*~sPLk+Pg-%GY&(;(kjHf}nzr`wpdQQ@*oZS_#`Mq~rYh zaV0CkJ(MRYD=0rwDp7W(w4wB+oJz^qLr3v>Av`1qUSxH6iSjb#70RoW*H}+p4<{yN zV?)YD1jGJ<2RI^MdVnKR-~on&lPJT(^Rv~CTqYi$e3oIi6meS;Zj;vqOVHgmGtD-`Ho2vU2)D_*;S+!F2u9=t zwo5n~b(Yvp`NiWLQT2M0`cqIR=wR<-lq zD6TuDhrJOv9wvf5ea(UotRoTVlPe~?5$IDZ#=8-0Dg;b6JF;6kp+ua?I&l@{KFU*+ z_bDaU&~fqgIstWWuuQy3d5iKk4HI#;OQ}IAGbbf!m`Hk1%50U> z9?D!i%gosd6IpD#<|pKROcKQb_NinuA^tnLZGG~*cm`zi12399f4bO zM_{_;X2l(W+iyo;81Tt%`(BNk@RI}HkmR1QumDf0{0N0v!!d;{A;+;QTu8Z*@(|?( z$|saRC|gqYq#Q_z?xL5aiOq^|co4kDBJn=u1ImXi94qELOP`y|o76hhF zIQb_^2S8ZU8k&DyHW1bi`Wq=xrCR-*7X)SUYBu|*2yYhtKhpE)=z)$%{O0$?V`ZEN zIwFN0=!h)!Ku6?L4|GKGJStkJTLe>pJJVWSyaEZGrhUBz;Y_yEJn4OC*VKLJEYgu7}w9q<#a^ z-XIDTp*09x88OSHP?5{IQ_ht!g?Ybjy zd+rF_k~;#o;Eup`ZV)7!?a58yMfg52A5P4q;h4gT3SpZyduVQFr(i$Uh#r*VDPt%% zQ?l%$=hayiHVT4}1>$4MCoCGD^3`XQ)s!`q&%<(?LHR(@WPMr?l&BDGe%@dY!AQ>| ze(ie)@vMDgO*($<`qkYBeKMU9+A+4VA0xf2J6I`l(Q&jQ_f*M z$*di@Ogy%B1F1IeyO~roA|?hIkmWFBT^ z6(_RH_Q_wj?i)Z|iS3hrX&1`Lx>AD>1&h?ERBZDde}moHI|6kE!OA-V+=Q@=k9~Ip zZr2@wTXaVNFA#0yAaEP*2;c>x9UKI1wH<-8c1Mt`wHFp(qMCP^4R>jTH)LPC@`KL` z2-G|npA!&Zpt+6 zazx@czPH^@w)Y@Mq^$=zB1e0WBXWTUIU@0!?~5PPvT+XYrOsusIFE8Z-Q+K1|ja%2_d;d3IF}a;Z58)&`JJ5<8~ExV=;mFw5XOlLj7FvmD${d7AP8-MERNW3+31Fvdy}7pzAVwf_WaPT@vaZ zUp~(DP)8(w>wDp&vdBXn0sJqXIwHS&s3TI>Lmh!%MLcyxj_}Y|w0vC1&iF;F7h@l(IyI+kW|(XqTg6rskwL z&;|N>dQ^(GP%~y?I65^hMPI08&L70=j(xPMhMStAKL{Eos37G?JgGuNJOcA6xB)vQ z2#ViD7aVF^`B-|#iTM;fl5}7MumaI-cLciXjt&k2x8{yOcihpsL6B^?CwGNQ@qOUN z4Q;#QPZosQl)WeiQ3g@YpIv!ts4bj$J%NntcvYzrA<#);-tSW!< z)nDPRn{@@*;7h9rnIwMoyKg_~?;($1RXUzLA~$--BeK9l9s%1go;)J!JmeAC%0pn$ z@-dD>x#L+cCQvS+OyuxxX649b;L$@<>k&t}nN*#UGDA{Av!%4}G_$@Y*}JXf#zT_g zi9BIFH_|*2<3PRY<4+{jCjY^<$^S^T$qUpQL{e??v^hwVrtTI5+>?BEOz`&=*C6~| zV)Y#X_uh5wJ$e&ekiLnYgCFLW-4Srp-7dN#a9i#OxZ-X%+!46-b_D+i#_DD|v$~mH z6S7gB)zFCrVm0M&%2t%dlrEGZl=LckIWunZS9n4Y{LSJ}1o?j2_dy=ZMH&7t`INHZ zNv3AZ34&Tk0e=LaPkYjQ2zQO^f9%!zyxhI=ZvBgj=3vGOzn8yCJ`S|`xoB(JT;~|fL(G*V}k?TF=5t-*9k4XFm{7*mg&jGFS ziQEFbl=b2=$|TC=lqg*1lp?Ov1|bR;G5#RsIm(5m36lAy!dTr*$5sp6 zhzQ)sHv-4PMBrw<5jY4Yf=va0$qMo|>%q5_@)V)8pd3ayi879I3uQj# zRm$g-08(a~ZOeuYgP>|I$zbG)TGp+Y!P-1!l`Rbv8bI_YDx!M5rZe`Z>qFZkI0?XsUGl%O!k0B zWR?d!BCmSDBl4XGJR;RR;1Su&10Inc9srA0k8Ajib}h@rb(HHVH&CV!mY7*Ra+!D_ z^Shzc$e5H(vf{?1G|{HVq-?GgWPWG9WHZ0>UqZJWZJ_O)2NO31_HE{Dn9wc9(tl59 z#grbHIu-L=mMu~R^IX_1*ClxT9lpgj_0cdv;I`ip6u*n!__Wnz!ySJl|K{7md`=qD z#3Q?}6N*F)R*FWH11LvQMpH6&($RO{B0Mk%wq)U`%}P;+vK3`($~Kg`;T6eqN5=4O zMzcI}XSKTrJA$rlJa$Bed$1#Nxd%HU@vGl2yeKbuup{!d2RkB_J@^%^98<_#zmcWl zCd$o}sbsTf7L8o)9a)T)Qu9%@xl=AS;k%(!oA908lGudrc&eO`J=OYcoJ0D$*o5z} zs#8tQcVtzu)DuTnec7ab2Ux9Ma{C>rXj6f#ZaxF61v;>b&j|SQQO{s}KtKR0ngTHq zI2tAb;~04^r@Rq33?>47WG(dw%-}1!cNDP>Y)xrG=}H+&IiGR^WftW*N=PZWjJ|X^ z3POGmY|GNH9c6pU4iur(qwL6vvQxPGzoc;BNo8W{@hS63<-cF=Xo1OtEd#uF_-DH> zJnRvP-}!F1i|p!QkI2Cu_K2L|VUNhA9`=aD@4i3#oILMguxRa=N|&c;tQfaYZl&Bt zxt+dHnFS=5k%tmRjvnYPnj>aQqP_C;gp?9RI3Z;Qq%b`Ipj5F5DJ6=$p8|@28S{;` zg~Ei?AGiZAlkbM4NIrojmK&5JVM=@DW^b3|lYDLs3@R*8ix8|0Ai=0T2wEiw)EfkA z0|+)cXf@ev$DhJxb4|!*M^-~8mWeMYd6c@8eJI^1B{$KBE=OHBE(msJnW#@`Kxs(X zg;ir$zG@Vn@-HbJ|7f2)0wlTH100cM9^eS>)Z>98QqBV$kp>>%h;;S|mA!RzfXfx|ZE(Z_f{E~i4W)unYQD!^}XvP#qBt0KxCX(n2 zMT!V)cz4{HD`LBWDyZ{OW}}?GP#BNuAsFORl870R;gvP-(T8#h`vK(vL|cCUVODTr7@*RSUIU4{u`^7`qZ%_pE`zkfFnpk z@xT#@-}heflsxSLj>sn-;E0s*07qme4{!u-eDUBFtsc|E>uFGla&ZskUdnxx`@`DV zsz)vp51aWTc1zZ6$z=XRdx_2bnrM$dak$O=XWBM7rlZ7Wer1W=Y<)M#5=l8w3p4-Y zsW$mbwoPsUGMREOWeMdy%1@Nal=_smlzx;ElqTVXAZW_^un%j-zI?SGr5U9;rA631 zDIEU7v7Z)>?O8Z{v=M3Np^eBu4{by)^3X=)b`Nbtp7hX004@JGw)#kshq|JLAnHj#Bt!Csh?zq%?ZKN3@cNV%kW=_LOdMwI#Z4?`eGFBU3el6*-C}oJ0 z<1V?vR5=wTW-O{9?!FZxVkV<#3Zf(tNt=QwO(bm!qCk<#X;Y9*3$MDF&Z%w`8>WqN zJ6P6C7Sgz53UhuoGp8+hum`0x8Z*PX z^fN}Om`!Rk^%7%rLAQLA6=mO`+Dq;}H;o&SZ)6uVy!+n!26e(EfojGI z3Tx!_1woSppEa>YP8%q6ND$O-V0!rIjvz2pcy75Lf}mf2)4WIj27$rBbG`in1h4?n z)pi5`0o%Ry*$7<61_21b{GEXRj=;5Q5V$@K0@tHKkSw!rMi+#6-+o8$jxsC;+fw$W zbf*lXTtvBvGKcaa_ADA5SS1dibfk2mbfz50(}TjjlJem%ACb$d zn?0-%dDO!ik@!9Db?fCf4{HQqj(FCHwDhn>N_w_f(6c1$t+Y9lO5n1k`jL2FK zWkl+DC?nF$LtD|pu^_x72o|zZJW5$aSxkA1<}sPi9l1<9(g2Z{UrpI8rG}!+Oq8Sn zBI%(h+%nswZ!Vf84G>8WMWIb%lfEITN*^NEE$PJ3REZH$KM=XZI&P3}<)EsTbYi%w zWzD)fvf-uzR^3eJR14H97|)0J!*U!<1df4;zyUB3IQS(3N4`X`sZcLjII>zfu|D*t zjHFyfxr?%x65Ty7%R!SKVZ9*e$@*|8ZS)VZjnEP~fdw7bxeuqsk2fsd_ikY-xUqj|qHxVCC_%;3Z==sz|_MG=#{2DwxdcIiPBB zlIZ#1@1no{70aUM(|)n%bUxu%mlvbw@BMDiQ}0jt*P`b&@@kl$*IIx6(zl}LT`Jh~ z)PLWY718tYRii(@?aJu+(_7f{)W84tkE7>*ZDY^d>c4-%n&^46diI=aqx>5Eb@Y5x zLwnv#&zt-ZJ)gZt^yh!~OZ0qoQ+u9z{~!Dzdrn(qex2}l^!&(n_IzJGZ;)Tb z{y^2+I@|NqpU)>1qUUcOV$VD1&%eD&^t_;#J#Vk)N7am;cO78QQ-6Q9sS`b)bc{Vu zeSa^n8$Eye*yyi+okY*`hTHShpZ}rtqvst?v*)S*{;G|l=NFx2&r^SXFYXyVfB1sv z`NXEt^Y6#m^VFYDr{>Y~-6z@e)cc#)CVGC{)zP2-MEmIZEmQ1y>is#dbM$=qE%rS1 z-`ApR^t^VVJx{$qzxIfpcey|M@1NT{dOmS>^nApC==oFgqvv}Z9Xv`e6nBQ?d&u?Ao$$|guX~VW@`DFSCN(@rGHL8Vl3^ny9!Vx6JxDUS+JhvM zhdgLDeaKuwG~QEuw0xSfl=2K^SvW4+lV&c9uPkniDKkbhKrN{WYJDh6Pf+V~+4u6J z^JOe!o)VokqZyzUYf-+3#mzJa3DY?=8rMj%PUXi~+zdy(ol&E4535v+i-XVmiCJT* zUTP_Lda1EWfI$KNumGpljW7hpRN8EVA<&y{Jg^~fYhVcUio4V!vde2Xu`svgV^D{W zm3vbTrVOT>OUc00fI@I|*ggo3;REGBK4cE!tHG2Zl%bSk!)uc#&FoK_#VZFtow0Hl zNBS#0I5L^(!I8-;9vqo`>%oypRS%9#_VnP$B%X^i{A4-BgJsjou`E0>2%crBc#iTs z>=~`$hNG-JNxHEoldg+Z% z2?&g_v|(i&uZjvzRWHDefy#PO69C?`@*q70{;95zm>hrfD6T!p)OkYsX_2T6urOgxfI z9`GQ^>gUJPdh!AmR`FH>Hjyh?d(Ot#{Y%ib$GN`1Q0 zC7l-|2%;wOJ3mG@N{vbC$De>ra`91Wg^h)Fp6+Xm(}^3Urfa0~#>_M|O(S>QN!&#B zK4_Y^a@JvHvAlPm8g8(fgMTNVG6LykQz5W#KASC@X;+bh5vQ>nOrjK09;3WN`HoV7 zQjgM-(u-1(Q-epBlf!{Qa0;u#sgx0vk(ARYr?aSx3P&Yn!(TRdrO1a4!wsn5p^?ch z9vYb(eb0$4Lt&?;dpXT(mSkz2oKt$a3ijGg4>1jz#(j8}g z$&DkU@eEVTFu7bgV`ciPTZy_UO#AKAb^0lX4d2Y?h02`08BBc_Eq^$uOO7n2x<97LJ|q&vwsvaAfkC z2S+AlJvcIa@{PxlNjwW?$WS@fgCmo8CeB@jGTnn^)57sq*fa>-&5P`cYMBuIo5x6@-1j+s2hTaddfMoG{3}&)UJWE+cSx>1+$pWY;Gx_TC z!i$37eAb5xC>OG3T*OynC>K-4hP^jZ;efZ}usxW37Urc^XY`+Kib}hy*To(dncV4N zk;zjY7MaA;V2T3C^RUS9Q9PbSCLKI1G8yb)vT5m95uOnQ@3B_APx*lIA!TK_Z?@8r z%hk(WFWa>5ZkVl6q&N>ooAz~z+W2sBh#HGaChZ#|F52=s()tc&h#FvOgF-W2T&)1X zbij3M6e$k6Zc%8CyKW7`Hcj^WX1dc-VEW@`Ck*As^v74zRTP0EWFv5!ULJyEkZu0=ieVjkPO)2da)%)tH;W4VGw-8ag}Z#;%!i3XM|r(q9_~5D<*LDR8mNnrlK5%PO)OWLP}nf1ALA+b9btuT#FHl%W(S)s$IY z?lOK&VuiSzRpSc2x{@-Pauwxj$~ECWn^gTkC1ml)XiuOFNY0c0-kK%S?D)fjC4<99 zJeEvad$443qz6kT@kp4Pr^+-BmP{6Vuw?Rq2hV0pN4byr^$82cr@avn;r0QnMy$J@=+iNt7!IhMIC{I#WP=2ITqU=m* zL+MK?Nvc`8997{cn!KVuTt~T{asy=w7~XNZ(6Qn8Z*YRDDz2Mv`?NMi&D%O$D+(sl)#dOee(2Jlw!turYK{07L59Z zDQWEDacUQaMHdifh{9CAu=`VfVQLqa&ozNTg#`|bgUXSNsTLNv+e5q|?&c7IyEa7N zt_%^l`$7cnt`LE{C`7PH8Z>T{OG0)!LY9KxD77fNQ#w(OrkqK+igF+2DN0FD%}`J= ziLkwyHDW4d8s!$st(4nXH*V*vJ3{2dq*GqvDl4OSM9hTG@IcAr1`m`>;)yVCy)Ex} zpk(r^2TCTjJy0^)&jTfsz8*N67LTvPi-X`BR*P>b-%-A&{1EP%t$5@z_R8YFXf7H0 zU>ufL&1kls!Kx8c|nKuS}`Fl-7SP`5B_3LsFcFl-7S(0gdKau7Hg z4g%v6V@Nm%;19;%5K=ILufItla1c2D4T9}$+a_V(Y|T+>ydlXwVHA(e@{!f$kyYXa z$|saRC|gqYq#Q^YNI4slk8zVb!t?3Vhx%|ArI0e6HREo+x`%Ra*l#l~97VepExps+ za!oARJjtjXBnt4sV+THXH1#0KB!1C*^Q_D$)uJC z&8D^Ehwzyo_>slpC(6&1U&7Vd%0@0nuk;nOP5JJY+1ftq# zXsntw;o8P}aGAj^ue_?_)uxvZ z@)&ZKT7`i;h9DVMEi9P-NXnxCZ-~1yMBpwA5xC1j1pj7t#{bpzUDRU_=Q9h&G8@8e?AF9Lslm}Qb9^|VTl$n%S;Z2)!*?6Ytc{Ako zmA7g{o;beuFh-=hhcP01dl)0q)592%5gx_}dcyIH5t;2_jL2&q){0h*U&0DO@GEP@ zTFN@gddhF%UD--UE@Q7O-ivALMGi*E-c58eN>>67?%K_eLsH-n89ekjHp$cEkaN#R zqlA-Dx*Q;B(=c5Sn88K~O~YWyLc)nGvrY2XQ%&-ZLNyITqlAQ$%Ikhp)3EXt3Xu5F zB{7a+^>#v#OsWR& zr;JE94`oD7_E1J7e%1Yf2eo$m7IqDS-&rjFp!`Ysi}E+uQ8OtdxvafR-q+zM-2^CZ z!FYq*coRPE-yUIy%(EYKEjmPs4@c=n~}B+v#w#wYL>7KOMDuQUjaS`haa1^5gIiJoKXRSS}B);dI-#Jjk!2P(5bVb zO2MQ60p_a*V{8_WjtJa!Ap#6mUx%^T-dxv;ZI6!%3hR% zD1#{HP_CssNO^`*at|GMUyp}d(J})?;z`O9%2Sl5DN9*ap5d!y{BfyP`C9({p2NT8 z|M=GjdAS!ny0i^yn%_i5YR4Gv#u?Bh;F%?4JC>06eeX`4_dc3Kcr&t>hE zW{5Zo#?)+-8I6)OL&RKmoQIiMvq{dRZ$_ge%@DcUx^bl#B9E(Un7r_kVnp73&rwvr zGXA^xKlOk{B;NxXk@!XL4hKj_4`@V&dO#yG&I1~eLJw#}mU@6IS~DtyTLeKxR*C{j zB}!$AdDbW@8c?iggdWI< z1RltUXTy8{cGBJh8IeIA$cT*bz*e+uRN;7DRo01Wl5v0$C0rwa9TQydu5dg*SVh_nIT$CEitW22C%R>K1Za`yqB{b&<&FSMKizOg z;MUs_xYc$9Zm%7I+i6GO7TOUc%j|^(<{9y4eq_KKQqHoEF+z>Nk}wIvMBpfx2(U)3 z6W$0;B<qdYz@`Z~Qmwx7DdA%Df%x&`+T+Tu z)Sxt?96&jelCgfCw;aWxKUsA6o4t~?;v>o`N=W&b@=17J@W*z6Umf9qxGO3g0^PI_iWU2EkS=7+X`eq0|ju$yPdYnRO^R%0W|Y+D~&+ zaS>?JezgcaWakFEq~IagaWrYaeS{v;ms6oMfI9X#pzKZBS0fMwh)lE{@@vr`m#7iQ z?1$!B%n6z$^Z|MPg&Hr}R=yg6eEi90NPN2Pj%{}Y>Hv~?51mY_;@#P7e3uH$2NpBU zjsI-(VWkE~`Vg2;Dvfr>I3I%6)}|8NVFZ2bq&dD<5x8kX1m@$3aVbOK#taddWg0VM zIHr)Tjx{U>MU<^6O(*O>EX5H9@b>m$RYXn=#@vITq%EKCw<{s9F^!Knv1dRhjFJ>V)sN&{{VNJb(WIi=wl&WW4YE9{eduSsv&qEuL zw>`8GiC^}vwS{cyp^eDC9@>cX@z6$Ow1>K)3kY8_=gr0j3_`gfF&Aw_p}GPR)l ze^Np=ct0@WQhu0+I3lNch$9le_C5O{nd2dj$QvHwi2Udwjz~=paRdWO@#Gb)AN9k# zfrwOB5zz%%=NcL{>Kgjz3X!R!X-6 z##p}%z(nkVz|GUpZ9?v!p6Z#;6x2_cLZ+R9f8?+G`$=IZp|HmS#>l`90YE^9YM0&p4=9$ z!1saKr!gakV+t!Mf~^8}GitI7>_+KGIf`-yWisVn$`Z$_i&#}ZM7Wh0-j%2LWv%2O(Y7qVWkLM#Zr z?0e4ttY)-}s~NWjrLAT}Xjbtn-(P+uUweonQrSZsfx}TeaYPRB5J&LwJDxZqmwSjK z@}P&jqBUdBaQ7hCihGsWe!^9a_xvrNNIA_38^CPl1pSlN;x7rA!RTk zVw1kJTk2(!zH?lX^n}zo808vsu^W=2AqYCibeseL?udP$gk6G#ZW$i zYCNk>H}h2SC^e&2L8-1C@w?vl+$;Bam?QGMhdCl&c$gzn(Zd{pt!g}TL=N^aN8|(# zdqrzU)9}uj|nmvKki{i7pN+9~IVl$nqM{4qKrr4SL0NSO&K zIQ&k(+vI&6k-~U>YDCIRNQrjJ)21N0E3kIGdV+}V3skqA^17)``L1+OC+sAjYXgG{ z3)B(>djoig*sy!(0yP1_-T;1%YvLeC2389T+&v-Q5O+z4Ah{siP@8r(%lonroJyHU zxr6d3I zW^|_(4V|RFsS*QF8*RZOLPG59fsM#<9@vOX@W4joZVzk(78LQY5n1hljUZ3P!$zcm z2fCuQqj@+d2wJdMw4}76w1$*v(Qk3C|wKq z+e}BHn5KH<>G3E75n<9tpQHt*rU_l|XwtqKf+$MF`hfrqIYMzF=h+_lC3dHrMo>4Q zMPAM>zayO)15&g3jzFD2u=S3h`2BN1>i)T6C7h`L2X@^NB-5(@VNCMW;a}~D zAW6!2kR!5_2RR}Kc#tD9#Dg4>u^!}z+~q-zNc{SH__2KAL9b}#XcPVt1Z`O__NTO? zv=8TJd*I0B+F^5k#9PT)E18_%3R{XM=Nn|6I!$vf%24ynSd`eDuN)C$IL)~z1J5&K zQDT87iV~S`d*jA$New|H?YWeu`F;Q6Prs=1T~MZM#d4;J5BED{{=|AIv1l(9TkRE6 z_GIXeZ^`tZ#lk7Lh6fK&mQp^X{7R`t*@e=cayVs&@H$S{qcYT^?8s`d6JPC2sZVJT zw)>aV42;=cwl?QOO3vxr4IZtEJa9beL5|2O4{`+DrT-E+BJDiL5gF(~j>ttG2NsJ1C><%CD4oO8v(=7VwjEgvmeSBvjzmd%CdxQ%6q|`sjEF2R!4dhM zyX4@Aq%a~M;oj@%`uC5X~Qq?O&$>U@wAMS7b`hlQL zg1~LNBTyp{^hXdRgQ|rEY9fsLLcAf^r(6veC|njI(3?VB6e3XTV1Pvs=oKMu2oWR~ zgoOoex)5)OV%RWRIHs_y87ri1#~9}Rn<;ZCFHt_D{6(ol*_(1OWiTaU2R(l|D#KwM zl|+r$g>_?BzG_6-jj}ss4;q9fZH^LGVi`*vk)6QvJ**MA#lsqr$2_bN`Ow1}k@z+5 zy4%Wj9@dDo@vufDe%<}t^R#kw4$lpO16e8#q8v==Lg^arovm`@GVL&vux|d;W_>q; zS_wub$okG=$!2}$veZV55fpZFITWQ!0Y`F`JOvPuk(}OALlEtcn=RcNFda*jHJ;Ki zz4gF@hAO2vYikICAz7N=RWmif?Y!gfZ+hW9AAwtTN08oh-w%On;UGw_xF3(e^==Rt z`nze|AaG3^1g>L)AX#Wnt_UB-_klv#@ZCA4Fz?+JH0{Xl;e-NlBCEt$$~4M6$}5zN z9dy*6J;Lf7jzrPei&bK8N@GeBN>j={lzq7noRkk=`G{cGTY69 zqekRW4{Ai-^Pol~e(Qa!tz{bzaz)EW*YNQmIE3}08>Ks?NBB*)%8|>j!vaLQb}Qzt z6fsv4?vWRpiqa%&rlL3$HC=3Xd{zm7h?$DwP}FjH*G)yy21*$szy0x-wF0RqCA>fs zX$>zBYX#D(W(hA4aP{!_7q02-;iE}{z-_uCKzoGEdvr$-sQU-FdI;=(JJ;J0xZQRH zcCnqE8w75t9RaTIv}J?9wQCU2yxn@YBN$B!KGUZ`kfy6nL*N|S5$LKVdNc@}RXYM) z(OD*O5dz(>#62Q{ow;B`7;)my4x$L&kkW;|WSe6Ei@|A>Nt8m$W0ZF&-%%=1>QP!! zN-m(|)@$EzBu8CP8k$j>Q(913Qd+U1wB{CYQZsxtBeDm$)`J<5Iv&gj)&}A+Bht@< z8IdzRm=T%c!Hmcw9?S?D(($+ztr-eJce=)-c+m(q{YpE4jEmaTf^GVU;+u$3LM zll^#Wyp@O!;aC*L3UJ%4?2ta(ALnOnW3|Cg{HL7`YzjVaD?21T7iFwAtS1QEu`s}; z_z*K1MaYQ~MCb`3=F_)QMAD`p3bxKii@bvQ5RP66a#G^GlsL4P3g%@+C9hSjHZ-@1 zQ*b>C#Z1bxlvR}Vl$w<2+Iij?9mA{Hutb6AOgWH6;~>5|n9_yPH9R1x9RAs=NaYym z;fqN8a`&v+@{orwBCmV+BJzWWFCsNOd=Y8v;fu(j9?ptZjsf8%L2x)r#SxSvDMwL` z4tLI0Ida){WN}zZ87!H>D6vi(X=+G%GD?>L-jfejeq^$~E(D|}qjV>rve*uJ9lJoj zBW_>R5=7Y{ZKa)Bf+#D$4hzR8mE%9G9PumOhjf$f9=3?YuXta6g5(V{td?RUQ3|b2k3<<@h#UZ$ zYiF`#6Tb6VI&GAU2G7cQEln~x-`On{-Yw)=Im;!BHoLHBCNgl$8O!5#$q=6Bi<){CK(V=2c`hK09gD<8T1I-+w?$_*)ItR&I7D3~je8)E08Fcx+1 zefTdbJ{M&yHkkT37lpB?_uj|Qno0c_38fbZnkCi(Dj?vb0vhDv#-nHfRo{7m7%Pyr z``2(&QXJsIqC2vuZnqU=m*Ln*m;j^~!c!&7*Jfbwu8cUpHE-RmaY> z>bTcK7m@ho?$vALa}Qla;+MM{G?ZODbP+koLl=SRQ9NBlCVD6@~GB!*CiQjVn@ z2l=Dt*5Ltpe@q+lN7?d8gFNsx$Ri`U$9mu*GTs9hk?9_|h&OU^N=Gi6jx451c7vR=uxO6Ufd_XvM6+yv z+a0&mkd)7~=rpQL`)(lBnm?gAE_8oVaEP&-<^Y$nLyQB6aDYqsA>VR?T*p%l-h>Vy z;iwkY+7YPF2l^ri%x0r$-XL(x>{^?!~0<*+u`ZNfV)%D~~aM+l_^2%7lA#+CD>Kt@;Ip&bnMol zcW?YJTj1xG(;vql(5J%z-8$o+?#J^-R}A`f?$x_X&mQK-=NJ3&P4@zV#QgYr=AU^1 zfBmvSpDumOuft!TzX!Zs_&@%-E%e7#HNWnGT{?H`G_di&9v!;#Uu%B-0{oLdhhHV% z-zq_4^S|@YjlVDZ^P5C|e)0dF)cc(M=QSR9V3%&)_zUuV=D#!b@8$o-uk1gs$$#+k z%H!9l81(4Xvp?VO8u(+ee=_a)%cuW+sqeEsy*hW!!~dH4eoOt+{YdlE($c&Op6-f& z;hTV8?TsdqyaUNQkh}xQJCM8s$vg0Gd>4;Yq_KpCYkn^1hs5K{b zKA@Q8gbUIvHzHxpNzE{)u>-{{XBDg&I3yG98yt@bfnzZta3CfGZrBKcBQPOwQ$`5f zh!FxeUW8yNJ&DY05dt??guo3IA#ejl2om1XX4K6tYZhRL%KM3T7?mmYDQzkJC?hDB zQtqTIqP#`PKqQq}zP8epzfQa3*QuR;x#sf_&+F&%uH!t)`IHMN7lsXztB>qgAH_>Y zTfHQ2z)SLY4AG;DWU&W@Cm(oFc=Cq_g(us3P%KO?4}V$;4e{^TI%H0%Fn_;zB`{=!EM;8c^X&hW2z zANf{n+IKdfznRQ$201HLLE05d;!~$OO})mcjz)Euq|l9B}W#e@)@v`bhaCQ>e?Tt=BhxtulS3ck8B#AoS*c~qhlWk9-{>66C} zeDbL6A>zq?9wMIf^$_vo3=a`cZtxKCWWI-pC-GROpMQ~GJ!C*F9^=A~`B?CjN=O#FMR9G;HCPmjU?;$WBehqvH!DEjb<|)Wa2w+ObX(J39Auu0)f93TZ0v$6- za7$&l@P{`fxgjhpfQKSKH{mqkf4-rtS#>B8bKb4?XTt=BhxtwxEI3Zi< z$Ym&J)4rxx>-%Xprnt9-0e-P*U$bm_f?pFY2KdFMeNDFO*(>1$zvf#E@C(!ab~`BI z&Tyu=YM^7C9XlT+7R0AxooTZ=9qT;ftaB<_5a0L-6Op&nsqWU>?m(a}b&o7~6aj8P zxL^Pf69RJwZQ3{p)SC`H90bOn$ncdM@MLcf2v2%?KzK630|eBaD7#SFQx2z`PPv>io$@$kIVFRVln>h=-a_5N0&y$lHWrQB z`RWeJos_#Mg}l{D%7?FfM4mgkd1!cYvWJEzS9oZ6GQ&f|lb1a-Jo&~$!-GRxJPl9w z@K6D@d|VSgz(-lsi|Z)YQ*NM4S(2@KUP`R4N1|Wv?E&N)rz~- zp;dyKankTpIKTzZA_Qtvmw5?Yp#F5=FyV)#Axs}4aP1oeY5Gz%1g>v`py@t}U22cO zHEa+h$fe|(umc?Bx^<_c++Y@jb1BzRW>A(Z! zStRbE+)KHSazEvP@T8=0Wc1ZD+8mL>0hg`VtSgN?Fg)qvf#FF!k7(kha+wE)C--|` zc=Cb=h9_TopnzI9ri9<}krtKWCd$o}so`VUibgIoxksWyQJPrIP!wmPJ{L>3`>_Pw z&J0CyCTbhu91;`0n@4TdLWiO_6LpA`FsjpRYv!WpQ+I)obTl5*Th0yC*pq^EbT8dW zXV)xYR0rH0vUXw$nwWx?GzHx#Kz4%ivO9~SHgsiy7)m*xasy=+r6eK=H(n2L;`Tw7 zh8dKZlv$M7l!qvDSW)JN*z-)DIDAhWk)@7jJv2O78lDXE z(D39E4-F3vI`LFMEgVxr>;g|?xwwULE9ExI?cq7usz)wIxisU>Ie(_6qRdc~q#1YV zsVDK_{S)J;Tr`_rlc&BD{Ww&Ch z1O+2;1uZO46bP;l@rEb|1b2rB+`S*eqM=$Ym#I(5A|`Q}0hRgvt&% z=c2?6MydDm3opGw2WZ2%vmT{uKF326Ag9W>OAkh=3p3}UunB_+E_Gr~Th+CJRh>H3 z!IJ|svbrCTwyM(=0Xo%HFyvD-OYnDAed^FDLEz9#2-KhsEfNHd$%McGnGiS_69PwK zLf|M&2#!7mn+m3FgCM~#CHI59@qJ)S%Z-KZn8J$2w4C{VXl{?upnx@ECrWEdAId3| zEWo6^uO1CM2f-p1h{cr0D37yfJi%8_QkIaXmppX%9y%hGBYw&In1M3L!^D#@9wwgL z;bG#*5)Ts(6uEdNo&+8yo@d#8|8`nAriYL4HWa1e9?HFx`@)~I6^&d@a(4O3%%4U^ zqBOT|C#jJr&9CW^C{3|Fqy*{i_!Q|*Gwm3$-SNxq`uG&kuF)gmYMAow=E^)wMlHhZ zmNM_ma1^{rX$53V>M9l6bH`s@x8#n%Ex03a+wBO{mk#Y41a7Szfm>-u;P%-OxLtMx zZjl{9vc#U;4?cS2t?<4?phMr5UjwPGtr{(bk|cR#De1C$3TGbl5|hq4uqT#j=5r0*tBZQ^%BsAI=V zv9T!4v+1!YlWL{dSd^yQ^jMV1xWf4@X9Jp^jG{ZK*$oh~Sk;EO&1>^5&RW4&GFG}lpt^`?g-3=mf3Gd;MzC{j6;#>;UI8J?FigPI|A3ZL13EW zW|bX5vc_ImU>*_;upMuR@iI5Gb_A&*)iGlcI7~YNV_p7Fgah)nf>R`iKuX4r-uG?a?jl!qvDD0BI(l{uH2%SJ%VCqdEhUn)`4K zHy}lr6gVQtExO~56?X(Vub?+R^?&o3Qr%212-zdg?k$3D_pny9 ze#{LoVnYoDV;*Hb9Ri^?83rlZVol%&WZ*Iw^j zKy^CG3`dFGB7X{OD`y635hvEKPOKvw$GFt6uACaysb5__nQ;wccLbejS!DLy z5fs0KE=XTOPr!-WZbx8t9L;7s0=L$VfIIDWr5%B>CNgas1XXEEl&OWB?h-90a7W=-A=#|Oa*)`|Bh?^8aYe8{q~ zlCM4@o^IpRj`2l@EihGJ_kX^26y01j^+fY8tCM91{fF$uC}TV~Vl20>hcY64Jd_a` z?V*gw^&ZNI%=1u2pLq@oAuctCqGK@A&pahb=o2~ zw;w{AQl*Hb{pu7X0ye{#vE}Jkhi!MHt;8HOS!FMMuuB$?`&bX2qP$P}nNo$)fU-ZO zKV>B4GRj?)#gw-pWxoCXBQEo$2&^e{%GiH9+Q%awS>h@9qOjL0<}#)!mkyTA6jyy0Q3Xw6t0 zmgD>dD#hcJCn!%+mW0!@6^>l)a_)*b?ft3NpPYu39WgLwlD;v7qYbpqMHxRhjzxh7 zW|F>{MxqPLP*0@d_Mb(;=I*_XMDBUz+ss(0+(R680 z@rGCiJ-Rdqa8t+idUR)qgo5v<~x%^GgmAaECm2)IybZx0dZ zG9_*f5pa{zTpJ#Sz+D+4Fwcy@3E)sdiqSa#w zDQHizTs%!#N_mE|EF7GzdgL`s8V0V&-JNDoNa z)d0NhKRR#FGKO>F>_O2c$5a`Y$Y})9xQQE;f)NN)AKK(q0Jn16?)W>LIE5yw?4^Qu znNRH6r+K;jMo}d`W2yLyQirlPqtT(X!G=eblpVg-V&chrx>cd4*I zcPnv&h&RNMhY`4|Lj>j#(p(!N0C=)RtJYkrL10#D%zYt(VSH{pWdtq@5txU@DX&mo4L`_MIC5EaWU*CBUvzLhO0-8l^f>3N#2&f1@8GQq z>b1M`po4)DL}sM`BA7^aS0ArokV_#VA-g5Kg8_BYjY;KUT2^t^wYF3jkbJsr3`#tg zwnj#GSBMDQ{UHK(cZk4U93pU+h6v1J&A-%hX`@^d2D`CxWc6-hfq0Da4&^&a1xh_i z$@TN0@c9TY4uZc}8~&ygL4KGvBgkV=h6N=*Jj|Ai5kaselED7K=hMoVJjz`94}Y!C z%iZwk);}l>Ggj7*zGcv4O?6!V1;ME2YMFnshVbjp`!Jza?5pc;HBH2MUVc3~GkQL@ zu|4O!7QfD%8$ExznLTGio?rVch@R)QwdZ^S;@1z0qv!i|wCB8DigrkrqT0epWE~O z^xwZz^XU0$-`exk?|)V6==nWA+w;`#|CaXA^VPrE^VIuuc<1Q31U7%9{(jf#8a+R< zygg6-`M%X7dVW}6r{2Hk21m~? zYGBV(|9#_!Mb8)Q9{u$@43D0#Z4y2Idqnhn?-uqv_4{8uI(mM>{`Nfe{-1qL^!)Bl z_B{3HyWfS;^Hp8#c_;nz|7mRWe9Ob4zy9or(enZQ?Ro0^&-c+^ztJ_( z^EZat^VFa3nj50$Wlyr_sqgQ?snPScBcnfm(CyLl^Uk#AsrP65>Cy9f=SP43`}al9 zzZ+}MQ~B%0nbGrIF0<#U-(R1((er^<+4I!*cg+RSbNjdc->bz*C9yFO8=RuRn1P_`_?)IR`WSIxirq7wLGEKk6r_0wVZ&2Q(ycOP* z?O`*QzZV`9uPZT3ZOAh;<6VTQI)D?FE-Nuitz&gxm|1FgoBGo%gmkOG|IYOybEfL7tTHfXQSh51332@PNr=hzCq2V?BU2EgWx! zeYhotO7RY5Iptl-im-3C!ja3}%O?GZ52IaOF-gCEL!lRM8aHP8A~cMI8>97$93VF7 z1M-z_kI*oZri{ifa+0Kt8E-au-+42tahRn287&vhSv0C~SVhZ4OKcjy{2Hsd$my=x zh#;|PjM_977zb%%bBcEdt-SrQorZ5&1Q`A2W*Sz%5GcA8->V1=zt1cuAW&v2R=*H9 zM^gmF8;TWD4aIS}?H+^kSQxIS%%nU^Sw&e-sY%(5(vebWOb}E?dH8wStwB|miE1nu z)%mIhr6#3T*kmJ>4wyU+tHkuPFxxf{#uf&>Vrp2%*uq9z2Ia#u>qi6pv)w=so=oET zFt^?&w|nqp63>TO`H`&h;K`)uzr~YDI}fH!>&J@lM(&KEV7yQHfbt<_WrzpYOqf6} zldmjhjA<`MPE^a}tDPSs$z$n}Y6XwUlBb<5Bgtd$DS0df9+RJbaqf)PHPRV0l8`3# z?O@&BslmFo1kiNG?6+dbDi+BnaiRi&S-&+9Z3KgdnC)PsVFU)BZ8jPa=#wnc zFamvyMH)t+kFZF?2;5p20)2MHTn7SuaK&5)f>I31E^9iAH2FG-`F|Yc7Rr3etCY_v z0c9IXQ_3Nf3~){Ua@2-_Y+|8GY)Prj@==GcwxVoJ*#^MdPp8h(MEx&)^gyMWbEB

    6rtlQh!UPo`|5F_O8db`wmjF1k*2wAtc&R)j|xt<&N zyWVaf&9nX6BJJOQeaQ9S^~df{b(B0^5|7V%pV8e6igov@EV_Gx ze0T3zi|#(4Sa)B^qPwrjcelh^boUd*y8BZW-K`9L%8{#^D^vQ5?gu9M6fIL~BmrR8Hdz z&g5*`at`NlJ{QoQi@1cCC708IE4hk}bfPoY5wqk*ZlVia=|%}X=*ex|!JYJ?H+|{P z-3;U&1~Y{F7|H_-X9N#2iia4(I36Kp$#^F4IFp#nQ%qr6cC5@w$I6`SSecuSmH2?m znd8%xe4ZtXQxYF=Nmis}RhF!ml5$y6B_-9eWUG|a%90&ZvU8T~o{~MYoBf%#qi5gSouLJl^3w z=JNp`@iCwB8K3hdU$Ka|j^(ad@%2R<##2nd} z%2c5$)!3Zs)SxC?u{E`*O`EhcqY1myj6G@2-t0@vkppPKfgHpk zwBj(1$c~ef(=Kh3?cYM$zvpKA_p+2+ktNrrx zdoJW+F5xmR=L)XkYC3TZ*K!>SQk?d)%|oxL>e`MAY!*7z$WWwNAVO5zqnvPDX^%#v+W61NzV#wlruIyIvN}-9)~*9@e6{ z+bGuD-Lh!z9`emSU@e*(L9yl@l|^$C$Tv5|S~T}G#hRNfi{@S?-`rc)qPcg-HMjP= zET731@C9G8kVSmMx5Om*fgf4QGJfV4eq|-U@jHL;7po~lS=OgK8&Hu-Y{bTFLRB_p zGd5=nYOp0+6O*Jib=a1AY|jofpdm3yc41cMaZrT3rm6E<$ zGB_poWywP+8J8uGr(|-L%t*-#S@NIxGm&RHLVhoa$3MNt=xq(fdfQMIy;UaPTXk#E zTTP1fww)|`t53eSM%JRYCKT&!KUwtFf_!g>S&QC|q*!mQWzpNI7kF-OKTfybG|WS(RS(}+3pEYC56nY_R(W;2JEd6n0AgEx7LdA!4Wyw3-G z$j5xjXMD~Ve8ob(<{Q4{dt#0(VJScHGs{`QN>*jZNx8JMD`Y#nO4{>rgW(KND zBZ~F5NEW>;(H#dMl9ct-ZDA z?P7}c)=3trZ4@t zn*rRzAck-sF-IO?7$X?TC>~-A7>%9Ph?Ny-!Qp! z^~utwPkH*!kM+7BD7YXfxR5J2mIx3*f(yHXgS+71 zF1Sc2IKT@I@c&PIWy)~)=kK5U=PQ#17f1ycNCh`q1s6#L7fA&-$pt6ef(xbp5r6;W zzw!0H|L|kICbb{yjmJ2zRlbFnt zOko;vwf8K~F@u@Bz>Cag4lna6uki+N@)q-Whxd4&5BQLe`Gn8-oGOFk8Jxx0wB=mRqa7D;As2B8 zmvT8*a3xpMk!$G8b=*KqlbeZYatqxkp$9#=otP$f(ThIxr9T50$RGxDFZVH&2N=#s z9%M8RF_v*W!efkQB9HR~lX;3MOye11noK9A$@9FxEM8&`uVmN$@1|WkKf5Mhkgmz& zqageD$CNC~lHXGDN0w}ml1f>!X-YQFk~%4=mnA!; z$_jpC6@Tz2t0_awk@YFZ22`LD8xeD46Jm~RN;Nj8IyKmmm?PUzi#pV$9^1164cL*L z*qL2v#BMZYclKaU_F`}LWq%H!B?odahtP_{ID(@%nqxVR6F8BRIfXWymK`VOr=8tC z+u0q`&W?|Q949xWq-&PkmXi1=NODg~hGfZzl*C6tk_jo9lqFB6+V@ubT@;1cXO;ocdt^cyZ2<#-3R2m``lV|_Z7MB)_&h(iEJr9@iWW$m6fa_ zX33xY#Tv>`mh~x51uC*38&R1}s7f_9qdHqqlP%erZKzFMwq-lEr#=m6$j-zpX+&e1 z(3EEEL38#dX32i+PYYUd5QlImhjBPZaummKEXQ*qC()WyIF-{lgR?lBww%LxoX-Wc z=OQj4X36Dr;7YEdBiGQG>#}2|B<=2=*}m_S_I>|s-w#d6@GKddl1Cf_j{ms3`7iu) z>+KvR8u&9LK5mwE;TF14LJxX!J26Y{q8EMWOMmWW zAcGjpz1+u89$+{ld63aO#8}4h2=>9hbq_GxKR<_8h*|PFZ!nj)n8&-k$9z8EBR=L+ zKI3z~EF)&gFDz#TD_O-K#4K4&%#!seOF1^60+q63W%G1I)X0vA zI_Zdr+YIN3T~o4Kmh6?1eY2!hN{+~q6H;<=mK0KQZkAk}lFPE>+LYXoCEZhUYnJp& z$p9~0e_h}Rxwj-9@An>~w_z0P?O|E;_88-t$m2Z0lRU*#rtu8VGMyPb&x_3BCGx$! zX)Sttn_|6vB8%P@knimqYth?x6zlC*S@iZB`QFM{i{92J*W23fd~71C!lqPXbE;E= zEr~g@4YjC4UFxwNJJ5g~*@>Oml}7AFQ+8($Vvg*^-t5bM96(DB7&xKsfC0xekT)|abO((A5TCU>;ZsKOTatqxl z;Z}NbJ9iRuq&I!&M}G!z4}%zz9VesGE*;}!G1h{=-*iIT8r|o++DOOBb19vf?e3S; z?v7gxN74H!`7leqNJ-pkNS3DL=Pda>C4Xf}#guH6C7Y#Wi!9kTCEI)9SZVJl*{LKR zckw=>yT%mjZXa26w?Fyr4z(8D9Zs?CPLf4;r;zXNY-`coITY*eQdxA@fqZwJtwnd& zQ>?olvgqzM^4;~d7Tw)VuDiA0`*=V$j1i1v6r&l#IAWGO%41AmB9nN6Cz--jp5_^z zV>&Z=fft$0OT5f0yvFOi$y>b5JG{&L%;!TsCT7WJEZ_^iWFd?AhHr^k@&iAzlx6(P za(-nczwtYN@E5BoLs{0RJR4AvN^C@BHlZq;vKgDR1vS``t%+Gun>uVuJ+`Ml4QQAh zE6vg_ZJzDl7HR*w)rdWROiGT=l2cQ1MwVQVl8dtB%9M1>k}fIfmL+$jq*s;ebK}PWq zV;ILH#2gvV1RiG+lX;3MOv{dwS!tKf$@cHuw14Mi`}fn7e4ZtXQ}TV5tVqeKELkrl z<+7woN~&eaRw=2KB|D~M=PcPhC3|Mcfhjq}3&+Vtj*ufu;_+zjF?u_WV!fR%i{8#6 z-&;Ft(c6U->+Nb;^mYyT-fp%Qz1>2w-tLk`Z+*!3Hpp7^b}z+x8!e08#**)CqP6Jl z339!y{XdG+Wixo57nsFt=I{zJM_%U*=JFQvc!&3x&j)Oqb%hpPX#Iwb7W&GQ-!KjV{@uggPLr`*3_alb*aa8 z>_B~Xq#--AD~;HVChSf#_M|y`voA464xj}GauA2mio-Y}J5EkcyR=QVe+y~<#w~{P z$z>_IB1^7KN!(&cZcWMUS<){haf=}tmXeWK@^DHX%aW-nc_vFBX2U7x0%Pg zyw7|-Ovg#tg(Vlyv@3Tg<6ClTf2?;(>6hoGmOh=9J&iBgJzcu`OzG2SOP@Yh`gD5f z(;3;*_&3ZfU46dv=?kS#Uo3q(tMuvY(x)$FPyJZ0XaBW7*1M#^KR?#{KjO<$&Pata z>r;;MY(NDnQi%=Oh>fYtCRCv+n^KL<*qrKYK@DoMC0nsI|9n}pV7eAur4>xrf(cu2 zgB@`A}+ zFqsRk%nPP-!PWWyh`(R*-}q8r`mx^Q>iz4-dgGGe8{>L0>s}Tt^?DgU6PI(pvVwIl z3;yzYHDy?j^(jYO7F47X8xfatn^1*yFAKKtx&~Vkmvh@ti?}SchY zS0^6OAh2<4xtr?<8tnAKNdX6dR!KqLb1z&b7XN@ za6bS0vcQk^UgE!KTo_zRTo`nq*oDFMvbZp~iTs5@4{LE@a2v%g4DOc2g~2`KFAN^A z78eF1D0X4+s4OlFCXl}{m|`t144$Ugg~4oDTo}Ae{=(obYjI)l4!H}1wO>~GOtye8 z_>zSzB6j4r#E$%dA6d#W*4>dSy^bCEJAbh5jx6hS?8x$LKt(FC?vAYLb?nH^*qn8D zJd{?fyCaYB`dE(V1paPEo?-n= z&ZfY+JMsdr+jCKN!_qO`E_BXrB)X&3c_T|cNXf@p@>NQ{&XS)}vfK+dESEY;elLl~KfTB3Z4EI={;szTtw(Q_ ziAl0xR3jZIWnA>BM&l)hZw^+9wFw)cqZ^TlbFnt#2lGM z%#mk#jv3741!gguIlRoPyv7^6$y>}L=E!@z&j)g9k_%IENtRrll54W$mXwru;Yhj6F>*&qJl^G9Mss~A*4(|a zXl^L^=0;nK=EhR2xhG`N+*9P6n{F+dd!Ay=y)KL9=8|u2zO`uXBZ@V*NEXd4Cg0pL zYth^<UX35l)#3xvims0Xdmb{&k_ykL`ASGXB$#*IFF-v|+$sbv=eo8jTl1)>x zxfhO;%N-$GmBiyV-edGuhhn|$B#Yj5CEwc~)}pt)DAwD-vgoZ9`QDDT7QLN7vEI&< zMQ;W2y|uR%y-`E{$e#{SdaB7#|BiO5*rb7WD}~e zDb?7VEvUhk*>SRc+NBM${o6S0-=^9A-9IHQv*hrU9F--fq~yQkkEVBUggjglkB@qf z(c1)y_4c$ZdV7w1Z?mmMZ!c4HUcB3h~vj@%Di+$La{W*Y^ z9K^vKN-GZM2#(@tj^%hx;3Q7w6xwhaXApDbYzmyixtvcs+H(;xM=s?uI&cM7(UDGc z=31`j25#bJy3&pA^x#%*<96<(7rp6AKkjA#_b`Yd+(*oj2N=c(MlyiIGLRG z{FLlyn30Z#xWRC4cq1imWyuFAi5m>b*D3inOMXg8++ax7q@-+?Y?zYDSyD44TW863 zDXE_&O;Xa#3+Iz793lIZ#N&S6WAxU7V!a(Hi{6eQ-&<>I(c7sM>+L*Q^mYOH-Y&Nm zyAf-s62f;6py<6F%c}zThhs@-^S^E#DJ!WC=_8 ziJw`{udHMhF-QL7FV;|ovXrAd6{yIDY(!-?p(@qbjOuJbO}1ofYEhfIY|D0RPkkED zke!J+(ul@1p()MSljiK59VdsRU3yrye~(N1H*PQN`Lj~eHcKu{N!(sYu1U#tS#nEC z;`Tz)Cnf!}N3<#ot5kyhUm~+Aem^KE) zOc2Bf2pbWVq#$Af5kyR2&VY&{CImsm1QHYjNm0ba|9o@x*_*T4>M^=c_ut*4y<Rl za2SVkBu5jIv@;P5Hp9L&r5li@nrF_TtEMqw<`H`Ra znP2#owfxQ>{6!hcQl1J_qB2$3h?parP>mYYWHV|}n=ObrvNd(7M|~QwJq>Bh4(!Cv z>`D`MV|VsoPxfMO_F+E`;6M)IU=HOlj^Id+=2&8moWO~+;$%+cbXwCkJ5DZ0yR<{L ze>9LjmfW0@TeIZOl#Ixd2U0R7OD1?XEDqqO{BZAvy_B+7v+V8kr{2x} z)JG}#yI*;{(lPQ~aoqmk2Ssx$DAe3cC5>t4cH+Yk`c$?|G%lmx5hs@+7X7e#~na5|u zB>9s0e8oZ*^EKb_ZFZ!rPWyLFwtv^9{Tr7UULC5Yq zv`on%?f#=*dF<*axwbfNulGEoyPg#4?iN{eH-LP1!>mPjcTuRj2W8RSL*%=A!di6q zB!#+rMHbynCg0sOYth|16zXn{EV}!Ie0K}1MR$wHbyxB?iOXdxSjCU5=4aNhmY5}f z@F(jiLpjP*k;-hqhHOMtHlaE-*_2vrP93&jE4F4E>a#7|u|17w%#Q3#%#tQFr5U@^ zoIPp5KEy29p95&gK^($i9L|v(#W5Vq@tnX(oXjbl#_6=7EoX8z=g^LGIiCx-kPckT zrNk_`f{t{i3thR2?p%`{E5&Jd_sjPEptSGfGQ+;VFD0Y0%J!H|_UgUc_&|36%Fok+MP8PkLNWQn$)}psFDAZefS@d=h`QAENi{7pz z*IUWoB=(T?D>=Y@6If}J1e^zKjEX&)!C(Y=ak<4xb*JabT@rX@7({j zU(>s!@jqYF`+vsAsGM>9^EJKyd`<8F760bsfAEpN^fkSQG>EV1je$Mb_`aPx7{+k! z;%@HYKJI4}qj`|AJjBC{=TRQx2`2I+PxB1V@jNf`GI7c`iOIai>rCZMrZJs3<$I6! znZbw5Vm5P_%O`xwXMDkzEMOsvSi;vV%4TVKqKX+q$^i(HBS2e_U*$y*5l;iCe}N7__wzYhphiay;s?ycNfR)z5YmS#*q}- zjE~4-Gd@m!Gd^oAHscEv+Kg|=Vl%!)elvbxEjHs!3T?*EWw9COli!TrSc}d09fdaI z&$8HzzmngKWvs-?fn!5bmW^8OdHsen0%=(*gcaLK; z?n$A|c#teMy zk>83pSnEMAZshN_;;q(iV<3aD6-(X`z0>{SjL5EM#-yu>aoKgo1b-hgQT9}py_&Kq zS@w3i28lPhT+w`-l6hJ3RZ13T$%>Txm?giZo<3}$I;wT#2ERz=1#F5W8`#VjI7_>IUYxI=TfM- z%VaS`Iub)<{pPxR97E(uWuR$j$U;{pJRH9L?RqP}Xa%X)?%t6_ckh$$ZjQC+?h^`iw@4P_g0w{W*Y^9K<0U%HbTz zQ5?gu9M1`y#L2`gIgQh4LtD<|EY6`F=W;$5a3LMIm`jOSas?gfOc%P+jqY4S%#!Q5 zfu8iDH^ua&A2)Lgw=#f%4CZ!XmJH)g?qUS@a3A+GiU)X*F+9XL#`7pKOP*i?Px2Jc z@Ep(cVs@-dO~=Z#>{ywRj+JrC?I7*Hwj@#or&*<(%3UzmeEV?_J ze0S}wMRylbsJkm=(cM+#yX#>sy1S7=-Q6aO?go+XZn(AR?rsWoH&zziJxsp4iPoaK zr^$6!@`;v7vdO&0>rCZMrZJtECGYV*Gx(5Me8e2)@(G{v8DH=v3s}e^mhd%8`Ihhb zf#s}Z6+f|>Us%IhekW$hU#z1n<)}bKDpQ4+B^y(fYE-8tn^B9})L~1uqAuG|p9XA4 zLmIIIJF+vo(uCb;#vU|hFIun<`w_F`Kw5Gzhj182a3n`($I7W`54Xqc+k6W3_MI$xTSmUOpRGl2zf!2T^0Mfy68YXXu@=46pipmH%c8e>o(^2Z zC0xqoTtO$ga3$TiimSPX>$sjC^x{VPP|QvAqd&J2b7UZc7{cugWf;R5LCleRxsQ>I zVl-nI%Qzn95gz4no?s$R@ifo!953)9FY_vsn8Itk!BpO28q;}~m?IxBgPF`?HXk#W zdD(HYIPKDJvi-Xv?ccb}@cQs)O3Gx(1}TZl49Vsx*&<7}P099I(ljNzXUV=PIUq}p zO3ATVa!N{0&ysUfa)Aeqlj|HImlVhCWu9a7){#QJT`P;;ZXn-VUu)6Z%@peG4q5be zC;8s)w-&uUK%w3qlSOY6$oKZVwdn073ibA;EP8vJd~Y9Gi{3sW*IUWwS-zCb=PMSn zm?eC}x5OOzfn}^g_aH^wx%a zZ|$r_Z|9Tit>n`zSI9cjnJ#pt8{N5vm?PJ713l?QZ~D-ee%#D0+{yq3GML-BgJIms zU5wx!?&W?)@c<7phKCr(cpfF@$P-N9NuJ^vp5=L7B<9E~yvk&z@H$g@lWDxoJG{&L ze87jy;v?qpF`qDx&-j8bS-@8;VliLy4d3!TF-MlOf>r#;YJOo2YqR5|LfWO3v;A8w z?ccb>@TyTaCH1pphm^!6hGegl?2{!2rz9>fBqyfiJMJc&7ORh}GRax?H zzY%$ZBjlFixV_DDjNS%OsJFXi(c69GdmC#ldV82cy*(|9-ku}h+aznz+iMi+?LAra zHiLX`bFD>hpHir|C9>#kDf!-3T8rL(BG+5VCt3cIt)ndEs6a(3Q-zo#8&j2PRHr7J zQj6NuVN156F56I_25d(|8nFXAvNOBTgxzSy9yDh!TCflM5p(1~T5>Rla2SVkBu5i- zNu?~Qk&?|k@Osk25wc}*+-~hTMsM{f)Z0$7=xtZ>z3pi&dfS^q zy&Wox-i{#O+X>d9w^kJD?JQaJRz$wH4%VW#ODNP^H(B&{HTm9pS&QEKkn64Fv+M(9 zgBZf?3}qO@89~gEd%2I1jAAqoGL~^X%p*L?<2=Dcp5keq*m?eC}QoiGRma&|b{K!xI%rE@PT7KtG z{-O+JDNh9|QJE@iM9h&*s74KHvKgCGn=P{AWc##B8)y5sY1+SWd122VkdlM45?VarsRe!xj7}bX2~5Xxid>1NXZxv949>;A&(Tt?PH!} z^frM)y}cxh-d-i&+nd&+x3?+O+efnK?PK!2eQ7Ov`-(!n{UD3pR*>&)jkW0QHwyJu zQ5L;zK)$!?)}psf$@Nz9H;LQG>ai^i*q(+oW=CR~8#ouS-|IDZRVY-TyzntoP&fKjc@YbG@>rOWAtm z+zzQ%-tD&aD!6^Jo|#(a;Cl5c`Cl{ZRd#!By$#&1s#nGBjrBHkTch4a_57~~>TT?{ zS-q-mU#qu?+gA0ex&5kM^)hA3`;YkN-=8aTa#3V36d4RfP7I3-ha$t_pD*kE=gWHk zpZK!geH)Z1v#Z})?`1CRpKjyTwIzjKU5}B)tLyRPUtLeL7O$>tDD>)jzARo{FC_o! zdWE%kb?r={SJ&%h@#@->{Htp}Yw_xO3x!@?hsomA^)B+SuA{8QtLua0UR_H*?eT&i4M`Pu5X}a#WxqmDzv|*@&uaLUn4gDYe*~I&8sK z)MXp$vn|`PJ&kD0j_gdF?KPn(&Dfph>_rRq!P(yb&M)ij6Te!L&VpV`XEamO8O??_ zI!@jxj@$P<$LMYbg}VDx7TtY8zPlyXqPwLO>h335boUGS?*6hC-Ib+KcN@#1yK3aS zt8Fd1+mb@vHIzknJCN^gH*3+|9{f#r|IxcNr}&wt(V8}#!I_-RIke+E&Zj*WauFAE zDVK2to#@P!bmc0#a}C!Kv!n+xOKzk$#q^~g{fSv}8v_``U~Xq9!x+w8+|51Q$Nh|A zG!HVChj^IrJj&xd!9rCY>rZGJ`^Pin|>0G zl$`2;E9BmekTZ(o_AJjadMlz(Zm!TaZX(~?Kx@(45DN8n zuPk~SNxrvn)}psZDAe1tvgqvv^1V&57QMYeuD6m;dVC;@IWm)3%w`UAnMcf#&-sG+ zEMOsvSi(0f)T2HP*q(+oW(Rg+XLh9tyRkcauqS)5H~X+32XG(^Qj~?a~g}{_U9dZ@dv?&)<-eURiQ;O5%+m$(<<~ktGkLB;E*; zOi0O7S@Kd!Ud@uXQ}S+>e3X)pv*fFkEcU>0(#H|K%w3?l|^rxlkaUCYth@b6zXjkS@hPFd~YqRMQ{63sJFvq(c4kvdppTm^mYol z-by~{agMBrb2*O-Xio<&Cg#XxTuw(i(S;deDpB^r0^|aWnn7mD?D| zV1{r9L%EaTjNl$(j@-{k9$++M7|S@u6LaJ-9%lj*d5ULvmgjkamw1_1nZy)c=MCQE zE#782@A5t$@F6q#h}nG1T;}l^F-N{+K3}nr#eB^-e48C7tJ5xBlkMMiY5&F>LH2yr zlvK}>Iw^@af+USnvSXGsOG&&DBx#wFL$c(UlpLQWZBlY(mYkoG3$vtiO1gUBI4O37 zTw5Hs*L#l9TTcr0c8e@}8$iCdVb-FzyC~G#gR%9cq%s?@ zAsbPZO{h*yHl-GuQ->|simlm(`fST~Y)>N^vm-kbbEFAPX~ym}XHQzN4>3pf=KxxA z5QlIWhjS!HaSX?DJST7xCvys?aXM{i%bA?bIke+k&gTLyqyraoDKST`pd+2>LRYS$ zJJ)2#Npaex{j&W#DDB_dv;BKtN=9YL!zp<*OP))~i&^qoO5*auaWW$%v$EvVlzfpT zOH=ZFmi&~GU$UfZN-B8ZIO*#M*|0cnH})K(w`vsXZA)47R+oHl4Xs6QJ5Z>%J!H|_ zUgUc_&|36%Fok+MP8PkLNWQn$)}psFDAZefS@d=h`QAENi{7pz*IUUaJ$lG`awEMd zrZ4^IPt1|q7{DL~b31o1jN#nH-Q2@{+|MXR^B`k+h=&=^qddkFOyo(P<{6&jd0ymY zVvbB=GOzJEQ+bnVOef~Zd%VvKK4ccNnZsN@;Zr{23%+Cl3t7YxzGf-k@;%E~&PrDC z6RY`!HLT@#VvhX9I?7Ux3RI#pRkGt`v$RWVXZyEa+P`smVbAZHlHIao@07&lh2)5o z9GxYtQWBRJlA@HHmnD~^bT3l!?@4O#T|7Wv*juok_|q)>04%c8gWgqPOzodMo*)$0o9B)SxDtQH$DaLCle@sY^ZT(}3-0NMm+jCw696ny?$Yvj=;! z7kjf0`>{UB^zbQrYYGx zOSVnP_8vG|`Z-Q^DvsM-Jkw~eDTUhGR~GFZK)$`htwnoBQK-FBWYON~+Pj&2dxNb-dv}m)ujKO{_sd4|0HYbhSjI7)m?n?$ zI1`x2Q#{SHJkJZf#LK+OB&P5>Z}28>@ixPe|$&ak&XV&m5zwtYN@)u<&M|mnzi4Ca2MpR`Js#Aka*^JGp%@%A$ zOp|S>$F?+Jdm7Q09kZjQdD^8dvi;jK?ccb_u;-6Y$w^t#CM9u^A-OOm7iUT5l*C1b zq-RQcXUQ!o8IUD+rR1J0c`zjpWyzB%dBy|B$<2eVvg+30kq^G4&hJ^=SYs?7>?z5PT(X?<`hojblT9CGdYWM zXvevn&jnma2QKDPVvbxvM>^Amu5_b2*AR2$dTyX6z35Fbed))|+`_F4U?79JojVxD zo!rF;?%_V}XA}?cAY*ulag66tVvan)1fJw6p5Zy3=f&(enVNR#v~2&*Nc%S~GVJ*; zQnDaRmZl^wG9-91gNyOO_6oFtpfYrM`>-eelniCOX=d`tNDdBtmSuNmi)y!%2JLBRHQOhh*`2RRjEdG zYO)!%s7)QVWGm{j4fScjb~L0BJFp`=vnx&5jb`jYbM~SI`>-D|OAe$Z2XhFAaRf(l zbat$qn)Yz(Y!4TuJscMr_Wk84>69f`rz9>kBsZm`f0hhMNnB`1My6zRmOPS@$Ft;x zl)RiJZ=~d{ESZ^-*&cXJxy2FkX>r_s?m0$p^C{HZce3bh8TsCRwidnpN}=A$%c8eR zXH!Hw&f|RA(}9b)giE=cE9gWQuB01RaW&U)9oN%?Uff6@in)n?^ygM$ zjtpcFL%5xx3}ZMWh&ggE_c4-DjAjgD8OOss!lOLS6HMeOp5|Ge;{{&iWnN_xQ+SOx zn95sBV><5=bL0bNFq2u#=40kEFC8c478l<##jb2v#wX-TzNq)x(htskS9~4I> z?uXLVWu1bdUs{%-BsD$_z6FjuKrYdcXjFApG)ulQhIky>D^zmyS}K`z4TA_ zl_@{B%;#kmtoslDr%AsKVQ`Q&lmM>+pfqfSdmw-B4@)z zUd4*MiWRw%DKZg@ypk1}ibbYkkt^&XuW0{Q{0noY>OUWp{Ga?m$^YPA?Mq+O+q%KO zzNj}&8NM;Dm$Lq8!3vL8@*{CN_cOn+{%OIV9{)ud%2J*R#A(3>RAD3HbZ!%>vHoen z<{sB(3*vNc{nLVNJ&x14?TOR5#_YiQrv*(tj?=l_iPO0~*^4+W*q1n+JAgQyJBWih zl*2fJqi{O+w{H%fVm(d^PN&dm!MUOv$?s4qMP1%g~cjQ(c$Bx{FdTdJr*58pkdK^1)7j|X+9ogLD z*pV$Lv?C9Z#g06jBUpb&9`Er9oJ1@BZbzPJ{VdL*i1l~mg&ud{;_QN@Yr0(Mo?S@v zOcxUI9+r!b0Vx@rC3mGH-ouhSl#=mT@?=WlJuJ!Ol)RoL@1*4YEcqlQpJmCSlzg2f zt5UMs0~ai}IZA#jj@v&x$LMVxF-iWew+*dFZ&is&vVL#1JdWP#5R>HZdfU!=^wx-& zBb?|M7UdQ6fwv}OI?+Ibwkoli`X z^?ECrBUf1ONN2jxm2PzB8e)!IPt1{?^rAO?=u1Ct<`!;c00SA!?Zg}zM$D1B7{NW< zOU#i`JivpD;UUH`o=1r}@&prjlBalvXNfuTA~8o^;Z-Ixh1Z$Nn@r{H`iJ;_bG*%TOy0*mXdF7rL}18Cvwe|d|2f# z**eNnjtW$yGF6C4vN2VuMs;ekDYd9g9kygE>aq>>X~1?gq!Bx?BRjJTP1ucQ>_Kz( zq6Pb~A2CS|q$LM)2#0YvM{+bVNsi-qPUIv`=2T9jHElS9GdY`cXvcY+PkS!pA};1q zF6Rn5(U~jh%2jmd8m=QINe_B*BfTl+Ci>AoJ5q+G<6(GqJd8}oL%f6Kcz8S|6SL%n zl*Bt&lDASaJxgY$B;LW2%umU}Ecq@a%d+Ixl>DA00EBUa>K-nOMa63a8#&AXubL3v`VAXwKkq?-`OlC2gIm~4qF-Jb<3+A(cg)C+X z->{VL_?~4fXC*)K6F>6{zp|F!`IEmWLs`mGfl5@S3L6n~WD}}UgPLr{=G113>^Rvz z?b62C{%xA}@9zG(!-R;x?l>U*6Nv|9=}{>?Hrw5&r$5&=OU_Nn1zFNDC0(-Q+LYXo zB{!$!)-1UrC3j}Y11TBffn#NmqvVm|xP8p?jP52-sJoYB(cP=$yL;1GboVxey8B2L z-F-~HyDzOpcVAJcyB}oH-3s#Et+5u}{YIhgD$1g}4aj#_-CA_FDY@=Su1U9%)ni*4 zusscF%#Oq?*@azc%5LnAhX120faCo66F7-hoWiM`PHWn7CNWFSp@?%ij|*r|2QDUN z$z@zlM>^4ku5_b2F-xxHI&Po`z35HMlD^!;&GhG11~8Dp4B-xjawo$X!9Bz*xu20d zz-Y$s5aSr19V^eIUHW{seeG=Wzkzt^1b!57QNjB?1f=Nhgfrb!QaawEMd zrZ4^IPfU~B7{DL~b2~#B#&GW9Ztmee?q?LEd62O@#KVl|Q6A?BCh{at^9;}NJTLMx zF-;~hnb&xosl3HBre{aX?6gbgX8U`7+TU@ZVgD{m$;vGGH6?MOA*qy-Dp^t^C2^r4 zsh5%lS+Y|~cFmH#Q?g%{9Ga3NvZPf?PW8ZXa=RnsjN-UG%X5t0iYV0EC9>%4a`L@( zvlhKwO`+cU$fCEK$oDqTTJ$!ALcQH9i{3_(?`@p5=nWhAIN4flUdAW4s)4D%#qLeg83|9A&XeTH!S5lzGoTBS;>$5#LxV~udL;F{@^dl zP?qvkpc0j-!bZd#*@SA;peCD9i`r~K%#p3BOFin-fbD5WV|HLCc4k+aup7Ix2Ya#? zd$SMwaR3K$5C?N8hj9c)ax}*hbL0e0q!lM~DyP$$w%KuVLE5Dqvi;jJ?ccb_u;*_` zNv|xqIVEwCA-OXpBeLXyl*C1bWP*3a;sAci5C0$h!rnU^Bi|Lr?GJuXG`E66&HW~e z=Kdt#Tt#cq+y)eCZc|w_w>kOdwy_q?ZA+o%c9BJMP02Uc!df)9FNK;rTo%n8MZUR{ ztVMIDkZZ2w<0|LKia3|^xPbO_;9_EuT*l>eq!V4Zl5TY8YOduvZlDLf=uIE`auYYx zpIf<&fedB{cQBMY8O{jqAtuTFjN}1EGlsE@V>~fQ9^-K)FcBN*-_9jp@c1QO=2a#! zh1Yq5H+hS^ZA2XMEd`3)?FPYC*EMzfX^9|o-N6PB7>(^wveqGx2 zahYM)S4~OvEUA-{xXh3=O398{(kvx$nIUPJl0&lOn3No!C2dl2W|o|vk_)q>b4t2; z;1y-4BjnoRxV_$UjNW=usJB~W(c1v>y$!P#z1>Bj-X4@iZx500?Fnnq+mjUP?G;({ zHko{H)2u~r?@*|>IkM>O6Y{++uok^7BG+5V-zF}XtzZ>DvYMY+!&+jF{K22BqYULJ zPem%T0UNRrRoR5<)MQg?u{m|vg00w^ZK%(-Y{&LAqA@$NGciY+(3EEEPILC81^WOJ7TATx9rj zGt!@%l_j61nv(Ccr0_344s(=jSRA(-d!EraM*ky1R&ccb%+7cUO|@uHg+O9*txd*kDC@rAu>OFvQfTj|~3OYi|I2-Yr{tw_NGn@}+kxWOw5yR4iSsRC>2^>D>)V?^a27(--zuInDoD4L|qK;LZJS ze(LXZ{}C1b+y5se9~pe7V!1M9Dy;wEzponq^S!-3A$BXbF@S*#VlYFvojVxHFz#eH z|C2ve<;3Hk@9q8Pdwc({_*CV8@Cm^5y}buEi0|!B?1H zjkCYMeGjpZ^*DpLiS^DP{_Q=)A?tr@@0~X2-NkWxuRju7aU_Md;v=%yijR}uiqBe$ zt@r|kw&EMI*otqF--;hti>)}5LR;~3S!~7m z%Ts78ZX%15*&5Vj{jIo#$FUW+rY`lU&-z=jvB$9$cVcJO--^3?99wZu3T?%MWU&_DNd*hLmwu^aiVc!RYb^x{VT zZY$nu{Wbvz}P;}|2?avguyU0>@lMsB7*>vuQUPONd$WEip@eU>Pe|$&aiiX2}|2mi)%={K;RGp&T(wDpH9JsKQ26C1y!=YOpDr zu{pKbf~|;IvJLgvmIiE3BVv~9m>nz4)BbId?cbJZXUBV8F6NI<$w^t#CMEG+m*m2f zT%0AHQxflWNqVNFcb43ek^xzAS4!^5k_S`rP?kKIl4m^dX4zeike7<%_7%@DdYeq4 z-rkW#Z|{@uZH~3*?Gp<1wn!GeeNDc%<<_FNRTS#&4_WlKj(l&GtwnDeQmD6DvgoZ2 z`QGYVi{7>)*IUVlTAIk3(v00{&YrYjA7YN|&jGaLAP(VB4(CXY;uwzQcuwFXPUaL& z<8<24mNPkvb7;r8oX-VZNCz(FQeuu=K}R~%g|2j?JJ%3%9lPB z&Pe+=-siICzeve~ELob8c%Mu1OG?&eN!gTC$dYO)shK5Prlf9`?2wY3v!vwr@8hQ( zoRY&Nj*}6NkYkGD_BhWmdOMLqy`3S8-p(f9TYGEK+eH-W?Mhknb`|;FdRU9zZlq9e zx5=WnLF9WIZY_Gdn?k*fl|^q4lkaV!wdn0>a=n#&sAZCDGOzJEQ+bnVOef~Zd%VvK zK4cajF^9Q)!l!)37ktSA7P5#Xe9cn6<$Hc$IV)MkPpsw_*07e}i8=BY>nKY(Do~Ni zR3YZb##E&m)v3v5)S@9L5nG$%c+0MQ^C7rV5>XclUB{!v{f0hhM$V^&yp8X@^Y5Ek&?HvWM)ccd*C>^+Y$0t+BP}Z6^x#wx=w5+naoE2U&~W4kg!H$))HC zvJ+{=$(+h*w5BaFN6zAGifG4qoKJf?a1obqDVK8vo#?`qbmJB=h zt4v}Fuki*`d5dXG=Urlse83E5GK<-K%v|PW$I0TfOTWqX?~1g4Zp_*n`Nh@KXrQcr_N2u1s*t3?s1G< zQXIFJd6v;!M+!A}tt^_mfqZj)twnP;Q>eK+WYOH6 zH-6_&{-O-!C{INyu>n=sh>h8V>eOIUHe+*YvjtlblVls}u`LbQo`y7LM`Duf!mc!B zH+H8vd(wiv*_Zt|fCD**LpYSfIfA1&nqxVh6F7-hoWiM`PHWn7CNW9Qp@?%ij|;ev z4qTiaDP7Yp?Vj!5o@xKa1%{nHASHvdhrKo09rjvO`MZ0z(7@GrQ1)-Dt)hG-oebun+qYljJ~JaxjN*7>9Et zM-!9eIF9E;PU2)v_;z zZ+layw?k#o+Y#h@JHcA?)`~*Coh6IjipclY!CLfo359y=CX3##Cf{2xYtdUDa=n#& zoPD5d5JR|~p$ua%<81#nP5U=4G3@yRQgTq1 z9F>x|z>u7tlD1iLZc5?;L((xNU9#lbl-!UdH>c#*EV&~kfA@=#BOM`+6vypjo@4Yj zfkM5#B#Yi&CEwed)}pt!Db(9Xvgqw&^1Xd&EqeQkLcRSUi{4g{?`@5>=t~6ygc4rUvqy>AkFZ*!-2XYXH za43g!1V?c+$8sDea1yOJg;P15*0kkJVvd|c5$AFq7to##TujW7%eb75bfODg=|*?1 z=31`f271to-t?g_H*qulxs?G7WH3XxgQ48Xa7J(sF-PuaBo8o}F+9XL#%IULGim=m zpY7ktY5&FrhCTm&NZNyUWOw6- z)hu1zwDj&~rFUzU-rc&`AzOy$z;1}Q7Yf}5p-nfld*OnA|bv;HFudc_Fe|0_0TD-cpq0p=A`LcL*y^#E? z>lN1G)wMH)UR|%3#j9&i@~^J_ti`MAEfjin9VUxc*SpBSx{k6IudWZ0dvz`Oq{kDo z2|USDJj1g*&x^#_-YdMyWTx;sZ}2A5c$;^4m-qRA51GYB%;94`VIH6HIbX7XuUN!l zzUCXg<$L06Z#gSi#gDA!XV$QmINSS!KUqf^%29!eRAvJaYb{ zQI~C~&$evG_B5g~JF+uzw%3HFG-G#~vllJc2WNZ#2YzSo1CEe)isSY@&oR22L80zG zl|^@7kne7Zwdigsg}VDm7Tx_qzPrDyMR#Q>)ZNCi=&l<1?rK|$?zW^*cMWCH-45it z+s#^Zw+DaI-GB5h%_)B7X|$#dXK*HGa}Mn|kMn8IgH&irSm^X|FX8TtHlMjmejIg4MGl9gHVYf9pc zAW5Z^RLPPWDTz0NB=u6#AWL>i$*x(lcS`oll0#E+M3%Hl$*CTACuy`J#gL|9v{eJj?82hvzfzO<`Hw`bG~3c3s}e^mhcTr`Ht^d#&TBj zBR}yozwj$-`JF%bi!#IJ^EafVSC-tIl6WIXa%W0LWXS_58IvUw{H;YCz)$(%|AW80{-9&z zyW+V0!4Hb&R#2$9-(=C;pX8gXXf2xCfI`h}DvRbeC*RyQ)}py>Db(C9vS_X;`Q}pyy$vAW+c0a<+g%ju?Lk@e z_7M5rp0F0ZJxQV7UXev_lgals&06&K4uyJ~Ba7ZXA>Z2qYth>xa=n#&+GDwF1*`ax z)%?sF))I5%5B_8wWhh5^DpHvZ*pQ8=$|h8&CYw@=&8fo{Y{k}WLw&YoJGQ40joFc% zi8<1QrZi)BnzJV@*oT-S`*Q#-Ifz3zjKevSqd10RIi3?ZiIX{n(>R?rwB=0B<{a8_ zF6VOr7t(=?xs;eASJ08pbfGI((Vc6uqt45*TwveG&WYOD+7|vbX%{|=5{fuHX4>FdAc$o1#%40miM4senp5Zy3 z=S5y7=Ex)_^BS)+l{cBjbYhOY$NS9SLuN6XIn3n~KIJpM;7b;;kVP!vYnJjY-?NP6 ztYj5Gv6^34!&-hP=Ez^Hqb%j9KqV?uB|ALCFaNpoJcE9=2T9jHEoGGau#P(L_5yo0@~Ao zi@1bKxtuHLL>I248&`2P*Ki#-(1TvwNFR#1iGK9wR$`6}WDrBRouS;xa7JXu$(XcD z$F*DkcLx7;ju<=t)s#=k_V?Rqf4`gU?~hY5FH62k$>Jpf+v{#E z+PjuQ?e&#KdpDDBZ?Ls!?+$Y9m3-pke%VMKU^HVG%Q(gp)8sK8X95#>il=#&=Xrsb zc$rt3#1vlV4c_D}-ex-Q@*W@XAv5`i*?i1g=J6RZO}=D4U$KzIEa4lzC8o&_EMo;L z`H|K9%o={>H-6_&{-O-!C{INyu>n=sh^lNtb!xCFo3S~y*@CTzX|fIV*p>!tPa_($ zV|KJOPdmFswzFHNogEh$j+5h4a#EJGNl9E}NG?pt#aYrhC2^4<>6wz=S#nEC24u-y zDY++09&A_lUsnh5r=CpNGcvC$4?9L)DvsM%Jj-ZqGKHFZM;6V!PrkW1)}pyjDAe2{ zSv2=G`R0~ei{@5QsJTC6(cC)n%~iG*&231b=4#2JxjN*Vt8Xou+m2jwC7=0dB5O)B zcBeUe(t>@6NwPl&(2|2VghM%;BRPs=IF{o%fs;6yQ#g&&X+vAiqau*}Ghx@pn zQ9QtdjNu{1F`h?>N%8~}c#@}hhUa*m7qcT}YTBjKvK>4l?d`bCuz$Zu$$~6dnv(Cc zAJ&62VysgNbrQc^QZwoJ)?^Y;d$(f{gud&fIUjwz1Y<2=vk?nDZ8cZMvwJDYrW z?X5+37g4CYD`nB$Rph(tVJ*75kwV?wCX4O{k?(G}wdn3{3UxPD7TrBezPpLmqPwTb zbyxB?ij!oMd5zbZ%9~7MIx$P$<9%lEA+z|1In3n~KIJpM;7b;;kVP!vYnJjY-}3{@ zS;;DXVl}_8hPC`o%#y!YM_I~Ifr?b73NcGIrYhB_PE9tW7PYCvmTW~`wxK=^*p7xY zVh46)XLh9tyU~n2XwF`=U?27)X32rH7OM-QWBRMl94GHoh6T?qc+k6W3_MI$xTSmUOpRGl2zf!2T^0Mfy68YXXu@=46pipmH%c8e>7}1Oxq%7ZAB(-k%?R6th?y{WA84YquADV-!~y4 zxVtq3cY?E6KyY{04jSAcH~|`WcXxNUpur(naCZ&vaR2X|J1upnJHBz(xktXS_87mW zYd$+kuROD6S9MnsR$Uvbu8kva8!NAkqi-9luZ`8$#!;M&71+iKY~x7I#wu)M6}E9C zZeu03u@c)j8n>|;+c>JTu_D`8k!>8=*;tirtjacy%x$d9HdbaEN9Q(HXB$W8|400W z$$#)$`_$jW?;wYBAMpvUhXp^`{sjvnAu>E6hXqj) z4KW~xbFmQzu7?GQ*-nCFki$9G!-BMI%i&yl$l+WjWQOZuK`yrCa4rwza4tUzKn@H3 zf*j5jg&fZLp#(~yG|HkpIGp?A%Y(HTm&1a(Fgq-0Mka>^E%Bej0{(9A8or})V9)__ zV9*(62L^q~<;eXJ|5x`WJW%N%*dB`g*OO? z>x}%&w#>+H_zu?@>A|+lNH0V|R78X8jEu{+%*X^t2-g{zf^C_RsbNK0_`r2WW?@@q zWOn3$>x|6Dw#>+aFq@Gj$z(>BL0P!Y$SQ1CMRnA`pJrqu#v7w4Y;c{Ct=SGhTYbXP zL!B-J>Jy1U>O?|b#p2{+oJuC@WTr~wRV&SX+z$R?QR&0Z;BfB8$$X@Kj0R-a^j^HRl za2zLb3TJQ@=WzkDj$FnST*Woqz%9r+au@gT01xpPPw)&cAnV9$yun+%!v}nVtRr9b ze&VTSskffLan$^k*RYuLsZ^3iCz(}}O(z9ZQdlP?R8m?e)l^bbCk<87L?>-j(q1Rs zRnki*gH>W@gZ*S3d&p?J+>YfjN^cWj*4u0{>1`g2y)9!*dRqyz-nNiQZ`)z)Z9iku z+d-K1cA89jI|pNLVT?&{*J0M%BQojjDU7{^GbX*ghoLve#VWtZej^e*;0Z5yBN}8K ziHTTWI#q_Miyj4cH~5E_#!Xzp#Tb^Fp5Cdkzy#0 z5-5q%D1&mS09i*WqYA2_I%=Xe>YyI#qahlh37Wzm&Cvp_&>C&f7VXgyozMkc(H%X| z3xVi^evox!AO>MDhQN;D7=clGKbfj#=?p!87pVCwuV1mBtW(KGo$OSJynaP;OeH6D z5~>n;{fgv{O783Axk_H?m;&DqUa=^N)occezKlDB)MH~Q}P(4H!I9~%StA_ z<$$rb{ESI&g<#fODKhD;ER4NXWlVaj0khs3lSywj7<&s~OnPevv)+1=NpHPj>@A2f z>1`+sy*Vyc8BaC=lQ0=mF%2^?3$l*P#XKy)LM+A-EW-+{#A>X;I;_V=Y{nLB!*=Y% zF6_Zx>_;#T;xLZj7(#FYry%RdS)4;CF5nU_BMjFd>&Q*q!X4bjeLTb?Ji${u#|yl| zYlPz+-s2-a;S0XvJAUF9EQo~2@I(}NBN}2r){)qVgLsIKgh-4eNT&Cb^lFx7((^Z$ zn!kDU{4J^yKb@3UNhO`sRY?P#G*d}Sope@7H=XoV$pD@F7k@B)1AEA7yWFnjF-mV6 zVAk7iGU;s}jJ+LYOnN&Gv)(R}NpDwR?CmyV(%U_l_4blXdV2$7Z=V^H-oC-CH!m{j zEh>z?#br!-O8`S}jZpNQsExX)hX!Z_Sx1_}hGuAvmS}|lw1uoA9ncY-(FNVm13eLl z-sp>d7=VEY!VnC_Fbu~?jKUa#ZW0^i~DN-s&(Wz14?VZ!O5Ax7INB)`>Cc ztt-rW>rW=V4T7<^5sXQ1qhZ$DG&1RJCXBr;WK4Qn0z+?(%T(5rZNMgM##U^@4(x)g zBYUwA2M~-yIERMJN$ zgHaCtFmqT_}B$)LUlT3Px17mMV7?a+T!>qRqWYSw^7<Z2hVp$VG8AI;GMt>ECl}f^O@XqVf@JVxow2D9GUkx6eIVeG9ZW71o1nDsW4OnMs*V{hXblinu5thc#j(%S+U zdt1Sn^tKviy=^0t-gd&+TQFnN+hG`bb6lWumh2otaRHZb8DY2vSx0W-7Vh9K?&AR- z;R&ANIbPruULzdu@E#xW319FP-|+*#U_m5Ah9{!H8_^I0vW~Bt#-4K{CiX zk`k$4MH=`ZJu)B@G9xRpAqR3IH}W7a@}mF>p)iV|D2l-kB~S{bQ5NM;0hJ)@NL5rr z4b((!)I~is(ECYCHA@5Z{Ozpfubf^m=Le`HNGBszGDauURWeH_i&U~yCmU3n%H(^p*?8-U>1%z5NBV-pY_kZ{=a^tvX}UTP+xRb6lX(l+1=^ zXpWX>g#fgLtRo%J5uMQm-OwF95s2RCi+&h@fe6A748<@E$4HFA7>vbuOvEHi!BkAg z49vnD$T~6~3$O@_u@uX&0;?eF$Xcw!25iJ;Y{fS0z)tMO9_+(@1mh46<0y{dI8NXc z&fqN0BNP{L30Dw?Yq$YfM{eT|?%_Tj;xV4!nch!6s9E}1&)?r_{>teE`$;U7#MMbs zmB{G@Nk)}q(TT50uofd^fnI0-lj1oz0HJKZ%fFex8*SQww^KRZ4=CT+e;?B9e}a75XPjplQ8S; zGMV&t6~^A~GA6w}fT1_X`-iW|-rz0X;R8P6GrmIBkstVp->|>~k>LezL`8JOKrF;Y zT*OBLBtl{&MKYv7N~A^_q(wTUM@D2q7G#60BRP=^zQ}`o$d7_33|UA1Mo|=pA4;M$ z%Ag#|qarGy3aX+yYN8hEpf2j80UDtRn!+E=&;l*d8UbjF_K^GlmF&{VL6scQ$vKr=(8+a`+|tQYmAuf& zdzF0BNhFncvcZ0`jXfl~U2bFY7^Sy3FzYQjne>(l#@;e8CcR~bS#NpCq_+Yv_EwBB z>8%9JdaFz(y;Xy;w|b08Zw+DATPrf@tqqL5bzw|;>kdP2j`t4-k`2OO41pcPFao2% zI>KM+mG9;_b?f*my>hXf{FUBp&g7YA zyqn*7w}8GYpP`_0w2<>|VdveyoOg?;yXvp>7E#yu@mG31|BHWu*YUS_|8OU#mj|Dy zcFi(nB3TMsK9-8kw~YVgOrZXe-{hzM=NJ1wcl1BYe?(PxUPt6X?s-u9Xexgckq5iy zohs}6NhnF0$b;SU!9{d_DI&MTcl-JQEp+ba*UvpKGDhcjB0fIIJs#S7Cql09>hbBnChmPwL$9{Rwio>y(4 zbI143lEm%n7aOc|$Nceg&$F-6xpV%z=TSp-?wtSbdH5HdJLi8=x37OCjb1MtkI&CN zUtM13j`fF(fAa1Q@VZqqziQJod53mk7GJ_&VTpZ z?UVgC+=w_{h&Z4)T0}n|Irk^`|LEiYsoybQ{M_@oCH1yrJ_fnxquc3i=lZsLq}HQ)HK~&Z+lTojblie(rhAwEFWo_b2zfd<8=u z?4D=os&nW5|9c$sC&)d2 zmr3W2#~@zCS_kdC~x#JLkW99%X{go%3HG-$#7?7u$92`2P60=Lc`= z-1*<{p6`gFzu%6>AMBpb%&Bwd{MYMY#MkduSLe?8@1EBksB`E1ch8G2*ST~4yXQ&H z>fF(Be0}dvI(N(;Kll7~D&6OsO#k_aAou)a8J#=lzk9x`qt2c4UmyQQeEkK} zbnfWa&pq$HPv?&L6Xc#Zd!%#6;}3Sv{bK3);ON()k6$Cce#-nhcYJ^R-1GQNbnfUk z$UXmR*ST~4yXP0z>fG`8E&BK};_HW8GJJl+`Emu<>q&jd!zc zyfSX%9Ss|=j@x*3+{U}wHs0B=@y>>gmpyH~yJ6$q4IA&0+IWY<#ycD~-r=_KYPpSf zIc&UQZsQem8}FFfc(=o5IgBGXiem`Dah$+OoWg0G!C9Qcd4%Eu{xAMb_Pio*vqXj` zybuN6h>B>4ju?oEScr`{h>LiLj|51FL`aMzNQz`gjuc3VR7edg(%`@84`=>^A0*8D zn}gr7KKyLAdMS7!FAq<~6imYm%*1TW!92{zLM+A-EW>iF#44=8TCB%LY{C|7#dhq# zF6_Zx?8gBd#33BPQH0OIj|;ej%Lv0&T*nRE!fo8eeLTP;JjPQz!wbB^ zYlP!1-s1y4;WNJCJAU97ej^e*;E5>kMl{GO%y_56oI^@R1C%8`qo5Qw#%Uc5rEs4P{*3nivk3 zw!OPb{GH8hMjrPaGY+j*{F%R1^74ySvD*BPhR4j$kaGi>k*p{hS z74j}w4H&0l1IA=3HbxV;PQ{jN%Tx@2*;MRCCR4E|j8ky{V*?R{!T8fu9K-lnjK>5p z6&+VvP3Qg$%+l|cE>-VUuF&sdZtzbParJ||E4oi*!8$vx-rs*)HwNuZL%I!UXN^lb2c=??ahtaiE0&ZCs(azPf6KQ;Fkt{@E8a09ZE+{PW;!+ku& zBgjhf46>5E#4Ef(INsp{WF`3wSxLU(JAUF9EbxG=BwmPusECFbhy__m;vyarAR!VX z36dcNWF<)rE7HOT>5&n#l4Q|)NT+Ls(e1F%VRxY_dxpb;9QDg4n4EzlCJ5rDR64_Qe%p)Z-Ufsq)E zF&KyOn25=kf@zqJnV5w+n1}gTh(%a}rC`=MR+2T`mz88aHeeGrV=J~}2X^UMdPL3A z5Iuv>skto|&@k(7spPIso~lGHpdtCBlCL_6q!PJ+h9r(k;_D>2N>b@0vr4k*B(F*e z_{We&|A$}Ww~M``qFrt)^EjouYB1}rA(?d71jg=KF(%!$fmwIm$)vkpFm^YPG3jnF z%(@#(Cf!YdvAY?JNq4hh*4;8P>24)f!L_@MY)f}rVCc^AQ!@L>4&WdT;Ruc*1ScSC z$!VOyIh@A@T*PIB;VQ1<25#Xt?&3Zk;1M3K1hcQ$cW6yf^5ivoX8Dd6bB~cn>Q4SUKzEWGw;d*)w+teIxu4i{gm2}ZbZtkcO(mF&^UVU--yNvKLLvB7hSyq|X6F1I&%jMCd3nDzFYOnQ3- zV{acBlit3-thdNy(pwZ5dyCDO^cD|hy`?0R-mEb8mWeUxEi24=%TFe~6@sxhKgOiD zQZV%9I32A@Rt+^!6SYwX_0Ryajxf`F_q?t-uvcbNxhrOhoU2Z$@IHkMJFzc=_nRGV*#_onOCf$vMS$9*&q`T=bb~m3f z>249sx?4*o-EDxeyB&;4ce`QM-BB{>?l_Fyoo7tCy9h&fj-QmdO?C(Oa32rx2v6_~ zvX;EWE4)EC-r+qy;xoSB8@}Twe!&6{M1~ilAS$9E24W&M;vyarAR!VX36dcNWGzVz zE7HOT>5&1MkOi`qWJeCV2h$nx%ny{ti;}S57pT_v2JDQ71E1A}1Oo%T=;U zC!16vrx_#%RB}isCslGrCs$Q+LnjYZ@>nNtRq}xi_LIHrA>ZtB`-8_Qz5Rw+Z_&x5 zw^%UtmWVOwEh)@;OGhTXWrVS}oQz3tzA)?UZ!+nvIE=lOV@!Ih2(#YmkV$X#VeHMH zG3l)Z481viQl=AGXLLn3^gvGpq7P&p>5l;zgdhyTPz=KejKpY+!8nY^L`=pMOv7}{ z#4OCgT+GKpEW#2j#d55`Dy)I5BkQpNo3I&Mu?;)03$l*v#XcNBFb?4ejv@rdaT2F+ z24`^|7jO}maRpa#4L5KLw{aKu@Bk0-7*FsFFCgp4YrMf*yu$~4!e@Nd`-!KTrQUk} z#!>TEPBWPEsZ^3iCz(|urx_##R8m+cB~(&cC)HF^Qzs2o(nKe1RMK82-Br>{CxcaD zXM_D@AA87XyWEcDF-mU}VAk7gGU;s|jJ+*mOnO@hv);ClNpIU>>}@||(%V6p^>&&} zdOHVWZ()o{Z`Wbg+aogR?J11Cg)=6-y@#PU$4|=qBKwU<@PH@0;EiaIbtEQYAr9gq zJ`x}ik{~IPBLz|+HPXNb>5u^#kr`Q#4cUwdgeGVTe>6u6v_fmNL0hy(M|46LbVYacKraNM5BfpY zk%1V5!59KNhGPUq>HTD?nx!-J{9T~tubg7AH>^|1MxE?biJW4P98<{&orJ1HPBBRC zsN}v*o~z`QPQIw*yG|mjB#KVrsU#sA>?ix#Lz3I&HYJZydb7f;x2$B+TMig|%g>nf zRtRRjl_Hbg%EH)NRmP;Z8ZhgvF`4vcgR!>&#-z7)Fzc-+ne^5h#@>P$lir5H(3@KS z$1*-16EF#rF%{D=1G6CO$Xv|B0xZO0EWt9Yz)Gyf8mz;5Y{X`4!8UBiPVB-S?8SZr z;~);>2#z5HCvXaQ0W1q&h}GCUCl-iU@6h>6&UgLsIKgh-4eNT&Cb^lFx7((^Z$n!gdJ7>VTM zA|0m~emX6$QaQz7kEp9Yu7OUPsYFgONII*en@;+wWPnaas$`5#rl@4PP8O+TDI4r7 z2iQwi+vRpGk5jta0JH9PlSy~`VC?QFW76Gmn00rNOuD-QV|TY1lkV=pth<+F(%l;v zyZg+TboUKr-FcBocTr*NE-quzT>==obG)CJn#_u{@IiWHKqh2?tR>lz1G$hJd5{H7UfX^l~5T~Q5`i<3$;-f_0Rx~AZtle*w75k(Gsl?fVPme zqysvlGrFJ~dY~r)(Hniy4+Ag|K^TIe7>3~(iBTAXaTt$@n1m^qis_hvS(pP^OXgz% z7GW`#VmVe|mEKpjs#&^2&))-T{>mu^bN-A<&gEx|SblSaEqnL-QUZ-B3u5}Kcy!>(O1;@ z1AE1scZ)mk`Z@2GaNaHHyjx1&l}}dMIa1y$ugMgCNP#q(~&rTqV2|CJvkyy^DG0_0*=$Ax}erWc8?7RlW>&dc@~u^_u^nucD_!GRW9TaZ<+4v-t6Y3}&?=W$*09PC z!rZg+<06sy68~O2W#a(D#=>A@VX$#bEu}Ih~jnM>6VS_)K z;oskEZ{wiG#$sgSz{bXcWMe`4_u|Q?d=H-CIbPr;Ug0&~ARKS;4)5^+AMpvF@daP; z4d3wtKk*B{VL>E++dsI_FXQh>)-`|oSS&gCjd;PV3xBIyd3G%hvuD?eWb*7<1;%IB zI*iG)YkinKyS5;cXV=y+KD%~eOrBl4!tB|#Kbbtc4ubL7bp&Jb>^d4|&#u$R`)~lkIE2GEiVz&fNu0tNoW*%u zz(rif65&nckOkQwM|(Mu3%iE8#KGLYBjx^-OAdXI&s3Q%3owQMjyck5%OC^1DGFT<@Vi3s$l}y&jY?aK@ z$x4;1(a9E-Y}d&_l^kJ%BmaZ!CnxQ4dz!~6-JOG3ch||JyIU}J_lPm+?kUW=drv0a zeS)#OUyMn2kzm$cOfu;%4vgI;VNAM94zunukV$u$VeBq9W71t-{Gq#l^h!-FK4%@& zLwz(vBQ!x%_@g;mpcPu94cekTI-nD}pewqg2YMk8eb5iGmJEcfC4(^pb_~M^jDoBs zV=)dBFcFh671J;SGcg-;Fc0&w5R0({%di|Pu?lOj4(qWIo3I62u^l_G3wt1I$$rRM zauA1b1ji796Z**ilA5Jqdj8%~^H*LBV$Q!(Nw`kFs6<{2B8j4sXgZ0f5_vI*#Htb> zon%!>4xJQINfDitQb}2z)KE!nHrP)Nv4=FY%WY#Gqx5EjS#Rygq_>VR_STaz>8&@+ zdK*e6y$y%4xABZgZ&Q*q!X4bjeLTP;Ji${u#|yl|YlPz+-s2-a;S0XvJAU97ERc01 zGCUCl-iU@6kaZ+BWF3iz_(+IENP=XLbtENH!HP8SL3(6BCS*odWJ3<*L~i6kUgSps z6hdJXK~WTgA4;GUN~0{wp#mzQGOD5)YM>@+qb};9f!s^p4J?y2M<8|){C*+ZV& z<@P0yQF?m=v);auNpC-4?9GcY=`AYEdP_hiy(NaRx73VDZ)suHTXr((Ef?P*I-?7^p*wma5WUeC z{V)In5riQaieVUzkr;(B7>n_kh)I}&shEx#n1wlzb!0vkU=bE$DVAXcRzcR0wOEG@ z*oe*8if!0|o!E^%*oXZH#vvTWQ5?f@oWLoZ!C9O~C@$g>t{@E8a09ZA+{PW;!+ku& zV?4n#y`Owgv-Go`zrWS|l^24T^RZMCS0_nTA}<7yWK>BOo%pImUI-#7u9A{Esi=}F zI;pRcMmlMslGZxus*)aTu%8@Z59w={+x|R8>1`0qdK*n9y^Vvhw`q(?Z!=-m+Y&PA zZ8?m+t!GSn+XS=T_L50&2Vm?igfZ#uB+PodOeVcug|WB0j7e_~VCctt2t*&fpV-wb9iiv%1T}x<^ny7*PbCX=vQi~- zdO@;XCA)NTP$hDDL2^zd7j$x6CAW0)R3$HT@?IsMbP`D=o@}t69AyuQZkOAbJVxm) z4$OK>PA0vjg0Z&@j7e{qVb)t-GU=@VjJ*|OOnNH;v)(F`NpIC)?5!SS(py8A_120^ zdTRq?Z(SIZ-nzrko8xMafn<1hgeF&R@Z4KpwkvoQzrFdqxC z7)!7W%drxxum)?f9viU-rX2eOXr#{nF~AsoR`gx~~Z9XX9NIEVANfJ?ZH zFkHoT+`ui|#$DXU13bcGJjFA-z$?5)INsttKHw8R<14;H){$TMjY#l-C!)X`(e!?j zP|eaLdj49~{FT!S=6nv7JIk2# z77DZ8ZjwoFcVO)831iaRbC~t^kxY8~0%LC$#-z8%F!biQ+9Nhu9K=I>Bt#-4K{CiX zk`k$4MH=`Z9Wo#jG9xRpAqR3IH}W7a@}mF>p)iWzZxn+cN}v=dZ9P^pdSWcAc8O$Lt)2o zjKC<2fvh9rF#(e>8B;MGGcZfExSAe(EHuN@D0Ffl3nVB&|x)v%%gH!hVv~F1Oivq|#n4 zn6>v8nY33F#`elEChe7nS$nm}q`kT@w%3#~X|EZ~+Ur0j?RAE+y+FpKy}mGOZy1@h zHxkD7CNd`NO@X03$2A}G$rfM{7Go)vVFgw}R+F_@hYi?>&Der%*nyqcjXl_h{RqY( z9L7-`!*QIzDV)YxoJS}w;u5YP4A*c2vYOn+9o)lxJj5eB!86Ee@)ED`2H|*z5BP}B z_=0cvj-U7i3p@}RUWkIIh=v%5h1iITcu0VRNQ@*%h7^$1BsHu^3m>FMMr1-3y|?63 zv$UX|zs1%3m6HtSd=-^c*GYYq$VmoCYn8OsNmrG~Ne0Ovl?>6zXqAl9$xM~Z(a92( zEZ4~-DyWL;sEJyrgSx1X255xFXbOKcLkqM-YXqPz z+Cx^7PUwuT=!PEXi9qy$tR($00D};OA+Tc@MqngHV+_V&JSJi?reGSTVWU@4Yk1y*4VWF=XT4cLUu*oy7gfn9n}Iiluih@Qdc)C`u>3}*c;mE6_IQK!$&5z-ho2ri!G2QFF1M9=oYGx2 zn042XOuB0VV|T3>lkVEUth?@H(p@hYyBo-ubT=4g-Hj!a?k2$4-3-R0yV)@7ZW)<$ zw-UzgHZmsNZGoXX$NP!<$qwKk4&exnA_ONOtI27c!8x4A1zf~sgyAZ#;|6ZwHtym+ z9^erk<0+ou1zzGc!toaG@d2Ok8DH@ovYPzDZ$yFzJmCdzM1!m*F%b)K5Et>05Q&fk zNs$~WkP4}h20loK49JMg$bxLhft<(Kkn482y~$&g-tNGxx94Qi+bbA*`^cE|_626WMJAKpqQKZ&Y{sOw zcrfcNC7JYQg|W9xj7e`-&M(~p$2NAHtL`r8bH>O z#%KZ?{LvgO&FPY#!%QX93wCaV<79ucuc?~ zOvY49!wk%VtRr(V4-2pmi?I~TumUTw8f&l)>#-4=u?5?(9XqiLd$14t5sZU4j3YRP z5S+j%$U1Ts=MahuxP&VR!!@;^cs#V93}#kluyBoBxP@!tUU@~fRB>K9SJip9n!YQS z*;RLr)^Ogf>AYLZdAGLnZXJDBK0{sSXg%lM`p&xzoOc^K?>2JYZLIG$@$%rFx~@tZLHchj{a<{+%{Hj8%OUpR&N`tw~Zrs8!PyK=~Bsma7kZQes^Dq8a@_F z78Zj?>X6|H%k@*Z9u~Y|I~?yIhjSnC39g3)KiU2T3nC#hJRye#Q4tL>Acu3Y5eKe^ z1&P^Cf@F}xIoHF2v~0`aTzbgiTqb0O>tR7Iw&idx59DwzKMFt&3;u!}&J~3m&iSDP zN})8$qC7a9Q>TTM`L`;nK@JOQK@JP*!tAi18JQdww8Vc73!=2KpW^!}2L>G=2L_#C zc3{w#Ob!eNz<6LVj4?Sd7zwijgDGTkU@#rV1B3aD$$`Nlm>n3bC6fb#4KN-U>|jg| z40glpz~CsE92gvj@xb6bV{%|{5rzW;$HgkQ$?o7D?&BdIL1yGL$c%i6S9pVPxX#GW zY|D)NhVO8lksfTzjPybjL`5{X&d9iI%ZyBbgm9gaDcF`7nHpB4g%4b3WEQq%MrKD2 z6PDBLCEx6F`-8_Qz5Rx)B!B8HI^)t?EXYdY+FK&FrMIMzmE=#orDI%r z%LrLXTzkvOw)Ex;v)=wDla-`6WF>L!tsL9ZTSZjDpL(mqxU3}g(EzT!`Liv(wScT7 zF1xiH40x$7`%?h%WWNy!9`J-0 zyb%qulEg$T#6eudM*<{55+p@(q(Ca9MjH4a9Wo#zG9wGJAv)=!HP^K|jb!G7y6>7(-ykaE!nxy{Al7vvh`@zYEm-l~=IXAJ(a4qfU0JL|(xn zIi`{mItf*Yyn;n?M(+DBQ|3T zwqZMVVi)#cFZLrC2XPoja10?hfm4umB|WOyP9yb%pCAnQnM#6dj7M?xe<5+u|6NqRL) zGwJ!8OU>UryxqYHA#Zp5Z~5W$bL=In?Q*-8$0^-yfLV9D$)vk|Fm`v8G3o9&%(}Zs zCf!|uvAf%hNq6^P*4;}o>Fy1T-F;?Ey88yR?!3sPyQnaB7nd>VE&&YPInGH_lUb1# zK1h!Y$b>AAwIn-oAQy5Y4`}!wodHzlTsqb};90UANplBTes8JeRdS|I>! zA!|tobVO%#K{xb3PXt2NlD_DN0T_rN41ugA!!R5pF$!Za4&yNqlQ0ESFȽv(cA z$$TupA}q#IEXNA0()-F*wXf{Z`^o{eugFOT&ktu*a$YA_RU#)DB#%|{Oeb$uA}1Ln zzg6O)ljtgmrIVy8NuiT;D#@r5UzOxzgXfg<>>+>I<@RqLV^I``A4;MW%AhRDqarGy z3XHwgVN80f53}A{kV$W?VeG9FW71n!nDy45OnMsxV{aoElio(dthZ@o(%VcJdt1nu z^tJ?s-W(UJtS8%mP1uaB*oGb01zAV-Le`N32*x2C#!-aeI8Nde&fqN0;{q7$duDv?tRk_jrAtdrR)nWvMLDp{kGEh^crlY=Tb z!Up?ED0|3ByWF1UF-mXeVAk7pGU@FWjJ-W#OnQ3?v)NZ%G)F-jc(tw+v*`TV@!0%gvbdmKTQJ9G9vTBP)&)kaeUq%Ag!7K-Q7UsDf&! zj+&^2I;e;GXoyB=f~N3CbF@G!v_>1WMSFBWCv-tqbVm>LLLmB}A7mXFh(Q>PA+Tc@ zMqm_V9T|&pn1G3xjH#H08JLOLn1gwkkA+x_C0K^#Scz3wgLPPsjo5@O*oy7gfnC@G zSx5Hc01o01j^G$Va6<1Vm((l`)ARR^n!j?2!JL1kl5m}TQHh*lkVH{QG@Zm#iJW4P zSXJVqldLMqp_4)?DWa27Dk-az8Y-#H2K&hc_K=2lxoym2l-_JG>#ZG`^wtr^-g+`7 zz4eA!Z$rtXx8X4MHl8u*Z4%6Sn@c9WEr7AN6^u!5t6|pLHZtjLCyc!XGbX(qhM_md zWh!UM&LI>Ra0!@$k82nHIrBE7WQ4SSQ39^nIJbh1b#OLek=*TZB7-pnWG^|0M4+o!YR>Z4BSkGiOmD>}KSl80=t zr(9$od2W~6mwX~=?hVYE`$i_s{e-bOFUF*~s4#0T0hu(H7{=yOGbYWYg;{gi$)vel zFg90^F=_5Em^D|1OqweXV{_FRljdr{(46B!m8N7iG(&T=L@NZKEo3F>fR5;lF6f5t z=!rn|Mql*901QMBhF~a$VK_!&6vkjI#$zHTVG5>VI%Z%N=0H}G`B;EOSd67uh80)^ zSxMGn9X4Pim_TkvkvrMmjXl_h{RqY(9L7-`!*QIzDV)JsoJS}w;u5YP4A*c2vXb1! z9o)lxJj7!>!85(5d{DFWv!1`d)%=wc44xfgsU)sWlBz^bFi0}0B#TabRU#)CB*j%y zQYRHvQbi~ARnkZ&EmYE4CtX$2gAMkROY9+i?Q+|n$0)rGf?02)$)vY&F!nZ$G3jk4 z%z9fwCcQ0(vA6Y%NpG8A*4th(>FofFy@fC)y`6+vZ7?bWwz^uE$r}^KL8W-PX>#0nWQ^ z^j-N3ZJnd-oOjzh?{;wB?Wpc1_VTb;I;!jat+!G%<;I{*H`-v1wd=N!+P|6WM>Klwt+e{j*C`h&fteORB>QazF7 zdoreA8fIW7W@8TKVLldOF_vH%mSZJWVGVf7{zos?9OdIfZ~}7JcN%AK4(D+Jmv9+j zxQgqzfm^tZySR@Bc!bAzif4F%S9pzZ$YI}me84As##elY9QOT!9QH+m2Rso4-iQV{ z?EBN9Lqf(AAqkSg_0Yk}b{hCV4*N17BU}$1a zieF%yiWbIXDn^FcRE$j~N3rn`AFfj|8QU@yQz8|tNCVfYn2Bwfidm5ju2V4&+cFjN zLylq#p)g#hq95BOPzt5tIu$FiEmN^7}0JaAr2!rvbsW^u5u^5jDn1sogis_hvS^A7-sXCWf!Kunh2K0~J4-Mm7 zSDl^gQ)#e12RW|JLF7d)&Redis*)HwNuZL%I!UXN z^lWfO6UJVW)h@T$d7RQ+F32+Sr|$k@T)Ha?Sw>vDE5o*QS01vA{HeQIjLR}o7qX1F zcGr|`>8=^fy6ZqD%SdO)GUD1@AluSiU-ZMDx*Nv0EF&W^3a;HvWLvtM0z-F>Ygy)# zEx;ly#!@W93ao;xC2JvT$p*+;vKd<-Ysn7m#BRu1vJd+aj6;yMW@$k^e~YX6 zD=%^}=c}lsx=!k=L|)_~X|0mBI_atsd6A1`kV=N=WVA}g>13u#=ICUJN|x(nlS;O- z!G3a;J!H3CZujySrMCkx>+K|&^mYcu-YzpHy#}4e$`^gbCOGEVhJ*VccyuihrzonA9I(e!Rd4Y@MlS;noB$7(x1ul{} zDv7U?t;}PT-m1Z@w}xcWTN4<2YsHxK z)&^$1btjYFdcoM+K*prE!7%G>ESdB+0mj~DFebgthFNdR$fUQGF!r{QG3jj!481w7 zW7$u300(ghM{pD&I00ElPU8&D;XE$jA}%8gS8*LTa0|C_7x(c1kMI~z@eD8U60Z@C zw|I{a_=L~+itmthwIwgd|9cD~^tE8V!hO1vXnLebgTPQHND>Oedi#xx@y0 z%60aU>vp-l$tRNL?!c_M=Va2{D;S&m$e1+u1!m1fCX?o(z}Q@D#-zD;Fl#O)nKWmG zvAIl)Npo3Y)?9uvX|52A&G|7V&6R?oImgLpRkCWRftsj|I;e*Rkd>q{n!pBsG)D`x zLIB#J9onNKI-v`?p*wn_7kZ-)`k_AtA_#*q6m|^92#mrQ$VxIE6EF#rF%{D=1G6A2 z$z06C0xSd*$n7X{CEKg92J5gM8?hN%unpU>6T7en`>-FuIEceIf@28537mqgBxi9B zp}2rcxPmZT(|gK8HA|o9`TIuAUpc|x+2N;3EINs*5;?&jNvx7&I!UV%Il&;wrII{4 z`Aa25by8j>m2^@|C3SVuOeHPZU_ZIR9@5S(w;gzl(pzVk_12e6dK&;^Z^Iaq-bTW# zw<%=O+jJOvo6nf^wg_guttFG*Ho(~14#uRn-7xFzD4Fzj9LC2TD2wu_ zfJ&%>s;G_{sD;|7i+X5)Mv!%+DQswl=4gr52tZrCpY%|(G*HjqL2CZW2?le1oJuC@ zWTr~w1cPL`N>=G)lS*TFUKCr=l!h22pJfz(I z;4w;XzhTx}bTa8J7L2_mVoZ8V3bWqQkx6eEVeBm@W73;1%zFEqOnNI0V{hdclin)A zthYL3(p!BPd-G>ZdTRkgZ;tC&I+1loS9C)U^h6-~K-Q7|7=S?t!VnC_FpR)RjK&y@ z!+1=@WK6*{Ovg;j!W_)Sd@RHwEWuJN#|o^%8pt}b9viR;o3Rz!umigw>&Ra0!vO^2 z5RTv|LU0@>aSCT}7UyvR7jYR^a23~Z1GjJ+cX1C7@DPvj1kdmSvW~pQ8@$Cke84As z##gqYSWYXMI7@G@cOqzQSLvxNRS$>iI zMkIK^6JGE}G{{O46R{8naSeSsgN3J;DdC?fQ-nDEXaoJ$cfzWMPB4X z0sLob{J$Rmm*M|c4iz9PNo7<)HB?7U)J7fDLwz(vBQ!x%_@g;mpcPu94cekTI-(Q0 zpewqg2YMk8eb5iGk_?2bB!e*ob_~Y|jM96`R5eRy==r#>60*Uba+`f5xm|8k@+hS_ zE6ke9N+!+afU&v!j7f8az)tmk$Cw5^E_F_MRAuGvY9KkV!-~>)VR+6(ghfrL=C0s@ru0d9ko4AELxQqLE zh(~yWr+AJRc!k#p$2+{oM|{E;e8qSC#4lJND@kN{A_}|_4KW}qNo>SHJj6#rBt{Y> z(|byKHA^$;`I}44UrsS(jria86Onh=OIF+Eb}f%ny4wJ=?sk(&cl%)M?kHo@-Eo+8 zcacoGy8>f(w;7Y}?!m0Pmt@l28yLI$%$Rid4QAbWkx6$^VeBq0W71s$7`k)3o0yu+ zinQ=SdSpN*WPz+D*^vXekQ;eG!~f_UpfVp{71dD#wNM*%Q4bB!2(p$mg$>Qn94*lb z0cZ*5VDr^ML!I{Km=h3WGxwn;TVZg7=v*bkBOLsDVU1sn1NZC z16fPvV*wUnF_vOER$!IhSGKBsWryBZ4yb)aPBVCZIHQvDI=QM6In5w>tdeIsd8-mR z%^>-$5)Yk3S4k|LBvnZYoupGqMxFSoBp)06x!$|3f3CNq{&T&Z^fh#HydAFy&E1#^Fb2QL-x3}|dALre^&b$5eUHJ_CoudPscL#F!f5o5c zz3FSBpRfdh-fgBNCW%zIoI>M@B3N1Yyb0op1ptjIr<&P>pIpt z-#;DK@qM4`yv}vCauxaNx5!Ook(>V_H1o{qaruQMLuAQY>tY2$QJpKEpn?>WRp~6lT_q( ztH?&F$VREi&2o_s+#;K$A|JX%K6H!RG#A-471=ZuSxt*f4cTI7N4$nQs~olpe#OJ2b2GF9cnB-U5AnTbS-(_W3+4x;~38bUSJ}V zh~3_+#BOg2Q<=saOlJmf^Dgf(lMk59M|{j2KIJn$=S#lg8|L#Z3;B-4e9uyTBzAkt zSk6j*VHLlzhPA|Q?=SvlJ>{rCB`Q;e4cUl|sm7+%peD7b%@)*UOSWcPwxd4VvjaQQ zh{iNw7h<>9lx8%i1+CbV*6htb+5Y~&+0XTU>k4_dI9}iP9;3Ti6zc8^S#+loTnHIzknJCW~hcVp3AOa7s| zg8#1eF#rE;Ig(gQj^S92=LAmTWKQLD+HodlaSrY2z>X1F6L4$;|i{%3s=*X zYw5=I+(@h?w-9T|t@NarUi799v6kFTU-~nEd%2&13}P@3@i33_7(;o2VT|BOo?;Zw z@EoHV%Q&8A0xvR=NxVX=C6kG@(cA6hd+TQ`db@{0y*(m}-iDCxZKSd2 z?P&`2_JS;Wdx?B+Q;kJ$Z;Hpkxi-2X4GVJYEy?TiFITfwxu5R zX~2#&q%k|OGrO=GP1&6mv}6zVWH0t+U-st!4&)#X;ZP2zEk|-Rv5p+a@tnv>oWg0G z&KcQtazUD zlJ~OY3iVb?7QJmj zzPIg+MQ__vsJC5Z(OWa}y|p$Lz3oGx-VT#RZ%2^t?F3`d+sWj5D|zChy{w3HIgbmt zkWO4otRt6kIi0zZtGJqL=*D&2z>VC@E%cx#x6zB+xr093#ohE{0QYbo_wxXQc#wyQ zb>uOI@HkH}oDqy<6tRvx%X5rjEaREL3ryrCUgi~EV=_~j#v4rME#BrG-eV>oFq;qg zm{0hWxqQx-#5(c~^Z1qpe8*zGXK8kwtV*+VO*Vhmr}-OS1o>>JmXaD-Qa2^>MUbRX zN}6Oz^OVFFL6SBpIXFv>O3ATV(k>-uWy$#|>6j&5Qqt9l>*PCE$PLBudXx7Uy>+Kh zZ+FU~x4z_i8)z(g8%&|zhRLG0C&~9V+F0~9jzYb?DvRExkne4VvFPnx3iUQe7QKB& zzPI_tqPKf;t! zcW$L8#q^>#eTcQ>Zu-)n0o==d3}g_4d5DL3l*bs#6AWVnPx2I_c!p;g%~;0qJQH}4 ziA>@ZVlA1>6kcZ9=IM&4lU)(@(iIW67(O3%OUdq8vR6vt z7DIA)N{-Bu6H^km7?PrtoR=k+q~!7}xh^F)X31?SxjjqnNy+_Ae5NdRg*;Roua9_- z(c2IT_4c$ZdV7w1Z!Z{&-d>_mZ*Rz=x3|dm_JOhJ?L!Ln_LVGpn@7I4?~O%oKTxQ* z-(=C-@8o+cXDoWFNUpb%XFfKSRcAA5vN^S>!giE=cE4Y%YxSDIYmg~5l8@ZWV=)tYrMlrY3n?Bq{tRwyC&pq7B{XD=R9?Y(j z;c1qR^kMOof&Ui&?cn#WlAVj=byx2*x@$(E?)H&Icl(p??l5D~-4PV(?qpeXcN+Qb z+8c}R&ZSUym&u~L&g8r6W-Pk9fkNH&l0|oSkne7QvFPqTa^00Y_3@Z&2#@mw!x_Oy zMiFbtvpmNb#xkDgd4Y+%#LK+GYfNS;(|CjFyv5tR!+X5X2h8R}KIRiXWiFrdC9#%# z!#uua0pGER?^#N$B|q^q%UQuMtl~G;@H>C-Cx5e!a#WxqmDzv|smjJwV^eCd8MWA) zEvUnmY)z~s+fk40X~2#&qA^XfYo%4XR$6CkeVeq_$8CnshhtN6LYA~kN!(^gI;P~} zEa{SxxXqAsPf5=#xickwvt)2e9?p_s9k%_i`-AvX<5D(3=2K;fYvkqPczxBojOM0L zsJVA#(cDb(&CM|u&3#6p<`&ALxy9t0TV^bpTS=kj{*py=>&Z7)#aJ}A5rvwoEsN&r zl5eiQv1o1wa?O=I^U+k+jOMhU6?@Q{y@{1%KlY~$2XZina2Rblf}=Q^V>yl!IEj-v zmD6a)8Jxx0w5J2-ay}Q(kxpF9rNl~d1)b@_RdnSVx^X?RlHA12bf*VBDW(^_xq~~o zi@x+@0QYhq19^bKJjla5%3}=WafUIRCmG2oo*`C}(Trgn5Oa! z&q{Oqqik+}os#)kvLq!xX377$|9UVQ{lEQS?^0LEQN{6kjQ1Jc9Z#X|&Xh%W=aBF2 zLSxb0MHK4pYFTu5E&1+lF&5q3N}=xVmPL2{$#*x%SakOgg}NIdi|(Ex-`!Ya(cSap zx-0oNij!qic%5my$#iD$4zZTJ&rD`9n~(UIIn3oVzTiu~<{Rd-fQ2k#F-us=kNm_k zR_9^r zu@g<$h23b%?lh+*t=N;+?9IN!T5eL$vS@At^382(ESlSlLd|U>i{|Q)Z?3ViXl`c;HMfT>n%j$fa|arW<_;m( zT*<#rJWh5zCvp;}a4M&B2C-;f!D;Pw_O*@EoHV%XpsW z1zzMOCh-cdF`22n&KtbRTg>1c-Xm6$517S=e8eYw%3MBAS4xHNihr1DRyHi>Ik}Rb z?CoCq_*{?D!&|e%c+{?E>F8~xhsC9by-E*nFFov?9mYT5j?&RSrH6Nx9^O@Ycz5Yx z-_pZ=*`c58b(H=JzyEDN*}J6izdzag-{Ns8pGp7zO>_S~E?Hz%{%`%b9_&eM3-%#4bo&zQgXyx^ z7`#n>V=&uTYz#gow=pPrVC5UxJicWC-?508kxPjg`4d00oE4Ovk!zgCjQoQ?DLW%8 zIFA`wnGM*Gs+66PHJrzcti|S(osnBRj~Tfg_1K;Ul%0`HoX3pZmE9;iBU?F-8QGdb zGxA_r%*ewyoU$|WSm(!a0w?lMGx99sXVab{%Ff7+&O32&cFWQ=-F|e-Za=!G+mHAj z%k4+slnls{!6}LFu_RBXWK@=nOG$i-C7F_vX<71aN@ixsXDRtIOBSYNah9x1$towl z2K&iX@<(yJ{^dPJZ|jMbEv zkN=7KZFAj;Zv4Og2YY{Zh1^*juXlTo(OZ8C_4be~dV7?7ZzGIFZ%Z2yW6|5M{uDB`Q;e zs>C|73Du}h4Qf)0+SH*gTd_6UvK{qlzz#H|5j)X@UD%bT>`rr9(uzH4&ED)wtRn}| zhJ!emLphAL97(Jr$8apia{?!E3a4^9?KqROIEVIh;5^RfLOOC07jr3>a|Kt@g{$ex zwRGcpZY0)`TjE=}n*PI=MexCxfy%KO|izPh{80b14~{B`>Aql`MHHCGTX( zhbj3aOXj6yL6-cGlAp8W_mupZB^6V$L6&TmlFgm?JXz)n*{V2RxA7jMw|W%nZD(2Z zwj250_AnN`?M0#94v|G~hm-H^IAhV;8b*77HRvyO6>ab;Yo$Q!qY2$4EHcRuj zMK*u;PsxEV%o01E%q;pEH%90yWa&wm4k&?Tzx}B^Z+tYv@X-H$55bMaU>_#(orv)w9 zgVyZDKJ3f>9KeAb%pn}c;T*w{9L+Ht#|fOs$(+JzoX#1XMXV$3DdJqt;{q|w=&XUhk61NwU#VJ{uB`Z@Bw-=K2DXEYp z8>OULmeftj)>*PcN*ZNJ^OUr5;yUruGk&Z$Uib4JqqjB`>g_05^mZ)y-cB_Zy|trI zZ|BRRw~pj{yTVxX)`dd7-6V_Nx|8p%x3TE$P73ulP!_!nCg0moW6|3%a=n#2s4`kM zhH;E%0xvL;NyIwxDz7nxsZ8SyrZa=Ld6)N?$p_5lBR*yhpYj=>^Ce&L4fFYyg?z_i zzGo>v66?q^ma~#ySjBIwVJ)$a{Kem_ryLciL}jY5AsewV)!398)T9=**@C)k$<}Pk zcGPEkc3?*u(U>OeLaZZAX-0Ee(26~2&EDB{a%h^RZL|4%e44)}W%Ku(lyu0Fi&AoF zmRy^X>$Bw6loV%4|CHRDB@dTVNRbXT2xcXfGBKlBXEOGd#y=#xjoQnZS!oWD>6sYsqA$@H*3Yled_` zJK44JNt&f|v)Mf_&F;9x@cHm_N>*ga?m$!=M) zS4#HHl0#B*c$S=)l2e?xPJVHPoLL;NXM2y)TM>nNyF?bfT~5BYYm7y2*HNgq+hoz( z?c{swXDoWVheEwQB8%RJkne4zvFPn-3ibAaEP8v1d~Z{YMQ?AA>#gK5l@DaI_>hnI zggMORb7CF&im#c+d={{fMSRZ^e&9!bW*IB^geGN7X-H#sVrO*RtoOFL!rw{x1maf@Nj-<*;jS#n28;ub^l zzwtkM{M8lmLvg(RME~hhB zauru|4c)ko8@Q31xrH9|jAc9%c!7z$#LK+GYfNS;(|CjFyv5tR!+Xr+17`CfAM**HGMCT!l2}K+ zVIJSIfbUq$_bkn>lU3(kW`w-~O5YALCaC3RC0w-}N}DQS`=%~KM$7?L(A zIXFv>O3ATV(k>-uWy$#|>6j&5Qqt9l&y!WIkQ<8Q^(OBzdh1T1-tLq|Z+*%4Hqcn~ zHkd-a4UZ2!W6|5Y6zXk`EPDHld~frOMQ;nq^;Yul z5SPi8vyxv}#c!-(EwPUL#ow%_92KZYWvZ|t8?iCf*pwR7q!zW=g1T(U)@;Le)MtBk zU`HC!m?rE(tRqcnMsr%wialt}-o!exAN$jW138#OIgGX(!BHH|u^h(4@==z2k&>^oWJyYX%#vSIvN}u3r=*e-*U4|Lkd2Dt zbrbI~daF*M-nNoOZ`+dZt)a2#Z6^x#)>0O|?Mc431B^v)2T`cEV`S0W@#K3u-B|Q? zCWU&tP!_#iM83BxjYV%)lk2VI-yz;2>&~t8q?lgxrVrK;Kh+!mYxYgAB|p_056s0+ z_4Y4)hHgOV;XS2?_m&>sS9*AVb{G%u4J;jfp!9H1>EYng!v{+bAIc8npYU+$=p&_v zkCq-jR(d!j9j2e^9rC~Tr+ODR{`aSPJy`Yc-#1s}o01~ClmFxXzB!+m|2{zZzxV;l z|KMT2^i#bDH;A9=jRkvv<@;XlV<3YV%tJiPqddk?o?sXwc#@|W#WOt1XvQ**=b6BZ zOk@(T5L>>@-^Qup9L&r5sO*EQhwxT zma&4B{K_g;vxc?&No@Jnv7Yi&pb{HUg{s7s@1M2~n;Wl99qLkc>rl^meHsv3zJ@fS z?ABp7=S|t2=Cq_0v31ysz1f%8@*O}M%5EJFcixsGi7j8*t;31VW6O66vE@6Rc9h*Z z6giJA-+9EA??O5fTZc=CE#KwDmhVcsa5Y`Img}(P`^T>hZ!;cShubN$b@-pYHoT|o zPxY=gK_4oP*GK%3n2JLvG!>tg#Z-Kb{8W6wSWLy2C^Qw{ki}Gdi~Lmlz*tPh4=FSi zzmmmNoJW2tes3(M;tv#>ioeNXD*jG>DwZ=AQ?Vk2rsAfu*u`!}P0CKiEuF_y+=gwb zM}5jp#m3HKD(=iKl%0w#oX1q$gF;jBKv_)1LpYSOQ}Jl$F%^#^cCjatpNeM~i>Y`v z=TLSkUf?{YVkZhs#j9j76|W&b6>m0n3q81%f0~MS8NZu;^v6^z`6l!M$AfqwAF5|V7OwE$FQ}SMxe43Kav*g>9e3vE5Q}Roe{E?Esvt+}RY@8*v zQnE#sY@d=How%D>;|kfiI9_-49;3Hr#1isPz3pQ>mXQ64C8TU`hdGbljv$thf9maI zvxM9T~$|#`8R}j!Y!hk(YUe*O<&yrV;DN zbYdNOn|FAR_lb36HXrgapYSPj`J69_b>ti7@huDZjzz>evXodye&T1Avw~k(MXV!h zh;`%-{^W1gQH~14I#QVp*pRAhOf_O1sljH{Vso~j4qLJ{v5ssg`=w^fr@xZ*z=AZ=X@9w}rCkZ87=Y zmKlrQR#K?9zhu$ddh)$hF&4dTM4{el%c8fss97bD?;3$sfSdQZaPU2)v?N@ydL8{MsLScsJAm^ z(c3xXd%MtB^mY-2db?T{y!oRJh|RVo?w|Qo5Jf%<4vYBgLjB^@-^Qup9L&r5sO*E zQhwwoma&4B{K_g;vxc?&NvtF5SWkH>P>IS^p(?SCY(h1vQ-hjpPHpN?m#x^EZP||c zG++lB(ukdC!Y=GaQ+B60EosG`v}SMiCDxGxXv0Aq%%L1kTaL`GlT*?xJw2PhMQQ$? zm(AbHQ*vdNT$hp?v*h-a^vRNYQgVNm4C(M6|3~(JxJJGxj@Pfe%V=&Mg_`?87R~)k zzPaCwMRUJXsJV)=Xl?`Y&24Hdn%j&*&21x#=IW7euCcLbZf6QLw}&j6+lzd22O5j! z4k6cE$&Ki7vg0|ClQ@M_Ih`|zmE>&Bp@h3;SboT)H?jAE1 z-91jB?w*xJcVozRH_=#h_cDdLn=Xs)-X`DOY-7>g$K<*zd4}a1**v~w0pGER?^#N$ zB|q^q%UQuM{K{{v;dlPvPyS{d<)}bKDzgC_Qk9L_giWczX4GPHwxAAMvNf@mY)3t| zrvW?Ckj6A2){jb`jl3tF)Ut=Wrx*q8k|fCD+0LpY4XIf5fOnqxVR6F8BRIfc_W zoijL#SWDVd#JQZu1$3kn7iZT>*ECDJW%IXtn!jnUa!eS@Ld5W@gD}Dfu!>7N%rzmaI(4DkrX!zg!`I6vyjd-edH(ovlEV+vE5#+yuM25<8&?=h1Pn9WCg z%p5-DGd|}_zUCX|^DPVcj>UY>Qhp@Xk!37rCBLwW)vRG{cAZp8v$RS!f2*hY8#fu| z{I)5npCvn`ByKV!d!}UXEIBA8ag!lAJ|!n*$(boRCrd6$$)#CxbxN+yl3PeFxWYOEBg|15^frrp zZ*z@BZ(mTTw?(q(Z3+3_Rv3%kekIpi$ulhLWa}wU1u9XQDpV!bkxi&Zb!t$PTGXZv zb=iuo*_Q37PXl(KA&uCHChWqlG-Y?1(~?%~No)3IUt%3OfHoY&!5qqAwB<-*9XW<$ zIi3?ZiBmY0(`m<g7WR>kqUjdvN%)uT{zJIkWE-N-k$hp}jGFA6nx zh%A~roP2Y~8H?slq)>Bb%c8j=^38QJ7R_Bkq2{iUMRV7YZ?1>2Xzn(0&6PaC-cQz_ zd$^bT8OR_WBvz6~c$6Uw%j-jAkt3d7c+|k(ZdnE4<2Nrt&&(@Fs6D zgLin3SV=x$79a8vpD>5Hd`_$+U-32bn9l;fV-er8gdg~kpIOEVe&JVsV>Q3CmOuHM zb(Et#6{*AqRG}&x6D!H4RA)14vN>B&hb^-!Wyf@-G|sM+W@)AWubYh8b8RbjxNWXY zMfz{(k4S0UWcchjE&aJOvgF*9#7%~zb4sqtk{eQTbC%qZlDo3xzLY$WC6A|MxD%f$ z>s=*J7su+{`WXpeMJ{i`%(_KHNoL`Z0iexR3jJfI&RS!^B$h7(;lR zCm7C?jAT@HtxQO>bYeDtr=eECY{%9Z!OQTcM8lzqzY-(Twe_m_H$>`aQR zC`DG3BKyiBt4fhorO4j0$jVY=mr`VPDYCl!xBsi>d{P$qq%5+_De`Gq5}+ zPLbVCk^811JDwsto+9^7MRq+!c0EPzdy9N}7TNg}`2;QU30mYns>lwg$PTE;y;PB1 zP?23wk^A5xpQc52LPb7Ni+rLMxgRdFBlr3eY_qei;vf1$$z|_YAilp+fnG_^?X@;ymlo2@p^@^_;~F?p^w*_WbyIZo&3jZ zZ)5TCdMAZGUI)tJ<8?6kkJq8b;^TD~xsTV9M?OZ&#xRcYOyC73GKtvky~=A$VJg#j zgXzrRZQkWQX7T~E`G}91!>4@4=X}Xme8YUcWg*|OnD1H2kHmIw8OvG8FRbD>*07e? z?)}Bztfw3ms6=I|upt|_Tk!n$nEs zw4fDx(we=o-HX53{lD2S^~PuQyT$SPzV{g2&7x3uU&x}nugQ0}$XIl@ghJi@DvRz` zlkaYwvFNTmg}U2B7Tr}R-(4MJ(cM-Q>aL+Iy4#6-ce@*l?ppE>-Tg;j)|~90c`B#V zjx#xnb7)To&f|P8q$3w`F_&@~S8yd=xSFn9OE<3PMq(|wg;+~&r6E8c#(-r;uT^onM|xDuQQD| zd5ammlWqM!NgMCE*_M1>+LABGw&XvjWJQ+zo{~SaWP_Ac&63ShvU!%&OG$$)**PV< zWyxMC**8lLNy*_^a$-tOapD#^_WoxU$LrbNWAs);q24Z$MQ@jr@9i36(c5(t>g_gJ z^maS>-ufAf-tM7LZ;!~Lw;|+v8)+0X+cZ&U{Cg9Z}w$> z4&Xoz;t&qyaN2StM{^9vaXcq-5~pw)r*lSjom`M+X{T)dc24s*z6o->dvi*9WXT;V ziEn}=52WP5EO|U7@lB9qOiIRQ$;&BuElb``$$MGyaY{bTl5bP;ofFqdMOVlV#qs)+ z_ZYn`r%-Qy$fCEu$@f;-SoF3bg?g(ci{7>%-`jS^qPOiS)Z4DI=&c#~-dY=r-u9tT zZ->dEw_idaXUj^hMQ;$%+cG}>_nXK@bg>A<<1&joa( z6BlzSv5s6pXS#3|UAdNST%TPh#c7uI&gO6bG=J~S=I^5^8JZ_Y$~hHX4GVJYEy?TiIrpoWiM` z&Kbl?ayI8sLm7=62j-xE?-D$>&+}ZA#)6 zL$W+2zhucDDfv4~HcZLJSyC${TV%=hDcRA9E2WBSWar{|-PJpZ=9*EcxqW2O-2UX7 zJIq)#cLar+J6RUZokqU7_Qs;Qb1Br^WwL0lGx_Ga8H?s_pipzYWYOFm+_<73mKAtz*MyOhRlhAX0D`g0d&NtcwwZHAP9yE(?9yU!@p-9lM(x0rl)%Zx>LD=F07 zU$W?KJ^Ajc7>n*UqEL6WWzk(-^4--p7TxVYuDg;)KAOs!(VP~vVh>ugH?fxN$Nse8 zKn_O3|It0b+5UWcI&d!Ma{(Rc#Kl}ntR+{_nJ!#KSFWKO*Ar{WP25a(deD<%deNI$ zOYY<@`qGa9+)Jz_19^bKJjla5%3}=WafUIRCmG2oo*~wf(Trgn5Odt&Pww)ZZpjJuTwHVOO~W0ZZjmSQ?fQo%BLi5GbGhhQZq}oO3AiavQtWS$&!{S z*)vNHO39&4TqjjsAx9O*>oMNru^i6{oW#kT%4xLYOwQsQ^1WSXEPA_$LcLuri{7p! z-`g$5qPJTq)Z5*%=&e8b-UbkGmSTy z&J5lm){*y#bz~N^`G}91!(2Y&3%=xQzF|HKSjZw4vxKGm$WJU|1uOZLRjg(WYx$E{ zN7k{P@>HM_m8n8iVjbCpYE-8NHQAin)S)h0u{GPW9rbCz4m6|@JJEz)*o~&_PIFq) zialw~-t0@PBL~oigE*K&Ih?i}nO!HRq*;1;Hh+uK{EeFobN=#_T$v@;r6g`LB)6xe zPnO)1lDNr`3`xloS@LvBp39P#Qu0ccypfW(vgE^*eB#7)vXLv~i{g0w%6p97=257( zA7s(n&*Xdi%~;ud;vE4NY1?ewM(cMZP$`#9v+pJ7K>dbjuZSp#-p zM;g+I#_U8Bc4ilLWjC7AjNNHY3tG~OJ=l}h{QH>X|51-g{s)iqrC;bhzQKR}LT_vt zzPDU2q3pI`x$_nLLTu-LV>M;B1%EqVM>)z`813_93=&`xD!_138F8IF!RV0^7NN z{9^EA9W`uyiI;%Fxyyc z3_d2eF(`RZNzGVU5v51(FONklz6F;+@6_lNkYn;c7{DVI!J0mMNj~Q8+4cL&X zl%0_^oX3o;#paZqky|^D8Mz(x*q#QIosmtP$Bf*S-6%UFTRD#z*_uK#@?cra$iq0C zvNQ5n=f`mZC-P4-@+{+L)1D&A&d83=J8^M#!_qa~E_BOoB)X>?iTM7?%}3vq49Jqf zDT(i|Bu}PfRF;fONqm1LnUa!eS@Ld5W@gD}Dfu!>7N%rzmaI(4DkpAOHgT2wQ5>&- zd5_WCdSWH{r`|R)9=%l~R+6&4)pj1e)g@Mvf9h=qas_|G!+HnSDd+XpldOM$3Ny_wAvW{F~yfa<6imqHk zH?Akvk(-Ehq&q$6$!+waH+OI+chQ%A4B%d39T`ZhBZGO6hk1lpM~3n^!x+w!jARtg z5bMZj#xRcYOyC7#9hpR|Bd_urQ<%y$-efv6c$;^5kC}YHY(65^kvV+IXME0=d`+w) z^ZAyAe8*zGXDL4t>&P;evyxv}#cE<5S({xamC`J&lFi@hY5vCdSLXb-DXE_&JEbJP zzmn{klD)I!pp?Y-SCZpXa#EI@nUZs|Znk84K)qaC z^wyt3y*(t0-X102+X!RP+fx+k?Ri=B_9FS-CL4?1UZ+rR@5`dMS>$`0Yb<*Ef zumcTg#7;C}7j~s7yVIPOv|>+Mvp4$^>&OAL;UEs?P!6LlM-uDEF&xYBoWMz(!l|52 zJI>@R&Y?XWIFIwWkd9o$#azneT)~xe;cB{aE#0`D8;Nz~7P@mQJt^jPdebMnPVP^$ zbWk>bhot!%-(Q*Y&!uE+mb{b_-(SVGAOE`Lt@N*3-pSGrQySl4`E&EqpIeY6KcwX6 zEcrbpe`ZO=lx&bCo26uPC$5!ET_sx;$LluUXLMJOLf!2wi|%$K-`yU@qPx8))ZHPn z=T}QsV9>$`(+sJiS@~}!jS%2=~ zUhZcggLsfwOCI4-hA@;T7{&-j@)S?=49_u|v5e<=Uf@MuViK=l9{fjNFwODj=khtR zmVCw6%ws+a_>M(<&k}y%M}B4*EBJ+9`Hj{5&RYKDZ`M(cSW7BWi4CYiRW>Hpl1+)V zWHV~AIa^SNEwgK7$23bDXY;pNn!hcw`MZBg4$P7xQgU>boR*R^vgF*9T#zN5Q*u?7 z+>nx+v*eDH+?6HwrR0Guc|0Y0Gj^v1E!l(C?8QFp%l;g|fgH>s97e1oM{p!Z za}39E0w;1Zr*Imla|UM->qvWwIG6LdfD7rw#l$*t8JE+UE4hlUTthdm;|6ZzW^SPe zJ-Llu+|C{J;V$~pj{)4necaCj4B|l^Cf1S17{cQ`!El~rB%`wHWI~#y6SMg{CC%Ts z#qilMGbOXL3n{k+HMtqp~GJ4zP49ZSBqQ;kJ$?I_gS`LgJ(Bl+I0Fc!Uap-^u($)dOJ zLcI-?MQ?-2_cqj6^fruKZzT_^jFydI9OIe53ru7Zv5vgTYfND((|CjF z%;0U_Ce7qt|Y(VP~v zVozGLcXpi|nr3O+Z2lgf=5O3$nDghPq(hcml#;l`kX)OR>$Bw6l*BEDq<>28&60;w z@@SSkm6B(&B4g3p5(@S9 zt1Nn3O}@8v#-g|K6zXjgS@c$&d~bD(MQ>YCsJDi)=xrzRz3px+dTU9pw~~ic4v@9s zAP(kG4x=qc66?q@9Lw>Xz)76Ushmzb&g3l4p*ISd8jIeZrciG$ z$fCEG$oDqYSoHP=x!y`1Qu#nOix2sTPng48J}1_ZulSmI%x3`$S;Y4&;Rk-?XO^*o zU-*^ZSk3ROGlsZ8Syrt=nW^A7JZlMk59 zhkVQ@e9Bxt=SyNG`G$FX%L2Y*G2gQ^yHZxAS-K{hzw6WdjT;QJzFJCZWJ%qW#0`d| zQA(O*N%NG%4ThvmN)Gnrm3U&9YEV`RQzPlO5 zqPuq~)ZH9eboUwg?&ced?iP~kuH@e&E|V>1CBLwW-&n(1VlDZLzgbT?Do~NiRAECl zVq>bYDK)4`Eo!p`b=i`w*@o??&-U!Vjx?e%P1uE4OPbP*=Cq&{d(fJ_iM3=u_NNU8 zaxjN-7;QO%qd1ylIgS%JiIX{%(`d&ToW(h`rvvA5J{QoDPF&2T#9DF%o$10=bmdyQ zaea2J6sK9*JDb1#)BKH_4DM=>#gM9B;F$H&aL#M zm|paz57rUC(;NS5_D!!PztbC!&BgEZzFzty-L%rfH%brREIpiFdiYj$7?1GHC>?#f z^zfb1!*@#$-zzqX8pQAP#)3V-@_jG&F_1wF z<{=*DQ66I`PcV!TJjqjx;u)T0G-DaZ^Gx7HCNhavh%Mh_rtmt`c$4YO;2mPi_dYY3 z#cV#}6Xr0N&-j8b`I>K-&jJ>*h{Y^nDL?Wv%UHomeq|M_S;JcXB({9(SWkH>P>Btw zLRDhR_fK1g&5hTl4s|KJb*SgOJ`IR1Uqc#EcI&X4^QP=hb6V1h*gEXR-t0?k`3|5B zWw#E8J8#R8#Fnq@*5O3wvE@63*z%oDJIZbyik!!m?>u75cOe~#t-~e6mhW<6%XcMR zxSFn9%XQfD{o|L1w;7ME!|jyWI{Z&x9^O;-cY3!lK_4oP*GK%3n2JLvG!>tg#Z-Kb z{8W6wSWLy2C^Qw{ki}Gdi~Lmlz*tPh4=FSizmmmNoJW2tes3(M;tv#>ioeNXD*jG> zDwZ=AQ?Vk2rsAfu*u`!}P0CKiEuF_y+=gwbM}5jp#m3HKD(=iKl%0w#oX1q$gF;jB zKv_)1LpYSOQ}Jl$F%^#^cCjatpNeM~i>Y`v=TLSkUf?{YVkZhs#j9j76|W&b6>m0n z3q81%f0~MS8NZu;^v6^z`9Aak$AfqwAF5|V7OwE$FQxacr zxo7z_C7)->w<(D)xFpL{@=KQdk&?f&WW$tfoF%nVvPG6`pOPJ&xSOft3fZ|hUU&5# zqqkg{CXv4osPEFookYwtXIJC|5O{;9Xi zjK>nvnOH)~_SVgLEFm{=BmdM}FXOR<+(948_BOzI^mZTjQ>M3)XILIHK7_}4g5iu{ zB%_FRt8J0d< zb}5N3w_8dO8REW;FLU^CBstkWR{Fe$pj~^le(^umy6@| zRqru+n?j-9-jzjfGs*Wh$5{0C8HIXVD2v_}lkaVrvFL3jg?jr-7QL+}-&+-9(c4B8 z>aDgcdaFymxBAASw;jm!R`Lu>Q&}^b(}Gs)L2LFV){*_#pEexG!5qS2wB-nn;%JWL zI8NXsPUcijqa9~(7H89*4xG#RTtG)UaWR(?>&O*!rVCfmm22q6^~5@I6F1YH9`vM` zUi9V;?&L1|(vJb$%Y6*w0S5CR5A!IGF_gy{#&DiwB%^qSSVu-PhH;E%0xvR=N!fMs zW}2llviUnJ&ENQP%bfo@CG)dnNlN0&Ey?PXtj&`0DTyz)B-K+=GfTEg$+lUtQ%ZKp zl9nmiGfNIi$)QeMCtJEgjw+7VW4y=c?RX0HcBU+PJBNI47aEJ+E}~FxSIeTeYsvR^ zi?QhKRtoiYw=8<=PrkQ7#-g`}DAd~sS@iZ4`QFAFi{73m*IUUmER$tZc%5my$#iD$ z4zZ5B&rD`9n~(UIIn3oVzTiu~<{Rd-fQ2k#F-us=kNm_kR_9^ru@g<$h23b%?lh+*t=N;+ z?9IOXU+mp^*o|f1H+-`+m?BM_h|oZkG$W$9RMKcsNwWr}S?Vm!rAV_hX(UZ(q)D?# zX{I6?49SoN-p_A8p4)l8$J_enx!!xbpY7Rtw(Ya7b?o1#u61AE{rIipSeEmQIkE>G z>CB#Vr5oMZCp%9LOS`mJwtow0{~nj^-_uiaW|o|vl8dtBs+3%lCBsrOJWEEU5y)3%hiF|jRtVMTS z$aS~&R`fvGLG<7d4x=Z%IFgtp$8anK`fwb_(~tg~#3`K0>72otoXt6$%Xys71zg0% zT*_q(;R;H*ilJP?b;K;Wk((ICEevM_Be{c^C3kZVqqvXzd60(~!y`P#<2=b&p5keq z;W?gXB9nNLmwAQBOyPCj;4P-|4(}1OU#gQCMOp@Ld z=*w}Oz=`zdz?GKT6~AFRw9rTSKwl)|EwX8<6j%-uH`yz;6`rd7H(w(w{bgna2I!TFZVH; z2Y8T&8N;JIPRx<9jAJ}c^DNIXfl0(1d5M>KmC3xu8@$O>-sWB2;{!hABWCb1pYSQ4 z@i|}eHQz9YZ~30N{J?yEXaj zmb6Ss++;|0Ov%n!(m5q@lOZ`MC5L3mQ7Jh#OHNA3sabMPO3urYAt@$3s1s7+lqq&^MUm`&M? zhBTrvP1%CxY)MO6u{GP!n(b)I_UyopbYN$8CFaN;bfhzT(v`jF&OXE(*`EVAh=VzV z!{|vbj^HSc=2&{uhvPV&ew@fjoXn}5&KaD^S)9W_&SMZ4a1k*_E@d!7xSUe1Vkp;S z=gIK2OGjq=cU0QHag$-sKbexJvSeaP++;-ZR!ZKON50}~W;2KHn9Drovw)xYnT0H32}}8n<*eX$R`Dl)vziK2q%u{g zMs;ei9x+F1Qj0p&r5+p6fK9UVq*>afEwcUFChgz2!La9dPf4dN**hh1dm%YIB}ZmS z-;~7dg=9cV&d!nxQ*ud`T%D5ZvgFp3+?FMyQ}Un#=Shh(&Xc-%_l%Uu4nSV)DJMv=+VnL9yPd%A&V*$oE#; zTJ*Ldx!%_PDPkj82~B89Gn&(aR>U0HhHYuXcC=%A+S7rZ*o9r$ojvHpo^)X^y0JI= zupj$#AP3WfLphAY>BW&8P0W$r6zI!woIpSNb22eUPUCb2a3*InkaHQt`CQ0FT*9SX z#^qeWm0ZQuT*GzTz>VC@Fm7cyw=t4CxQm!0_cDsn+|PqN%orZc&XZ@;E}f9=-&fQA zjoS-*{)3c!lqH{~ByKMxKcwWxEcrDhaeE&O%`AKRN!im+%bw0Ed-_?~)6cV~ z@h|(LZ1u~sr(cyl{krVwH)T&}XHVnbFsE$w+p?$MdHP}1O8zfMU+?>G`vtvUwJ7)X zzJGr~@4r8J?*FQvJm-z_-(S$X(EkRDSj-ZBWhuY0jODCgCBL(ZKlqcs_?y+Np#l~A z6#j#s=$C#$Z>Of&FX%O?{es?jjCa?L6nl5wR~GNC2atbv?P)FEU5}vHyX*0?cy~RK z{JZNJ*5cjuEQ-CmUM!1u*TLl9U58qWch_qv_U<}D7VoaNlYe);&sw~@K0xl>b?tXO z#>&Pqo~L=1=a|4G;%e_DUglLM^BS-7CR2HvcX^Kw_>hm7!N+{Ur+miee92dQ!yLZl zd*<>3^ZAjViL1RuEaq31vW(@dWEF9>_ZNS&h6+@o3RS7jI;_ijY(PzFQZ!FrctcDFJ#f)*W}xqXD!-WK(Y3IlSO+g$hWuJTC`V@V(qOji}q@f zZ?A#1Xm3-Bwbxu0?X@J|-gefaz3usj_Wq;KX%6-8>`5<<;3$sfSbEck<2asvoXAO> z%&DBl8Jx*koWnrQV-Oc`5iw0JC8o&`E~k_$8Ok-pG`XG|xQUy&h2e}~B)4-XcX1E* zav%5e01xpnkMJmu^CV+=it#+dvpmlPCh;OMOE@5W zyJzQXkMwi84wJf1_U7VmuE*msUT1VypjdaO$fCQ`$#-|Iwdn4AigkB|EV{dje0Mim zi|&R|th>8q(cLKW-Hovp-91LJ?w*rHcN58XH`!Wr_d2=m)_&{bLs`s{>C9jzpD>Hh ziCOX$Uo)FIe8>09V?GP`iJw`>B9^d}-&oEHerFYb@)xVAK+KZLRG}Kxslj^0EU8J% zk~-9-9`$L!Cd4e+oQ9Opn5HzNIW1_(R&33-v}QZnu|4hCk)7C?UD=&I=tO6_(3Ng< zXCL-se-7jzdTs@t zQxYEsNyhd0kN@WS7S55MO5^btuQHljOtI$vkVSKUlW(r7wPPESlShd~=Pg zMRQFk*4#F-Xs!+U<~mr5=60c2b9>36xxL9Zcd)f+?oe{gt^LkNZ&`u99LEXtqdzAT zljJl`X8>n%Hs^3IgE*fHxrj@+l*_oBE4Y%YxSDIYj_bLRn;FKf4CgjRatC)2ljL4T zF`D~%kcSw@nUYpn z(l#aWfsmwQO7`@2;ROEQ{hRB}oFx~Q#^c3aXLL81V%=RUi|%e9-`xmn(cSG7>+S(r zboVg%?#5b+?#5HByO(6q-K*rgn`$k(dzWI}eIkqQJ|o}V9Ba|t_vE@;`>l^fvc>$$ zQkJotm8>FW$zS}<8Y)nU%2cH~>##2Cu>m!yO12VGEkEB`s*h z*2FAnO&i+MjvZ)E2X-cA$!_dUM>?@5UD=E7?9IOH#{nG3!5qS&^yF}k;7E?$EO{g)k2?vR z|8bA=e7a_ul%-Qr8aErxmucyrn~^17q~z-?S&)*Sv*fpwtjLmzDXHSX6Wm3N3)@;)ChjgOeYOg>>2pYa7>@-^Quhwu2F zdHlcve&lBsvWO+bEcuOPtY9Up_>-6=tBF}skxEpd8r7+hoh$XyE^UzQ-;%U{<7UI2 z-!>)NWyww{iJJ{cx0LLYB|TCSHyV;cN{-8tQ&MtzmYkoGi?Za3lw6f1!%{Nbf%9Zb zXULtU@p!k_7`=_6SZ|NXqPHg*%TtW!8J^>LCNhZ^d5Kqel_|W=8@xrnw-2pFZ__E( z+gGyaZ8rJd=39&2exg`!%Vp8q@8o-{U@dy9Os==J-~6a4t3@5^QjhvHU=w1FY)(T; zXiQVKpgApQ$yRL5wzOtD+Oa+Di8-lG3iRPPPM{zCIf+v^mD4$cGdY`cIG6J{p9{E%OSqKF7{V2lauq|lhU^yle?b0#X{vDV0@6*}-eK{qQv*hiRyq6`PrsVT1`8FkUvt)5f zmS)KxDfv4~)=9~FS+ZeDHp-GFDQV`wdD6levQ=q3ZsRpZZ*3^n+b*)`ZFlm$?PV=` z+nZv&9V(074kzDRZ)?$8UyAj1nk;%7K)$y@)}pryDc0MSvgqw<^1a<`Eqc3^TyJZ? z`Ejpo6r;JH2YHAwJW9-wCwP)^JjK&I!*fhvA}{bFFY^kMd5zb3lec)AcX*HY`H+v8 z&d1E;Q)clwUlMcV8)ow@-!YeY%;!g9j{L$x7PEw0v_vr;lJO9rRp@+`SFB{yWr?J2n{OCCtc!&x#uCC@r=p0sp^yigjC zFL{m8+p84o?Oj>)_5u0cKCu?PeMYg~zL!OBKalTjk+taUSBmxamn?c)L%z4_)}ps{ zDb`zkS@gCs`Q92^i{7>%*W21}ezcagp)Kv$f%bG@XJU@*#_n{a6MNEyz39%~?8|-} zz=0ghAsk9i4(AAthzp20axs@Mn9I1F zQm$kuS92}baRWDUGq-RnBe;#*xr4j7hkLn?(LBI|Jj@s#<#A$;jAb0-d75W=o(W9K z&XYINE}fd~-)U+8#_fgknzzmB|BzG=ah7H;5=#N4B59d9{2Yeqql=7*4t6C=5r8+f;IkG+*P>b5sr5^QZz{YIKW;CP`jcLjjG-pd%(u%Fwmey=XTefEh zcBBJ4vnw%2_Mju3*^{nxqdWU#=gDDdm-fo`Zz1j9xV^CFPfy92S#o|#;`TyvRZ6bO zl3^){+Y8C4l-!>skEP_vESZ>+7qjH`l)RNC(^E3jf%9Z5XUG?&@%WY37`@G=SZ_bc zqPKa4PIh%7hm-9HE z3%H1jxs=No!WEQq6+^j(>xemWBR4UOTNus=Msf!+NABhxMsXka^B@l~hDUge$9a;m zJjK&I!*e{(L?-bfFY^kMnZoP5!COq_9o{46$cId0Iy0Ebr_AE>be>e2Te{U`yRvx& z-;-PWi+aB=`}*A6vZwR1r}0(0AIetempxrj_VmZHr$3cF{W*IY|At@6Ru`5%T~zjT zaoN))Wlw)Cd%84x>KFBTmcHBf-}Z}o|8~O^KWVjJl?oL)`p?lNPk3xw@}$QpC1XAI zC>iJR+mfd$R4DP~zwr(#mOSloSjjUUo0L53aeT>h9y^zmJnuiImrU^3w`8KnUrQ!= z98&Uv$2ui1_9?jPENHr*>4JBRg0>6VE_l}{XuP2Df_ILB)(cuMxO*sQzTh3Cp#6V; z!rc1)H`suh)S@K0se7fjxQEAN8oTQGeKuHp(NaKQvFxRNWF!v9x& zUGhKpT3`A_y}g?L*DvagONRNz^#aOY7A$tWgr&sg+;Ub>{<7e2$E&G8MJiK;xGY$Q z8mvcL&efzAGTh|9V1mjz86$K_lz;&QG9Eh&Fl(8h6G&b1>h=i0L)aaphn zaXGg;aXHtC&UB$G-PjwKbN~2};Gx#zvfyxvT^96}#bv<>{IAObzo>U>|BJ?j!D+;W z!2pU~7+ffe3xi9@Ul?3zEiMeMrr3qSt+Kc}|&E{LaV=nWE9r+`%BY$Bbi&;YX z9l6qR?8ra(lkz*VlH=HsRjI~0)StnD~<jYNEY<>uptl-!&px2GgNzmhzhl1H;-d`jZ;E6J-Vc`ZxcP00sY@>xp0 z%#!a@@+^}rpEcv4}9{=(hqqjB0B>AV_*0mnJZ9q(t^1an}9KCH!Op<@< zZ42ws+m^&6Dc@Ub$I)9`Vv_t*Z@XEKNz##+B;|YS?l^kem;Lys-g;V(Npb{7Qogr7 zj-$8ZiAhqfx3zQR4C@0pi?bQXxeVe0Vvbx)%#p!d#^qeWl?>%-uH`yz;6`rd7GjQ! zAm+&J+`(PkP0W$|7|jDb$is}`Q64Ae$XLcPo~L=1=ZHBniI^iV@iMP6nb&xOH<`-Y zyvuuhz=wRq3}TLa!l!)3=X}Z6#2lH!w|viBeqcU7@-s0<7O|LLS;{h25OZWzcAiv8 zyR>??e`}@v8=qg<^9@tdI7?clBtE~A?3j|Bv!ruM;`1xXK`A*TOO8s(u~~9bN>0s^ zb5e3%mJCTrsRQT9w$6}iOXKl+uQ7VNiDJFoDU06jA>Z3W)}psZDAwCEvgqx3^1Z!c zEqa?mvEJU7MQ_u{_cqH~^!5eCdYdPU-WHJWZHcw$?Kg70t^Jb9YS|hpQi&>5r8+f; zIkG+*P>b5sWkc%IfQ{Ld&1gs?8q<_5XwH_jq!nAU4XxRZwrtN1>_`W8W>;d4>_JC5 zvnO5Ii|*`0%#r;$fP*-gLpY3{^x_DP;%JVgH+?vc9N+{ObRVhoS)7?1NLV|j|Fd4}hBo{3E2 zMPA|+CNqWCd4so@$~(MA%#jb7#&l*dlTVn%=foWOim#c?9KK^N^O(;9e&S~qvWO)t zQI+@Y(xV#$=yD`Jjp!?v_xJKC{5?diZy z?82_>&K`7PPr9%d-PoIb*pK}=kb~*Lp&Z8H^x{a4CgwhG)R2PMWWhWCdLQu+WJ%bT^oMcSEg3ch^#^yAiVJ?soFs-DfSjdw^Vb zYrmv2RyL0DJk7H_#{?!3v*aaS=2a&18n5#vQ+bC z9KPjy=JEsc`H`QAS+a=5{K`_6v7D8xB4){7{LLCFP>Cv3r8?`dF6*%YHK|QqHl#iq zu`!#l84YPfW16r9&DfF_v|?*wmb9h~ZE432>_`W8&d!ytX_t1-_U}Py|HdtbJ%4OU z`eeyTDT!MQ$$2TcAWMd%ByKSzH>Kp3EV(l!_hiW`Q3)_z%K4_QY#vnO5Ii|*`0%#-~& zfP*-gLpYS4^x_DP;%JVgH+?vcKZnn35$~@_S0+Rzp%PB{j07PD%EmUKx;w=C(AlEWN0Q`$L4jw+4EW4y-btw6EfPLV}#r<3pP zTx-$W`4sEz3R(1a75Uz7v=+S$qgZcu%c8eY zPVCIC?9Lu^qBC9SN;kT*5Bm{wKkyjOG?othqmA(cItUo2zOq znp=lr&21=)<~AbVTqA4IToZ~lw~Z{CYeT-d4%VW%T`1PvUb1LzZ}QC@Y%Q8Qlw5Ob zzpm0-R-iA(aRUA5&&k9jIgQg9z?q!QIh@NN&gVid;u0?9GA`!|uH-7N<{GZ!dT!)q zhH)#yxs8$B!Ck~8xtCFl=6)XJA;$11F-e}_NyhOMPxCC#F@cG^z>B=hD@^7!Ugu5T z;%(mHJwD(=K4Ll_Gm}r5#pirUOp!#RQ@IhteXO&|JlJST7>{W+OaiCJ<612~Jb8OV7I;)3j4DNVb3XtwWfO8Y); zHthR*QgUCGJdzSO8<9Mpl1W)IB_(m2A(@tv8Cmj0O1{pL1u6MCOMXkqiY%#^k}3{d zeYJOntXmq7>wAsSTP=$9wy7+7Ye>Gg=GLOOmK5u4ds+0hBl+I;uok^_rdV(L%c8e~ z$oJOETJ&}l#d_-}i{4Hm-`kniqPKI%^|tm;6fczxW(b#4%9RY|8q5*Dus8nKydgcV z{e`{p^||}hNFObt$;$D7fe-xP2+O z@c8$K(ET_35IS$1|Nh?I|HFQ7Z`Y>rdwXMU-)xHC!mW&8B)4-XcX1E*av%5e01xpn zkMJmu^8{mgit#+dvpmlPCh;P1<@XA&GKJT8gEyJVJH(aW`+UGOK4Jzl`Gi?~#ut3a z*L=eqzTxcVM^OF>qTsj>$8kLUIFY!5IEA?KJDs@lJCn0Ghk=~O`MC1?$IlS2 zupU)}3Vq5Wb zS!~6($Zy3Dt;JTHPO+`{l`OX6Z1P)izO~qjKT&KeE|Y%6w>#a8S>SITe2{T#>)m;6?|#M-4?#t{B#D_&>)dT!(< zY{j)7i{9q>NbbmPY936t6l1cRjd6V%{?`W;af|YD$|h&o+v!H+z3fKh)0BLkCEuoG zZk8-g$^skzgv#}FAn43YB94RRbq zWHC!v$}(b-tRyDMANB3%gXK(gpKMvqP4(1RJr6-4T z1V?f-$IzQT^yPR?;6(a!GN%%=$Tuv!hGL);img~5I z8@ZWVxRnvy#_im}UEIUH+{b7h;6WZ{43F|SF-yiWj`2LrvpmlPCS~W!8)=tL&GzrK zw14B1F7GK{r({l+EJ#Uw(j{4ul2ut!F(vUym!wuo>SoENDQTD`EmN{}mTaGr9ew(& z(fD~|ozpqlRq9;X*;%r0X*}-lbw+mwQLMY8WYOKR+X74baxZ^?nYXR?(U>mcMr*;yGO`(_ms8h?iq63t^IP#E3#La!fU+2n@r^$ zVwSwm2TbE5X7DkeFpJOlf-m`+Z?b zJF_b>OZK26o!OJFbfY`_War9ZX%F|x_HZHX;p4L1eR@jH%#!m{a#5CCm6B_+WLQdu zXUV9P+@B?nrR2#hnV6Cnv*h)Zyp<)>Q!>+m^JEui$QPyY_?6cfz0IarZ$HVRw}s?; zTW&3S`<-IFRhC6>)yVf&(^~Xahhn{LE{onu$oJO5TJ*LR#d>Qmi{5r3-&-eZ(OVaC zy{)|=Jy3QKJvfBJ=t(b*B<9F597};d9LMqWqdzBc3a4^9XK*HGa}MWn9_Mob7jZF{ zav4Lof>N$xDA#ZuF-LCXCWdhf!x_Oy?jYvK-Q2?{?&E$QbWBd&C_1kZDY31~d7TS$v+ICv(#-ouBRB#cBV>ZHD)U zzf)2nOV&wA+-68NO35Z!(j+Bun;~hFl6G0LOGeyQaf*=)Y$JLWQv`TR)CkzZKIVwSL!-&oE{ ze&-MVK`Ua8Y{Ry+ zVLRHf1MTU+PVB<2?9Lu^Vo$oT7v0#Keb|o!IFN(s!J!<+;q>B2jwa?vZwmC~I8NY1 z`g3x2o(xR8bWpZ`2dDiTw;1;P4Jo-fOKwj|++s)`PRXNLGCn17iy?V6C9h@4yD9k~ zOFm1L=Pc=*lDNH)9F&qnvgD|g9GfL4rR3BsIVUCOWyz3~lsa&p?CuP?wlp5E_Zp+O zn<&=XowDfd9`e0CWG#AogkrrtBa7aiC*RvE)}ps56zlDMS@brId~dU?MQ>kFthafx z=xqV{-j-O4-hLz3+uEVOIoot+t8ZrXv_BOz>aiaXLcp#$R2d0GkemNz39$9#2ne512~9-IfTRLNiUAz zD30b>deevFIG%o-$Vr^cshrLkoXJ_7!$8hs5EpO}F-I&aK z$tTR>b7GEs#n;Sc4&O1CdCX@4Kk+jQS;P{S@*B%p!SAf%PyS{#6{tvMs!)yU)L=bg zj?|dO5*lHa(GIP%#yw-iQ5aw zfRvn_B^RdTk}SD8CD&!ittq)JOGc;UK?ly0`1xCpmB!-}USsq&j$*yNAdB8!Cg0ne z)}pt!Dc0M^vgqwo^1XdyEqeQwV!iz$i{2KK?`@^E=wL;VH{2`j^t=!j`XHLUykDh`q7`0i8*o_r!#;vIh%o;%OK9@LN4MGF6A;V=L)Xm zDz4@luHy!7Knt(^V--YVHw>Edg5Rm;9rSFP-6^|Ghyls&Cc_H^B{r|V@;<6pLZ+3E&mPivMv ztyT84cG=T9+0*zp)Gb@xuPn@r_x-sL?$;6pxQ z1|RbYpYj=>^Ce&L4RiRG@0rUF%;!gbCa(Avv6x?3$}*O-l2ybN-(URA8Y)nUDpaL9 z>##2Cu>m!yO)sJ(G0TFeP1Ey^-Akbas}!TN;n=d!5nWG>Y~2g)I8}ntXrrtVMqd zDAwO^vgmIG`Tka0i~cH7tiSbT(O)g{{WY)_{cTFI{+i38zn0|t+s<0_w>|&R-+%OJ z&7uCCJ?X^}9L3QbOKnZj$l!COq_o$L-^X4<8*?9y8Cflx#HH$D(@*Sjz!OS0tml*9)@l4>cbktKCf z5+4XjN>b7^OSVeMwpp@MN_NeXE-C4jB|TDdm;-mpdpbjoDvifuyvFFQK(XFVkwtH( zlke?ZYth^J6zlB@S@d=l`QC1{7QGFlSZ{aBqPJ1xdmCdddV7pwy*($3-X@aoZL+oK z?R9d!t^LNwhq9O>)0x3cK4BK06LaJ%zGgOa_>S+H$9xv>6F;+%MJ!<{zpVkb6U`nt=O7vY0Y-D zV|&`OBRjD(yRtib(234;p)1|!&OYqN{v60b^xzN<<8XR$WOkmMkalVRZ2t~O`!_xi zvga>J$z@q`bxPs`A<1nixg$$PrzAcQl8j5q(^>LDN?y*Aw^Q<7mVBI&PqXCPl+1PD zJn7;L`KdG>fAJclx5X6e?GIV>_BZ+7s#=TQ)}dH$8_J@$jmY=b$XfK)gkrsIBa7bJ zkngR7wdidZiuJaaEPC6Ud~XL^i{1_;*W21}eDszT=*w}OKtK9(GBHO^<8%gaCTDXF z=Q4=%xsZ#vgiE=M%ejIpxr(c~hU>VV8@ZWb+{$onV4PiZjh4NS+a3THp`MNQ_?C++NNZOEa{k%J+oxr zlpK&HN2KKFEIB?UCuYf6DH-U%dD7Jxa$#vaUhFkSZ-Xh;+qJUj?FRC_jj$HI-A=LI z9*{+E50me0thMNEJjHr@Nfy1mO1`(L)}ps}Dc0L3vgqwI^1aQm7QKB>uD7+{_*f)c z%&#nE8OvG8Dq@cO#ow%<0+pytRjRWN>#`mjP?Or!Wkc$-5gW4!o3S~KXiO8fpcz}z zf>vxz%#qf#p)Kv$f%bG@XJU@*#_n{a6MNE?z39%~?8|-}z=0ghAsk9i4(AAt&M^f^5 zmOP)5Nm()_C2@P<{bX87W@O11Dfv1}7Nq3oEcq=ZE3%|wN~$<;p6uleS+_JE*Y_Hu zw^|hIZBtqF){uN}&8uv2fJ}#9FW(b#4%9RY|8e)!I&kfwf&D_GRj9?_Ub0>Fk5BG8( z_wxV`@i33@D39|5V|j}4Jj1g*&jcp%A~8o^;Z>&a8gK9>Q+bD&Bk%J8)A)!P%;Xbh z@flz6C13LmbNG($na2+-;75LDA&XeTul&X`RMO9G4}hq~!E0IX@*AWyuvO zxhhMBrDV7R=Seqb$epF}c(>OWy^W$+Z;#2Mw_MEloZ6d{bdtDa2y+yva53NOS z(<#>5SF-4BHu>J>TZ`U)qF8UsWzpO3=E#8@L=O(( zFnZF9BZ)b3498NS565u={pin0oWiM`&KaD^*_^|-1#xIX{$e|NCEb7ZU1c-+RTjON-A;G`Ba!nmbe$%^gm@x!%^IxxN%@?lf66H-LO|gRDh!7gDUbD`nB#)#RJI*;+Jr zE4k*@e&^#}*(gSHKM(Q{V|bL9Bv0@p<9Ldvd4}hhz(ii)MPB9=Ci5Dv^CoZcHt+Br z@ADxaF`bW@$*0WXbG{@d$v4dATfSp1^O(<%#3cEJg)C+XOIgNpR`NT4@F#z>nhI2+ zGF7R@I@Dl2HlQZ8sl$fUVEZMzQX`mqm9!kne7hwdn3w zigovwEV^4mzPswyqPuk|)?IyBbhk12?iyQ*?zSM;-P-Scw3fA@E$!HW_HVC@EyOGt!EM~m9o)q|+{=B8<^dk$VaD(%j}x%-bOkh%W zuDp@Xm8sdeGA*4eag*Un>g$xu$&v*riJJ_`ij=I%l8Pybn+!>nzzmB|ADNHTwVgyMy~UOZF{|$Njy|12~9-IfO&$$>AKqQ5?;&+X74baxZ^?nYXR?(U>mcMr*;yGO`(_ms8h?iq63t^E_lS7fg; zh1Yn4H<`*i#4LHAm?hKrh#7p$C(PnAzTiu~<{Rel9p5vLA6UST{LC*bVhO+U8_QV1 zN>=eFF-umnhKf|83RS624Put8&j!?@Hg%~-eHySao3a@VX+&e1vIWiAl9se$Yqq5| z+tHTo*?}GDz|QPS%#uCmNN4t>E8XbMKH0f)SlYwAvOQc#dpK@1?EBMGa%PsCpOU!I zkX)6LYqDfmO5#RCGAbqaXUStJc`{2TrsTyec|9d>Wy$oE%yi&RWnX8=7p3v|mDd=( z&8ApyKgpuEh2(o%ZY_HIonpOJmPK#X$oE#$TJ% z-gYA2TPJJLTNiS@t^MBjfwF_>LClfE=t(b*B<9F597};d9LMqWqdzBc3a4^9XK*HG za}MWn9_Mob7jZF{av4Lof>N$xDA#ZuF-LCXCWdhf!x_Oy?jYvK-Q2?{?&E$QbWBd&C_1kZDY31~d7TS$v+(lS*?- z_nmB4Hm~4Ya%+EkZ~d|_&uvupv_bYXzG$~`+3F@`Pd6=lx>?!N&C8xP%$~--p;6gt zN!ioJWlx)wJ#AX{bc?d5&9bL{d#`8NZ||)-tHM_m{{Q%9pMO#LZ}u%~@$YZ%Ex6Mt zc$X=7mnpbnEqJFXc&912YAtxTDR{Rjxa%mGTm|nq1=FiwdKFy37QFKmyz>-X#TLB# z6ukQs+=UcOvVwP@f@xMT%?j>D3f_qd-iZpXY75?t3f_(U>_aN@@6VYln4ATZv*7Bv z;9alaU9aG7yx^U$V1gEWmQrvvR&X^|@OetXBrUiiE10GQ)3o5KtYD%ROw@uavx2Ew zaCKHNSqmm>!4+De!Z936ZwmCGFaQ4b-h!+6f+=1w#S5+m3nuyh=jTlR2Vd$-zrD9- z)BpPIy>ZDf-?&~t`OAXEj+d~MxSU(g3d&y={Ox!(6{tvMst}h2>rjLBh|9T})S~=l z!A6c7unBQFSN^h~iQ~AOYernowV);CFALf@j?1}r#N}Ljb|fwfb|Eh3b|)_9I?U(#?&p8exG*@4xG)$%u?vF>WpQC} z3Hb|yE3L(a!POMIFt}9~7Y4VHzc9GhT3i^6rr3qS6SBB47)SoXV1l){FnEDt7Y1+2 z;=mgh*@zOF(3J8!vX$f5k=w8><#*%`j$=o5 zpxBPwQx-dNFS=2FM;_q#Kn|t{|Fk2Iwtft~DNue#p6IwgCucV-1JmuopzKCsaJrGW zJiC#&Atg6w$?Yk*D@z_u$)i~^J|)j)$*U=OElb`_$p=~TSxUallJ8UULzeuSl4TCu zu88h`KR92wI01~KunVIz14Rdy=_cPl7H%L3+vI_mc%3}-&jOB8vl+;_4B`S}j$BO4k-=QXz8h!;Ilk9w+9=SjI7)r+Jp=h&eKem?JOoGOse3*LZ_BnabO|%X@slhkV2gVvc;m zr+miee970u9GSzne9v5dU_L+cGciXNv6x?3$}(0Eb7WO^o>WP@w0gFGYo+}gpJBO4 zZf_I8P36 zhFn`3kJo#R(c4WF>+Mci^mY&V-X5|Ry*)y)-ky;~Z_ktO?GkS5;Qa)=-g3RG})>sX@$<_1S=0)TS;QQlAEF z%%*HcLmJVTrfflTwxlJk*qUu<&33e9dv;()IB?SoXCGpY?9Txl z#K9cGVf3UIM{pEJb1c2-!*Lu>KThN%PUcij=M2u|EY4vd=P`&2xQLh|mok_kTuv!h zF_dev^JIA1r6aTbJ1Xtp`25P*@MKD!%94pGiO;VjZ>8j&ESa8?`20#TJ0;&`$xkU+ zm?gib zFq{#Lgv zBeSG$N>0d<0Vz2?d-WzpNG#eFRdRvEl zZ?&yOZyS>9ZSCD?BUuSeXi77h(}GsS9NC6#X~TB3V|&`uft}ceUD=&I=)|6MVK2I| zH~X+3`*R=%(}P1fjKk^0ksM9Tk=_*O%W<4QKl*brF-K10bOvxHXETs<8N~Tq$VFVj zrCi44T)~xG#noKHb=<&>+{`d;WjMDnk~_GIm?QTxiqYK9gFMU_9?i~^XVWg7;Liak zTKGTVFQ>12u(M>B(s>x}L?QmnguWzpRMExJ2`V%;4ti|$S&-`yG3qPw#w z*4@Rj=x#9i?uJ^6?yjX+cOzuc-R)_z%KtZW?Pd75W=jtNX6X30yu z%&Sc1HD2dUrt&uL@*W@XAs;b=kNJd8`Hau`lCSuNIeg3a%;g8>^CLeKvt$vA`IV(C zV>v5XMa+`F_?tCUpb}N6N_Ey@UDjg*YEqlJY)E}JVq-R8GaAx}#x!9Inz1DAvrH47i7thl*BED zM+Iv4^wd-O_k`-|LL-rctcBFJ#f(*W|mKXDzy0 zK(X$AlSOwc$alBeT69;DV%@DTi|%TX@2-Kh=x$Srb=O=L-L)j&-FDWZyY0z!xAw~_ zd&oM{nLX*sUUX+4VwUXB0UX4^9KxaWq!&kU6i0I`z3Ibo98W(^;$a@) zQ6A?>#_|;7d4^|so(W9iMPin`!mCW-HQwMYrt(g9uFOn(cviN%XQ$mAw;A^R!jvq@ zlHXGjw;7UZDXEbqby5=yFwPdT}8gP8?8le!zk9<-LmLy6#3r9Sc~2s zqgZdx$)dN3>2pA&QBE52qnbNG($na6w<@Do3? zkVPzEDZjCt75vUB{^T!KQ-O+9rV7=lP7T&0=15IyQHQ$JqdpDTgqS0n(~uGx)0Ad3 zrv)w9imlm})@(;Rwx>NivJ*SAE4#A?o#;##y8b`x-3PE$)!HU%K%z`jgdzxHV1a^|L4sfcgpGg^10n`c6cH1cGhoE5m@s3`3MMdfpKr`Qd+#&bRdw%w z`oG;>Wmi4xUvs=Az3RMUjydLX;-U*(*@yjzb)-87(u0HPMQ{4hH#<)Trdc{To4-TS z{EgcTbN;N9jLVYADT&(*$>k}TktNrqByKY#^HXwXmOPk}N3!JUlsuOuucqXUEcv&; z^4QZE@>NN^e&aJnZ!0L)+i$Yy?N9Q(RWTO5Ri{{Q^<>f8hU9zO%vki+m}0$cBa7Zz zlkcsAvFL4QiuKl67QJ;N-`hdPqPL#pdMkZUrJt<80FGiHgBZ+l#5!^!CozPf3}ZMW z8O3N$=M2tb4C5Hj1SWD0lbOPKoX>?!zK_A%waC`h;`&v zZeuhSWe&n z>hJdUa+aK460c|aoYCD_igkCcEV{dZe0S4~MR!+Fth?)F(cO*YySv?3baw~Ex_ej_ z-7O;D-4bKb-Ln+y?sZvo_a^!7J}?&DeN3*q(tnruooof)^8-KeGpqTPSWEukPu5U@ zN~}c{s!^S_S%;d`q7HSb#|CUj12$q4Hf1w5r!iZwCCz9~OSUG~l2){)4cpU>_H?pqTzLzwyajh(1uJ;L)wf_3FIdG3 zcJl>S;exAh!H&M*N?dRyF1Q;jSkViv#s#Z-!K(hh{9(%f;K9EZYbyF8yGi_LZ!FkT zE#H@LDbtwF672DBf6_=Brik};csrVJe zrsB`Cn2NuUpNbWX#Z+92VpFk}EOxQ$QJ3;laU;hu6*r|Jjo6&>Q?a?@n2KAmHRY$` z_KssJwx`%s>?Dh+xEFg&Gl6ooGFiRSzWJ?FWP443i z*{UR7xA7UHx7NfG@^`)MY&@2b-H0Wmd~cl{M{nJTCFJjV>uEfeklw@+Qogr-j-$5$ z#1is%y`5-0mXIOD5>mdmQI2B?Ih`~3yWS=mk0oR>Qz+ltRL9ZVrCdh2-b$ZlnQ43$ z*D;$Ln8RG=5$njU#5yvc1>DJ9#5!^xv5q{*Lp;Jl7V{Xfjyy@MBTw@T&+$C5j=aoL zUgb63U>R@m4zZ5B&vHKGBR=6%VjcOCSVz9$TUM}=ANYw_M^+Q-$Z!14pZrAyDiP~Q z6{=F58mvQ2VjZc&demcmHl#iqu?ew`Y(^s*(}XQ)Myw+(v-6}~nx!4G`P(tg-+z6{ z^}p+{-5=^Kd9Wm2ANDz;yG0c1?paxM_X7FuUN;uqy-Bg|K9)sypONqGJ7dw^_Y~{y z4_S1#hJ1I`j74{AQ>?oUWYJv%^4)E2EV|o*Tz92Uw6v17rVZQEj`nn5Ct@wxmEGt_ zC-!77I@6VI?8|-}Kz9z}V0v;0y*ZS=9L^E+qdx;Unt>d{V2`*nv%Tk{z;SkCg1~z%`|>Gi2YAc-`M;jNT5USZ{~PqPHW-_cq8_ z^mZ)8dK)f_-cBXo+Zbcf+u0QB?R;7Eb`kmBrW=diuB2FRb7axmP2_u9U@UsOi(GG| zPqQqPE#grg;|ZQ*3C|Gg$n(6wOT5f0yvpk=<4xY?9p2-8KHwuh=2Jf73%=xQzTrDo z@;yKD6RTLwul!D|BY&}mid3R9Rj5V{VjWqRn$)Haby=Scs80hnW)m8+8Jp9DEoe$J zTF{cM*_KvpM;qGGjveU0PV7RgBfHa)J=l}I=|Wfb$Hf_g2eT^tK+wdfQYMy)`1=TXSR4+g23ot-UOI+mU>4os30qdy(s{ z^j5UH>_B>OFugd0KJ+Elks~;g0{uCPqZ!0tj^%hx;3Q6FD8m@eNKR!mr*Q^nGKO)S z%>+s~he=G~JYpTWkc+sOOSp__OlJnMj$F+(%;H*Ra|3gj%T3(OE!@WKEZ|P=;vVkh zejeZ<9$_Jid6dU_f~Q!*GdxGEBQNq2OL>LYc!OoUm7OP_q?!GBHnUfxIUlzet{Q)) zq(YWdPf6TjNH$E#Mp@E0C2@-(X`Pbovt;M~4gYlq5m~pC?U!Xe(?8Wa`=lA|+R4$&D$QmnCq=L%+U6<0HpYq^f=xq%y*%RFu&){)zp&mG*!-Q2@{JV2}? z5Az6%Sj=NQ!ILcEX`badUf@Mu<`rJ$b>84j-r^nJ<9$BhLq6scKI3z~DpvDrcAivDGrL+gvume0A2%4T01Z>Jd6u+DN!(yac1X!iS+Yk;;s!%B|HIF{o$ zfs;6yp`5~SMsO;lIE^!ibz}@Qt{EZt7bN;rJEXb1k zQ}R%jJe87XvSevWUdxi@DfuW%{wsecvY#_#_Z34O8N}prD zP<9a)a|xF*jp@uF){(2ZhFM(8Y_4YxbGeC|xrN)fodw*% zPw*5=c!uYQb>u}}Vkxii8n3gAw}^G*UEX6kAMg>M@F}131z+(s-|`(R`GFt#nN|G4 zul&xR{6z&SvKE!8N;PV*4zZ5ZqBiSMm-X3@`fQY)CtIeO-8`Gwt<#*38w}^kZYk-M zCEZdIw-=J$De0Rf15y&V7m^_<8I~odr{t_GnVgdIvgFc~T%IM@rQ`+&t|$KUPySA1 zyx!_FMsM>e*4u-!=RvU}n zexq1#Rb-GT)18CpK~H+on?CgAaAF1xy;MX zle^O_y)T=;i_-j!+Y594g_OLUC2ywWohJC?oeRQ7g{vbTGdz1=H&8-K#yWuu+T-gfc!pC9YBAt=}o6l~-QPUeD5 zLBR=Ka6%Vs=n78if|I&nQ&(_e7i{bbPVRz}yI_-0aDo?{;Qv=VGG%f2=f6Mq&m)rs z8>E5_Qo)T@!6vC-lT>h%TyViH*eLxU@!y~P4<7IPw;$`ZQv0#qc#W%TM~Yot_m#!f z^#Jl$*F%iO)%8${U0sis#ntr~@>kcBjm6dV6pCG4&y>a0bu9U->m*}wbv>72SJ!E> zxVm0J{_1+IvADWkPwwhk`mD$8viaP>o!rem+{XjNZtr0pVG)aYjK_JBB|OcuJjV;X z$jiLKtGvz|yvbXf@nKk+lG`IXr1{lTBCp#qht zOckn8owZqqn$)5Wb*aY&Y)At(ViOv&8Jp9XE!dJ~G^Zt76T7`uw5AQ)(~ceJz)slh z{criP-uR)@yZSf$*Ecw^cX~AKX`V=XnzaWwN1iQ-*XMo8XzwM8wfD9x+Ix?Dd!HJM z_P(H4dq2vey;bDf`^#9gSCL}vtt*T6YLjoTzOiU;V~VxcR2J>EAm840#-hEp{7rlR z(f2ex{h5c*heJ7x!#R?E^yes!W)R14EXQ#ICvq}FIfdbj;8aF&8fOrz$rxfaIh*m6 zFp)`2Ay$*~xqyq9$|YRJG^TR}S8^5CFq3PU&GpRTMs8vrw{RP`vw%Cei@Uj(`*?td zh}C2vv6?)}V?4oAEa91K@BdnwrOUEC`SP?UkFSEv-)~d0GE06*$?sWGH6=B&WWAKE zpCye_(j-f^O3DAXzqfvrGvu(6cs;^rjNS?q>+N`1^mY>Y-bNaW-bPcbw+XW7?HuyG zU1%(NyO?6VT`h~=W|8l0uCeItW{UN8k1Tq-pL}nNjYV&dlk2VYX^$6Wv5qX|6<*_Y zmhl#`j=alzEawA0;$uGLbH3m!zUEuLVU-*^Z`GdcxK&&HcQJJb#qXz2` z>qsqP9a)dMtj`A2XCq=A*_4JfVso0XB~58g3$|iwwxt!@u{~{R&kpR!PVB;N>`o{4 zU@!Kj3ticV{n(%G97qoirWd{GL*MK?8JK4F;B00ONi#dX3UZ#Dm6CB;GC3vjRgmQJ zl+4JI>rxV51xeGLKkCZsj)Svw%Cfn|rvA`+1Ou zc!Y&4<}n`UNuJ_qp5ZxO;6+|$DX;PxZ?KHFc!yX=-e)-<@)4i#8K3iIcAor{X6fo| z{;o;$H@*ro=WC{`Byj%6-OYdF-&-H#ELpoGUf1uzINbk~r4 zcTJ5&cP%K^U0Ydnw*&d^b~hH??Lo2b_LoI>2a@lukFn_PFp70INEY24OTN3I#-h97 zu*+{jJL;}*<= z|L7jzN&oy3o*~wf=Xrsbc$rstjn`Smo4n0CyvO@|z(;({r+mg2e96~*%Xh3K){-Cj ziB+uTSAHkflD~+xq#~85OjW8;BRf~tPiI8^?2KrX&WO0paE;hDCEI1mjw#tCOS+_F zpDgK-l3rO-NXb!Ia(qfo%97D3IU`FZq~x3|xi}@4Ik5ja#u;*DNxWX|Ge&Q-DAwD} zvgqwLZf5~^a2NM*FZc5R5AiSyS;V6}&J#REzPA^RMQ=+f*4w+X=xsUq-aa=Ly?sTo z-hP%vZ@-Z5t%9-WZ7p)Wl|J)POIDlpsLT3nKz%kM){#wVNFz3<30u&V=Coidwq{#e zu^rpfmiELtvLidO3%jyAo!EoD*qbhNWgqq<){*WUNDmIC7l+V?zQj6m1V>V!KSwc; zK@8?tj^_kU;$((0jNy#rR7P_eXK)r{7{}R6poDXn#1zgW){zUjh>N*|%eb8B%*f7@ z8`3PD>tb<}0sno|`TgrpSZ248&Xq?}x;UHNPp8=(w;Il(H&XIemVB6!xYdxXNXZXb z@>@#&%#!LUStm>CrDVe_X`GTR9XMA8J4?1IiPvp>&giZ+#k$*B7TxVezPrxGqPuPs z>#nCPy6a88yMD%^y8#sI?nGI1H-vn5ql`s&r&FxEiL&T!GWqVN8jJ2OCD&c)b00Hh zv$&4g+`t^>GLKkGZsj)Svw%Cfi+i|_`+1Ouc!Y&4<}n`UNuJ_qp5Zy3=S5y-DX;Px zZ?KHFc!yX^-e)-<@)4i#DWCHtv6g(px2#|#KkySjvzlM{jo%8?gzomTX2N8qvEH7QMQ<;V@9lMC(c7C8>+NG%^!6F~-o7&yy?sxy-u{q9Z)?c+R?S%Swl>9j z+dvk*H6Y*H=EkD8Ey(p&`rJn=S!>#`J?&^u2X-RXkzLu1j&x#A_M$Ug>Bhe7#{qQb zAP%M{htQit>C539K|lI4fTJ16F%0H7P9WBilNrJ(3}XZ%8O3SDI&vmwF_v+Rr-X@2 zVlwA)9v5&SQ@Mmona1T@!3?hA8fJ1W*Ks{Ja3gb>$1TJZ$i5}nz zJkJZf#LK+GtGv!K-sElG;XU5x13uzoKIJpM;7h*d8@^*D-}56sv5M9F%J0NF@)v8U zNF^#$g=*9w){%9oNp0#-m-X3z`ZQo;HlZP#u{llHf~GX11ufZ{ZE3}Jw4p8S*ntl0 z#4f}-vO68wgFV@sE_7v|>^$j}W@(>n{ua{wjav-Ylao?1G)qROByKSz=cHsxmRy{Y zxW$mnO3Cajxj7}bWy$?1c_>RBPsvkRvNR>HIdGmF?+kgnBwpY38Kbx56zlCPS@iZT z`QCmu7QOvKvEJ5_MQ>Hf_g2eT^tK+wdfQYMy)`1=TXSR4+g23ot-UOI+mU>4os30q zdy(s{^qKGOvIFVC!Svz~`p}nHM~>h~3iRhFj%E;pIhNx&fs;6yp$uacP z$r#3QHWMh}940Y^^N4liLN4NBF5xn!F`XI2I&wAFFpF!M%?-?9E;n&Aw{RP`vw%Cf zi+i}2`+0zec!Y&4=20H!37%pJ&+r_vj=acAEaeqm;|-SaRyt2AeNuA#VzaVo1y9M9 z{#b9;10zKR?#{f5gL5u1JLnYf+ghRHYi#slnQ;!@AU@7PYCv zdeo&J>$3qHQlAEF#KvsGKMza(^JBgL!GB-!KX|Aw{aEjTP5$*`y|HEZ+;aT|<+lYZ z9IxaDVmtRUt0=!M_|x%URG=bjQJL5lRHp{(5Zk$0)TaElU_;0C*@)QAZAwF8ThN%; z&TUC-=bF=k^4o&ej$=EwJ+Yl@&kn@4U}s`Gw;QpY>%<=H#olzG8@6+Q`?X+Cz+V{of1HU{&_Zw&4;78`>HDYh|qQWhJ7r^#;&UN#mRgICFI3`!qX zd0)1i5BZ2s_>`EDUlKF&8@^=)D=9xCS38aw`5V7eenwVu95b>CRjE!5%FoC;j$=mF zV|~ic$W0u_jNFW3GqR;DX5=<(OZgeu&T-7h4iuY_d&*))cBTvEXXF8nyK@jd_`4Z- zxbY+CM}hJ)@)*a1IWD_l8If)mMrAh=W7Ca9e1GNU9rp8e%2+yWZ9| z9=+8hR+93)ZQwY1Ye1|df7ja<#$zREMyw>|du!!5dTT?hB!Ac2uEt{}=}4?3<$LSu zIC|Tc{rJ1y4ly1p$)WV6d~f|7M{h?HD@nQDO4pH-jSt}zhB1PXjN&w69XXR&N5(Ra z@l0SMlbFo8oW})R$W$&N){$w%I&uXwxQeTZb>v!N9l4$xxRJTc;}&8axt;mk!JXXA zJ;XZl0I`ld%p)vfF^};CPqKukd6wsRffsq1SBQ1wb>84j-r^nJBi4}*h;`&+KH)Py z=S#jO){*a6!T0>YPpl%=kzcd(q;i_2)w20pJI&wt{>q$hn3B!2q(w^N`zy%~{agO) zJA`;Rc#o9DH(0U*(?4}^_D>y_k|VR^*p!@*CBsv4YL=Xxk`f2bl#`qz=a$6l`95Vd zcM-*!yHXa-T|>UPImV*7n<&=YU9xEIUh>T?G#1T0O0njimql|gk#BC9v1smXiZ%DC zESmd*d~+*}MRPxrYp(QBmA_P?vgaKz$mpF`Lkk&DfkK zY(Z0+(Snw2%{H`RJKE5ecI-e0c48M|CE1;h?7^PwO=r5Y53!Q$&jB3BK^#mk4xtZ+ zau|nmB>m{mQ5?-6j^S92;{;CPWQKAI!x_P;jN&xTAXbtwjOA>`Q^Gk+VoG+VT$X0( z^lbjlO7l0q!7}G>OUZ&Pxj!ZG4VL7olsuCqOH&fxU`dvzuoDp^tLVe-r5_B-gcx|Z+pq2w=U#+>uxN1 z>p`*Jj*vxf1@gTOHWs}dPqE%c%A&W?+L~V^!5n(-kvlTy**8_-d>eOZ*P$A?R{g>+lLhE?HgJ2wt{?b ztBpl(zfr8WDzfOUI{Dt}7>nNOk?XDWesnWgBO23$Eon+~S`zEXHf&34wqtwR(w+|N z$jzE4TqU`W=aq*9iwosyba z(jX<9WXTpOX_h5zQqs&Z}O$j&A4x~tC^y>+BmZ~Mxkw*$!cc8Ia)?NEyKcC;*d zJBEC3CmW02PN7(DXUd|tvE+N3WGs3+mtwt5lSOY=kninUW6|67IS^p&Hd$n{}v3E$UF0dThXkG+-k(p&^^G zIgQzZEonw`TCz2_7*0%FdI$(=6?p&EEsl{EgcSbNa}_Dp+`6)8t~UAR>KlvZHl|o}O=Zzs3-Zlv zXDpg)ORl-nhg5c#bz~3rWN$jtm3@enWPc9eKn~(ydU6PTIF!RUoFnN+e~#j425}6> zavUdcA}2GHQy9(&PGuCQaR#xHjA1NiGoBJAGKnd~N^(9Ia1m3vgv*%5bgtk^uHqVI zaxJsDo;lpeP0ZsKZsT?qa0hpBH}`TM5AYDNk}PBqkMbB#@DxjUCOcDJOEY*`HiMU^ zxg9qcX8pG*S(zojq$F-IBvn&VBTLpxN!(ya8l|L3mTZ-hZL?&@l+Nb; z^frrpZ*z@BZ#Pq{w|ivK+x_HwTWl1S2Xc48J8uKQ*vIGT%M8{S#n)UZpf1Pz72~L z_)dSgZ^Ir;*&|u@bo!^B%l@fXQ}TCz<#D)kb{YtsCUTMSo+nklK1 zB@I#%w-}OUDQTG{ZBi1q7?O@D*|YzD^j987I7?11iPtlI&ggC|#kxCJ7TsMyzPoA0 zqPr_7*4_28=) z>Ay+*PPT&Y`GKGKnbrJCtR;W&Cu^ucCDx(})u_(etV2y|QHQ$JV*@s%0UNOio3a_3 z)0i#Tl4dlgC0i3~Nh?~@hV5xbdpfWav6k%0Zgiv*d$Kp3=}I^DWj_v}I|p$vJvoHl z97Ay)FBOA-vjHiT&OkxVw5kJ!#|7%{5UQ2(bHy)dd zpXu#W_9We*WpDeIy*;e#?crr_kI3G}BYa1ejrJ>hTPSe{ z+1o*7Z;vT^J2<^fKhrz-zxQW)KW+Zc&-8i(?w_COE%?5qV24t$Ln*k|DcGeH>{1Hu zcM5hY1v{03d!B+TUcqjq;Hvk3#eZ|o74x6RDEIQe!QOPH3tj2PKJ3eW?9Tyo=Rgjk z2M5!WUK~R2{)PYGk-zjay?Zu^pXrSSd#dI85-w#L)475xxr%F;$+gVpdggE=H!+V} zxRu*kz#ZJh-Q3H4JitT5mTw`8c$CL@f+tzRGsKqfd0yZpUgi~E<8_wtCU5f&@9{n# z@DU&LDWCBLU-C8I@*OMro*(&%RjlS$ekZnkf3b#&RH8CfsYVTA%lCI%hxLtbKz$le ze(TW4@#ZukwtP)#M)|G7wvJn|9c^e!J7VjwBRjDRvE|#Hj+EazbaC93eTXez`K?0_ z$Fb$>MQr){a46-r4pj?jR>Y?7C}Pt$h+~M&!|}wX?<8W=HTfBX9I;_^S#d#WjVWl6kV?H`HBIE!MF@n%^}#@onG#(Rv#WW1kZlkss` zOvb0kPsSIG#bjJcvB~(ZEGFY}@{{p%V=)=OqS$2oSr(J=7xI&_g0Yy4Yf)@6){@0O zc0KA+ell+4I40w!H019lV{_v%8Mk6<%1_4a9miyBPqE3^NfwiFFZQPVWZchjOvdiS zKDGz>$=KIeOvWQPk^=pSsW_0Bii0UO6^F@UDvl&S70)s@hH;$D-%Z8yjGxbiT!g7u z`iI?#!}BQnonDo=*25@gh?$vRn5FC`mh$-n(&`%%u3txDo`8=oYaYfUU8f7jg3#$y@TjaWv? zH`m#5G}nz-M*gn3p2lMt=}jyn<(up0IGP(kvF1*c#Ue6kBE6H#C&Y%261u79MNfoM6of@n|O=2af!+O+XeKw>%8?gzol59pJ z8qBhe7#{qQbAPy$hl0)dtq4ec& zj-Vg?8NksDU9oGX~YRb0bNuH`ze=LT+MF7vpBSW9kaK6h{@cXKcI@j!O2Jdw_oCE2<1QaV@S zODxPqDkZ;WNyU`Jmt2zCDXE(!8>ggUmb6I8)>+avB|G@yS)=iv z8QY`(7891afTwTDPIH#*TN1DP`<&6;ffVcRFj;hWB>Cu!!Ly1R*dcMFU~cXyHNuJnnPg|bCF%40milPuvG zVl8=|7kG)6d4*Sbon^eq+q}bjyw3-G#K(NfXMDkze9bp}$4b8EM}A@ztNE4RiM8Y} z)=-g3RHh2ms6nhH>r#{2)S)ixvjO#Kz{YGsLpEb`ny>{;X+{fLvNhY%itT7aTiUS$ z9oUIoh_z&QIRBPsvkRvNR>HIq;40>CTY1OXBripD}t{PO;v;l0|Rd zlJD(jW6|3$6zgp*S@c$wd~dakMQ`g-thY^N(OV<(y)`!$y=_IY-rCEew;jp%*2!4( zwimhHN^eQK%MPRm2h)o~=tEy(9XWy{DbSyzIGRBW=2(vB1Ww{)hBA!djO0{Ca~fxG zCSw@K*-W5>bC|>w&Lh^53%Q7kxrED@#&l*7>&Vqy!z`|4Ha9Sbx!lCf+`?_#&I0b_ zF7Dx8?&kp>;t>|Im`8b>CwPh_Ji~LuI`Sefv6NSMjW<}vTiJQ?Nt&gfXY+SOn!jEPA_~d~Y+2MQ_(pthZZb(c66T zz1?RldV7#!y*(+5-kv7k+snqHw^zyaR{A{4`?BSH$VYs_r+m(r#5(c~-?D<0{J@X= z%xZq&H-6_&{-OevSc@uDr8+fOhjpn%9oC~B>$4&C*@#Vub!0Od(U>M|NmH8Bl2}K! zVOv_W9oy56_HFo2^N z$T1A&xa>R`k!I-*_6DH zC2ywWoh;hHwhQ z7{N$JaT>9XoXJ^?WgO#~z(gi7nR7Xh3%HP}T*9SH<8rQG23K)4Gr5-QxSkuhk-5y{ z7GfQ_o%!6so!rem+{XjNI`S}&u!zMx#uGfr5}xK+p5p~xYPpo1!zh>u2TB}Zn-u_-wrONOW9)GYb8zY%$sGvwTocs<`|jNUGy zSZ`O#qPJ_v_cq5^^mY@)db>*&z1>T`w}r-{w?`?~+w-#M?IrTPEi)Fqy-l&+K9xmp zUy$!@rLpMkM{>QDKFRWzYz-BuL}jW_jT*!{vMx2LO&#h|j}53#12$$88nPLi(}XQ( zN;6u}lC9Z>R%}Nb+R~04=)g|wLaZaZ(~&*clfCIoSN0*+k^MP<138F;>BS-R;ZP3a zaE_!O{W*%G8N@Lh%W<5*Nu113PGLABIF(VH#u>yqGKR67&3H;Uhe=Gy&Xdd1{GFc7 z-&tw?#_feUe_KixWXb(0iQ5awQz>~SOO~c2ZZ9OuQ}R)ke3g=Kv*eeQ{GKIirKD<> zte2AY9k`y1afWPM60e*3jL};oiuJaYEPC6Pd~fZIMQ=M&thc>n(OVbty>&Mhz4f42 zZ%4?Yw*vXz1{;gsj;B~}BW2OsX!5;{GZwu~AlF;zv+NhjF5+S?;WDN%of*VBay8d5 zi))$9^~_-|H*qt!a2vO?fIGR1d$^bTd4Pv_n1w9nQ6A?Bo?;2l@Eoy@yvR!| z){$D&Wjk5D(%QQ=yXY;ppn!j;-Vb1TCl1^FDEhTY#A?clxzF9ILC2@Np z8IqD=S#o+x&dQR>DLF4oE=|ehS#n)UZgAi{8S4zWxg=h1^%ITg zG#0%*O|jlyl|^rFknin%W6|4(6zlC9S@gDod~d6bMQ^`RthXw%=&d^W-s%{O-s+L- zt@Pg{ZYFC)W16rfO=(U`VjbCrZE4MRY)@O-(}5k?nO)e8-RZ=h>_um~(2afAkNxS+ zLG+*}z35FJ`f@n2j`X9z0FGiHgBZ+l#5!^!CozPf3}XZ%8O3N$=M2tb4C5Hj1SWD0 zlbOPKT)>4)zK_A+{j$!W#`G=X_nrX&EG|7{>JTvIsZaR zUe1y?QxdlqlFw4|WtM!OlDNH)tVv0wELl4xHM68aN;b)oEmG1fOWLHQodZACJFfhX z^$yN{toPXL3A%XN?zpmt>5eaZdqUaU6U*M7RQC4dvbRIBxABJ!EgLQb>$C9fAZTFYzWMwPwZ(a4%w zVUI?Qs`@`m8&&fl&@=wN0a%ULS2#%WIEDwY`4W zs7{3nYx)1;pa1^c-#$2Haro!QdjI*c-v28eocs?S@=HI~yK|EY6}I)a*2h^Fc1^Ew zb?r#8tLwh9xVj!d{_1*&vADV(O0lc!(XzO@9z*`>da|*&x}HL@tLvGvxVnxde|4Q? zEUvESQtawFO%_+zE6876uQe7|*Xzk$T}z+#xLr1%JGhg(xrh6BfY|Ll%p)vfF^};$ zPqKukd6wsRffsq1S9q1zd4o53i+6aJ_xXSi`It}mjL-R!uZi8>cdX!he&8p5W;MSO zyS+d7lQmSJ5|yb!HL9~V>rj(g)S)i**nkabz(#CBLpEb`8nXpk(v0S`WNTu#*NWD( zVSC!K10C22yS@LNKh`@T{%T3u1wEQ}G*6@*&Dv)>Po6D_*XMo4=L3Z)qretN7{F0LRB1lp- zB{i~Sy_CckL6Sx(X_6&drDWSI*)b)%WXWDB>5?TqQqs$TJLK`ski$yi^$4FadMi+@ zx8r5e+ezem8)+I9Qr&ID=mb{vh zH?rizlzigAc{0Hn@>NN^e&aJnZ!0L)+i$Yy?N9Q(RWTO5Ri{{Q^<>f8hU9zO%vki+ zm}0$cBa7ZzlkcsAvFL4QiuKl67QJ;N-`hdPqPL#pdMka>qo1t60FGiHgBZ+l#5!^! zCozPf3}ZMW8O3N$=M2tb4C5Hj1SWD0lbOPKoX>?!zK_A z%waC`h;`&vZeu;dOKGZyt+x4>O?MCvw-EJ&;yMtoAJuHjf7Lo65iLvPIS&H@cx-5EolYDO<7>nLM zCf8f(lOEs6R`5MP@Do3?nqP@^Bhe7#{qQbAP%M{ zhtQit>C539Nk95CfTJ16F%0H7P9WBilNrJ(3}XbRGK$l(^Q0ur(n;C;y(rD!OS1WU zO-ioKlABU;OP1W5k_WQn(UipPh4bX4l)RE9Z>QwFEcqfOUuVgWDOr^z6;o2#f%9ad zGi2?OcwN_LjNWQfthbG2(OX0Ey)`u!y|tiNZ*67K+YaP=+ud07wg<&}+g}#F9Z0^n zKE|TA!zk9uJBP?1Vh zrYhB_k)0>&r&(G*o4<|H{EgcSbAH>DY?mcFrX+4JBwbRnPnPsZN!(sY3Mn}%OO8*; zNm(*FC1+&Ggp{0A}JD;t=}Kmsm%R;7AJe=O_j;h`}7o@tnX(oXk*$F`SW{%4kmG49;Q< z<2aiMlyDA{n8JC)I&vWwaWR*08J9Di8QFPqLz<;?``W|Wh~k|onq}x zltp`!$+tJvShRO3x%Nt*_n0Z0#dXZ)2IerAdBkdRE4MM91>DJ9+{1m`&x1U~BP?Vw zkMTH9@)S?=4A1dAFY+==d6n0AgJrzMJH%@8KFj%#kNAX7`J69_)#Mw#Wd$qwfuH!9 z)%?P5{LY{JMFlFc7FDQ9b!xBw+7^U+uT_6wgtJ~N}u;=C2LI^wx=EK>A+6J zIY3IflU;#|gwbaxz0W zg<*_fB%?TuSVzv}EXFd9@su!;NlfNk&f@|uWGa_%Dbu)|E11DmT*FMR z$#*x%Saf$R#kw0Vi|$S(-`yBv(cRe;>+XD6baxT??xq`y?yjU*cXMRX-A&}XTVO1@ zyNg_RrT;c@p==S4@)%F>BujXPSWBMg1zzH1Ug1?bf zCTu}dn$d!mY|XZ`VmsQ`fQCvQKud^h$HMPd0}OX%5GY zhIxNdN`_|1=#<2bhUA=-Ov#dqQxZ2Cl36L4oh3J?S+`Dod88Qmi{5r5-&-eR(c50+dMkb2ySwZ_dT=nkID|g*CDxH6IFbVWIf|nh z#9)r)cuwFXPG%^>7|uveWi+R824^ycah%NrN;ro}OyN9Y9l4N;xR^`0jA=|~2C}~uBs zzb$C&IJR?J65F}vw4nU9pta-J&TUU@=i0Lau`Sq{*v{=nZ09<$2YazMUFe4G+~0mV z*wc7y3wl#*TQEQt+k%1ok8Ob;>OIf@qOmbJk=Pgvq1eXYbXjZ+&LY1tm}o3E29qha zF}PF~8-vTqZwzJ{i;cl`6x$fwDvOQ5eDWKE`;5iL;6aLQ44#z5#^7o48-tgP#m3-O zavOuvM^)aJE$2f%;uAh4X5^Q|jQoagS;0!m&&bt|V@CeQ@06dBl^n;6tU^_)Q-ks| zvX0}Jk@Z-g@-uQ1$1x)}qY;g1Liril(s9hlZP=FbGqRoIn2{YQHY4|x#f>(*M08R zbL_qEeedHwo})irYp?VBzO&cydw*BbZ`4Rj^64KljQGu`W(iAK z&UeHdS;0zH^CN5cg_tAjvh$>D+NI^Q{aY#R-}ns6p0A#gnpv`EO5!st$sx`E3xBA$ zn{(v;;&^<}tBmG)P^h`bWYOG{_KhzWH0t%U-st!>TwYDIfMor#u3CMIf{lH!?7IC2{htlVv?N3 z>72<~oWpsXPh*;JAs2B8&1lYLw4fEOxtz9K$< z<{r9oUv{SSO6NnL?0o2-&WHE}%lYs^N=9YLYbl9Ouq5xMjBojlA6UUEe&i>9<`;ft9l!G@ ze^G{w*o3ldN_i@<1u;jqq7qxP4coCjRoNjsPj*kcv`)5v>!$sCpnu(ALd3uBI5Pbe ziAQJYNhv)w+uawWf39hkT$+-WS<)^g9kS%6l-!yn_on25EO{g)k7mi!DH-g*xzfW~ z@@#QDKJRr#cOxm(-RrXG?k)1&O|}-@y+@($K9)sypOEkFOKZ{HR}|{*ds%e1l6-e- ztwndgQK-9evgmFz^4(Ro7Ts-2uDcEQq`Sy!uq(CLojs_-Uc@Zfm;I>A0UU^i|5JAW zr}^j4;4IGOT+ZVH8q<`Eh*{E%A}-}JTGEO(v?XTARa{LwuB8JV=|pE@mfXb6+{$g- z&Yi?8xtn{qm-~2tZgi(75Ag`Sc$7Z$<8fk^JVk$=W*~!khM^44&Xt$bE*+EY-|=bx z#!ZIzhiNI9ktOp|5;qx=B`H~+C96{sHyM)kDcLwnHcv^#EUA`~ow8)Nl+@0WdMT;z zz+z~z1>ft-g?WTx4z_i8(=MZ8$_ zGizB#%#lC%ll7EgW6DyF@@&TDY(Yh~qB7gCEmhc_YV5#HRA(1zvMal>JGH69UhG56 zk^QMlJr1NkhtPn-vh(Elv`ZUh`}fSWf6vMG?PdS9>l@B4!|!`@E$B>%_GmWP}t9~8&qhhAy4H=RQ5 zeJYFgz98S;H`b!PWfW@fCt0-j3;Fi`vKH-aM4|S!ltp`$$hTM3TC}$#h1%Og7VYgx zzP$siMSBO4Yj49BR*sT2eO&+8hJ?P29^rAO?=*wd~ z&XYXF00uIMAw0t{hVvXRFoIEx<|SU{RmL!uH;8F6j`6(BL?-brQ+Pi+TV|(SIyc+j z3)23M8x8yShm@?!l3!C2HyV;nQ&J&IwoXahXh>?Lq*j*fos#{s&3Udb^r@Z=I|~Z#Ph=x4UG~+dbra>uxQ2dx%24 zJt2$U`jhW%sI}9<`;ft9l!Gje^G{w*o3ldN_i@<1u;jqq7qxP4ck$L zs_a0_k)5ed4Qf)0-PwaW?8)Bj!+z|~0UXFd9Lymc%3&PAksQU*9K&%O&xthRWKJdK z$Qhi;*_^|9TtH)*X6H%Ev`gD$`?p=%zj2dc&)=Go+q2}}l*CPjeKUWYOG7kv*QZ?{w;6VQ#gtUel4>c5+YCwVlbI8Ip!6IW|j9Ny+J1(j+ApWl4*aw9b+2bZ}-ch zw{GNn>uoK1>r0{D2FaqgXUO+9!dmn;nnJz3DU065lkaVcwdm~w3iUQe7QM|Q-`hfK z(c2<&y>0lni7R9)SN()-jnl`lMN@9*&Lp$2jfsR~HXKu{Slj5{XyJq{hN7}z} zn_j#%&giZZg}U2O7Tr}R-`yV8qPsmQ)ZIa{=iqMAy}lau&ky$g z^Mk!x+6NWcib_=GpTBqVZ~xxO|HN1S(hv3?RV#k5HwJc3Dg-C0^!L#xRyQh|9iljOT48GKtAd;eFz=??a|Bof*txHglNE zJU-V2h9xXxIp6UED_F&9eqs&3u$FcFPF(i=#dRu)_F1@c?*6>G5- zU!%}gd`A{r@m=y;@gr-o6=zatD}FAEt+;^vR$OW=w&J%G+KNBRVk`biek+!-7F%%> z3T?%$WN{U{HQP{nEAHSpw&KoIrv^1Cy%p;?j;**i`%ro-9_To>;=vT!ibu<0D;~%3 zl-`P`I*zS)25}X8HuqczZGw_b{n^I2YfeYAv*6!|jBH-@uDR}Y)7{BiDV>nrgS?mS zPNrtbCn=eqC10gvah9x1$&Xp`TT1@SlFd@GMV1u)5AXXpOZF~~$9=ud=&mj?M*gn5 z!>z{{Ig%J7rMo-9addYQF-HEbyK}9_7`cELBc;1*<~X{$lo%s_*WFdtV~n&T#z^Vz zIy;UrauYZ6cir7>J;unr+(+r|dOD8o9-$Ydy4&!LmZz-u=V=Bqm>~>hI5A6}CuYe= zM)4vq5wm0rF-u&f?4-dgmwIfZ(wB8%Rtk?*ah zwdid(a=mT%Hp~99y42%9>T@s+IEg+;IYOx!8P@6s3i+$LS{W*Yo97KH%p#g_+1TjaBq9Mm{ zEXQ*qjW{_wPtHrbv~jk7i_*@%EZf;vr{vl!xgjMtXURP&xi3o|N=dIQ>7SB;S@LX3 zUdWQyQu0QYypxi5vt(vUW;<}6Jmw7fv^X9=_Zp+O1r+M-TUqq>1Nq*5widnpN}=91 zkwtHtlJ9LRYth@*6zXkfS@c$ed~bEEMQ?jksJDY<(c7Wqdpp`%^mZJ%-ZtEdo*_Gv zvpI+JIG@HeCFaP*TtX4exr`RHq79dG1y^!4*KjQzxQZattEIGV+^?%lA8kvMic=k9>18tVMGllWT6nH(9=vE#ND@W-;Hel;y-E`JNwG$tr&2Cw^uvzw#Tu z^Cy2%hK<>Ta%{?GRA39XWGgDOHQTZs+f$Vt*ol}VyHJB&sm1Q>K^^uYCdt0+M_mr! zKb_>@e{k`GccElcL5 zTNe!^j4dE zZ~I$|-s(}Pw|~i^w}#|N8aQu#xsG5Oky%qc#jX5$}~P=2DA8>IefxA=JOd}@FffRibX7D z2}@bdcf=f7!Ae&1BWw7DwXDm|ld@@-me2NYrL=$J2E(4Oo|2kbvS&)-219a4^Z&yC zD)LF^$o<9f_@GxA&Gn#AbC1cQxhKgtH^f>rH;h8fy(Ej~UM1h$IBU_|+Z1ZL8 zoqTh1twnR6QmDCaWYOF*^3AQX7R~)cuDK20Wcf?Bo{iX;vXrAd6^Kc)B^9YeWwv2k zs!)|`?8r`3XBTQxi{03R+U&_*?8Cn7&jHlqAnJ1n4LFP=h)Hr34LOEmIi3?}#L2`Y zIgQgfle0L7^EjWzG~q%n;u4zCoXcoID_V0oZMl-GxrS?L&vkUHxrZOHo9;J zcT&tfbmhM6OzD-*hd$Z)&_A6Iaf{)6cp)XDvgEat#4U#8-ITnaB{NeJw-}NIDfv1} zzD>ywS@LU2e$SFkQnG25Y@L$r9C$~0${Dg_aXjwqHAZhWDAe2DvgmC;^1U5wEqXhY zLcJX)i{4Ho-`g41qPMdt)Z4|f=&gu+Z*8naZ&y&Lw@$L??FRC_-EJ*&s1WLe8hBSGK<;FVJ`EDIr2GQuz-bp&0@Y`Da-hl@A!cgtl~$0;%9#0SJv@6 zfASY)*oaLi%chj40$UJsWGgDMHQTTq+f$Vtvh!s3v`gz``?qe|zj2FU&mWnRqqF3s zl*A2&@0+exp!taZ6vNA_hu>T&=Fau5g8fI~T)BRGr=9EmTaDqidj-EB|BxwZYimq zCG}EL-+>?N9Z>qmdi!NR*85oY?Ya1--Qy)+q60Z-pDKCUzvStFlBZ8+PvZ|8 zSh6~(*@cEAM5?JPN^^W{qtkJ|NI?vMJ`H; z43r`RrN{+kk)cv#s1&)VEHYS%T%Z&gE=7h*k&Bcf1E$D;DRO~XWXKd5GDR*{{`u16 zRR0@%$TU7;Iy0EbEIwv7bNGb0%ws;E@)@7=1z)m&g?z==EMhU=u!N;7V>#dQ9pCc< zD_F@YR`Vl2v4)@dg|+<3I)3AK{@_pkVm)QpsCm(U;tPK1$9m%ne(__yCbb{yjmLO* zZAhVa*HdKi?s_`;ch~c+#k*@03cb6wkj1-eYx3`|*I0{p*Y*^8cfCax@2*|Qzq@v| z7Vob2Q|R5bw=CXW`;vcm9bhfqT?dhScir$!j}fwwjOImN<`u>;mblt`leZYp1Sawh zlbOPMe85zu@ewna#mCIy6Xr3W&-k1#S;$u`Vlhit%5uIVuJ%^2lGXgk8h&Oi>xiqp zKlqdNlwo7aQjYR$#^!86MYf_c+psNF*q&q)>Nz$fCPF$#-{vwdn33{-(SC)TcD(`ZLd`F-^FT zi@1blH0Lr}(2CYvPFt?zDz4#L+H)Npxt`A4$j!toxs8}5cW@`g+)Y>RBWB5ibfX77 zd6-`GrVo92jK_JBrx?IM1~G(Z7{+j(;{`@AiqX8p%e=}M#_|R+OU4ni~!5dH@hNVkgmw%gCJM&Kcr+;mi(HM_#jBKX-X<&$<`@}4}v5$Qc^2R_D;!u zS#oGfj>wYZQgULJoSl;M9QY(@pflvc;&{B+YmDBCDAd~(vgqw<^1XGk7QNj-q2BJ2 zMQ`_z@2$JF=d*`Hml0!76^_Cw}G^eq|lM^9O%XhL|IpP?k+8 zPX)Fh=EzpW9NC&}*p4bxWd~x8>`ZlPP?K8h&K}fZPxfXX_G5nz;6M)IU=HC>4&w-p z?t3PNWehb1J8C24`|M=Wreu(3qy#dD1fN(l*)tZI||Md=O;M-))|GA-b#qBA#e6E|}!w{bgnau;`V5BG8(5AYz} z>B&PpLN6Yr5B+$Ym?TfppQjnfV1_W1;lw0)o);L&C~Tnra3%SgN{LEU`5p(1Z{$xF6*qBWyM|n15 zbGD!&TTz*9*p@16Pc?R6Cw68RYO*W4u{*V?!(Qw|%#r=6OFa&xJ_pl)!-zTZFOH-k zM{_L4a{`SxiBmY0(>a5)IEQmNp9^S0Q!e5Xn$es~X+cX`(}uQONz9RJXh(ZG(2?uu z%#GQ3Qk-^a*KGgxNc;EUZ2vx)k^xyVEG5rn$*USMQ_JYsJGK((c78i zduwbhdb^N9y|t1>Z8VWE|spn~6+fGE;b;m?IxD zjp@u_7PFbdT;}m9pYa7>vXHM?#5XKq8O!;OA6UUER`U~U_=UBs<9A|?{Ka}UVq?m( zDdnky<`(bt%-|;j(D&Nb>ES zU@h7^i9+q2D~t9nAm3gyYti1N6l(7(S+v)Ve0!a(MSC|84D#xa43yu)PPMt%r`7$IWbMX=Lc4@iXU0S&#dKFe&cuk`ra!uvd1r)K5FRLAJ9Srkx!(8P1c_ zQ*u_8G)YO^WJp@4q-~b8Pf6TlNV=rt&Mdh5Z%Q7|l0nU@|NHJB{;AO^ds*gv zWtem1_2PJZ)2oc;##5-d4`k8YH1f^Ou@=qEqfm2;WYOFb^3AQV7R{}uP;-CCqPg|t zn=5ZEn%kU0%~g>_bJfT&5V>yl! zXv9gJ!l|6j8JxvAoXh!KKogpB5f{^p=3Gh(TGE;}wB<@-l3YVO+S7rKbfPmi5|iW> zZlw#ib0@{zO;_&aejcD3-Ra50JVI|Cr7!(>oF{pT0X)qh2J;L<8P0RWBpJa-M)M*s z^D1K)o1H0>(k`8n?cnKYZ^vzh{rg2q7G}w^lzf*ZzocYcmTZ)gvRP6oCEH}ljw$*7 z{O<;%(f{EGdxtwqPAQJZ)4a~;?o0}GccCo0yM%mqt*k|Nms6;_>txa0_2j#|&02JK z2Zg$OP!`?wAm3ddYth|f6zXn>EV>&;zPnM@qPv&Kb+_T)D2|hj=WQl3iOEdiePWh; z$TX%igIRpc9Og2QPx*{5_>zTu%_6>G3Cmc{cYMzZR)@WgWj0v*a(_Sayu^W3(n?2c!eb|rvIe>Z`M12mS0f%t} zF-wl3A;)km$8#c$I5|64&P%(yakjgQ((aC14d=?$DY-UFZb(VoYDn%$$$eS!P)g!f zL()Gb1GD7Wl)R87uchRTEO{p-?`Fx&l+1SEJ>^+v$fw2e__@~@y)B?nZ{NzIw;#y& z_OrFTJIkWC8svMcV=a2yn?k)EEQ{U_CEwf8)}puL z$o012JKtx>&g5*);XKZ#F-?g%axs@sL~|~q1+8eqFe23KiKQpf9((UF0S*>5BC1I__CCDq@psLP?mCRN_jS;0-Li1|NISeMXtt* zTuB$1q(!dCicHhL{Tt>?)qj4l_rKW>_MTAd-#^$Jmkdjd>t&R_ELiDy6+aS}b3gM7 zr7sKqbo>`(*oaLiOI#LgMg_JYF6XwQ5~VK-ws%~W9f-@hovBV-7VJt~&h1WI&edU0 zN?#V#bsU#-2NIWa2XhE#+Id?S2a2&^TA}8T;?r%RHJlA?$7F3nYkCMMI zc*0vF7V{0UBbO69@_T+@C95dCBiA~P9r+u-Q+h{k>^OF0IW}c8Do}byR(2daa$B~e z^p4!gaqP%lD6}K@lEsePm;ETcBkMbk9oc|FJMvgr?8p;1ksSXN_u8V-;~6sSdwQ_GCWI0rzAeZ zl8jHu#4PzBCDXEGUP?a8l0_+5k|nECvc`cMmgk)%zZJ*hA6{ehww{m{9SLmS&vClo0ue}d)wb}^j43UB!Ac2zpTe3X-G_x(!Diu9KD^w zsr+4U=Ub0S(uAgz?yb4w=&c1YNlNv$VUAp5y&di8Ku0>!nHz~Yatkp>x^O#pau;{g zm3z6L2k1t3dh#$aM|u-;q%Zw=oF|AmGJu#PgBZ*+3}raa5p!e&BN@$$yv!@a92rZ@ zkvDmZ@l0SMlbFmD-s1zNGL4Uz!7O5q%;6K}F`v))f|w%C zmXi4VO7d<>-p`VmDT&XoBnwjVb(Vaak{`0<*OdI8C7YyV(=6FKCEGc0o{VsY>{uL+ zJ9~}MTMY{Jwzn*L+mC#22V0BY4y90U$H}6%6Up~>hPCMJYzp;uu`GHkBHvpZYth>k z6zZ*$EPA_vd~df~i{9=c*V~3Kt8|z3;2|ES7rp62KVptN!ISi700SAs5QZ|0XL*hn z7{MrBOcX2oOa4+}q0Nvr=9EmTaDq zidj-EB|BxwZYimqCG}EL-+}j&QO=OVi{tTMUSssukV3tkB8%QmC*Rxo)}ps26zZ*o zEP88AzPD?vMQ`mX)Y~nx=&cL+-nv?g-tMPRZ@p#FTVL|M4X_ry4IDR!DN8xZvl*MS1r^zf%51~7RAGCnu>(6%on5HO zuI$F{)TR!5u@5mv_NOlOIFR}rLIV!V&XeQQE^Ur--LmfVq&xV@0{NXf%l@>oiq%#vX#c`i#{O3ABP@*jSrceFF)gW`Dn&})p|rcZ3y)}ptKDAe1QvgoZ6`QEBpi{5smP;Yz4qPIQC z_jZ7_=0lC%2Be09K*33&j~c*WMYn-#_62NS)9YUoKIt#a3L3Q3C(EEWwf9b zt+|}GT**~j!?m>MIy!Paow<>li8*o`UATigDdujvavw2A9;6#R=*h$MqBni$%VRvw zlRU)$1~P~tJi{=C^BgZQf>Dg-C0^!L#xRyQh&eKj@x0AMCh;y)ct1N&W~co-H`~7p z(*BLx3w!>Dl&s2{U*! zZ8Hk>wyi9B+n#)HyI70fcBN2n`^uuXy5xInU@dw(oI<^wAdB8kBH!Ct)}ps_$@RA3 z3o6ZIMO?~dw4@bnXiLnItGJqWTuTS8qZ6IEft$FQTe*$fxs$uNn|rvI`*?r{=}u1` z;t_iBD1GS1ZK%ZFC-09a%`5Il9JQ2q)AFH%90i-X`LnQQ_|6a^W+2bZ}-chw{GNn>uoK1>r0{D2FaqgXUO+9!dmn;nnJz3DU065lkaVcwdm~w z3iUQe7QM|Q-`hfK(c2<&y>0mShbv?&SN()-jnl`lMN@9*&Lp$2jfsR~HXKu{S zlj5{XyJq{hN7}z}dtuK%nUVonGAt!=dm(u>C1bPX?UYQ)lIba#l_j61mXhzX z02dF$CW%CU-EQ9_B6h@_jbwZ#FD4) zlsuhO@^o^^(|5C{@h40vS$(hM>H8&5KPY)RH9bu~&pY+M_UCz**D3Y4_tm(-|AaGZ zH1>FDjV2yf*J$eTjv5zw+`7g^HT*wM*SOeYy&9Kze7i<7k7w8Lw|bTNsz&oNWor2Q z|1Nc~QH{$yK2)QH$6af*^fHwJc3Dg-C0^!L#xRyQ zh)ce4jOT48GKtAd;eFzg??a|Bof*txHglNEJU-V2h9xXxIp6UED_F&9 zeqs&3u$FcFPF(W+#dJyg^hjJK45SM&M(U8)Y4ktQp#L2`ZU+GJSvmM7J-+9C(Ut^k3`qH7u zaa{6UMqKi>qBU{pa0PM6cQtXzcP;I?j*eW<4Y=g{+m8+JvL2TX_fYE6;XizA_)zJe z=Y7=%eXKYhpYV^wR_srqt@x}gw&Dxqx8f_-Vk^Ezp{@9iEVkmi>)j^io46;E{>Tk#CyD)wyhTd}FN*oqf( z38lAUOUJPl+fZmLc96wZ>_mPm-fHbOZs!jEZYw@u{Xx3Z16y&!C!vpe-iLnK-OS*0 zFEKQ`%NW_b`oBM&h`W}zQZ^yW-b;5N@$r`Ala$QQlCM${A8$!krsT&g`7I@XX31tL z*&<7}P099IvTI6qci?_zjB{k~;&|NGtBmIA5<}$gnmgQj43Q&=AyT@z6C6i#ClN#B z@0vT;dJK^Zh#^wCxn_=|xl4&5@^{T$Wj%&SJ7S2GZmzTA7$P@uGk@3I-PU7>+{=BG zZmy@}XzmeuQL4EO-(PvkdVii~AcGmgP=*te84D#xa43 z#3Y$aOp^C_pQ(IEOp+PQ;n3yEx*p$tvz!p>_CP`(sW?Qynd#bVnI}wv)7izF8wb-57#3b1( zJ5%bXUD_bqzYWv=jgPnN`O{N!R+cnLNqoE|X`Pa`S<*fw@$r_VOG@s{lKWHAElc{Q z&Z7)-dZ%bIfa_5B8%p#k#DZ1wPT@s+IE|B1f-hOf z*DT^2mavTFe8=~!U=^$Ri8cJfTGsJ9F-!hpJsYtxWhqB_DiE_|ODa-{%51}SRG})> z*pZ#6&MwrX7Q3+rwb_%s*oXbtp984JLDc6E8gLj#5VPbc8gdNBay%!}h?BE(<-D|q z8)tjCDDC0PvfX`kO0Lb48&Yy}mfVw)`?BPrl=RAy{wW!lCC{ehg)Dh3C2wTOJ1KcL zOJ=5Iwgc}euRB9NEsn>}y~gNm0fl<|Ru;YeK)$!1twnFYQmD60WYOEE>g`}z^mZuu-j22wy&Xrcw+*+WXUNXvY|i04&ZjX=i8*pH zmrz7=E~5plXv5`P!IfOiHC#&vuA>vza|1VWGq-RXw{r(~QOrGbM8i|$^hP&6zc7KS@hO~d~eOIMQ<(0^|s-gEZ4}|(Vh-;q!XRFk(eX5 za4TK7ojbXUyXnfk+|L7aqdPr$m`CW%qx7X8kMjgiF@UET#9*FbD8qSu?Mx;lfBr7ec7J_ zsK-In=MWlj7)KCu|BKh9Vuok_YO`+Z{mPKzxTK%4>|}4c=rN6L_0Yu)BgSUEk>2Nx!BDu#?e`NQcB|%!x?cw`sbQv$)zcYTMS9Nlyu0F zn^JOXmfV|?2eRamlsuXxPp4$C1Lw+kXUVh0@%X&g8QqPfP!ENMm&mvR{`X+;~_60_tguBIK=(t(b2qBA#e6E|}!w{bgnau;`V5BG8( z573S7^yDEPp%;(RhkiUx%#x?*&(jQKFwZcQ;n}(Ja@wV1vi&GYDPGfJM$EO|PsM=-u@eS-iV;A^+~$)mpr}-cO--*WR*tckN65 z-F1Mqcy}E{?%j36cRohQMlzZgd6`!j!&u^K?@iufJQJA6J4|K@@9_asnZ`%VU=|-U zhfkQtd_LoIzGNX^v53ViVJXY`j=0)e!Ae&1BWw7XwX7qq_Ws~c)>DR!DN8xZvl*MS z1r^zf%51~7RAGCnu>(6%on5HOuI$F{)TR!5u@7;zw?B2M$AQ%65E^h8uJ-;L{!H)N z&X5m^9eYiZAQbmV$Eb0aqsv*b2nmfXRe6mvISxsRA757Lbu^yFcB(VIT>A-b#qBA#e6E|}!w{bgnau;`V5BG8(5AYz} z>B&PpLN6Yr5B+$Ym?KZopQjnfV1_W1;lvzyo);L&C|=}cUSSNc@j7qt7UP(}MBZUC z@A4k+GnHw4#0+NgF|+xExy0vF7PEw0y`CMmfnOIoC)b(XYGNk<3HlS$5y zn~LM{7OydS>q4R4?w3Vx-N^UW+gkM2mqNV_l0|RNkne4Twdid$g?f8a7QKxp-`f;x z(c1?U>TQlJdYebSw}sZCw?*W7+wg6V6|$AA=111>GizB#%#lC%ll7EgV>Y22<=KqQ z*@B8}MP;^OTdJ@<)!2cZ*qL3Z$*%0i?$o9Zd$A8ONA{;K^*E6F983caBj(7zIFg1O z&9NNM2{hs)PT^Ee=M2u`9M0u@E}#ibxrj?>MsqHu1ubb!8`^RuF-NYU9qs8rN3N$c zH)iKaaoVL_v;Es6?cay9{rhA}24u;wlsuOuucl;dmb{&kNm(*IC9|^R)0BLXCCgIs zU6%Zml3%i9qm-0&;5?b^4B5Om9=G%wqqj;F>TO3^^j4jGZ+lpa-u9$WZwJYuw?oMH zc9gZ~?HCI6cA6}DJCl5Gjjcs*7gDIVR853eg%n?k8s0bnmCO|SOK}8S){LeR6pS^dlYyIQi z|J)vZZ;#VsJk_=4+iVC<$xr;kDps?GwfsTM zk#(%6JQb)&WvWm$J5Oq-U0OHWzYWv=joSedWJ!mV#O;No zC?#iQ$ptC7I7==|$(31heM)Z3lG{@<%z^Xd182xR#qoHb*BHHxq)=~9%A&Vt$oKY= zwdn0t3ibA$EPDHZd~csxi{3t?P;cMJqPIEZds}QRdi$P2z5ObS-hLzBTRCgd+Xm!% zEBUrZby*EIr53fRLtVBY=E&C6ry-4K%(gV48QZf1JF+t^*oEELojur-z1W+5`3L)R z00(j~hj18eIfA2zIns{ybl^Bn;6yrdGBHO^<8+GX%vqenxpd_`F5p5g<`TNolV0@U zQZD0iuH8^&>uW9A zyMjXP-5`thZX(~_5Npxi9pu_8`Oe1!vXMN*!#v6;M)L$QO`hf%#_$}^^8zpN3a|1y zZ}1l5c$;^5j|qIhhfLyQKH*cQ@)@5qoiCWlmwZi3liAGSJLa)~g)C+%F-?AC87o-H z&#dBC*6C`Nl^J zSxa_hH(Id=t=XHHB>!MP+He2|aWIF{mcu!cqd10k9LsSW&xxEwCr;*6PU8$Zb0%kV z4qfQV`CLd$l1u1D4|>v@KJ?{sVv=0N)%4?9`cupe4B#ei;Z_DRh#?H+4u*3lcX2oO zaz797AP?~fkMbC!d4i{iN%AaX7|Zj#$jiLKYuTCde%hxKvmHDo?cli0ulke_aYth|#6zZ;*EV{dte0SGai|($YPsU{DDo~M1RG}&{O*Ww#HK<7~YEy^0 z)MHDwqCO31L}Rw42~F9a=IqGMv|tx@Wp`S!C#~6=eTiAJKW#XWgE)l4Xv-1VxpG3< z!yU6dT$J{3+-lhO7pJ6qmRy#SxYdx{n39{bOVCsXoFmb{vhH?rit zlzfmSpQU8F1MeyyIYYiGj>m7j#^`Mhg?js57QHPa-`lU&qPO2D)Y}HK=&dsO-l|)R z-ZrIBZ(GZvw}#|a=s&1@C*+JPZwrS<9Aq8y1KaZ>5|f?OG}@AU;6Zi(x*RWPyLWy&;DzFNbkyK z|NbGp|NbGp+jK7S4p!tHtjN`Hk$15o?_x#nWr|G1BJX5Hrecw)SmYkN$U9n*ceEl` z$3@=NioB~8xk4`T&Q|1|t;kh!kttc^-L1%^{P$NS{|Eg$lmEiM-M7Q~^5qWf(DeQg@Wx?i- z>#_xLIal_wU>nDAIkz2gIoFKsDSKJa(s5kQ?M7VA?ZKYJWx+ng<=lS6<=g=r$iW=K zVH}Rjxxf8*@ObNSS#T1CE(^|-#bv=c{KsX1AJY4=|3>4&;3DF}pc{oQ46cyHg~8S2 zFAQ$578eFLQRu?p4q03n+)4hz-~nrKVek-zE)1TQ#f8Bb@)riLSc?mT*C}*iFhLd< z1|O2YFqmpBE(|^=cVSTSWtG{oIef=F7O;@mkxPjk`6J6%!Ai>R$Tf~*NB+(ql--dP z9LJ8VL}fOlDrI+MO~xGU#pH!Qu=?Lyz|MxtN3k%&*R z+plaw|c}R`Mch>wI01SB_>JP-dZ@0 z-gYG>$=~(%59={W+7OeZY;SEHM{h@R6o1#-iPmG1bmC;n_SV^P^maBeNy_wAGDj}4 z-i;pgq&I!&%jLuzxr&%0{kWF?T+a;*;3jV2Rt7SNAq*wv$Z%qg+{NA8%YDQgd60*A zghzRd(LBLZ#2k5+F^uJTUgRZWj=V<9kvDmZ@x0Buyw3zC@*yAbF_Za}sZ1m0$PB(< z7GLr;-x70VF5fYq1uSAQOZkDABgzOJ%Pwx04-pdyv1LRDgtY(h0^P?K70Mjh%>k1g4X z`ZS;sjoFqaG-Z35vm-mvf?e2^-D$<1v}SMiB__%KwBbMw;t&p{Ek_WOBK3V%ITazXU^hm&ZP_IaXuGvF_+Mt9`vF&m(rKZxssS9*U*pa=ua^>GJu=2 zGi6xXzaz5!J2LIx_yo(D@=Qve%aT`95}#m6K1j)=Ecq-Y@d=h>PDLgw?4Ay?K1McU283RyPjNcC0|z=Bpb}_3}qO@ z8NuDe9J!DC8Oeh@%p;6qG>`KnPw@=T@*L0e0x$70ukspi@FwGUn|FAR_xXT{OyXl= zj(o}#K4TiwnZZoHB<9FBe9Ii>GLHo;WHC$lo*!7oa#r#)zwj%o`Hi*w!C$PS9Oc=7 zid3cwRoR%BBh{(FrqrS~n^Tu9vh!rSv`d?1`?qDR*>&*jkW0R zcM5e^NfzC0NWQz8)}p)3$aPn8Puf7%kZowpb~K?G&52pE6FbwAUDyo`|4VlONBi~d zIF=3^&k3AFM^5HcVwRji5odB1=Ws4vIiHv%7jZG&=uS_1(}%vqEV+U!xteRZmj1*n zxq%zGiJQ5Vfec~@w{r)>xRVjw&Ar4dd4Q2T#KSzwV~pmB>|A*r+x8OEyYLwJfQZlC832+mtlTl3i2M%7OQk zsm_pnisSJgUgLhW;Q$WeU=HOl4(CXY;u!M1ooFq3>qMd6&Xz@QUC8%#iM8mh2ZegO zN*2BKBj4KqYth>+6zXlbEPA_(d~Xk0i{2g~*IUV#Ri2fNVJy${A}{d@uMuo^n*6B9*AZhHS*fRHHgIsl{g0VRP!S1zS;{1~g(Dwq-k-(v0TpNX(HI zv}9LyqZND7n!U60ecHcqi(${7o|4X4a$ZW}7DIArN-ocm>rzsjC4*Bk zG)wMD$^BXKcuJnik{43)a+bW4lJ^}rPd;;od{i8dpLmVY+Y}1*_LVGp`<8rf3#~eF1WYJp<^1aoy7QJmrq28LvqPOkI_qL0*=xuj$y_I}b zWq(;44kYHtAsk9ujv(g9(Huj2j^#Lx=R`WviBmY0(>a6AoW~>h7{eLCUEIUH+|L6%$iqCsC?4Z+ zp5Q5-;aQ$zEHCgPFY^ko@dhzR#xb6Ec$fG2fQd}X&XehBm(I-g@0_%M;}*l&uq-7j zv*fpw#4U!Ta!RUZ$)+iZTMS9Vlr+wg9a6G$mh6?1eY51?lpK~N9a3_F1Lw&!XUHkV z@pzio7`+uysJ9Db(c8u3d+TE@db^B5yI;QJXr{WeZ}CY)yR{(ul@v zM-!T{Jv*=?JJW()*p1!UgFV@cz1f%j*q;M9kb^md!)VJ997W8LcC@Dh$8iED(UFt0 z^W>bgOS@+Kw_Do3J+u9LbxQsp|CPso-b}>jVXvp(@2%|j`!M}}A7}qbpQq%DEcs7= z>G5;t$oIwZ_@h@D&8?tNbHB@?xxdIaSIJs5w;_d^+e{YCZBD+q2G*jvZ79^-PO@mO zCHdxBTZ`uQp-^*&%A&c$$v1bbwP@~ma?O=|QRNI-5odB1=Ws4vIiHv$7jZG&=uS_1 z(TBcV#uZ%2)m+21^yhkR;6`rZW^Uy+1~G)&xr1Tc$q4S|USg6wz(^kAVIE}^qj`du zBv11UV|b3|d6AcRg;#l|%dZeVc1Meu)ogr5g$KzFAWAxUKLcQH0i{1v3?`^oX=w>M?c+j#Q5O|%xheMF(&X2_zqS>$`0Yb|=4Pp-F;KSx|H zTftBK%qo6m4Qq)x@+W_>o^n)R11eF44cUl|sYZ2bQj5)~!{*du3$|iw8qkPs*p}^R zN;8_XBQZx>(2`x*jaKYIYxXAQ$UoSRHXOh~9KxZr<#3MVD2|~W$8sFUb0R0viIX{% z(>a6AoXOdoLl?SoJ{J;m7k29Pl8x_anCSGTBSA#;`Z7GZH>XYxTiM8l%dkS^8yDYlflYDpk zTZ`@vq)>N9%c8sXC@GvPuG+_{VjVMzr)(n)!$2>{!#k$&(f!VrKjl!_Wt!>`vZHIH~aSw?Dd7TTe*#a z3}P@txSgTg!7zq%CnNaxzd2Xrov+BfPm!yZB3CU%?thA0xfHo_DRK|=?=MpR5B(zL zzwo8M^aFbjX&gVWHwN|)<9jG~Fq{$G#Xa21{XD>fJj^4E;xQiQ37*1R_P_LD%>=)G zB9n;AzE7CU6s9tb>C9jzv-pay`IgztWghcc$Rd`olpk2ea#j+TeZR1Z)vRGHe-M{_ z>xj#~@>HNAm8n8i;j}RJBzsNJC`oR zrNafpW#7fbWnXvlmkyU%bJ_Q|A0A$BJuV$?q|BwmfBNw7_Od^)_X`{Jp5l1C&o7Cs zIFdqJ@kv>1#b_&^Tk5Xx@Fqa4RpY)4$hb|AkMPqr3Y@ib1S zh|a`TJcrndT`9B`d&+vzhf68D6|Z)D4cBrVf43EHwSF6e7|iVqWf*rdg1fUjnn%-p z%jnK!|JwcaGu`W^yPCIB`gV4|^I^K*i4VEl?|hMxFSF#klq|@S6)E{SOMXwuUso~f*f-CvE?ryLiW8@}orfhdZ z97lI|kn67GyDSgLM)D93^C+Vj%@f2dd779dV~APuJTDNl#PU1F9@ zAZE#jOyXlcA!f-`KI3zy^93{clCOzbGMhPk$2=CWkeDS)iCOX^%UHomer6RhOV;ok zzw-xwv5s<7AZAG=DzhO~*_dj?EUC$+Y({N1r!HHt6){U1(2#9t%yu*-W=ZqxTxped zY3pqNwn_UpKIC#X9Fvk`v!qi>;zKS;mz12JB|TCSA96|hrKEqB+>(-kS#noO?#+@% zQu0`qj7`al4xA^moFT6l$K#t`WArwjLcM(?i{2)a?`?*)=xr8-dYdnc-WHMXZMn7R z?I#NL_NOd*TTi~XD%PU6jVRPx9a;2Nk9=>9tVM6zlIyMHdn_$vE!mabXvH41W^ZDS z{Db{y!vP$`!5m6k4(CXY;uzX-EXQ#?Cvp;fJj5eB%43Y? z37#V6$g_-LEYI^IFY^koW#`HJX_rpS_V1Lmf2U>p_uG`r&65A3zj7bv)`96i=aB3? z`O+D3WN|zm?KMVk?J3mTDYEG8bn?BOYb|;^k3zlml0|QqlJD&rYth?v6zc6ZS@brT zd~YMHMQ`^|sJBtF=rt<|e`7%3G7NlLeINQG~(*BJb43qw^l$6Vo z4O0>~7?RCXvPG6`lajc>khDz6ZdtNVO7_c=!&7opmK>jwld|N@l$_(hdGfV0e-nvn!w<~1P+tuWIyTMxYb`ynqyF(Ve-ATT;2dqVJ4^gPMr)AOG81lWnVl8@m zokG1$kVS7FlJ9M*wdn10a=n#&lV!GS4&O141uSGSONlx1BgAvM5V_O35k*&XaGPA-@;LMT8rL}q)=}s%A&VUJswh2eTj$6v#qoHX|A=UAFol}CM;6W9PrkWP)}pz`Db(BxvS{vQ^39F27R|jw zq2@l3MRQZgH#gH-H1`#Snp-G~=9Z9eZl$$o?iX^+m3)(BooqejsX#?4QH83+B-w;& z)SxD{*o->Vr5;OABO0?UO=!yYG-pS4q6NFKE4$N*J^7EV@xQ(PKh*zSTaF+m z$BoJ$wZ<9sgUVlJUOJ?KSmE~PJ*b0slJt|2DL zb@Zp08yUdO*_kpdoev|j^I>G?`v1H_jQ59UQubVyy_(LIxW$lskdjGR@>xpa7DF;8 zCG)c6`;;uplHXGDN0w}mlFC`KX-aB4@SZZ;IkIJOJZ|k(Msp1*)Z7lTXl`fn&Fx_= zn%fH}_1`vku=PVYjC^zLtVMGjDAe3(vS_Y|d~;o`MRONWsJT9}Xznud&0T9Pn!BD{ zb0yzoA0!*h?F?lY!x_Qd#3Z?o`x(iDJj^4EVl&aK$(O_=`G#+q!(8UEfQ2k(3E%Sr%UI4ze&!c` zWi`LCmOuE5b(A9}$p%!UGF7O`#>6D4P7OAt7PZ-&x@?i1Dchx8+AQ0@Ez|yWixD&8 zzwK{C&T*DJSsaf~d!5nU7z%awx-7bTi+pzztVMSpQmDJnWzpRin>A>-vz)5uEWKJb!$r%)JCTDRD=hBt)iCJ5FUHbb&LB^9z{qm)$3l6ooGDoeIa zNz*LZH6^Va_;I~+%l^3Dzp@|KyDs|C+8LpH?h=S}A)P zzggwd)heY=H!OWxwe;ymrB64`p2qL6N$F~}(x=ru&3;_(iO%;XGt0T{sx)`~KmVsw z{726$SGw@e;Q!YD{;*O7zh8y&_a zxw@g{p0`8U}It;L({Bjny>OTPB;tZWQpd7c+}iC1`yxafP6xab?t+q}zr zOkg4(@(~|1nNOL@G(KkrUoeX=`I>K-&0M}?J_}gHVwUm)anZM&75v1{tm0SJu$H*! z`;)&|PdO@3kxEoyLpEY#s!^Sq)M7L0usQYEf~}}e0~)an+p--^X-0E)Brf_|(2`x* zjaKYQYxZWJ>@xq5^cOwaW)~do(*?(I*#*bx>4KwkmYkQ83$x_E@UO6c=M4F%I37Ro z8l$@@6zc9PS#wQQ2+OBIf9raM{^AAIhNx%o)hUvCr;s1PUj3da~5ZF zE?qc}^SO|VxP&U;sB0v*b1gGMFI@Wf;R5!ClCt>FI2k znVk)D(%BH73AvbGmXeiO@>@#cGa*UklvK@%4&XNEr0MQ>nKOekqxLwWvWn>jfpu@of>RP zEoxJTx@au{Zm&ANz9v2XZina2Rbl zf}@Bz(vJ3Y;5bg;Bsy|(cAlJ*c4^ma|8`6Jw`aD0uTIIeS#ncKZq1TAQ*w8fJd~10 zvt&$4p3jp1lfS?|-x>0KaXkL$HAZhMDAe2UvgqwE^1W5E7QJmqq24x=MQ@vv@2!Eg z=xrMc^|q5NdTU9(x7OC8w|ywo+o7`P?QrtF9cwLmJDyx`C13nFLsrC@oW(huOIOY( z=Ey}{OgFmIlV0?pFPCuzS8_Gia4r40o*TH4o4A=#;dbs|7C0^lGUgr(oVjOStF7Gjc5BQKte9UA%Wh$TXIn()q znS9CD#2lH;9KK^73s}TrmS*S4sa_2T7WyqugH!(;4!G5&i01o014y7%Jb0kM`4DC3U z<2arZIf+i3%&DBt8Fc1M&gLAt(3SJKkeDNv(2XASq&Jt+m&>#Bq&V%;0onc?oc8a~ zZ2#V$k_WTo@svE(dE+?u|BL?u`$A{QM#b^CiPst3)u2#!Tgsxl`sBN7VlBGco*!B0H!y&kiCJH2?yUq6va z#4P!Q$xLA?)0oZ-W-^Pf_?mB-&0OX&pM@-92}}8bWh`eUF-v}76{}gpTK*tr$vR?| zl&1m}sZ15BX6H)nbVk(8&WMKTjEGwd?+-htWS1=2Dvdy3=nKCdx)8%d$wo|Hvz&+shIF_ss2 ziI;hm*LZ_B8OM0u;XU5x1M#gLgAJt_w*pyn-rVe%4f|w&)Q=f)3qA}ajgl25d4(!Ozv|tx@V|Vr-=Ez>` z&A$AD{W*XGIhaE@jJ6!XQN$c+M|(PO94Bxh9XXkpBd2jXMRevY&f#3Tavm3OAs2HA z-RVg$`fw?iaXD9VHP>)0*Ks|?+{gfK=2l{k3}P_1Gn8T6$q4Sw&XY&eE*TVxdbhjV*?hdsU-5pM$?v9s5cPEkW?hI?u z-I)~X?jl)q*NuF4eXT`zS5TGkV$;ZCw$6OKI3zy^93{clCOzbGMhPk z$2=CWki{$|X338%V+AYunN|GC8h+z<{@^dxQH~01KqV@(AywI!YE-8to3a_T*_^s; z!B)g9X+T4^p)uRhlx8%~&Xrc_Txp%n`Zj6S$8Co9hhtK5Y?gFNN!(^gx}@a%Ea{Pw zxXqCCOG*DMxg{k7v*fOn+?yqjbguu;`-AvZV^j8`%)81G=g8~D@%W}!8O@ESP;(#2 zqPfZBo10-Rnwv$T=H|PHJ%OLk>9TCoSM*_)Uo|6o7bZ~zB!Fo)8X!#R?pIEHo{%W)jfiJU|y zPUcij;|w};CTDXFUFgdBTu4lkOXx-qdeWOd^yPA5l3c~r^y6CkQ_Kwv;3jV2Rt7SN zAq?dXhI1!(aX0sJKM(LA5Ag_(@))Cef~SZ{@+@N*%k#X*%e=yC*_rZw+NBe-9XuuN z?P=NG{x&6Zvt&t1e#ny5DOsB(CbZxkvdnFI!lf$j>n_D&giZ^g}OUM7TujrzPod+MR(^>sJmXW=2^MniIAN^Z`Q+fx!Z8j_Kn z|K-0(_`P%FtKxY4#;c6x=1{1)?`6^4GV;y+YAu@kjY7?BAdBWIlW(rNwPXoi;QTlYt(x+RMKHa+XY5mft4YH?xa<6CEPwuT8U-J7u{6=5t z*Zx{ms^B*&``x}J&Hnw9d;k3p&ixPl2j@)HBG=YMCTo$&TI3q7sN89s&KVTZnKLJVU1zj_?r|e}xOUH3Rw;ORmw+DL?mj(L}7j*j(7jy@3AO~{@hjBPA z=>GQO!Q-vRWx+`lx-2+T7MBI*@E?~2esb>*{u_-8gNuj@gKiYMFt|b%7Y0|8zc9GL zT3i_1M4=0VJ7jTTa3}c-g9og|g~3AE7!30@c7<@?n z!eFYkxG?yf+=W5O7glD==I|Z!SinMJM=m9HF|k-H}^4jvd*6hHOJ)%I?VKj$=pe#Lkr6k*yrZj%-b#9eI!} zcI2TPM%f*CjN^73O9%dLN1kf^G|r%ivOBVi*Sdzz5@%mRy&TVh7HXWzLXW zisSJ%uQ7TXOrhTHkwtI!lkaVmwdn0}3ibAaEP8vHd~f5dMQ`s=sJBmK(c2XAz0I^1 zy?sTY-WJNDwDo~M1RG}&{M>e4vHK<7~Hlq%8smGRV zMSU93h{kM76PmI;&DoKiXu&S*%I>scPg=7#`x0|xf7);$2XP38(v~BLIdU||(4J#C zjuSYMj&$M_PUUpYpfhK2Hs{iX^EjUixtL4nP7iv~n@j1-m9u2il+#gL=DuZN$xt*a5V>lzY zo0uc_aX%w@kcWAMQH;aQ&Jd0yZpUglL^;|<&aK$(O_&`G#+q!(8UEfQ2k(3E%Sr%UI4ze&!c`Wi`LCmOuE5b(Et#8&HwT zRG}&x6LX|GHQ1C|)Mj()vPE{DY?pRvvuyviO#64YZ2#_;k^{2j@RS^tB`2li#Wm_s>?!#RSZ zIGT1GO9zhU1WuwOCvz$>N6w&#GdYWMIG3)RPt1{vxR`EqrzgGXLtifA3a;d8uHjnx zb3He3BR6p~w=$4H4B>X}U>J8Yg1fnwm?IA`l81PhM|q6VJdvFzFQ#4kO16K;r~MnZ z7p|cur(|lD%t}ezUPuIzUCWdGnem}&jJ>)n5Fzc%#r1+;3s}&6~D5EwZt6x zlfPI`IVw<*N>pJ(HezF{QJtF9Vl(QnIrZ3rt*B1}8nF%AvK>unMss!~=12=#vMamM zialw~-r0F_NZO@sv;EsX?ccb)u;))tN#`s%FC}q%A-OaqmuJa!DT&()$>5X>&60ak za(|XQo|30JXWvNnC9@aOm&{(y(sxq&z60mVPtKB$isSJUuQR%vLZR-yl0|pllJ9Pz zwdigMg}VDi7Tv8T-`zTE(Or28b+?Hux~oCHySmn*yDcfyT@zV!w>|mpcCi-S?M|+{ zlCP@lFKfer9K<0UN?VQ~X35bULwk1mhF%y##jw7cUL!@ggZ zl9gHVTT0>Luf?e2+-PwaZ*^9l|m;KnE12~X_IfTP#%Mlz!%#n7qrvt}v0w>Xt zle6>WoU}{3X8X5W+P`s&Vb5Qkl54Z%rj*1jhUCta+?^#4r6g`KBx6$Ye3rbPlDD$t z!<2lSC7-9{i!AvrB?}xlPkwQRd|w=oKYESP+X@Qx_PZ>4`-^;Um8?Z?8&asZ&1BKr z=Hz>8U@dyvhC;pVB#Yi!lJBjxwdidh3iWoVEP6Yfd~e5Ei{6eW*IUV#RL+nUaVBSR z4(HO9^NBff5f{^q?)0P=edx<&T)~xG%{5$0f3D{SZsaCz=2mWF5JR|~I~c~DjNoqW zCFaNjjN~C6=21p5nkR@k@-)vdhUa*m7kPW;2KHn8yMZv6!XVd9o_)(ly!sU7z-E++x`C)lyP3OX{U0ZZRZH zQ_?(3c1=m#Vo2Jg$3Ek*HPkM7HeYre4Pm0qn9gywc z!D;`-ErvaRe@Y(AlE+ix79*0EQ}SAtypxi+y^u^v$+Rr_Dka}$$&!@(kR`vQWObI5 zPf0}w&XZrAAsZFP<0f8X^j3pHy=^Ir-s+R@t%s!w!18P+mn26`&*0N4x~_T zN6VtO_T+o(Xf1j>g+jfZD~sOFBi~zhYtdUTa=n%O8R9jveq2X?in)OS+>ANmC-%mF zn*-Bh$xrN!FU-YH>}^>39lA!PPq!(3+PL)Twxv(E%bv!U_nMThHZ6VHtn}&jrB9oe zKHVXE8o$GirK>xYKHa(WX^YaQEz{HV6MI|!^C$LJ{y+Yg`~K7S{K}Q9T=si@i<4G9XFyeamm+&rj)&O*x7Llc41d`rxkJOuoru?FLBAYKW!*`>2R3iwj4oR z@|C@G=-@am`A#4%`8v{xvX>4;j^mQ=EaH;yT)GgK4i^xYd>0d!eBJ3mFM4w+m*JA{ zZ$CD?-g;a*+(?;AhyV1k;q7IAV()4j^q%5)yw5L*tvHfGTk%O*Y{h5DZ^f6a#a4Wk zLR;}YS!~4*$Zy3@t;JUSj6z%S8(D0{IpnwEVr#J#zo*bv{8biP@i+2Yv7EKoiW^X9 zD^{1qRqUqJqU=`O!f|ZHt*K8#8c}vDHgg{i^(acspsD6|z1ki}L!m_sPL z6_0WpTd^H+72ARQRy^5SY{k_dJlUTy6fuH`!ZZY$nu z{WbC(?8>VFAEZHn2n`g;3DcR0}yO}l4kR6KSaVM`adTU9HkiY9~ zAL}tf_9I3}+1?Iy9K9V*jF7+U?Re`kLQWz^NZH=ba2&mzNsN%c>+K@zF+#c#BcyC^ zeI3ULxq>VCyWVcF9wX!?Zl-K+LmWqMcQA}Hy_I}}d5DL3lu?Z431W^sP0W!o zJje6AK+KU>h&l2)Z}1l5c$;^LIWmEmBOfw}kNJd{BUAZ|&za5_%;ZbHCg#X&=I|Z! zSinMJjw~hS$d4>z1uOZPRm2=wL(Gxi`Gdb$M>#4GbEFcL*^sJiOf_PT)MQgOqc)pU zmo36DWAa7)r9CFf^J zkCeoRTatb$>7OOHq-0>0+?A4hv*eMKJeDP6Q}Ut%=gDu*kk^aj@lCHWdK*un-ae8= zZ?8ugH!(;4!G5&i01o0{4y7%Jb0kM`4DC3U<2arZIf+i3 z%&DBl8Fc1M&gLAt(3SJKkeDNv(2XASq&I!&%jLuzxr(dl$F=mQm>U?tP29q*3}g^P z7|In_D#^|j*g?c+h7QLNLzPEF&MQ`U(sJC9S=`YmZcKzaP*RM#sK5j6)JN%WBa#^xrO5z4XvUy6j$dYYR5;qu_KbxVjuQpKlbMU4&q=A zUwv|P1P09Dx!dmpUD}{Rdhb($)L%z4R z)}pr~Db(AEvgoZ7`QAEPi{8#A*IUVVST2!uqX#|dO&|JlIWb4B;%fSFE&aKk8yLV% z+`_F4WDr9b${h^nPVVAv?&Urn;6WbZ5gz3+M)L$u5p(2O#xR!Wd6AcRh1ZBV@+NOF zp0|0I_nE*%KI9`lW-^~Lm1%s=48C9%U-C8IGMl-4$9xvBh{Y`B2V#yaX9Yj;Gpkt5 z8rEj#NyW5Ft7QAPM%uq|lVQ)-Pf4RJ**+z4lOfqNC3|Pdfhmcb3`zTx9G4}hq~!E0 zIWHv_W=XGmYYq!yb|hq}~bOSYmu4QNDTwxtP8*`DU?$WF9i7j|WLTCpds*_(Zd zIkG=(IFN%lghOe|5yTuhnqz3su^h(B4!O&xKsfC3L3; zz39!Q^yPA{B<9F9^y51EQ_PJF;O6W+8J2eGh;09k?EK&V^Lp1gN46}E$F04}Xs#iJ zn%hAZ&FxISxjn2!b9+&!xr1fV++pOKYiBK*>p-FAPLoA*MdX|7YAu?(fI`jnkwtTt zk#Fu=Yth{GO44)0oZ-X7VL5NxtD*<}jCeEMOsvS;F`H zz%rJzlArm7Us=s>tmO~>Vjblu&jwVaGF7O`#>6D4P7OAt7PZ-&x@?i1DchwprCD~S zv`mx!pEnu);m7^bKm2$=mL8tcxXJL|aZ>tqCuhl-DT$j5Nw<{r%#tfoa&?y6l#*Ms z`N`qoROC7+~{!eq)}^eV=)`-kg2*_581M?H8Z*pL2X4eAe+h=2&Ac z=Vq-n7Tx_$q3$ZnqPq>qcek0b=xz&g-L3y`i95)Os7pQS(||@aA=Z*z*p+7NMhjZf znzroDp6taw>`Qz0=Kv1kU=HOlj^Id+=2$v#JST7x9XXj(iM6CN#dPHiy3w7U^di=h zb2*RR^r0UE7|0+lXgJyhGbnzDrCuq zDcK}TYNcemEZH$74YQxVs(!)JV5BDlP+`IH}pX@OH3Hz3gwkths@9?e46>C&l zRW3c{mwvFf%KweO&9B`5{!6_J%Rc4z-(Tw8y-Ts(L$RyA*i~O_?^o=~FLvb@+y522 z`it!Wi>&~~R)Au=iDIiju~nehj-uE~P;4bAwjV6E8WdX%itP!Dtq8?-7sXbEVyi;2 z9Y(R0q1eh$Y=2m6bttwv{P(Y(yV<{kTey|mxScz=lL_3#MDFGu?&Uu2XA%$aAP?~{ zkMJmynZi`2@feRYohNvb89c>Ip5_^z84j-eMl} zd7A~i!@DfxJ>F*#AMha`@iCw9DW9>J&-sEcS;AL*%~F=JoNxG+@A#e{SiwqGv6>(G ziJ$p}HLT@Ve&cuk;7|VIZ`M(c@?DDmji>z5FZJ$TFZ-ol%d}tWjo0{iZAYPx*TZG; z@p=^bkJl58#m8$W3VpnuDT|NS9^^k>&o>qyuYD=>@p`E&K3<2A|9Bl`EIwYZq|nFf zjk5T7y_x*S>s`j;Ba-r-%|<9$BhLq6scKI3z~UBK3V%IS2b z3uka9-RZ$u^x_=O<$U_km;Mak0tRsrmk?{oU}7y9#&Aj)$tXq>YsuAI!x+YL9XD_z zP1PkxEpdD%IGCSVuM` z){z=)&X#ONO|~J{k?pBX5p}3XeHze+#_Yt->`GI1qXjK#O&fM+5B6do_N6`haR3K$ zFo$p$M{p!Za||6gjuSYEj+~rbC*9I4?U~Kr-f8~EH$iT9FH6bLEV&{j@lBBArj*>0 zB@TU%q%+nyBa?I2n7b}0GY zjx`p&9Z#;e^$&e?mKD>LGw4QldeVznN6zIudeet~^k*Q0xR8svgv%JrP=+&tkzCFd zjOHq?=32%wj_bLBn;6e6+(xV;cQS#A+|9k*$0Qyk){#eelqpQ*F{bkbGkA)pd4}hB zp4q&>i@eM$yvl35!CTDZZ5Hq@3wfVKe8|VdI`SEd`GPO`ilr>$o9sIIInC0w+5BCX z=5KrxWX^ArlFhQDR!ZWVAW6fNG|7_YDT!}_B<)hNf0i7clB2SuQ%X+Fk~34%BTM?G zWPlUbNhMdv#U=52srMMY4WUqPSIVNdYsmL@qp|4iW(xIok1Tq-pL}nVjYV(MDAe1t zvgmCV`QGLli{4(RP;c+aqPGvo_x8E5=xqtP-qt_xu|l?z)%?iM{K8s(Bi4~W`HOXw zqXHGFOjR~uLpEX)Hf1w5XA8DsYih9#+p#@6P=~tgNPQa8h$ieztRqcnMsr%wiq^Dc z4`LnJn|)|Ud-mr*4&o3F<#3MRD30b>j^lVvKPB&E z$ww*qG)tDJTO$D^j4dEZw-t^Z;dI` zTT5B=)`om<`x=Yh_M=d5N6MnNW61Z`(OC3$3Wa*>E{opIBHvpdW6@iGa=op8;A60C z2*Vgo2_qTBXks0?nrj%tSgzxGZe%<+b1S!T2X}H8cXJQ-aX%06AP@5hlbOmi9%njF zGJ}~sL#!juGmAOAz)QT$TwWvAkvDmZ`Mk|LEaW{F@c|$4F`x1opYtV4_?o3G=NrD` z2Uf6()%?WItYIy`@dvSv{LMPbQ-MlUp(@p~>txF`OKWEHwkFZv7)4o64$FgU#8Jt*FU1#7eR~wJD+w_1KXH zG@>y(u`|2Ul-+1SOIp*0-Pwb^*qeQ6&wd=hfgH>s9L5pEN^&&E(1GJPffMP-$;3)> z8mCiC7tWv?-Ra3$oXt6$$NBW3AN?7~1zgBQT*74xW+=lLK?#>LiqTv}tR&YmhH+fS z4cx?dZpp5cd($kPl+E8M9u1i)do0VIOWEu!do|7NxW#a-yq}T}v*e4E#4UzoWlDa` zlHXJESC(v$l8v%ti=eBKl2M~`IX=KgTMHja#Wxqm8rr8 zRAVDHVN*7v23xQtTT_#5*p669cA$v5)T2HPX+)FkYG{>aY1?f6woCKZEk>*n@y+p3 z>Cup5v$Ru6<2J(;(Ifr2URlyNC2^Y}8IqFWS#o7cuE~;{Q*v9D+>?^~vt(LIraN)1 zY~U(+x+Gqo^**D!SrqE-by;-x7WwYpGZx)_K%wrI$fCQY6uF@&KEr-YG=BG!^Cxr%GJ zma$w%tR**c6E|}Uw{Zt|au*Z1hkLo7Nj%8I#9A_$DNN%rrt>5-n3-KGFQr*JH=Don z)BKIw40Ha|lzg5g%Tp4!8Im<>4K^0N z4Wm$RSIeTeG30w2Z!CJdl|sGUCyU-5Am7_mW6|5=VtC z9_&S|Bm2^h{n(!aIhaE@EW1ulNVBwKHh+uL{EeFobN;-P^vRM7QxZ2BlFL&vI!nf- zByKV!6H;<_mOPY_N3-OqlsuCqFQ(*`ELo6}g-%>28@fV1Dv8%myvOKmF@<{jRu;Ye zK)$zMj74w1QmD6zvgoY}`QA1)7QNM=P;cAIqPHUQy)`lxz3oJy-df9|x82G2*4|k3 zb^y8F)<5)pwCor<5bMYZoJdDbCf1SDIGtj;a0X}6ot~V<*_^|9oKGM6(Vu}_z=d4I zC0xp2hBAy1lyEtt7|m70I&v*z7{_(oz>SRO7GfQ_ojaJoUEIyR+{Yvy;2|F7Q6@8$ z$9SA4c#@}>$um61^UP)rFY*$vFqhYOgIGuAF`osz!$RI?5g(@Oq{3Gv8_qT>81hX-Vb@r>PprK1Ox9v)nJcu48tp{0k1Wry)kIJ|W9 zh|)Ag2{j5alZ5my~ou1*Dv(OmSLIYdO2mc1uLDe z;zwdT_X}$%yDj+3`QMbIJQb-#YzsD^8XFPYxlO4~*=@nr&TFy_v7IZsEvV}}wsZA~ z?OY=oQ+8X>%z13*S`gd0*0dqE1$z?PxqXQ3TzmH801o6}4#jrvAHNtp-gs;aPNLAZ zpsOsl1>N{x+XBDPyODpRu`xK8*ckMt(8l0mS!@h0Bfl{iX)HDdS5RnUaJ?)x1~-x4 z7~E+rHU<+Zv@v)@78`>pK}OxYQEl=GuGmJa;W zj6Bu&X>_KTvNN)W^Pcp|ZdeAS+l4{djl__2BN5+Ux%s#zC1bPX=9I+uSCacv@?e%s zOG$ixC7G3y7qaB_l)RNCAEe~tELoD0rCG8%B|kfH!?LlfjBxQR$ z#Ch~~I7jeLy`5-0R+3JfOxfPLIFH`WBvz6#y{%tI&NtqhzVu@N0~y3c#5!^*v5pL3 zD8m`SNJeo5S8^5Ca4loGj#x)-B-W9exrN)fomfZiVj}l&FZVNv2YHxSMqdnZ!EsEYC5E*}T9@yv$r)<#pcRE#~ny?-1+Ad%VvFe8|UqN~|NF^94)zilr>$ z8@?mfkrk|DH9zt*YlwB^x9mEplxAtwZ2neH^EbY~GUscjq)wJJPDy-!C25nAJ+frK zl*IQ}l4DYGT$Y@YlGC%~tdyLSCH+%!L6!_lNr@BJ$tJFlD@)?_YVR?68$+SqZk0uE zcaZPxK4a0_0~G4*aar{CB>CQ+Hx|9kp-^vc%A&XV2#(G zXK*In>A_j_;vCN7eEQIr{tVy(25}LW5bMZbhA@oblyEtt7@b`wH>6oQKAXQ2()^9@ zugv*JQ!+J6o=S=Duj1N||J?FQ`p+$|W$A*H#&=l$+~V}-zRZ$uQ}RQW{F;(KvZP{4 zs$@xxlx*q5wX&(JWZRN>-QN3*?usbX-A=OTZddZ%wKf*r?M|WY4v32Cf{98W6|B&6zXoEEV{dpe0M{QMRy~}b(cN^t~P!xV;IME+`x^D=N4iu zxt%+hz+K$UJ>1769^fG!=20dymB)CTCwP*ln8`Dk2mjU=Oz-*gi};XOOFrRK7V|k@ z@)cjRjOBdGcl^K#R`DZ0@e6DCmEZV-zxbPS#9C63N>rgL)!2wwOEx9ek{WEzmTXN; zw#lxQ`e~Ln%I0sgG=E!U^LL+=w9k@5Q*uO>oRpH2v!rWEx@Af4l=RDzi&Ju0mRymN ztFq+!l-!gh6H{`p6W2*~SI9#p@%o7O7`;uQP;XDmqPORGp4rUdMPB9=Ugb63;7#T+ zp9Q?jLf$9e+h@k2w=XEv+xN2QZ6*2M)*6f6ey31xm1WV}2IPC&%vkic1-ahV-;wSh zE21v-s80hL(S%q>c41eVu^TODNo(4&JA1Mh`>-$V*`EVAh*(Dsrzr7OEyf&CRtJ|CEI1mjwxxFCCyXP%8Bb_Ggru-CGonq z_ZYpkqfl>$%c8fV$oF=lvFNQ6g?c+v7QOW#-`n}dqPM;j>g`fl^frWiZ=;MwZ&y;N zw;N^A+s)*AyUSSgb`QDU)<38+SvG}fJjQgMUvx|V-~Y{ffsq1xxC8jyun+{ z<89vIUEbq;KHx(><`X{SbG~2+U$K;Be8YFdIrT8?lc3$zQCa92KZU zWva3P8?q6buqm6dIa{z5TT_c|*pAxlKppC`BlT%WBbu-?v5qvQ8O>=yE85VOJ+kZM zz%)w_$>#4dY5vA7hB<$FO1fmpSt*HI49Nv4xhPA9r6g`KBx6!?U6$OMk~^~Gfs{O) zC6A}%$t;w zHWs~=r%-Pj%c8gH{UdMl<-Z)eM* zxAVyNHqcn~b|Hm&8zGC{E+^mHwZ@{iaTMz9c3Jc`fqZY1j74t`QK+{kWYODG4~cc;6Fy}zpYtV4_?l%b=Ucwx2Uf6(ANh%2Si`UU z#vlC2-;|>~6{$oOs#1-Oh;?LBs#Al_*^;fO$u`6~vOTpaq7L<_PXij!n4Q>}U1`d0 zw4f!eX~XX9!CvgczO-jQ4&Xoz<`53!2x1*Mnq%m|ah$+ObmZjhI_Z{XY0qr__D=IR zZZFLF%Th8lORh*s++Ij-O35u*GBG7_dm)+9rOCf;CgO4Yr&IP^mc5$()En8KdN(ES zXUP{S`O1kaWeeBHw}VSt)x(MzssVzzsNUN*;q8U0fm~|LKe+!O}@Duj74*G zDb(C9vS_Xu`R3Xhi{|#EP;&>#qPauKH+QVDXzqA&&8>e-rL(M!lO)KDvvRpCz!!gJk2va$MekQ1zzN3Ug1?<;|<ZAN#-h8MDb(FPvgq!9^4(1~7TrywPQI**sZT>1(S)6ewWKM{Xif`S(VDjGL98Wvvk&cP&;A_9K^(%N z9L^CO#nBwgaU9QyoJ1#1=2T9nGhOJ)nRKHEJ?X_c#9DGbz3EFo25l25Z_c}l*^lAltt zCQHhvq>>ZY$yTnA4NKy6WA8C~t4^Wbwv|P1waNF^z*zLwm_og^ltpiC$oICdvFL3- z3iWoREP6YJd~Y3%MQ^82sJHI2=z4b8`z4a&8+xq{KI9N7>VGO5)k&I$A))Bwc z8~--1Nw4dFr#Bv(i{I((Q2Hd@aixdHmmZ!_dU#^#;YrzHJi^zpbhK0H;mM_kr<5L^ zT6%a|b{PMJ(@RG?mmU_E9(E}`?3xbK@AP&}Py2o1=XL)hztg+8(SLuZ_kYG?RCXNy z{oCgL1Ap6`Pt5;5M)`mFG0K1Ak-zjiy?fV--|3A7d#vUAIFpn^qsZ8T>rt>5-n8`E5mhX9HF^3m;iIYrn$)7~)}hFG9qJKVz6La;?ABpd=S|s-=Cq_0v31y;J=lxb^6g7I%5EJFc76zl z5nH~pTZazLW6O5}vE}PXC(3Reik-)n?+jwg*PR~3*5Pbo%Xc2Ju zfBf=rgz?xqTuzy-!~gc>;kdHD)4R0^dTU9%-tLdYRGdJesrZmArsAXIr{WXFVk$mG zp{e+yET-Zsqhq6<#k@J{}JFzomr(z4|F%?@=Xezds#Z)|i11UQd zk8mDS@n~Wf+kyO4JlR-G#nU*QvQx2}^O%Y~DKr)P$zm!FBtI1|Gd7r^4C9}s;#J14 z=32&JDz5)N^d`sSxh1=sxi{TQOv>&urlh-!$FjSO=Tb5|OI}S$e8J_O<^7a=m?dAN zB);I1tW3#|S@L^I{>qXKQnFE&Y>|?!v!rfH>N{~aQ_~f)Q%St;;yp%h&4?xBpL*NV zcq}3N5KBne-VSmey&Xy{A^+6d@y25bIf+<8%J$aTdGywmSVI1(w{wlh64IMkLdy0w z$ayRw7jp^!)Z0kov4mW~Xv+3B)_L@HJvUIMxAo7k+-ZCQ6SB})zxbPSR3O%o%2Z(ks<9E95bMZh)L;v? zWNT`&4cigx$PN@ymwMEvA+e4$$*z-DX_mIl=5M<+e|^CfbN;B59GfMbQW9ToNqVHD zSC;fmNqo5_8IqFWS#o7cuE~;{Q*v9D+>?^~vt(LIraN(+)N+M9T@tU)dXLfDEDH7Z zx-5Eoi+peI8H?UNpipm1WYODF^1ZDv7QL;eP;Y;%08)Htyg~?qVYMa4+{Wi3fR@SVtx^g=sv-be?1eGqdaDr8G`aaPf2{aC8?g0&9h|Nl+@0W#wpo3OIoI+O_uDJ zk^`N%PPTD{99|NyM|zLZ+c6aC?G#z`b~^dqx*Ln$&Z1Cn{bkYH1>}1hY%F>kMxoxW zmPK!4$oDqhSoC%)g?hVB7QH<{zPG8yqPNG%^|t;Qmgi-&n8ORa#LLX(HDVokled`9 z+q}cOyvHIw;3GcfQ$FK!zGMksvy|n0!*_ho3Rbb2pZJ+ItmQZUAl8w;Sx0#)P>IS^ zr5dr0Y|JK9XEQcuOSYmWwb+*JsLc-4p&mQZfQB@t2|KeZP1%j+w4@bnXv-e#MXV$H z(vJPup949VLpUtEPEJU(v|~1Zi_`o)Bb&eHrKC@mT$qwevgGoVjLwpADY+p_CZy!< zEO{s;k7mhJDS5_!i+R@nkNvlp7t?=>c_m91q;#PZ*UGl8l8;K_^%L(ix?4=4?!J{p zcR!Ht?iXXx-LDktuA(fut3tlJO^ro&H7L~G_Oj@%haulZmzDG)||OE}X%cbf+h0aW?009_Q1Ce)MM`7jPjL zaS4|)n4t_~1SMR~C`NM?v6fuR7{+lOH*h24xrJCuZs!gra2I!TFZVHt2Y85wd6daa zvS{uy^39Dj7R_Bjq2{iaMRPZiZ|+WG(cDA| zHTQ@tnwvttxf#Zyxu+@A+{?0P?p5;5y=^R-dzV~u>z`!#OtzRW_>!;qnq_=LtR&y_ z11nj@kNm_htmRjJ=MVnkZ^}`Did3cw8&Hjn*qBY(j2djgmTXN;wqZMBCE0-@>QayT zG@ubph?Qg)cBL7+(SlaArY*a(Cws9E`_i8MIe>#Wm_s>?BRGnqIhGC_&k3AFM^5Hc zVkPNJFZuFohy|OE1K$@k4viUnC&EL4mFte{o$=EEpIVEwEA-O*#4`#`Z67kdlwHWJyYvX36T5{OrVavb`(h_mX)1(|e5G)={Xp4Q0{WCggkD z%2@PPi$cBaD2v`2lJBjlvFNQig?igt7QMA2-`gR^qPN2-)Z2-&=&cj^-ntlz-p(Y~ z+xq8N&X@J3FZ~$6Kn8IUv5s8IWei~`!x_OyMsWpKauwHbEn~Tk>$#DexS3nHjoZ1C zyO_v5+{^t;;z1rJ){)6fVH%GyohO*VOky2*mgktoY+m3cUS=+@@;Yzu7V~(UcX*fg zc%KjWkdOJ4&-k1#Si)Bhk)K(^T7Ju}lS*lpR?X&b^)!FuCc~Vs zosv3P(l{k?lObu7l0C9yzm&vHhUA!(9G4}hq~!E0IV&aSWJ&*&T#zNhQc~i?byC|E za%D-pUhO?bZ(}Ib+pV(b?GEz2-DfO%dw@c{JuZvho+RJf^TwjLITY&cO37sM|mnxiON)^8nKRS%qCQ4Gd5=nwxTAr z*p}_6%?{L|9y`*2hBT%LJF^Q-*^TD3q!n#w%O31StRwrELPWJK~xN?yy71u2Oe49Vh@e3>QRrsRh# z`86efWJ$%8RLPPWDcRDA>tqL4$hIZ%y1n-py%kZYx1D6s+pgq$Yi%rg+nqwa9UzO| z4kq8*(Z-^;4ixI`G+FdkOuo0C#-g{gDb(9QS@d=x`QC;ai{3_%>uvp0?AOZ1FplfE zfg2gmEyOx#dQ9Y3&wRs6_L{K6W3 z8<6j9Gh@-)7UX(c|6dVzkQGsvdeo-@jc7uwBfGFG&Df0=w4^m{*_}Pvi+$La_Uz9A z9K^vK%3&PAksQsjbl`YS;3PV7GN%&jNN0-a${BQ{J3Z+|tRv@g9=+*9KL#+6L0rhi zT*74xW+=lM!ALIW3Py7k*KjRk8OQb9z)g(j7H%Wfkvo~dMDFHZ?q?DYX4lE|G)re> z^LJL7zj1qE&cBtCx3lDfl*H|YWNAvi$&%G6iQ5awx|CGNk_}U`NtV<~$#z+?V@euk zN%NGna^e?y>y#@u*G1AG{tmx#U9(^4JtKQ|E}pYHv-B~#Zl#CaOAmXL9`-CfJgfAu zS9Tcxu(L}?&nZ1TxAgG5(!=vh4|`{a@lWVeI@-7Nu%E+ul`HsvL3+IJKlTH?pEN4- zc;A12pm+B!|NVj9i~Kvdm`k{n%NWcMhBA!djNpIx-#q7o^1qKv{s$hJ{5Kx&OFz)t zzFzhNy;f>J&>OGu>DrD$pRR|?;?wmg@}I6J8jDZYP89ldJyRB+u063TEyPuIJQ#i#2%3KkG4BHic-m^T%EN1fpFY+>Td6n0BgSVK++q}cOyvO@|z=wRyCw#`|e8CdFVkyh`hVO{o z-U?Q-njiU@Us%g;#BT3T{$d^Fs6ZtuQQI** zsZT>1(S)6e-Ck3g(VP~vq77}?1G~NdKYyV2U*F)wK4?zb)4Y`SG#l1+jl5nGuWx#n z(cXLtwfB)M+WVAzdtV!i_Lftqy`N;!-Wu}l{cSATD^H>JHkL(u)ycP4(^#~(Err@^ zAdB`IlW%V~W6@qq{-M2p>wB8x{WDLbBb_*fQ#qZ^bm0unq&q!0i(Z_=xtvcQ`qG~P zT)-eM;u2yt8BDAu!x&BpBN@eLVl}y%YZ${=uHy!7WIQ)>E4Oh6cXAhZa}W1%KM(LA z5A!IKnaVUCXF5+ZgPA--tR~MBtH~T*;3ZyRF0W;K|AlFmF3R@gi_@Mwz6vsbe@Mxy zEcrDh@l}wdN=m9_NsW}mS3#1Zl+??Tol>%Emh7IAy|UzhlpO3oe;<-vuN~6g({+N> zeX*oat5HYL`Q8f?y%Y(-7B zA=Z-ZsZ9}es7HMo(1^zD#LnzWQ+A^TEon^~c4rUvVjuRUJ^OJ02XZina2Q8$Bu8@$ z9XO5?IEjv&oLwv3(j4xY&Eei@4#(F)=KWCe%c8lz$TwHnSTwf*g__$!7R_x zqdx-~#D!eUC0xc}hBBNHjO22zU^G{8HP!sdjbT@=T-CZe*?ye!<-HpbgyPGN0-957C?tb#!O*R(Y zO`}kE&&r~^S>(H$Yb?5ZokHEcCyVYrAm82R#-h6==kDKl2M~`Hfgh z{^T##QH}~!q%u|6fDPG*P1uyp*qklcimj=|Hf+cC>_8prvLp3rNF$oCGqIL5r5Vj> zK`UC*mOY5IWN-GN9qrkl138F8IF!RVf}=Q^V>yoFIgyj-#L1k>>2#(GT{)9(^q?ob zIEPqE&Zjqh>Bj&rU=SB&*Gfs6-J`O3KPJul>#})&M@sI>k_S@qu#3R;ANM#HjtR+`-4PzL~bzIMljOS)<l%PVVAv?%_V} z=K&t%Va$Vn>rUWhe||2n5o^htyv2Op<{cLD9*g*ZkNB8R`Hau`k|li2QkL@#-|+)0 zSVgQQKk+kbSj%txL98Wz6KhF%Do}|kRHa&Wt!$ZQY0Yf@7Nz+cHyh^st|{3qOLk95 z+-yh=PRU_e(jg^rqai6y$r)L4c1q66k_%IENtTRA$>muxE+scOah)`Dh1^;aueW=T z(c1(H_4be~dV7?~Ol2C6^8`=w6f=2-XL+7k%;7~|;uZ3}%`+CgEuc_upU9%O#pHWi zW-NO9mO{P#B8%RBCEr^)W6@hha=op8@?%q3b!xCVTe1~3*@jq0wx>2l)S(_b(tt)Z zW+!%LSDLaLEoez=VjbC?J=lxA*_Zb0#{nG3!5qS296_ujM{^7vIF1uIk&c{9tRts! zI>mJ147$;so}9(moWpsXPapcxpMhM!g+Fa5^iwO&C*HP{GF2K?_=5geJ&-lv*gv3ypbjEr{u#d`64A>Wy#8v{Fo)br{u3J z*&rnwWyuyP**Z(=rlh_T*GVH+$WA5kx{LQ1y)~myZ+pt3w|&U>c95~??NAE!cDyWl zJBfU6osC6rT`APtxw7c3H~HQM8H?U7rciGqWzpLew?~U?r>gk)Qd6wfxHO{J~%RO*tx1k;+tI1FEqRo3JUH zQG+emlC7!9Hf%?%BRfz;UFuPvhBTr{cAd0Jv$SnCf7_+`>oy}k8;(lJv02h7C8uUd zkCgPvlD;V!kR?M>GCWJJOvyD_a&t;<%aVIia(|XgOUZO6u9L>Dkf%%H^;z#RdYeU| z-d>kQZ*P(B?LA}B+XoctZHX*;TS~sS6~>~s)fDROPg(S~j(l%bjYV%8QmD7BWYJqK z^1anD7QO9AuDA71el(Reqd6^TMQhr!2eFRq%|5iFJ^OP22XP38ayUnD6i0I`$8kI- zauS_5nNvB9&UB$GXVQ%x^rRQ(5bMbK^rkQU7{EXVaS^eOT*_q(VJO2XVI-rtf-AX- zYq*xNT*vj?$W7eLE!@T(+{s-`D+Ao z&QJ3&IZdOMwbZ{3YWZ)Z`cxBjx|?E><>4K^0N4Wm$R zSIeTeG30w2Z!CJdl|sGUCyU-5Am7_mW6|5=`OcLV}B0hU=HE1>^eCi&C-t9{4GxNH*PP?`SViJCrd6&N!(sYE>FqmEE$)QxV?}} zNXgw<@=!`1&61~5@=TVzn37krWI;+6I&q!sFkdt=et0pxmH z|J3)hAIB0)$Wzbm22n!PAq!FYxAN=UKfY$!GxihZgSn-Rr6S&DtK6kDZ=ty0B4U5c$##a62S z{!njS{|@T0BlT%OLmJVTChWw{?82@zr5U@?oEEgC6|HGQTmJjFWU*EF|Cz@n|Bc7` z(hv1^toN@U>WwYKGRyUH%5Do*I$y<)#CGl%)=+j^@R#$yDMxuKQi<3WY(OJ!_!Ml`1EwxF5w*v_>ewsWm%Lu?E7B(`(=5Zk%- z?8gBd$iW07|S!@fs@xQhOeyDe6|3+hDa4xYi=uM%G!Ns!J z7+gkvV=&TKYz(fT(8l0;S!@h$BEK=X(^zZ_CQ@i)@Q5rn22;py3}zUMjlt6t+8Den zi;cmnyW}mOD5OtzRW_>!;qnwXK_5Hs?7eqbf5C_5w9I*%FoJAY7i zMpkehGqN&O*nn!3ospY4j~TfITT*sLZs$B^3mwJ?)kxiV(jNFA?DLW%uIgc6H zmO?Xfe_71PgE*M7Gx8|sM{_J4_@^0ps`1n4Ofh9=WDn;(>6P8E3`n;NgR&cmA?ZdU zzQ1zwaZO6bX35PdiSMr@_ow8+ESZ*)`2I>VDTN^g(c31(N>aABt(-@1wTPAEpL*NTc=XngSV_wE z*3^0Q)|^;L{;9XUjmJvTj#x>`_I8N#=)ROy`4#{BxQPA zzmA-5yf=O6#{dR0h>M7Ivx|V-~Y{ftPrhxxC8jyun+{<89s{ z){*ykpAYzukNK2XM?U8ZmhcrzS;jYfN30_&SjlRB&S1}by6wK(yH0~t)Av@ ze1B!m*G@^DENPsQ`2I@LCMA1h$$lw`@2@1sq~y3PIVB~hXUSP9IVVf{r{sbx8J3a~ zC$5uST_IPN#Ou}GWArwLLcQH8i{9=a-`jo0qPGVq)Z62-=xPvm-A|B%YxvUQZF0+pytRjLu|$i{3!bv9#j zwqPr2Qj2Zbj@s-%9qO?o4QNPXny@pw(3IV1PD@(RhPLd%Uc@@GFYVZm{W*|>IE2H9 zb>v8n;uwzQI8NY1I?{<#IF-}sOc&1JOuEy9v*^V+oX7d}p)dUzzy%EAA}%4;k--dM z7{e*yaz-&ayH0LMvvhnmeCt;6;o0rOKPNKODC?Armm1}OX78V?=gBSqEK%;$)dMi$@kXUSoF3# zg?c+c7QG!zzPF=|MQ*Kq?kGM-zAb>w#LU;=k>H}`NKlX!rKc$i0-%v2uZah~8wo?<4?@GQ?Wn>oD5 zOT5BdUgHg79ht{`7Vr)Wd5=YWNUS5D@F|P=oGg`=w^!7ga-aa!Hy?sHU-oBSbZ!5|7w$@nm_B(}o zt1OG&HXz^IX2znoEy(q@{%&*!SrK)qM|~R5h$h53vJ1P?jNNEKOIp*G-Px19*oS>- z&;A_1K^)AX9L5nG$V*mpg z#D!eUC0xc}hBBNHjO22zU^G{84c9W3aa_+0+{Acp;WlC&xswS@F}mD0Df+5JJ9-EoT{S(=h>vSf8i;ub@)E+rMRWW$tf zk|niLvR#(!n39HB(mW-toVZqYbCv8_60duEpV3`A3Uzn5EV?_2e0L`ri|#s6sJk;| z(OnPn-JNeNy6a1!?k<%@cSFc`H_BLacO`|oyHOV1-Aul_yNpG5_mJyu{X;5~WmA~O zV@&4>W-yajOP=L9W-*%=c#)Tx%d5Q38@$Cl-sTrL=I3oKh06r+JUjTQP-tJ6jgLokza6fySb@3n|pw z2wC)YIr-kMH5R>%qfl?R%c8dl-|`(lu!2?m$WQ#j8h+(B{@_pkrX1y|NF}OJ zm1=B6tR$OKof>S;mTW~$wjtJ$?Ws)>b*M*u8qkQw?8MION>g^D1ubb!8+K<8_F^CQ zr9Jy`00(j~hj1825bMa%976|=;{;BkBPVCqNw+jhduH>ucbdO(t6|PxmXe`aaz#qw zRzq@AN^Z%Li7AO&4at-)|Mvgvv88L|+md+w-n)$ER#K?B-(}I*H6Gxf(*JiVRU7GcAqhZ!>l9J7`q*hAe zMnlptB~7xVc}n6&L((oK``c%^fd5bbXOFF1B^Q^(>!sdjbT@=T-CZe*?ye!<-Hpbg zyPGN0-957C?tb#!O*R(YO`}kE&&r~^S>(H$Yb?5ZokHEcCyVYrAm82R#-h6=%WuS5@+W_>j&f9>B9*Di25iViY{I5&#^!9nR%}fzwqZNAX9wy~ zmmR53LmJV9or$%iDa~k33tG{dw(LQyC3~|E?P$;b9LPZ&!l4|_5gf(Q9LsSW&xxEw zCr;*6PNy?n=*pRNqX#|d#W}=Uaz4H3OFss10fV?GyH-ll>>icP`!Q+W$IXU$e@9C0 z%900C;$|a~CsQ&rOXj2`ZZjnFQ}Rxhe3X(;vt)TnzRQxIQnDsX%BQ4~6T7e0u8<8& z;&o&1F?y>`q29KYMQ^pq_twBz^wyX{y|t7@Z*9o;wy&}1Z9fY2cBCwNJBEC39gRhA zr%tfKiC`pHm^yq>wmB}9-oUJ z>>W}1L|sYg;mFd%%S#VOl^$M^9mb=4qf1AxEIquc^ziD^!)r7QHx|b2yjtIG^71p)dXD&j1E;0fV@Z|A!u@vg7#g-#+&r_}k}vV*d9B zd;f?1VDEwT;s<+U!5(Y*zK-j;k@4Kjt=z^P+{sfJj^3ZW-8NooasEt z3}*5SvE_T7SagPkA3VZ@fN?AD=!^Vss8Ky3Ls(uuNL zhhpck+rvQc{r}@ z5B9b(L2oUI*W3M(n2Hl9G!-9`#Z-Kh{8W6xSWLyIC^Qvcl*Lqhh5S^UXDp`T0t!vV zPh>F_7n7fg%Z$ZT{FXvf@fTT4#b3!!#d5}CDpsV>RNPb+yVx3RPT8rrjq{j_+f$n& z>QHtnHgX{M*wJf>o63QfiKvY3hoa3E!;;t|ecDjrSjVmpwZiYFV3sdyTv zQ+6tLa~@N%CxxbBKUqx0f#j#+WyS_GlwthSRJ_Xg)m+OMOvUxzhu-9PJhxyw zOX{Sgo&)zY&732<702TqUS%}bh!`S&*W7{DV~89~43V=7QayR!-wz*!8qq&FZ zLz(7EzR~ie^#KfGFhdy1Fh&rQWg z<9$9LCdtQq!l!)3EM_x@FNsMqkNJGfLKd@xm?X=IN%B2Eu##2$#A;%atR*JN@BG1E ztfL$ih)Gh34cUk)Y{F*5B&o(0Y{k}WOLewqM`DuHq!x9kOFecaCP~BWOlh2UX|rtq zHc$Ka-yd=LOO1|Cf2q+)S$alF&(3!D#p$2BG)t~YNyjYdoRT}U6$qOlY$$@iae`m>S#qs!t*BRYSpip=3$)dXt$#*x?T6Fh0g}PfLi|)Q5-`xsp z(cO;}>h4cjbhn;-ca^P0cNFptom$9RG#8OR`>VhB$& zj1fFb%#x9e;swU=60b0paoM>tDeclJ+5VlL_HTT|=7Tw)IzPsD4MR#{lsJr`R(OpmS-Sx8;-91X7?uN>u zyW!-!8*MGRdy!msCEscpFPp$yyv-ygGlglyEct*Bna;<|;8SKYo6q@zFPY0c7O;>- zEa4lLv7GPto)xU(M}B5CYgo%~{6Wl;b*!g66{tuhDpQ4+C7ZGtRjJ07Y|S=QXFGOa zM`}=$+SFxdc41c<(2(8PlfBrReb|r2G^H5_a1b#|4xu@R(vrhz#gQDHohzrMJ=`YS z!$oNipP%jSD^qfHmfV<6WYOFHpEevN-M1rP=;nnf7noW_W-2D<$Q!WTTYCZH8pqlx&|Rby5(u?db^E$Z{4g# zZ+BCuw})iW+r#90d(v9;Hi$yKJtvFaMv?DrthMOvH461MSr)xbCEwe})}psh$@Nz9 zZI*em`Fzbn7PEwIG96dK}!zf2wHIz zM{_L4a{?!EGN*DHr_+WrIh&XxZ7JeB&gVidrahNt=Sj!3OFL!zw{zORaf@NkKai4M zS@K9q;ub^lbV^2K$qOloTMWsBl)RlK@1^9!EcrYoUuMaolzfvVKc-~01Lw)VoFTs# z$K#(~WAwJ3LcMJ)i{3UP-`h6UqPOiR)Z5Oo=xtZ>z3pW!dfSIWz5PoTy)`G_+mY6y zw__;OTWeYLb_V(0+F6U=q!0PC2@Npc{e4~ zvgDJL#O;M-eo7W*$+s!_AxnNu$sbv=K}t5vk}XoQwFBqLA-Y%n1Z`aGBw;Rd# z*2P-%)|FguCEsN4A?wM5^rjDe=|_KJjy%p23}7IGd5WP7V>r+7EYCBN(Tw3mUgi~E zWgM^b2IHB?TfD<0-en5Yc%PUfA2FRzn89bvWHxh%Ir0^Ana=_ivX~_-Wf|Y{9Y3&w zRs6)y{K6W30y?srg-oBSb zZ!5|7w$@to_B(}ot0arwHX`3!HEYq^R^)ms`9Fy@Wwoe7UFxw5^=U}Vkv-UxM(oYL z>_-!tu|EfL5C?MzEojMM96>9N;%JWLI8NXsPUcij<8<0^CTA0Kq%B39$N5~yMYQKq zVvbzFm2}{0uB9W_(}^3onOnGx+v&ocbfp`2aX0sH9}m!jUOdP{^x<{-ol>KmTpX@ty@qN3#rC+Cexb$hi z(x;DJz0;pDcYkp!Dg$(x-#6r}1wXT)O&H>C+*e{=f0V zynTV1c18ce-|&}yxc88{ z*$?-c)PA@(9^)OhIfdR~kCDYY?D6E^VOv{^ci1y1^bUK0EZ$)+CjSn5m9=<>y@o>X zu(!(M9kw(1ci8UM;vM#03cbVjmBl;kBjn#<2U?4F*r&+7!5gW4!o3T07*pjW-hHcr7?b(qU)TB0b*qM6l zN_`r#J8{Lg7me75eQ8WnnsETG`2I(JxHm5ATgq7^jDR9f7Pu; ze>+g9zg=X}Ujy>}?QJdk+mFBL??3vq=2ZXA*0kXa&f;v&r7i6^p9{E%i@AhLxtuGw zimSPX>*&Z0bmAs%A?C^L#5}o!J1M3c-MNRDC-?IJJ?TYn`p}ntJi?4O3DjOSVW!d>|yLm6EzyvRg{_%#!_6a!{5WmXcOka&k&e zbKp+7r8DHL;&?pAYmDBCDAe0!vgqwf^1WSeEqc3=LcMjBMQ?YJ@2!Wm=SdQZa zPUK`x;WSRC4QFQO$%Sc`w$Ju&hqQm=10j3B~w%KewKWil37{ubxIaHaGo6I4EeS=9>4b*qqmh5>g{(~^!69|-YQv( z-Zr98Z(GTtw{6MyR?}MaR)<2p?IDZa8jU{z|~yKbzDy;ZscZe;Wlok3wP3$ZrsJ)+{1m`PY-(W zAP>=phv`Ru9wX++lMG-GgBik5hB1PeBhT?XqZrK?UgBlO@+zFB_Da=0_(=Hhs~ z)oYC2I#Z~(du7qv1LS+_Yb|a6zc5_S@br6d~Z{%MQ`s> zsJEH2=)TJBR{d4Us%g;#2opPzgSN>DzE{SsLV!e%qDEc z=2T-#wqhH$WjnTKM|PqnwW-6-)MHob(~#YXIkFdx*oS>-OcR=M05M1Y#lbYE1uZ$8 zBRG#gJ)AGgaoa|d@)OgFl7 z4>3pX=K*@si{3m$U;6O~kMbB#@FW8n%u@{IX@)a`XL*j1jOGPi|rsS3^>6((evgE;(^l{)kY2^%gv^X9g_Zp+O0Tk-(8Cmr9 zJo(;Uwidm;N}=A~kwtIslJD&!Yth>$6zc6OS@brad~ZvwMQ`6ysJCBa(c7=&dn;!x zdfR|pZzbRO*j!eXE!dK+*@o(DPt1{>s6j1iQIq? zgZLMR(1JrbjKgWgksQsj#2h(+6FHevIE~h{;Y?zVoWr>k(T?-Ekc()~C0xekT**~j z&9z*|_1wUX+{7*1#_e?B4!TmzU3BLj?jz<%4|?(-z3Icl^rL@vo(xI5bXc~3N45K( z|FeTfI!AUZj>kQ`%4n_;g_=817R?<@zPTf;MRP|{sJT;R(cJ0en`>(=nmdm|&0Qgj z<~opXu9LNB?q&)#*G(49-A%r^Ue=Rq$#Xo*>Tz#4Nd$+vrRe?xdJ*bSGxXz1+tG^q?2LiCNN@hk1nlJjN3|$v_72 z6hnBLVT|BeVwQ|#6fZD_mw1J-jLXiIN$FgflASBl)438i8Lp(}rer~uEK5n;WJuPe zsj(n zO5V+qPg3%k19vLNI77ZDj>oUO#^`N6g?jr|7QOvIzPDejMQ^`SsJ9Jd(c6aPd)wSv z^tJ_sdfQ1Bz11S$TYYQM+in!Y(=K+KY!2UaQ_WP@C?uXM_=koKik{7 z?!SMwH!c~L8rRDxds(p3@hW~IF6Vw>4P`G2{&KvIa+GHSDiW6k8&QQ#h|9UnsY=<) zf^8jFXM5suuIyz&9mjDwSC6=yt4{;UUKTWR9G7$Z5|?vLXi8ib97tTw9ZX!#wct<= z<8WGW6fWof_QSzbt;c1-=@hywI8PRr1sC$aE(`o@@3H=i#)ZKZ#Dzfz3SAi7EQWPsv>vlzdfXo@_o}vyjCsA$H_)Vn=?@53FPrWq0IS$FU=S=MT#6 z$O?{QM^<7(Hlhk;cVsoku_L!)Ys&7(9UaGxtVu2EP?xehvZ3SHk$bQwWp`v_$FU=u zQD{fDl*Nubf>xB>k;gkefs;6yzuS>#TR(@k6j63ZUhKF%mu5FC9nBLRM9J!U4Bc18Oophxe-MO24xsM0vK`(j}bEGdZM;@U+kMTG$M+P#8 zrx?Q13}Xb(5_4oEqj-Tayu{1I92rNTc}_Zp+Oo)qfsQCal%1o_^ET8rL>Q>eEWWzpL!+)I8WjReTU+B+{tT<-fB^(x7}pX+n(fmYho>W+n++c9VUz3 zT9NPV1Z&aT$rS4C99i^MM83E7)}pt|DAe2avgqwb^1XGj7QJ;P*IUU~ReH#J@*utG zLtpyQpO_<$^8^DJ$Y7pgD8m@eGd#=ljAS%pc#)TRg;yEJ>%75uCh`{VFo}1W!Zh9| z=Ez4(=M!e|88ey99Ab`q#a!mIfQ2k(2}@bVw|vJBtY8&C@iV`$hF|%OKlqDvl%qTw zP>~I(OcgdE=E&w$Wec`sYqq62+h^xVy|hc~XZyEN+Q0i|`}g3Kw8)a9QgUpToSu?1 zv*f&#T$m*tQgUsU+?qS`#^`Mng?f8U z7QMYmzPHKNqPM9O>g`im^frrpZ}Y50Z(mcWx9?@q+e-4it+f`t{Z66YD#@a^jmY;_ z&06%f6}jF@?nZ0MYEg%})MFRw(~y`Wd$1>s*qeRXk0vx@e-7jz4(1SA(2~PAf>s>G z(HzThoWMz(%&DBl>9pZY&L-waTZ%Z3^SO|VXwRj@9Jzuk>A=-oOGmD!6E|`*w{RP` z(}g?fN;mG}Ztmee9-s%kc#wza!^8BWKaUY}t)mK<0dkN@&Iqr2u5>h2g>bay=Y?pj-m?#`f4cNfT_yNk(pca^p1?ivbp zcdIPA>rB48?$)BadnwdiUs-hb2>I>?T8r+UBG+BXmsLi}M)3k;c!`%8%Q#||yuq7H zU?Okx4wIR}RNmu#KI9`lW(J=!lUaPu9KPf$=COdUS;S(#VJXY`j+iAYSjmt4#A<$F zEx!@7{rCMJiF5jo6q?*o@7o#+GcwHf+mwY|oC=peD7c!_L%WSL)M{-HBPU z7me75eQ8WnnsGpOt{k4ul_RrRe`1>Taf{(P>fDsH%aTh{61NzV8&YypmfVq&xW$n4 zOiAx7c{C+YWXbT9JnNi%E;}a+|B=U&oh9!T$KwZHXLL86Lfw5Ki|*! zy8Brc-K`eiyW9Vpb@F0$yZ0r~Fswiey(N3Oe)FRL6P zYtEsxt#BGM8R!Zt-$!;mxGfVbQ$w66iSV~%D$;l}>&4KH$Q=B1Z702T_ zUSsrDM4{d;lSOY=lJD($Yth?{6zZ+3EPA_(d~ZFhMQ;yMsJF*u(c1v>y$!P#y*)#r z-d>hPZ?BT?ZKAd4?HzKxm3&d+KCF-LZy2DPY7UFxw5 z^=ZIv?9QI-#op}8el(#e`*Q#XaWIF_fS6qQJb+J!H{aBl5j9vlhJ_NTJ@2kVS7tk?-v!Yth@O@(~~N37_&AvzW~s zz9iEBSwkD`YGAk)K%2FRbM^ zVwU{LU#zDb71)4ERAwVKW)n7JbE>f=Td@t>vK`yABRf%(+SFlZ>ai>JX~^!xEZK`j z?8Ck^rU}hBfS4u!;$WK7f|eZ45gf@;9K*33&k3BwDV$1cPUj5H?6E|h&N^#oV-Lrk)Gwu7h&G7#4L`nu`$?%l?`!>VZ@?S|m zA2KdW-%9DE?4O&S{<#@h@J~ zV@sdDTKaTc>C@M;r}0I;*GpI5D1G{7>C^G0PbZWBl4V=TRQxah~8w1~8C8 z4CX0@FqEemM()d0t~&nt(cXW4w71B+ZjpE0B3JN5-g%3>^A@@LDl)-~y!#fJ;zg!- zk*oP4@4`jig^OI#7kMWx@=jdjZmh^eFY<0&WU3dL>P0T=io7cqc~>rSg&yEf zyKekwZw%~S#&>TXqA&e;ghzRdCwP*94CX0@@-)L4!LvNaNJjGlFY*$vFqUz=PF(hl zX992WHj|jl6s8fEeIM{4)A^Vge8x;>^EqGeC3Bg_0v57}C49p&mh&Ayu!2?m$j_{1 z4Qu(0KZwh|b*!g66{yIDRHh1X+4pys4qIE_hU#ob*-M97j%!nwxa`}7T`7C%u&3j_ z*qeRWkH*BM!~Pt=LBwU>AvCA#r9&&nM{+cA*;n?`;bg~g*>@Uo+1G|MD0}Hp}owO9qyvcrNjUB@!^AIf3!D# z!~W6YczoPH5?gTqg|^}|ve=5xli!LjTZ^suDuuSn&A6bj7_z8u!;#acR ziu1{D#iiC_D}GC%t@w*9w&Jhkw_-VKu@yI<&{o`B7FV%buq9=;;`WYXEAB)MYEhfA zTd}_5*owQcJ7u@xzK&xnHlff~Y$1!Sco>IMb}Jt1IJV*m#8vFcAlj9g6H**Vr*IPI1F+%R<9?JIC%W?Gf z5Pc}qTgkUsp0qxIK@4UHLm9>hVvamV%#l%yW(+S9b7U+rM_%J~-ef!zd7GFclZiPp zm1(@s2gDrtm{0hW&zQw*=I|vkN9Hk~uUW`qmJoAfIWb4R=Lc4@il10b%#pRk9QmC; z_=|OvqXIEUDzPCOQH4#|jF=e8cOE?j}&EyZ2<#-G}76n`te&`MFM9J3eR-Hi=+9$3!IKPR5Kl3L zry0fwo+W0fHq2A7t zMQ`Vl@9iRM(c2{y>g_sN^mYUJ-fp)Rz1=~f-tL!0Z#~KP*3Vk>_9%sV8!C(5hLi7Y zw6*B%MRL8Be4AywYyxlbHj|jl6s8e#`v*f?>NAAyZhI~;Rk6(F>(c63q_4chS zdi#NVZ@*ZJ-hQP}ZyU&>w++enwz;+FZ3_zZwv#M+t3|%I`qrYi-6+&s6It}OKl$ET zSc~2cBiCEWt>_7|6FHevIE~h{;Y?zVoWr>k(T?-EfQx9)C0xekT**~j&9z*|_1wUX z+{7*1%I$RF4!TmzU3BLj?jz<%4|?(-z3D?=`q7`5Baib00~p9)hA@<24Cfi1<#|Rj znlZe{%e=y?jN^6QWIPjji+7mByG&sk?-O(6Bc}5SGx&^I%w|q@o-9r~dug__SEfB5 zw;0|v{z^%?EZHa}af>0@HYM9uJN2To8EIT#*Q>SPD z)Ojhn(1CZ9vz;TC702ThUS%}bfkMsQEQ{uDBi~#%Yth`@6l(4vSv2=B`R1Oq7R?Q! zP;<}8qPbDzn;UB_ntP2x%}tg?b5qGT_p!BT?o)Ejm3)_Fo@_o}vyjCsVJXXrN%B2E zu##2$#LxV~T7Kns{@^dxQH~01KqWS0BdV|oo3c68*n+Ltnr*4h_UuSZlA6?_4t1%= zF4U(XF-i7dPa3f|`_h;uG-H1bnYUR#-2@2#D+=y_I~IS3yaUuoN$o6YkdnB;kTgxn0a`b zP;1fKa0>PIqAYrQg?w-0twnEdQK+{MWYODn^1aQr7QKBzq289rqPJz_ds}5Kdi$AN zZzbPjStnahc`8tmN>ru_F-JCKGpbUJE!m1~sLpomz>d_QCbg-{&g{ajG@v27vj=;z zH~X+3jcH0V4&WeSjvPXB4y7fBa|B0nG%-hx<9JTwBu?QpTGNIzIE%A6m$tOyd@kT3 zF6I(0<#Mj%Dz4@luA?J2(21M4g_t9^)0sQClVa|oJNIPgNuRV!`(^ugK-#}?gJI7< zpOVp8@@h(6&ysgjGA&C!Ny%qfGCw5?v*f?>XCm7=Lv|>R$DO>!=&crodfQDFz3oZ9 zw6{ zYxtGl_=CS#M>)!~0TtPh%2Z(!VvcN1RkmPDwq{$Zvwe1+)Jr?Nezvn4r9B@v7|xS} zQ_>lS@KXy9?p_MDH-Cx`-$Jm z^JgOC@j0(CdK*Qd-d>YMZ*P+CZL+oKZ7PL&`&1Ua%_861JZsV0*A(jQds+0hl6-G# ztwnFYQ>eE}vgmCi^1W5F7QJmnuD6o^i&#@ui#pV$9=lMVhQu7%gFR`)-t5bMG@%*$ zb07zCFo)2BmK??rwBjg^=2(v71Ww{)PUSRCrwwOvHZe!qQp9doCsB$Q4{k z2d?H?I&wXoxRIN=h1gELoqD3R$voN;b=q z?NYL1mh7C8U9)7Llr(lwX?BHnWq+)9a`t1r?`Gefi|^S@Dg7GV)Y7NZN}s-0`t<$M zryrC){V;nP|FVxtSErXg{kZh$C#6qkls^45dm8_S&q`NkmOh>3=|4Z#>w=)j1woMu zxgsZXk&A*NCv=e$y2u4xk(0W}NnPZkuE>d9GD^rF; zk&DA31ERY!=-suiEZ$uoA^+|=&|18^K1J@`wdA`VBW0s_fib+q%Zz0l zakcjbZ!&?2yv;jIW(rezkN5eIkNB7we9BB_@i}w&lCPM@0={Mui}{A7EayAoYHtNA z`H`Pk%`dFwH{xpVPyS*(<)}bKDp8q@*qBY&jLoUWmTbi~Y|D0R&yLifCbg-<&eUU9 z>eGKVtjP|BesJ$;_(cWD0?Jcnu?Jc8Fdq2yfy*1?9TW2lWD^H>JHkCztRmrzk-CDG_ z1BKe#MHcNfAm85J)}p=r_?!0rqt9th_3vy=8_wV?&gNX&(vI`FfQz`8OSqKFxq_>> znrpa@j@&>eZsHbVn%quIlRLPRV!F|tdx&XrKM&B8Ui799ed)&|Jj!D{!IKPRFi$a* zry0%&p5=K)GMX27k(YRdv5ez&Vw#L6rpa5p%_QDs3e&P{|IgAcot<5i&rjFn@llZd z`$I}rWy!B8`6Ej&#o zdb^T*Z`WIk-fpB&Z(U{4+g;>)>tQW=dyqoCJuZvh29WPEb84C6GvMhRgm3(g# ztwnF|kn64F+a4duVvc;m3_fEfvzbH8k*}D`d={{fMJ!<{%lMY>_<8q<@wSN=bYaBpH>GFtStFD zC5s*SEa?Jg$hXDu_`TN{y{)8BZ@@lQwh@JT+e#L_ZA-qln%1JXIuz<{ z4_WlqhE(MWOC4l0|oykniqlYth|xQ&d2St&fLMB6w{6F+(XQg`+0z#^rANp(U*Qa z!lOLK6FkX42J;j{d79yj;92a0|L7iIvVVRG(}-E}0Ut7*kD0+|%w#s7^95frmw7B; zA&XeTH!Nd0-|+)0SVhc|pIOZs*76&F5VK?*F-yu*fr@NMWvXQ7%GT+OsGgk>wbB_8 zw;A3e_Dsp%S+ajh4$6{NDLFbzPEN^bSyGge^Rwi#lw6r5H>Tv4Ea{q(yRziLl=N}n z`s-q6$fL#a__)^?y$zsHZ_mi0x91tjXkOq&Ugi~EWgM^b2IHB)TfD<0-X-7LN7kaZ zPbk#eSF-4BKKb63T8rMkrBH9b$fCDj$@f;yTJ*L7x!y{?^Rcb9Kb>Ri$iF^p&Z8HwBksP=2&8moWO~k z%qg5kYua!oF-OkfT#9JN`CQ0FwC55o<8rR#Dz4^QuH$-c;6`rZ7H;Eqx^M?wDdsM^ za}W0sbEF47d63@p;bHpGKRZu`q+L49o5gSo{(jR@?W%X3?P?>PD{rQBVz#@drrjO4 z8qT6wDVdWcU#BE)H6$xj@>7=lo|3<^WTTX9k|kTEWZNvMlahK4oGa~}CA$^J;~rjT zbk~SN-5n^4?hYp3-4WKJyQ3)7-KnzZ?sW3qwY3)AokyYWu8>7{9msdr$y#)GGljbA zCX4RwCf{8zYth|9Q-p7-&0Ssa=Lm0|1Mi8^)Ii6<}qZz}Cyv$f$An9Ur%BxcDx=JPcRSrT zE5Gvxf3c2oRA2)tu^}5#g-zIu&8fx~Y{k}WOLewqM`D)Lq!x9kOFed_J`J;TrE%J& z&9eR5Jndh%8nNe(PsvGHaz;wd&XS8$a%q-alah{E(m5q}X34!Nc_2$3Ny%eb@>EKm z&XN~W@{$AR$tBK^*NWru4X-hJn?Rx7-jhXdACm8FrnTtpa|-pgNEW?)L%z2a)}pr` zDb(AavgmC+`Q9p9i{3V-P;cAFqPOkH_g33l^tLm(-b%jrv6rk7`>-#KX+kp&Am+%w zIGEa4PIh%86OFPcv0xslY+H)zF6LaJ$I&clw z(vj=w#7)E;xs}`KOc(B?m~M3EZtmqi9-s%k=*>g)6W*8%Q zmY5?W8N~~X;U!*SEaS5CWK!CtQ?mU#J?-Ba+5VlIk_B0^EG6G%$(oe>mL=s=QZY-a zrew=3*&!u0vZO&ucF&UiQqnX_4o%774xA^KIzx^rj>qG?#^~)t3iWoDEP6Yad~X+7 zi{37wP;b}CqPH8!_jbFr=)83dh1EPw|>^5w?`?|+fZ5bHk^EKqpd}6FOuu6 zZ=ZR)Z!yRa(_ zXvpsD$zJTuKI}(hn$nB|IEa`dhtQluY02TV;z*9p&Xd#9E^U+T-=egC;}*mF$(1R& zI!kU$N!(&c?n=o$S@K{?;ub?PASHvd1lft4%qg5kYua!oF-OkfT#9JN`CPz7wC55o z<8rR#Dz4^QuH$-c;6`rZ7H;Ksx^M?wDdsM^a}W0sbEF47d63@pp)dXDPt1|Wd4d59 zWH3V*$}ooW4A1gBBN@#YUgTw7;Z?@*I&U(biM+)-OyXUpFpc+#Ir0(H`GgsK#w=zt zC!Hr178hSO(XQO3oNvjM{8;bo(l5__Uix%S_B6g|_eJUIm!(g?Dt$V)^y$3Pr}ML? z@o!jAy83nL(}kr^7nMF;T>5lL>C7>s?X*pC9Y}=f`^g`Lg6cUzYs8 z`1ecx2Vd$-Kh}F<-GBdBZ(K4gHLjOY_Of85<5m1bT+aQ%8p>W4{N;EZZ04DP1Tg~3CzxG;E_{Dr}j*5blo5QQ!bo|DCe!6@<< z24k(og~4kSx-gh5iwlFP1g$8$Bae4{0w-}Yf43vgwtfz6DWdF-yx4JjF3oOO zI;Pu&PT7q_=X4_xpI^E8cpxRcvgDDJ#OGI%r&BT_OI}Dxe10XFkdn8vy_?d3Rn+lQDWf7jc;tj8p2PE3-ry&dT|dOL<=`Mcg)TaQU{24_;X zw|0)Bw+o0#Ql__(IdYZt4qU^vbmV$EaT75|ZYAbOXS#4FUFk-5?&e z=}XL!N9fOEJWkA!fehj)hVV4Q7{Rl|92v5ek z?iKRQjkgxfy+xtsK9EIo)5$kC+gddD1%;YhB8%phk#BC5wP@~Va?O=|Rb`!QJ>{uD zMJiF5D#Rq&l+CD0HMV3cwxK%Pu>(6&gPPQ)E<3XeyV8J$?9LwS#op}0el(^j%{YLA zh)Hq?%{i2o9L^CO$9y zYq*Y%+(0L8;ud0(+)iii;7*FUi|*W$ohg0NF721?-vMd=#wS?z{PQUpoh7fPBtF5C zyql6~S@KCr;u9>%{FE%rl5bP;LzeuSl0UL!gOqHTC0nFqYX{DgtDGS_6vyLEUSsrD zi$cBaCX3$oB;Q*TYth^O6zc6TS@hP5d~YXMi{4JAP;ckRqPHUQy|uR%yFmW3%M+l>E2+(ew_^kY|eH@j0(CdK*Qd-d>YMZ*P+CZL+oK zZ7PL&`&1Ua%_861JZsV0*A(jQds+0hl6-G#twnFYQ>eE}vgmCi^1W5F7QJmnuD6o= z(VDVa)S)i**oFEuB<9E->`5c`W?%NB3C-A_138F;IfNFpq)6-RM2$8sDea1tkT zDyMNeZ8(#&i8<1iBF^J{F61KGb15-LuHZ^Ka5dM`k?ZNijoi#F+{W#6;ZC~Jjk~y; zd$^AW=s_ms_jnP|k3iWo3EP6Yhd~dC-MQ>+NsJ9Db(c8u3d%Mb7^mYw}db?E?y>%wvTX$>G z+r1R(t*{VBd`HZY6|Ce(equGhu$JG5Ir1lev7T~N zpdyv1%tma?CTzy$RAWoFVjH$)JGN&>YEYBf)M01pu`Bgy$nL}(*^5T(!@e}8Da|+_ zJ5LTzyY$Fx|DKrkZ`@wk^XI0dU6x#ulDNH)+>nx+vgD4G#O;NoXG(f!$)hQGB1?v+ z8Fv0dRm@OX`L=B87qxi4hV++6a_EwL8OEu&C#Kg*)IHRPLHXDym5 zPod^El|^$^$v0QsS~Rx72<~oWr^F<~;gx0sXj$ONf=EKLfa&L6kC>Aq*u} zl4}{p^$h0*ZsKM}GKyQdjXM~_I3{o>lemk!nZmu?&jUQjG#=&=9^-MIB=htIXi_>`IxPX7Jo>2G2`#J8m${`b8;OnkB!cByKPyRZ~(UOX{X1ZZISzDQS`= zTcu>%EZI3FyJg9~DLEiZI;7+nCtgwdxI#`SjpIq4WAs*_SZ`;@qPMfj_jbOq=&3Udb^T*Z`T=%-bPTYx7%gW+gS3wO*R(2-9xe79+gFJPmu5Jd1KMrOXPZ6^C6XY zWHXt?d(37IbNPr^M?U2<<}sfIe96~*%R;{A2NtoIrToOt{K5);Wfi~k2Y*q4imXLt zs#1*_tV66LwWv*9>ajlcX~2fWILMGrO=G zd$1>au{Zm&9|zE$gE)j(M-Jx*I&c)na2y>uKD$o3rCHiDo4>u%{Oyy?-^)@mFiWmV z$u(JWOG-v($@r8^%#x|z4T}r-AwS%^VNa#(*(`f4{i!#zKlOe}{_a;E&vT7@UmC|B z{h(-W3B{WGO%~1lNxr!%#-h3E6l-ohSv0o+`Q|n?7R_x&vF5gsMRTplH`mr!G`B0o zn%iF%%^gU-xg(85b4QbFZq3J3I?D=l_cN6TF@gSR zANhpyr+AuYd5-6Kk(YRdS9y)sd6Tz!hj*F9`^@G8=JFAr5G%>&%;O6d@D<y*SThNN9e_UZC3{mNrsSINbt zalF*?jP3?dth=ja(cLie-Q8>~x*J8Y?(URDcXyHR?g3-b-871I_lzvMn@+yF8OEZ! zHz?NK99eYtA^Gm+8;kC~B-h=Vze!vyTf#DaVmZICl2yc7@&|vinhI26EvitB>a5K= z)T9=5sK>iTM`|H!ZkJ}9M{*IK4%aXfO61N$W$5QfSmb{RXm$PJMO5V$ok5lqlmMl!k z4_WeaN>*e^#gtTbV)u2vD`f4`IIinCMsKw#*4sw1=&cd?-kKVV-nOJzZ#&4Mx1GrM zwx_Y^ZEuS8cBm|RJA!;~9gRhACs3@n?y~6ZH1fTjYb<&@k6dqS{wA@%Yyg)th*AbK zgrQhRd`)ls*Bq9PYrdv8J~kI$)BA1NC+QZJJ^Zfh;rC?^e<*wSWA-pU!ndewbaC0k zC1nqnmOWfn_VB0dVf=)j%SM-%J^ZEY;fk_{E7QaDHN7kUYrm%V$L9b3n%*w|{+ix5 z{Wo}vw|R$|yvr=!<9%i`hYy&`hkV4xe8Q)E#^=mqK3}ka|HglF&MRiYD`vrcQ^Bid zp+Z%vQJosB%{r`0O=?k_I@F~e>#;ud*?{JH_<=<%W+}_~ndPitC9C+I zKlqE)RHPD>sY*3!5L>>#+d8aoygm(RNcpWpiSx!ZA+~%?X-4_2!?w;_vK_72fi}d} zVP|$>H)6}TC+#S|bvVFzdk!MDeC4+e9h}FO?-*js*O5+?+d5P&q*)Q0zEg-zUw3*C zn};)qP2btXrte&Oa~^%UfD5td``fn$qTUNk(OXO7c)LFm zlW{D?CgVM_n2h(6pNx+hi^=!|#U|rRvY3polAnz47>mg`i(-@UQ&~*LdE_VKx5i>J zeowK<_=_wiv6FaW7d+#(mk3@{{op=P?-%C-$)&$WO-Ojm2a%#ELoD0pR(k)l>C_`)l;%gmaLbO4YK5)e%ZdC zYh*V%bA z*Og+;oh6G!q!+P>ly9z|^H@YK<`Vv{xxvO`5xI(?ly7dh^JwlyZlYXsYd*;`#`svq zGl7XrVlq>RmE=BRC7H_qXm`ygSsphQE6Gzl&9gkm^Snr`B(D%F$!omMo4iG=B=0hd z_nFNH%;h6KAy$&lna39_;48i+R+8_CmE=bjv4o}k#ByRKSxKxUzwtYN@)s4TM64uL zs7iHeunskem81@JS&#MEfCg;H#>7gpDJ5)16Sklkv68gNu7);g{_I#B zVjuQpf7){(2XhFAaX3eE6tR{Z%W-t#cuwRbI@5)&oJu!((38_SlUPg6p%=aBLtoCP z9~Tj8$)#Mz00uIMQU)`GtGJqL7{+xB=LTXextUuS#b|Eh4#qH!@!ZKo?qV`ixR+Q< z9$+fdc!)=MjK_I0yH;LK*UF6STA7)ym3WiOE5~OknV%&KQxb1-Nmis}RhCptNxaD= zshyH~S+Y?|8fD3rDcL$pc1X!iUDk<4-JM3U?#`1%cNdWFuD`M9?sAHCcdabCyPkY^BaKCOw^FRTNwVnf zZt~qdXe_#Wm|S;jKGE`=Y&tLSA}{j_GkBd?OWxvbX7VoY@ji2y%ZGf-Cw#`|%x3{# z@-^SEkni|`A6d*&mhmⓈ0zH@jJ1W{KaZ2Qi;k`p&B)awPamtQky!|V}0t=fQD?u z#x!D68q`6QJW*_$B0NQg-mma*uqF2#D= zL>9f3kngRzvFL3piuJamEPC6Sd~bUhi{ADn*V~#~(!*s((1D{khGXf-@x(fE5+_rj z3#V`@-Ra3`oWYr#%{iP)AI{@^F5p5g;u0>UKLfd(D=6hkhA@3%tb3yvht-=S^ZA zd54+I;yq^b0dx5%yH37Jv-I0+{w_)LH*Pb$KKz-I3RzM;C2^Y}*&rnwX31tL*&<6? zrDXdo*)=75WXXXkIV4MtPRVgu(lsUBoVZRdafO^w8ppFd$LOsW#d^C~7QJ0YzPG`~ zqPMFk*4vG;=Z}-Wfx2fcNd)!#`_7uf>dqoz#y+*#bca24F@006o z&F5J@m(Ak~7Vs5c^DW;I>&TBRVhKz6iJ$p}mHf(Y{LY{JMFlFc7FDQ9b!xB<>r#t4 z)MY)^X9F6rAsZ9x$flIA8BN%NrZlGov5suRwzOh9wx%`qHDM~>%2VjbyBfv%iFH+s;M)3fWOZl5etPSxS~Wah+W13i+)x zj(>QL(c5Z@^|rPwdaFskxBAASw}uq!ZF5=l){J~_EsaHQttr;q?y~5u9r@nc8;jl! zrdV&s%A&VU*W8`~# z&RF#J0>yfJOBTJ&B;VUyW6|5k6zlD4S@gD$d~ZvQMQ=Zo>ut>^S^ko(rXrQ7Ockn8 zgIGt_r6#qhLp|1`J`HHdMr=$YHl;C5*qo*`V@q1FHQUgV?P$#ov|%UOvJ1Nr>&Tw8 zV{i6hKlZ0R2NCPYp&Z5$9LZ4}!?ARv6DM#YC)1fOoWiMerw6BTI%je==Ws5)Igh?v zKtC?x5@H?c&j2oG5T#tn5Qb*g$xUhgj?Cuo*ff9R_QIUMKP3-l$rCAw+Y8C7DS16h zW~C%ve%V;=Kaz*l_Dw=Cp)eqa%c zS;|lR%rC6qS61;mfASX>67QH=7zPDG5MQ^WBthe`N(c1^)d;8p2^!5eCdizlp zy)7Z%+e%~6+iw)>t%@vqt4_YRI>w^6^~m+M=5G==m6fm=P1u5_G^Yizj%>rWv|>B9 zX9sqqEjzO-yRip*vKRZXFZ*)<2XYXHa43g!Bpo=KV>phE9M6fwI?|Z}T{(qrbf+h$ z6YI!XoJ}vzr4M~MpMG4(#azN=^k*Q0xPrl4$yE&H8isKl!x_Pi+{7)6WHh%C>&O_! zGM))cbDu-X&?Y zq>^kziT_Vl*sR3=YK3VfmevY;my}fXKW3FwbL?7D-Emn-4adt%)^@C0vQCNrF}`G7 z$JQk^9bYJ^<=CO5w&NEibt+U?%m0age}1Z9k5RBb6s!*g`@@1YqF{~q_jmS=^50-I zw{jb|a|dG>%Q(jKpZsU&yj~W(UKZ?g3SKh{UNZ~sbqn@81^bu&rNSA81|JJssmkwjIS@WDl0bi|k?KUu2Ip z7B8}$DE1T3=MfOf|FS2Vs^zndfD${s~M|hOSd6L-lJ;Sq1=XqY_C0=0$uki+N@;2}AF7NR^ zbNGM{`G`;Wl+T&Z7ktTAe8abV#}CA&Z!t?)#!oEg7gn;0*!2CupRA?=m8eV=s!^S_ zS%;d`q7L;~kNRvtLpEe%8nG#j*^JHEf@Uy8DcLcV8Qe?iNz4yPsvz-3s#E z{bek=t4Oi#)|EwfwaItaz*uy*5yiS|DvR#6B;Vb3#-h6&_?zzjr8jGi_A`&ABb_*b z6FHgAbm0_Er8_-1jng@kvp9!y>CJicQrlPuXP zCEI4n&MDa~OZH940a?-^CC4~%qddqJazbewPx2h2w*tj_J3|(|olU;C^NmGs7gDUZ zD`e5zmE?Q7&RFy|f?~bhE{opAlJ9M@vFPm{iuLxWEP8u_d~eSii{4%$*V~#8e7qxz zbz~OrF`GHeC13L`3;CWOSj1wM@)JMv3oH1QRs7B${6z&~9a)RY zRHYgjLwqrDT()kBvVuJP?kKEl4rBzwUoS>CGV%?gDm+XC0{vlom}Ax`Mxxc zKYEVQ+Y*ZP_M0qv`;&ZcRg6V%)hX87da~$k1MlzYk(;=Mk&NaxVjUU7SjIDfiA-WLQ;2osKJI5K5AqO? z@FPaAfmExQow$nNYxJN9B9_G5qAb07zE2#0YvM{*QLb1cWviQ_qulj%$sx^gPr z=s{0T=S*T9Ifq{KrVo9&fPP$*T_>e!mJZ40@AYZ^-jL1TJ5n+(OYTm|y;<^DN}kM; z7g7?p7p{|;DS0nTK2FJJS+X!CKV-?zDOr&v6;o2#iR)yrD`f4`IIinCMsKw#*4sw1 z=&cd?-kKVV-nOJzZ#&4Mx1GrMwx_Y^ZEuS8cBm|RJA!;~9gRhACs3@n?y~6ZH1fTj zYb<&@k6dqSKJd|BHh{|+L@9$A!cbxzxt3vE&v0(wMs8*#qqvpZxPvi_V*+MQ=|~thbkB(c7!!dwa)N^frrPy?rW+ z-sX|-?OS8f+xHaf?H5_}_AB|`Dj192)*{#2nh$)`lGUaz^;n$4!RhgOq%fC10c@ZZafG zQu0%l{FahGv!r@T*2$9fQnEpoY?hKOoVZ$sxK6ezjpH_+X|&ghV(slJi}v;)-`@Vl zqP+tt*51*wXzw`k?R7R5?RBMCduPd_yt`(5yO?6_4VFcFSCMaTxUp#OMsn?~ z`Pj!8*;vLifr(6FGE<1v$3q3*pQ8h)nrpj*o-D@K{J}uBD-4Jq*>ZFo4@VS{EeFobN;ZD z9GNAZQW7^Ak{&5JJxhA0ByKV!15z?5ORi4Iuq+vslH0Q6&XnAhCDT&!h!fYzRj!by zO5^y9=NP?Br&w=q$fCEm$@ezLSoHQG#d`Zv7QKB#zPH83qPJxf>+KI&^tPIOZ`F)N zZ);PmxB9Z^ts(i|8XJq=HYeBHnvZ?7l(nKY+tY>}Y0ECeIhkeaq^N6w)az3D?=&Zi$25$njMT*d$f zGKf+JGlZ+Snrj%wbqwbQZscZeVHBgejXM~_IL31)6S<4YOyOQ)9eIGMOyeOQ;V~ZP z$?Q6LInB};+5DZE=5O3&nDd{dWPX+`OiA2iNLHj|RhCptN!(;eYNw=LmTZ)gMp?3D zO193D9a6HBmokn1Pro`i)KzkDX&evrJfpiKDAwHxvgqz)^4)be7TujjvF^^3MRymF z@2?r!qkJ!mYtdzf5zYyLLzIoWhx;6+~M z6=v`{v6j5W+sx!$-s64dFqaScm{0hO&za8xzT|7ZVIkk~13$8ur7YuTma~GDtm1cK zE%}SpRHPD>sX{es5NpZ0)TB0bsK@%$rvVMwh>dB)rZlDro70qLY)K2YW?Nda9j)1c zHta-Oc40SSE!mTH?9D#x#{snGpzK;XCe7iF*&HsUIUF|{=Ka|zIX6o#OiA2mNUluD z&@35|lDN^3j7`aeEV(Bo_h-oyDS0|eUP{TUSu!gnvz>TNx!M)-acLYs^&F$Oc@*pI zds+0hhnM1rC4uk$)dNa8PNqN?PT^F#)05LUgEKjsb2yhioX7cG zz=d4IC0t5>268!9P|B4IVJO!S>&SIn&j@bdCT?aVqltCocJ5#-d(7qo=JHXxPAYv>diC>W zWzz~iD7WVOdTW$@es1luhwEey2s8=?+UfIL- z%O2J*d$>W_!vHB&+Hu=Z*^~RRrTg&xA%5MvnIA6+7#CGl%R#1Lh z@Tc>?s6a*5qB5~9s7?*mA+~e1s7?88!3NG7upzOXE59w+%z13*wjj21&DoOj+k#fk zV>`D!v7OtIorrD0uEch34`MsF7kjfW`*8pVVmtS@Zw?-9JhlbLQEXe#RTkTVZv2mJ zf$!_R#(&Y+7@S3H40=&)V{ox7HU^iG-xv%w78`@BD7G=UQ5GA6TgYz=#u$r@!FY;o z4DOS~#$YP>jltu_Vq@?W#Wn`7$YNvg8u^XEyT)Q;@IJYX!J3b%d@h^E7cAf_z9wem zcf^eRkwq+FDdlJ6O6M^nf8%$`&&W#7V@6h?D%Gh$`59TqdCbW5SfBDUa%1N)BR8dl z&1gdT8QH>l%*bunmhv;Qjq{k1Z7DV*_mRbn+@Av|KO+xwemF+=&~OYh5M3mB#T8&oO#iO{^q;*W230qqmyGN>aYJ z`p%=bhQvzpcfDqf7jdY#$zREN30~}du#7JdOMgy_`BYY zH6ANTCyu9lZ(W>6Z>JI~Nx9zEtRv?b??rF=(3kV+$3?_Caw)Nn3}7IGxPrk9;VQ1? z8isKl!?}T2M{Xw8kx`81Hf|@@k#UUYP9|~}lbOQ3#5(c-Q<=s?Ji?>II`Sm3jy%J& zOy_xC&P5F;6py*6Fwu>k@{C4zyt>+Oi9~5i7}_v}14fVL$e#JqHmh$)Oy^5gf@;9K*46 zq!TA_A}7CXTzXAq@a$qDA0 zYo(-Wmeftj`cAx}T;~ees5Fk7c#hFq3B`KbN*29sOTM=qjYV%eQ>?drWzpLKtxq6f*ZJrn;FSy zVja1iI~dD2CU7T{n9SYW!@bJj|m!#uGfr(>%j-JkJZf#LK+O3|{9=VjX#h znatunW;2Jmd_=4xpYj>=n9l;f;%mNTA>Z=@i&)H3e&T0-VFkakir@K@zoR{dI>GBL2E#kMu7k?vsffBtZeqytBD z49C%t<2jL7OFC1aE2q$n?)2nzVl6p~v+2dT^r0{3(~nq7F6I(0qdx-~M64x)xst0G z$~6q*I)*cX8@Y*F7|CdEBi52JjAc9%n8;mBW=eLgJd$SVG|Q6KDQV-xbu!!)vTJD^ zclR9kpdEX$5Bst|2XG((T(dh0>Hw{wg|Z@nqj+oiJTZ2pT z8+M{CyJXkNercAr&*twDY5pFS&EJz#(j`kyOUap8azRQi%96`dQko^#r{sn#xiuws zWXatrx!23pef|&EnCo@D!9VT~;tG2q&l|N+T`17U@Y3(h+^$El|_47l5cN2W6|CY z=r=Cvq~K>B1?TN_Tp28mDt6 zXK@ba(wp<>%LVk~A}%3Tll~0gat2Y#V1_W1SWT{F7}qnL8@P#^8ObPakM4C9!< zolN2`?q&-2az797Ak%o5M|h0Kd6K7z)#N#*^8zpOGOsd&*R!i-cABMgv-v$Q&F{F; zFn<@NWNDWCnv%HDkW@`cjV!5~lDN^3l%%9dmTZ-hZL?(OlqPMZ+ zdz)-5db@{Wy*(<6-ku=e+w;bvx0lHEw&ues@5p8{i}#q#9Om*7v5tJoXUteGM?iFITX z8c{-Hny>{;Y0j2x#nx;~OSWTsc3?+#VrO`v zj^Q{ua(s53bW5|eXEuL(rTH5-8Rq;E+tgSzw;9En z+eQ}6wIbhKTVv7Ot`uu-e_1qlAo=EwG#1SrO|H2$A6MxtE6|lw=tg&Xayqe+oWnFId1=e8abVmt85#)2v^a&HB}8*2iszSzj|Hb+V*kO5!#{(kvw{vZQrN;x z&EF<2mMvi!Ke3!&Sjj449r=SlSxp5hu@+URMs?O^9cogGI@Du5>azh2*^rIdgiUG8 zW^B$DG^05!*qT^JTGEQvY)>0@q%FG;>&WixK|A(hANFH^+H)WWa|nlVI7f06M{_L4 z(TU?Zk(2367rJsP-RMD2PUlQw9XW?y^rjDexqyCLlwBvKX_gMj=I`}s{>E*FIe$k= z#%0OfDf!23hIhptOYe$3nWZnJG;T8dxtZzDy_Y2)r{uFNS(uU^vZVMgKi=#rS-Uij z>w2EiU2TeWw~;KmYec@grpBVXEh*OB4zlQOC-U9xX)Li|)=N*WH@GQS2`pz~v00l)(&PDAp3++Z+Eiho$41@9m9`&&Bul zZdmq-x{b;nZd~?old^}6${uc-J&cd?m6VM(E_=9H*~2Df4>vD+xJC9benQi-(Pm{2 zo0mP@vg~1t^e}yIZ;SM)KmVX#wg32^>if@p>dy}<|Ea$(nwR^x{7R1VpKw-5N5`%u zog9~y9PfB}$q9~iOHR!GslJn(v@SW>@r9DkjvY!0j$f2?sZgQBKh@XONyU;=97mL# z>bO}+H^*ru-5vL?R?@@&m{roVOTla?m< zv!h^k6zmWRuI_@XyI_}4aD^All7gK=!K+Tet4_ggpB=hE6m_^V%zr?Z!?p3d5_u5VJ;u?F`w`mpEI8Ye96~* z!$Q8}2NtoIr7YuTma~GDtm1cK+xHi%sYoR%Qu`Yc_8dfP`^s+}IyjGQ-!a6t zuOpo(zjY`$k8R&6#I~AQ%71I`Ehgx#rE$F7ABm|rmSR)!9$8Gq`^itmM~%f)e1c+A@g-SI#aGEs#dnOw zRGdYzsracZrs6#EQ}J74F%`e3*i`&Q7E|$8@>8*bv6zZ$QEV#KlEp5zF7+rs6*qJq zQ*jd-Q9@(NPsQfWV=8XN)|8)$+dGe`xFf};;$E_tiuzr`x}wF4fq?8sa+aeG1t9rx;uF`rO#*gAg`snlQ*;EgOq%fC10fEt1MZP zlAp5Vx0L*uCDl{1PL>q^#ru)2lC4VPxQ*u--L)c?k-zJ1SL3ma>_IFe<-6P8d31Lm zv5fp(cSjqKW#l+w87bdgXXnvfS7I6YyY9|19?M8CVi_slT|eisj9knm{9Sj0jmI)_ z6+(p)TvOJ{!=04cVAjOE#s1&1k|F zG$YoM7TLAZCe7cr+5BynW_G;EMut@aSz5|k z(VFdP!;Z9N7h)aRojqvBUhKoZ>`!|R5Odt&P?++-rzFlKTFB{ELoV6 zc!Nu_A|ru_)u=(NBkNL=+SH*Q>r-De(4K>`>*Sa; zOFL%sw~%J`DcQ_EJ0<64$%QGoBulPL$ITWNb<%WXU}#xj##uNXgS#@={7( z&5~IuneD`Na;q!kN!Sl^C;Ha_p<115&7PJF&4f3O0nM7l0|P-$@f;vSoBtx zV!dr5i{47e_txB4^tKhndfQPJz3oiCx4n!-Z~Kz#ZOyId;j$y>z)>8-v2^5kVjVe& zlPS=JQ#h6G^yDr#t4)MY)^X9F6rAsZ7b$)=RB8BN%NrZlGov65`VwzOh9wx%`qHDM~>%2VkPNJfv%iFH+s;M)3Yn3Zlq{K+lDNT;Oi#&+S@K3o-p-N_Q}Rice3_DOvSe9G zmOF8s-0lkbtu&5*c#hHAYKrx?wk&$9NxrxG#-g`|6zgqsS@hP7d~Yp{MQ^Ps*4yr~ z=&c?3-r5_B-VUZ%Z^z1_w@&1H>tZZ=JC$5-Yd*(vj;t5G=|f-6rymy)>&T^C#sCH~ zh$|S(5U%2Cu3;G0F`OH?k(;@NQHBKjfZ%IM|qql ziFM=|o@F}E^CBK;jC^y?8H?s#pjdNn$)dTLsX{es5G%>L)TB0bsKdB) zrZlDro70qLY)K2YW*b_v9j)1cHta-Oc40SSCE1g9?9D#x$NseEAYvssl*2fJBRPs= zIF^od;sj3QWIEG@Q#h6G^x!m3=SjEpOQsc@@q0{Py08jIe}pjdC`%c8dn$@ezUSoC%Ux!%$%z_rG&<9bGL12=Iq zBN%7TZ zyu(ap@gB38!(2Wh){#&7jCssw0blVo-?EVJ`GG|&W+^}MGrzEcUs=WP{K;QbpdxEg znW|Ky2J5gcwWv*9>aji>(0~oI>tu^GOPgo&w^f?Iaf@Nj?~#(dvgE*�`ezxRe~9 zC0$bzHyDy$De03X7pLU1EV(Kr*JR0!DfzqKh#cz*xu-Oa_j!)d+f<76_LMAodzO4} zuNaHoUZYrV@5`dM56JiSxv}W&3ySsjqbzz`LcX_^#-g|1DArpQS@c$&d~bD(MQ`ho z>ut^7BW@}yVKbVr1x;yA3t}DFhHYuZc5KfM>_}U7W>QwlEcq}caf2cGCMDlx$+DEh4TfZON-AZ^+9|1-B@I)uah7bJl4e=bIwftK_|D#O z<-fDHMfRP&TV!1DxomWovWL5Rn0;q&`hZ{h&faSO(RcCwr#|5K&tKO2ar1H?@cZ|d z_3qrIVB=75(HC6w1)IKt3%}sPFWC4MT>J%_zk&szU;+65^g~k?hkt)r@4vsSw_t-* zut6%g(JI&^6>O3UZj%dMa0@m{|3~~Al>fp9{L+{8#s~c3%X+QUzN|Nn@#@-+Vy~_T z%i`7bF!Hai#~O=Q*G?3Bbv;!UudY4Fzq+1dEM8rEQ|#6CQdzvZ4j}*PI>cDKx?WAO zSJ#_m@#;E?{HyCYWAW;GC%ISGHJ|i&KsJ?WJj5eB%Hupq?Dn4FS*G(mFY*$vFoV~4 zgEx7bcX*fgc%M0Zz=wRqCw$81%;yWfF!^8m*!|c^H@64i4!=Hlj%$s zPT^F#(}UAEoijO$b2yjYoJU_SpdS};39**+C)Se78AK_A8NyIvExDFqT+eWB;3jTn zB%`>M+qi=fOygl5;V~ZPNuDOwlIMuEjWnN_luV*{| z*=gTBH`|fVOFQy-Bgig(QA(C($*(DiH-aQpQ&J;K>ZT;#2$Gbfq)C=+m6C0b9&6VdOMqZZ|56}-Y%qAZ&%2ow=2o_ zcAc^4Z3M-7yImH&jV0gPWMk3WJrwKhQCal%1o_^cHx|9UM6S0rpZ0i17VF3?-eWd% zn9E1RI`S!>F^~By;7h*dTNd&?Kd^|!EafMD<`-7*E35dOKlqCZ#5%GTm8nWKYOoHm zj?^O7k-F4led^PI4T*JR6B+zIELfs$nn{A(k;!>p4t5EmF91}5oFF^mXd*4a#c#=jUdS_ zDH)w5<5My*OQ!l;i@1Ov^27f(e{20t*U0y!as1H_isqJ3thwK0(cGWpo2z0hnyXH+ z=GK!%a~qIvZc}5?+-4MOZW~!N*NS{|ZH+~9yHc#V{bkYIf#jPz(pWTiG`Z&1eBPt8 ztUy;zp&Q-l$?3#Oau#RPi*xD2d7Mu_F63e^;WGL&kU?C*V6NmUhH?$pavj4N!HwL+ zEsSI|w-GDJ7{)T52~1=XlbJ%SB=>PYQ+W^*=%03yPdI;yr+Jp=c%Bz|iC1`)*La;b zd7F25msz~eY(8KvAMpvXl6=lQzF+}g@eSYdU3R4`PqThyHtScXSs!l&xgKh!q)wJJ zOi8>IBx#nC7Fp6dCGl2}q+Lq($&!Opa#)shO38^?a%xI?WJ&Lo^mXDDWuhzO;?g)? z>N!Sl11Q$p)w1Yq82R3AHWs~&qF8Tt%A&Ws$oKYuvFL3Y#d>>27QIa;-`fmh(c2po z>uruKdi#)kZ}W{sZ(ow@ZOx}W7R#2fjGtJ}FRWx0v5x$~pRA?=l~{`^RHHg;vko<> zMIGv~9`)IPhHS{jY{I5AW-~Tt3!2fK7HmzdBQ0q~YqqBiJJOb2h;?Ll_Mjbmu@C#P zKkYe?gE@r5IGiInilaG}G=Fc%=IYDthXIx(c4bsd)w1k^tLy}dOK7Wy&XZmw~of5 zw-YGVTX$LXb{hHK&NUXjoky;>HJ|qAFB`z+45F053}Gm-j$F$yu4gzma3eP}l2P2s zZQQ{a#xa3AnZ#Y(%@pqCJ|5sfrtvV3@EDKtBu^9T$a75R1zzN3USS5W6YI!ZyvA6UdeWSuHrQ;|wkrYhB_ zkzFV2rvEF`>MQ^{7@2!Hd=xr@>y{-AIM=e=x>QayO zsZRqoB-W8lXhaE(X~O0-r8!%&6+zIEG{C$nnHFauO#~pbMwajqdd1G|u2m&gLA>r4Q$EJ{NEy7jX%f(Vu}_ z&J~n$B|{j>HN-k{9oI908@P#E7|H1DI+>Ve>Etfue`oL?d&HRe&!+tOY<|C%=J%V~ z{Qe*%A7#lGDfuc(mZapTEcq=Z|7*WBIN5cwRcRcz@l2z=RupS*S6Q^T2l@8)Hx}(3 zNU`>gmPLEVk#DcFv1qR=#o9Yd7VY&S-(Ejs(cZ-rYj3bD+PjK;d&7-IdpDA6Z_Ot@ z#>mDpo(W835|f!itS0wyKT~;-hj^Grd7LMBil=#&=XjnMd5KqemDhNkH+hS9c$Znc z&ul(mE+6p;v6_6&JicH7U-32H@*T07{Kz7fu#}%z&M&OwSAOGn{^TzzP>HpuLRG3$ zgLSA$E$UF0^;n+`XuyVSOspoGQo?35VGEkkoEF*D(k9L9w%N>Xmu7a{WVlWaOUaR0 z(kUfzlOgGmlGC%KcS_=BvQmAhRd zPnE{;8P77Bn@+Lj-jGFeZxGtKR|%`ksIOUe8!S(uU^vSdX{R%J=WlvK`=+9|1*B^#yW|Mm9< zqtXBA3wx)yN)9fKBx;sG@-JMLnyY9xKyVEGv-FdR;?gH}N^*0vXT~4v? zu9Zc1*OTvVq_ODkR*H2uNfzDRO}@JajYW44lk0BH-zYvOo6ZZo$jiLK3|=SJlDBx9 znY_z;yw4ow@*yAd37_#f^I5=`e9bp3_)65 zd(w`**@yi&fc6}eT`R|=+1)Xl-Gwx}<5t79a&}72&5{dK61N(XD^oHwOGcz5ZZ#xh zQ!*h-?n%l0S@J|mp3ah&Qu1n+%u2~@Ctg$TafN(b8plsP$LMVy#d`Z*7QHPZ-`g+7 zqPJfu*4tXL=&dUG-f9_(-s)1Uw@qZxTM7Bznj4GWwxU>XJN`fH-FLVYRlg=|LKPL1inV5(NPhDk5SaDIg{=Vn#855fv0s5saAm?%%3; z_ui+*p6mO*ch1b2x%}hqsansG({r9xt5&s*EsNd`Am7{J#-g{zg4bfOFAa4zR@0Tf}n8~Be=5e0nDdzGt z&+;5EFprmbg;+;kXFhMTfVX*}^u}Uq9FzTZUzp>n|z4 zEm-M%72gxvxu5u%^4o$xo&QAz)?#fc5!-@wsml7qc5Wl8QGQ#nrSs}+MQrEFZwqQU zkL}z}#CEP8J5zpJ(7<_Y=k_MHbNjJBu`M`=*v=hFZ08Q=2pV$~O*tCdxxf8*@MPn$ zEjX28+k&%Yu`TGxf7=%L!QKb_Uo2;2GkX;T@>3GJSdBe!4&cvgIUI6WAGToHU`hjVq@?k`HjIF#$sde7P*Z< z>DN_0mM!K}K4U4L6Eku-F(bcW1uI!a`5C#|dCbV)_?_}IvZC{tk?T;Ib*W1E8M(3Z zn30>YIpt^MHqK*4Zcj~WQJeBJvcB_}k-M=wJ3TJ+%^oEBrw57n6wAZM;FJu@k})ZXPq8HT zresoL6$5@$roAjT}pm*;(_HsSIKWB@%o4N7`?3_ zR+7K#Z9U`B+lItSQogq>oJVgph?V5;dfU->^j4QxNy_)Ohx6!dFJdM6yWS2l9xF*B zVkIfxTQld;+p!$S-}QEi@mNXPa2n-%Yv(+A>p-j|<$5b!M=mtpjUM!*H+|^KCB!;% zIkArP=Sr?-0M{^(>$sj97|alcF@jh}MiJ}C7{+odw-M{eo!rHE?%`f0GKmL>b!0MA zn8tJ-VFs~|%qG^6CwP)M%;g!L<9X)sA}{j_ukku>uz*-c-r-#q@g5)W5wVVZ!lx`@ zDPORR<$O)7Bj2);@A#e{`I%Tpe$B3vN@$>wjhG=JkWEOUOll!OAm68=%@=Hp7&yuxMQaMXD zNy+9;Tql!VAzPQk>$cuw^j4E%z3n24-gYP7+kVEPw*x5FTVq-D)|7m2&5cEGEh*O9 znX>4uK)$yw#-g`#Db`ybS@d=>`QENH7QGE1*IVhARffohGMo{NWE7(rOROWeaXaI< zle-zu1SWDH_wxV`F`21M=V4|rlSi4&<2=Dr%;jmG5}KI9`7^9i4^l+Rhlmwd(7tl(Q#@jXBA6F>6{zw$eO@)s3Yi?yjlWvWn>^@(+4 zBdW0po3c4uQk|``>tv@iOY3Fxw?Ue}duQ|a(3BjWB}b>^xGXs}C8uS{*(vFmCEZfe zGfOT_$rV}h|MD-UPjQ9ZUlOkmdXLfD6pHosm@ImGl6-H^8;jmvq*!ln$)dM+$@liL zvFPnniuLx5EP7i>zPHuJqPO2D*4sL==xtr{y=`nPdfSX#Z>3M8+skTFi`wi&9qLh^ zSVwkacN(xKd$SMw(U1c;h=Vzl!#JEHX-pHEax}+q9LLj~6KToGwBl4+a~fw5>quJ) zoK1T=(upo~CDxJixqxnTrzgGXLtifDQZC~P`f(*!Gk|Njmg^YA4Gd-o!x+v@jO1oU zGnQM4b>t4laTj-U5BD;WN!fMsNSfKRvY9<6&Fpx*aBp}mC2wTOqLjqrh2)ErEYFhf zQWB3Bk~Jx*m?i6_WWy|}k&%74N-r^nJWfAZ3 z0Uz=)pYSP5SjrbHV>w?F>&UmP8ggKEog9^BX|rtpwn+0g z9xu%Kvr^J7OU_A2JYGmHO35Wza#c#=@j@~*B_p!rmXzF{CHJM|fh>7AB{Q?+Km17V zG*`&WCGq;I_ZYp+r&w?A%c8fB$oKZSvFPnfiuLw`EPDHyd~bgli{93vSZ^E1qPJ?~ zd#i3NdfS>}z15LLZ#$FkZBJv-+dkxaEB%tnVX{UX!I2zA6Pj@hv5p+i3AEruPU2)v zp*3wdoijL#wzQ)?9q2@7&Y>&kaXuH)ogVa}Hy6>DOSp_!NBYs9tGJpHu3;d9h;`&f z1~Zglj9?_A7|j@N;Z|8_B|OXBrR?=gBSP^`CeWzpLO&=xsQ~db>>)y^SN^+eBm0+x-;lZH6p*dz5@{bB#rB&ywq{^b0Dl%jWYY z3wWD%Sjc9ENjX8>@G~*bKBi51Tw4fy?(TY=P&1u5RY|;l<2^=i zD=F67Z?fp^Px8I3V=Q`GmtwtbCX3#-B;VWi#-g`c6zgp_S@hO`d~XenMQ;aDthXkz z=3*QX)7ymHtp$1C%Vv;SVzw10=m(ip7f#*eYu!Rxr{65$CX^o z0IuO$u4524a3ez)#&B+8BsVjfvD`|mBX=;4ySSTsn7~9P5$nifDLEla+N9)+Ea{Mv z&RNnUCB2=vP9AZETv`&ZmwS)VTYrl6cD*cm8%(~pQO2UTF%;`9hK@LSnRzT4}JF*jXsYiWwCDxHWXuw|V z&A#kMLk=X?kwZ9?MjXzO97PkFaWuzr94FA66FG^KIfYYc!)ctsS+u1cXVZa>bfybk zIgeOJE~Fbh=t*xbqA!jvIq^j3{xy=^Uv-nJv( zTODK3+s+j0Z68_mwmmbHB#Yk8A>UhfW6@hL za=n%Q`@?>+{#?b?lyD6L8H9Dj&-2FrH3z5H(x2yzFU!Tx^B!0B{kh}I4o@gMY+iQQ zqU`X*>@dE#*RpK%q_V@4%MM$W9iCElcxrYSe?sfB(Kcm=r z--rKMKhL|oUb+8z-#9=b6V##Fp<>USmFQuz4mF+cKy6~n zSBJWk-#YB>d=K_yFZN+yV(V}K2XZj656)h%I0FtwT%avE^$;Z24N# zhVom7g7et&wI{ZGo#;$#9nK}Td>0T~zV7s(7rnWNi?QYV+m8(g7>}*PwUpaB{D+SX zhnN3(-kB!oEhX`Kn|~yx;y8*;#rtJ36(1r$6=xWWsrV?xrsA`*n2IlupNg*=i>de~ z#irtivY3jC$xp>)#$qadMX{;)lPsp6ju=P?zx zWjkuJ1Ldb;J?AkMcVSn`PsP2R$5h;pVpH*OSxm*o97Xx5c%1W?ip_~#Y)kS}@ib#G z70=`>%1_0P&SNTeq1aUHDT}Gthx}B$!dO495PW^DE} zb5DAfn3z3fOlh~>zdoIarl)RTEpQa=}-jb|L$@f|ETT1@S zl66zEewJ*Ok}b2OR!Vkq;(2D4Yh;&_c-_srjOH2;i^$(KcaZT|L=Ghuk@C$oaURVb zO)MgR*WAg*V-Y!(SVYP<*VcJ7cQ&zz{9SYB8;?b#8?lI#Z?3QNSVS)6GXAc)YmCPt zavg&x-`p_g(cDdpq+D~Q-(R`I_&Dz3Zth_M6PZM;Bo7iR$rPqCorj5)WEQcKJjUZZ z$y3bb8Db@Qo>)m<6(m1G?%vo2LxpACtXWMejAGd5>Ss{)T9=* z*@?QuN>V?&Qua-=v|%=X8>RUhA8(oSC#2-WENPRH_;^dwIVD}Qq(@5P<1I=5lw6%9 z*QaD~mW)ZstywbO6&ao0o4to=DSJfbN_o^Z@>of{KH+~Nnwvwh=3bUXbFYza?j2*% z+#-rKw?r1reL=ptZ;eHB-%+f&KV;F|8uHCmF&53ON3rI%kVSJf$Tzoxv1o2ba?O=~ zgJlm{1NLHX_GLdBav-si9KxYA;&6_nF->U3(HzThoIrC<px1# zCt31kO5y`9$*TfDiwd61waRf(l z6isQyG1;}!D$U{6*&HsUIov**-4~>!dzM_BlFPE>+LR2+lHn;CnI+>=a(9;8pOS~N z774m*byng6CMsJHL*4tOI=xqi0-hMI`z5PP5-qw~y zZ6gkOy^-{Fq21_&Eq`DQ_SUQ zp5-}SU>+~=3bBs7&V1fv0dMmz3wbZQPL`%wx-6T&E7SaqhYa_JKT}d6OV&+EJY-0= zOvzSRQY$6#kRfT1lD*w5T)s=HE_C;=J|KQcE2T%L^tkMwJ30Mxr)J67De36Mweq;D zUC`?z&N|yGv!!-4*1!yT({_cOAvLyGa(^-Aul_JB&qlcTudn2W8RS6!P88 zG8WxEMzQXmmqm9klJD*fW6|APUCdnwb_X})T2JJmh8sv zG+(tr7X&ni-4Uj-^;{r^uqWHspJ2XDoW_K(4paZ?aq{>qZZH z(wjc?V+1!biklh3SZ?Ju?%+=DVm$Y7FB6%> z1H?KqnJG+TI*%}eS)ApIObX*>zGW&C)8_{H>PeZ#-t0^V_9lhb-ASCGnUc z**_%*X2}sLIVww9q~xS5IXxw3Wyv`yIWJ3krR1V4xhf?kPFyEXxeOZ}Z9bw$NDg_CCdW`&<^i zeM!EzRmP&XAISAq`c0Ob$XsS-Hf+cC>_BaH zqz-l2nfmO?ZtTIH?8QFp%lk;7=j5gf@;G@%*C5bMbCoInds72n?w51*G=|Cqsa}HfOj|;ev?)0D+y}5|KT*76CGQtKp%POwE!%rxJmm`6x+Gq=^&X?QniT787g_YSJNe%BGZwuaK(XE$%c8fY&4by`4+3-ulR*w~NX5cBQfCZ2-C6O25TEL^hP+j9?_A7|mE>9l4F$ z8ONR6&3Gm-k^8ux2Y86dOl3L`GlQ8t%4{Cz37%puPxCC#@dERBiC2hq84|&N9B_E52q0-?EDD`GKGKnP2#o-}#fjsK8pRO(iN*g{rJi ztRovyjZN5;&DoObY?WOnJEd7#FPpy&()|6`BgPi-aIvFDjKj0^=#<7IhAZOK^v|7^ zC14w=Vha zHZ~UBZAPxU(tn@0y{sm+sLf8)p&s>#wPZJTrvZDiH~X+34LN{=IG95@jKevS#x$WR zM{^9vaXigAk(QiHD^8^~r*Q_cmb9h7*|eu4o#;YWVl6qJ3+P67deWOd^yOkM?lwB*2q**#Eo4<3? z{EbHpbN;oIypbh~QWB3Ck}p!SJWIYyNjzdm)}*9jmaLbO4YQ<1O18<89aB;_OZH01 zzE1p1@7(e~(|bntGrebK-=d3e+?`eSg}Sz7hlR4kc4dcWmmRh*JM54h#vj(PY_wC^ zVdt{LE@g-3lpS`>4&zTaw`}yhvcvNo{;&9%-bLlV==aah^#1cRy#*VSf(4~uK`Gc& z7Az_Si%P-9vS48;*rXIJE(MFrfBFCNoEv4qjj~{qQ*g5^xLFoF=oV~t3N||h4^0Id zo`MZe!Glx5rl(-jQ}EDRaPutK_!Qhg3vQqV4^aghpn?rh!Gl!6Ca7Q&RPYd7a5F8~ z2o>B=3vQ?d55ol;qJj-k!5UbwDJs|$6+B!OY>WyvMg#?%9yPiP)?s|%`xVyHY*xj{*EbgwI z$=_WsG!}Q)9u&K~UM`EfYk%^0*MY|3?s`4N?yjR`ad#a<{_c9GvADaACwF%({m#c^ z*%YQRoky6#EM^nCy(f5*In3o5p5=Mw@ggtt3a{}xZ?J&3c!zgc#Cv?ehkVQ@e997* z@&(IS&ez0l?^{;#9pCdKKe3u$iQV2G{K*=tXZXqA!3v?GrXgY4p0q-0f={F0LR zFi284B~`Ozla$1VL6Vv&shuUeq-6IjIUpqmXG!CfG|iHhDQV@z6Y?{zkkd=z^-S+E zdMi+@w{vCD+Xdu%>tif>yO?6V4Uk1|*OKpTh_UExIK_IqO%}b4Bj4LZW6|6F6zgq< zEP8vCd~b7&MQ_iN>#g(~AFs<|9eI-lyv;i-V58E!$C(9jMJt z)S(_bvkSYjJA1Gvd$SMwu|EfJAO~|OhjBPZ(3qoWN;8h(IF6?|EojL}wBl4+b6R$t zbWF3fOE!PIrTH5l1exKuito&(c4Oj_4b=Adi#@nZ|fL~-qxj9Z=1=Yw=K!{ zw!N|Ftro?4+f5d|H6Y(xLu1j~K@{t)i7a|MntX338jIdeCf8f(H$K|R3Y<-QI?{3i@#+S2KWXxR&b}#0}iY5QZ_Fn;6N>jAksi66?qv zjN>lu<{l<6kx9fl@*oc}g{e&E5oR!pM|q6Ld6K7?%QHO7^Sr=|yu>TK#_PPno4m!_ zyvst~;{#$H`IyCg%4aO)3zo4wyH0*gvvhSff7hh>8y^Ij^BbmQ<1DF>lK3D^Ak{&7P?ZkERoGawgl6bw`dyL-tQ>?e^ zWzpMU^1Y2R7QKz3Sa0KH(c8V`dz)-5dYeYE-ky*}Z*$1^HqTh}_A_kv_1Tb(*qBY(j4jxb z8f?WjY|HlSKrMD;C+bp<`s_-qBYV(*z1W+5*^h=CNUS4=a43y9oFh4kCN$${j^#K` zpgAXU5+`#Cr_zSgID@ljOFPb{10Cs17rJsDv5s6wH+s;M-dsdqF3GNwk~B*PX7hJw zn!h8m`Fndx?#z<=Qu08S%uLDbEO|O5&t=K{lq|@S_fzswmVB9#ue0Qbl>D3}Yo(-; z6W7V}u8{Rg;&lV>F?y>;vEH_pMQ_`Y@2!rp=xt|;^|p^JdfT6TZ-*I+-j1MHZ^z4` zw-)4kYi%rgJDp;^b&^GI=aBEMyRqo47rEX_zwOaa)}O1mni8&IAcKf?abg{Lia9*ZGd#!h%;P0u z9eI`4n9mz5;BDSvA&YpQ5BP|W`Gn6{!smR!mn`RNR`4yW_>Ld=k)K)3ul!D|BY&}m zwWvrXDpQ53*>$pcnx)mV`CBv1-*~)mZ`eI0duGW2DT&7mNz;@ZlO-)v5|0;>LQ2|a z$+;=HAWJSz$z@qGASKsk$?%knbmBUB!4+~#Nxa_XJw|WiDAwEkvgqw0^1aP47QH=6 zvEH7QMQ<;V@9lMC(c7C8>+M5X^tPCMZ_A8DZ(mWYx1VIu+b`sMt6(g8Tbo>OrQi10 zNLGza*p$uLg6eEVtRvg99W~j3+U!Uj>ajDsuq(T>2Ya$N`>-GTa{vc&Fo$p$hjRpt zIf|w<;~0)3){*A4pd}~Kic@IKX~a5mCTCHg9qs8zC%SMB=W-qwa3S64NiX_v5f^g_ zmvIICxRR?FKnd3}kU`u)tRq7h%5X+7lA9UL*z7vFC(Y7{?fy&sNbfw?$u1@Fx|??z z?KPlSdk4v)y+g^j*Th(~cQnP?J6RU(ol3sFw#K5pvnkfz`LbxQ8~OJ78jJQWrC58{ z$fCXL$hSAlShRN&x%NuG^Kpl49CvXy_b`EpOd?j32YHAoOl3L`GlN+?%40mvlRU*- zp5a-Z=LKHmC0^lGUgr(o}!_g2MN^tK-Vt+&b>rT?FmZ*B`|G*^Rsb2}J|=5{34T zLRZcsR+0@FVkMc(6s9qqN0`YhW@lH*b7`K=%VzNWG=t+Y!>s=(C7)!;mnn(I z49U+a`87+{N=ZCsNUEh|(=6FKCEI1m&MDb7OZG|0{%&R({qO$v;7hKPV@u-oc<(d1 zYeBK@PM1Y@XOZu&ldv4*v%NF~;x3RQ{KWCJ#&8XL1Io3jPg zslnE4!**=X4%B8x>QI-Rsn4$L&K~T^UhKoZ>`y}uS@LK~9?z0z zQ}RNVyqS`>ow%#K>9@X{%UaNqlW4^$wB|Ho z9XXS;DA11fbf6PmIEQmNj|;ev?)0P=eYl8=xrEEOoPJ!%RScknYZ=HOZXni?Aq-_W zBN)jjMl+UJM{eVG#&IWia}N`k$bH<;13biJrZSy}nZZmRWj2rVBu_Dyr+Jp=c!7Dm z#4E%)@;dW*lLfrZyDa3rbe&XOTJrK-v$9SF-;pc*k=_f+zB+ed*a2G4MixoV}6s(8^cd~+2v0zm!c*ZWcqZQoI3U!nJ%0|SI*@;&gTLyq#NDoK~H+o zn?77bUoPhVqOVH+8(-;5KhoQ>_P>6lH?|DREZ1LBep|58`6|9AwsSx6Gv&7he>(q* z3arK2R3f$o>r$2ViS67*RHOX1U`yxK*^1cCmERWBavs~corvvRJ$9!2wxEIY*v{=u zZ0Gi4e_~s35V4&*l-SN4&Ji@`D4KFKwsYyR@Ob}kb6ODFf|H4D!KoD67Mv}MZ9zx= z+qS@u^uFT%qOmbJpV%05qu9paQdw*at{}fLxW-s)46dWt#^5GdYz%HDzcIMOSZoaL zqS(gZL0N1JrjXwl%rX`mgU2YgF?e1U8-o|gZw%fr78`@N$ZZTtzpV1HY%!nm8B6(` zn32ng8Tkz>Sjj5N&&buzV@CeQ@06dB6`jY7T!+f6OI6Cx$c>%HjNFXPDL*5(aUL^r zdumdP+LWJ>^_|Cz+>PC7z@Eg6+?SY<4JkGwkCerXY(i7Y&&U&;$BaCYVl(m#*_pJZ zK=~Qj*?AYbW)CdA)8j(l>_MV`dXR`uu{?YXPRXz=8IzLu6iae%N+xB=w3NiBSduv@ zc_vF&S)1yU~N5^rjDexrA6pE+^KJ{#?n`4B#3Davj%m z1A`gDFh&sT$S7hR8N*m^v%C@*Usv zBR>=C$gkOTQYp>SD%t$4mgaAKhGowG5C3ZVzdl1)Qf;C8%yt77y33@0szv(qPRjng z(^GO*mYkE4^RlE@N-oNh|L~X7UvrIIUlOl3dY94MP>MBoi!7SEoqTf>j74+zQLMR# zWzpPB^36SEESh_oV$HoOi{|E&Z*HNnXzqQAHTStJn){M`bE}L+b3c%4uJr3Ff63Oc z78R+)I#i)5v65`ShE!u?Hf1xmpgJ|!nr+yQ?b(6a>_{EzvNQGBmEG8bJ=u$W*q8lj z$blS8tR#ogh$A?Xqi8}ijv-c(<2ivAoXAPE;uKobhSNENvuH~@+S7qfbmknoavm3O zA>HXgFM4wkeYu3oh?S%t{ke*(DdAcMGAO%JMyB~YI-9@a()^82uv{q*rDSTBJerdD z1WWQlN?yv6H&YUyU`ZCIBEo+WFiq;i&Ql9J7xxTC!83fa0OUbpog zqqmwA>uncV^tLJ3SYjQyjoTT=o!rfMCNPovxSt1jh{;T4 zIuA30nLNsD9_I<3VlGefEYI-*^LU9@h;`(3=JO^Cc$;@v$a};(@*y9wm{0hOrF_mZ zzT_*uW(D7}itqV>pZJ+y_?6%JlfS6ITC7baDpQ53tWT^X8&Qo-*p$uLlIm=gT_-!G zSz0ffzYWs--P^zJutLPY?)cyGm(%CFO71U-*9X1N=xz$dx_e9(-91UZyXTEXcP~<` zySHS~-Mi$w``B1?_bJ7?`$iVstt8*wYGcveZxrip9a(g@F8S^@HWuA&My|WkXVUFu zHK|2ycA^gTs86gVyRkbB*pt1{@W1s0aJ+xMIVaMRlWE1NwB|I6uaRvRjlB;u9aEYwK6ANEAf!w{_t8#-pGw4zlR2Gx^>wG#0(}pjdC0%c8gbxs_jaeT z=xsc?-b%l$GFdi-X-wx4W-yD{#5(c>v5w4PF3<2R&ohq~d6`#ujn{dD1-!*Oyvriq z;{!hAV?NN&yW1XYJMfwkw5s8HB_J?l~{)=tjl_=&xUNo z#%#)FY{8b)U@Nv^JGN&BYOy0bQI~quXIEk!*@Fh`#op}8{xsyk>^eCr&C+Js{B4ou zZ#-g{^Jk@`U6!1al6b_BT$GYavgE3ilw`@!l#IxdTT*g+mfV+;2eRbhl+4VMr&IEr z6W7U`u8@~Y;`LSUF?yR%vEJU7MQ+J_w^!79P-u^Ncy{$#D-Zqd$ zZ`H{6R^3?iwl&3it0Rlvb|&B3p2niLeaQ7z`c;*~WQ{n2SVxYc3C%c$SVxZM1X^$+ zCvh^T(3&=!&KaCVTiVf{4s@b3=g^h&IG+pYP7iv~n~UhnC0s_VBmL;lRa{L8*D#Pl z#5!^#gBi*&Mlh05jAjhCa4WZS2X}He^fsJgz1=2@-o}ydZKAR0?S6{&HbWM@Jxac}xyGWmXUX+e`Zbl; zW%GHH1-#8WEaW|69r=)tSj;DU#u7ef8DH`hU$cU5S;hDKz)$?lFZ{~y{J~#TU@g|B z5|yb!Rn{lgk&URvCTz;)Y(aIlBG!>@*^Zj*Ky7xS4)xfXUD%b~*@HdVn|;`i{W*XG zIhaE^jKevC#vDacnsE%r5$i~ETF{b{XvL|t=CtfO>6m6|mu&uaOY^s9Hh-^3$^YlS z^7yZZiTFJ1vGnIXnf-Y$ra$kM?0?f+DS0OZ7})XMj4CV#!#%c@v`XcUh=(7HWs~2qgZcG$fCD7 z|pL}F;MR(_r@2NWP&Qgpc6d$M;k9Ll1IrGt%MRmD7*sZTec9m+ zWrsJG9S%;1=?8lU|L6W-@3MOT{9vyyWZl4x3}y&J8OCr%a1$dL#m$Un3}d;4Te*$f zxr1@s$z9ydcyv;i-WD)Q40Uz-(pYRz= z_?$2JlI48O3ch6(vF-bTANiTp{L1gdw(l=u+qV`KsYGR}P?gyB{oU4KbK_f3of?$i zI@EN&1GR~5UmfaFe(SKi^Vs(7No@PdZygSB9^1ZyiEZCu(J79 zZ2MXf+rHMcq5RgN;5@c{?TKw)Cpr^bhjWQ--vz|BuRHmz!^OsI`~LRB!vV%)>u@dQ zwhsT{!^7d_f3Wvm6ZDpnc)iU(5>s&;#iru@vY3hwF`21M<6&knlSi4&<2=Dr%;9OC z8a+)l+MkbcV0}-JMkfx=bd*` z@?MsFnv$hivN9##XUT6V`7=w_P09LMvRO*D%#vCu*~y8g|AnrST}tA0H}5mLYd|a` zf7jhX#-qDKiDjgGcTJo}cSjS;$lrB$vhi3(P9>I+^4+y{9^IWyvF^^7#WK>3SVqcs z*VlP;cPW?gcimlMJeHB`7)1H*hB=S!ZX(xR>33P~kd5Om?&cmQFp){bTJj*VmP{em zlIc85tR=H}l*fp*$4%TmTb%>Y{uqn zNp-ej8)7Zlo|@F6Hak(5SWD_>*UG+WmNv}hZ=*DS<3lc2!wD%lF-zK{BtGPlbWTav zEa{Pw_>fD|KP6XZ$@M82oF!vYa%+~1PszPmGA$*KIB}gUa)mrr60c8qkI~y4iuLxg zEP8v5d~fdt8Zr4fg7B#mi8Gmhq1j^hNHb0Q~kGN*7V zZ8(iHIFq)t<7_(6kle-wtJ>1JgCh-8Vj!b3>)0oa9%w!g`v+Lx!G)w1Y^LKul zzYDVY`%y|h$&&x1zj7bf))DD{&r#WR@}4W?*phfX-g}JRT2QRF(`C`yS>$``WGs3+ zhhn|;l0|P9k?*aavFPn8iuHD*EP5MCzPHiFqPJTp*4qSG^mZTl-liIh-X12`TU!60 zF#Z&Cc$#N;j^~-jOT;?zDz7o0H(0=1yu(5k@jf5$5g+pjpRt6``GPN5&ewdyx2)nj ze&9!bW;MU^JAd#OYgmhlRAL>fP?cCmHef@lu`!#nIa^Sj8f?urY{&NOKy7xU4t3d? z`s~W??7^Pw#XjuI{xsx34(1RJqY+1NBuCMdW*n1UC#}*fZJk{Wg>;>?&#sdTQqnz3 zE>6j1S#oVk24%_cl#I-haqa5=>wzNv{p9^Adnn5uP5;#6*+2DcN?yp4H&gPq6L*yN zT_f+8#OsINWi+>#V$FRei{@64Z|)~!(cCW-Yi?~>G*_8?a~m0p<~E^NbKA&)j(7VtLj zvXJ+(D`jb#rOUGUyE4t+c)+mI|Cy2sS+Z_Q;sHalWlFZnl3FQ=2MkGrlMHK%a~v5vH*z}d8?Bc16&*X%m!on~p@Z2tC7^EVza%=y778I~nuQW6gs zl6zA!DNClMBpxs%b5in5mb{#j*Ro_$N&WF?L4U5~Y6fr(1G$dtxq-n9VHhL0 ziBa6l7{+odw{Zt|au?&dhkKdGBpx8vk;zP98q;}%8O&lfv5q{!lgwc*&+r`2GmjT} znOAs?*Li~lyu~}b%Oc+613uzoKH*cAu#_)Y#&W(U){$>n$#;CukNnJPe$B3vN@ z$>wjhG=DeE=I?eX*&$1IPRXuWvcLURLx049{)qqSe;@KA*U0rH@p_~G717*KiZyqO zESkHWd~*|wMRWI2tht9}(cDb(%{^r-ntPgJ&Alp%=H`=cZlSSg?tO|i_qi;Z`;vTf ztBgf+Kagv#^qVYy$=0wI6{*BJRG})dl5D_+RAXZ{Wiz&*IyKmuZPZNFD03 zGxgb(-PnUY*^7PHm;L!~Q{#WQ|8L@dt{KM=E6MSkKnqUfBwBF_t!cyQoWWVNr5)|* zKqoqL4qZ8q3%HQ(^q?2Lxrn}8!ezut(vMh4uHtG+xR!wo%C3}=>3SHQT@T~hZTGJo zV%#4dO4-ybdo*1u@rWULAtf(m$(t#OM-0j0lzf&YU!`P4mi&^E-?L=xlvK`=O;WPC z6Ze#lT_anU#Ot=+Wi(flV$JO$i{^GG-`sx2qPYWbQU7gojg22gQ}WF60KI9`7^9i4^l+Rhlmwd(7tl(Q#@jXBA6F>6{zw$eO@)s3|m1J!yQJE@KWqo2L z*@$Xv!lrD_mQ-h}>`K`w&C+_={B4ltuSbknBmTGjjmX8WlKV^I^+E45x|>3=?jDmx zcTbY~K_e7=PH!Wuv3Z4#$)ojx9U9rR?z5>@fa> z+sa07FFU-$;s1)C>;16&*ZltZx!wcX{qx_T`@iVFLFY#K&sQf`_`kuotYj76@jXBA zBR}yotNDdr`Hlapi@7_H(^fYCqQ-uZOyuG~#fMq%los%F!IlahyQ@ z?s|%`xVyHY*xj{*EbgwI$=_WsG!}Q)9u&K~UM`EfYk%^0*MY|3?s`4N?yjR`ad#a< z{_c9GvADaACwF%({l3R!*%YQRoky6#EM^nCy(fs>-W=xg4A1gB^LUY$d4<<_oi|v( zTfD=&EaE*r;6py<6Fy}LOZkFjEaz)txA!e8`Ht`Tk)K%2uf%Te5B_8g6{tuh)}adP zvL5TRAsewVo3a^Ouq8Fvif!1A?b(4^?8r{kr5^R!mDug=K?C+;Z}w$>8gd{9W&8W1 z(%tif>yO?6V4Uk1|*OKpTh_UExIK_IqO%}b4Bj4LZ zW6|6F6zgq#g)VAFs>i^Ck;;n|D~qd&D~OAs?}rPxy=_e9khy zdrY@A-kB_?ch$mEZY;zol5q9MpR=HHf3|RpgLO->&Uij zM@@F1Hak&=dhE$}G7qB{yWr%_$k1C3mIdo-CP?lIdCU|L1S6 zFL8x@RT8h?c#qNBN{aRNn=E?!lYDRM7>nN4rC4v9$)dL{$@jLsvFNQ9#d_OK7QHnf z-&;dt(c3{3>#d0_dOMnYZzmdy-cBahTj@7G+R6%?O?x`hi7s>{){*nMfNpfBC%x#y z|HIy007tR4>mCmhf^6J1xVy92KyY{03GVJ5U~qTaxVyUrcbDMq?hd*C_g#mXNf%vp ztM)nf-1=&NRlnKY>p6irJgZl_I}OtvJ0iCegXySR^sc!VeBIC-x#`;(d3!8+&VMiAc{B5M-O zB=Izn8$l$gHA!cZY?{c8Ad*6w6fsE|P0E|3mL_#g(pZybCh4e27k2o5a-Solms4K* z@E)bN{;=z91ex?U8rI$>GbX)FgI#Y6$fUQ$u=ci^G3jj`?0VZtCcW)}wYS5JNpHts z*V{!h>FqMCz1?O^db}dkUXs1S8@$DPe84Asfvh9n@dLr|LTH46H+&Et5fKTI z5e3l@12GXBaS#vjkr0WH1WAz$DUcGWkp}6I0kV!{Miyj4cH~4ZYc^j{X1Pzq$T^qa>nJUjN{IN_SCV*IfcK z=`Jy>-KAnox=RbY?sAYxce!Eht{`L5U18XDSB^}&s|ag%H5rrc>cFnMrexAx3s}4B zz?gK`8J6x`PkryH5#2qy+(D27AUl2I6qu^5L5n25=kifNdEnV5yyn2Y&XfJIo0 zrC5d)U>^LV^Z$c<{b3x1tR*LK5~pzn=WqcR5roUQifg!mo4Ad;xQ7RLh{t$>XLx~^ zcnw)g-r+qy;uF5$8)Pl{30X@*AvD4w9DESL94oQ(h=^;B2wy!S)KlY0}mtJv8ZUl0ljbG0AvMCb7f!l!qK4Go14JH}6q; zn*+PvmXk?utFRhtu?`!s37fGM+pq&Wu^W4^7yEGlhhXjP6l2ocS=jY6f9G(~f?Kr6IHTeL$5bV6rzMK|<7PxMA#^g{p!U=W-bf}t3Wk&ty{48~$S zCSVe#U@E4Y<7A=E(j|PeSjs?zAkH`94*K8yyMvE7O42*!H6!m+y2}E)?*1f`?h3%# zU2(>wyHc?0t}2;yR|D4W8Zai^HH2MvZOEj%_ON!>oiXXI7wozlOeWn8gSESHj7fJB zVd>8G)W>YHIhcp}ScpYff@P4kWF=N%4c1~kHeeIBU@Nv`2X4OvOrp*=dH6S|-) zx}zs#CFz5{=#KylgcE}?6vHqABQY9dFb)$i5tA_m(=Z(~F$=RX7xS~UlqO+K5>=BJ zCP|=4Vw0rRB!fwEXp)<6Wi%?+J%#n>ImJjhR-SN_lyS;yIo_vqR}psI)ghDa>ciSy zQ^usb7O?BCGnsVP4c6}ZF(%y&fL(W^$fUcmuy!|CW{N#oc6kuowGq00(gxM4c_5BKH?L;;2UHu`H5hJLTH4AH+&EQvX=aT$cTz)h=Ev$jkt)11W1U)NP?tD zjuc3R)JThT$bd}9jI79p9LR~>$b)>y4_QkJq7VwB2#TQuN}{wmR;uY7u4(45L+7wu zXt36|)ue+-dT1gS8YDwB8E%sCn#hF)$sGTG{5J@na*SMc%IkIBr8IX7cFjE{ljdH) z+S~`mq`A+qYc33#G#3um=Atkr%|(Y@bBV~LIbT?tOT(BnmmYS_UH?;ebCHp)s1GIa;6eq`_e)xR|P4<75&kL)d-{MSeJ z$|=Jmmg~pxJS}*|{%gF2oX~y1M|hqV{9yklybuau5EgP;5FQZ_335Ug1ySL7S`df* zxQGuqq4PW~NXEXL(4~Z&(4|3Ic%BwyVP8(@vO`Yjav?Y5wBS$330(om37sDbqbQ1@ z1WJJuy5HU&tjf5Y7Sw>un*;STQNJ{~}3eDGA3fdb4Dg)UuI+y_#zpS!*fQaV_#-uMr4BLjLgZt%*Z^j zn~_DxWJVT833$%P^6XbYB~-@mW@KH)>!AT0@SKs&*l&)O=8~n0UVd~pmmmH0@+LVbWhE&DSxG#5E6Kj}Rt9D9yWXlZE-Ohb)P`qo{_IO{jUg+EM{llmq#fh! z(Gi`{1zph{Jt6BzAILh=9|0JMK^Tmo7={rTiP0E?ahL#EM#-4=AnV9B$U3qUyRZj=*oOl+h{HI7V>phJIE6Df2U$lh z;u0?73a;S>WF5JUJGh7Yc!)=Mf@hF*}w9urrNjhuN%_IXfak9g4 z@`58|gi~He@gAkOv9RlH2AT9W3)bEiF($n&g+J!V^!6Cm-d-~%y}g5_H`fCzKgog-3ZW4e-ta*L$U5=|A|ooIAqHY1 zHsT^45+ETGBMFisIZ_}MQX?(WAp3l&focF{;twwtx2|Ovcn{YG&yRLvzlBm$t_Lpn&hb_ zFHG`TlW!&oqe(cEMAsx1I~*r3IYJURip~%^8#4TEVWju4K|%4_JE(U`%=&1WRwOhgHUqjm3CO zz$8q@R7{7gBY$HS=3p-7V*wUn36^3xR$vuYV=dNW12$nZwqhH0U?+AX5PPv72XF|7 zaTLcP>&Pjb##x-h1zbcBu0Yn2>$rhixQ)BGj|X^!$9Rfoc!8IAjkkD*5BP}B_=0cv zfuHa~D1<>+go6(vAQEI9iGrwzju?oAIEah*<~T{IvowvFzgcwtW;gSDWyqS zlhn|pwn-Xl(!?a~HR)uMUYhhZ$uLbunq;CTQ%o{XlZEVXoV?-)S?-kAmApskZ4K;t z+fF9E?Si$pgN#XUM_||6c{1tk60E)5WK4Ry1H0aylSyx{VD0S_W76AK*!AX3CcTA+ zwYO-DNpCS>>CJUDnuN?3$&ef=kqT*$4ziA9L?&cGR%AyGDtgh)I}&shEzLkac7>=3pM?V<8q}36`1TWTVc~ zEoT1i(fKQv7o0<#)Z~mwE^8u}7bFigd18_`n#kn^Nw6lNO%hR)$R>%WNkWsP&?L1< zvT2f&9gdUN93g)?<@GP#qx4n?cD#Z@F^wtd4-r6xHy>*0L zZ+*z5xBjs9Hk2{xZ3OIkn@lFXO@pX~He^Rm_-S1vD@^Yu0HH%SXk+T(yboUX~?tU^R-Gzc(cYlyccTr*OE-quzT>{v3mx@ffOABjv zSs9b=a=_A^>rs`0WQ9-|MNkaIQ4*ygYe_kjM@3XZ6;wra)I=@RL0!~G1Nfs68lx$i zp#@r^HQJyZI-nyuqYJvBJ9?rwWG(53{uqFPaAGiqVmM?i8HLdpi*cBMNtleOn1&gc ziCLJ9xtNayScJt`ie*@VRalL+SceVRh|So7ZP)=>OLk)q_F^9n;1CYus5w?H=qwE~ zv-_6LZn?x@-oMb~wMjl}B9|B>;WUY0lIWVqB?gJFCdo~bUXx5F$*W0zlN8mYgh?uE zQjHysleZipb)52AkM}6OIbhdYD>CV=Ev&tDWlVbO0lVG?kx6euVC`)TW76Ar*!A`| zne;XX*4~ydCcQ0(U2mJnq_?fG_7=#P^tKf);KjDQ?2!pT)2OmU0B*;1v1yKqsIbhA)yLIZ`4O(jYC;BLgxaGqNH(av&FSBQNqHKMJ5A{7@K0Q4A$e5~WcV zvW`?hMN~!=R6`BaL~V1NG|^ew+|1whI)CL7gE`+vP_t^!5sNy?rH<-hRN^n>S<9 zTX@*@7L!bRivw$KNf?vflEJRGjAYVV7Fc`B!5F zkV*qG2O6RgnxH9~qa|b=X@j4i_F+E` z;t-DDD30SKPT>sB;yfGBt8L@AU(S(HZwR6-S0MRn9bE!0L`)JFsOqahlj37VlfTB0>%9chR5=!j0} zf^O)Jp5{1l>MR{<=I>aYzjBGeoS&u1T$3!-gi8!bHfyrYBzrZH%L|gznw&GqRZVV~ z|xCWK4Q147=XSkx6eAVePFZW71n4*!9+wOnPepYi}JGlioVR(wpmNi2ca=V*m!i ziNP3(;b0x%qk82}bF{v?KB`w9n3Ip{UE_X+ZmoNBoqKb=dvk+(bEDamhxazQM>o4S zx41XAx;MAEH@BNj`4x7!M|Zk6ceyuryEpgfrhZiKp8wg8>V25z-;e6$A-8`&s@K7t z5(j4|4$e*-EN~9aP#m10IJnYraF*iWEXBdqj)U)b4$f2@eAjdEUC+T)kApK72WKn} zu6!JvwKzCyad7qX?*o+op$}00gNOa}qk4-Zmyha|1$!LJ_XJGDWK6|0%)m^{!fedN zd@R5sEXGnS!wRg#YOKXNY`{ir#ujYD4#+9rZtTHc?85;Z#9KLoCK)BQD~>^VGqY{iH|^Ips@*)bKoY$i#kTWJNaQKu*Z1Ltf-Ve#j|bK@@`L zsY40&OQJO7l+W|jp)&h&%2y3?%2yM$;CbrcU|&x88bMC^nxYxx)S(sRl&>x1l&=Fi zqBFXn8+w3KzTe(99K^VsIt+owsY8g{hT}ays`n!kbcR!2|K>|%D$ar3R9sFbQ*jln zQ*jexG8MPNZYu64lc{(J)~R@kF`0^IVK)`8lgU)P1?yCN#F$LQr?8ufAIM}Xeui}_ zdNC$bF%0acViYnti;a#L@SKYA*_Wx92#Mi~r0|@IY1o&km>wD6ITf?BFHxehPAv_`=5+Xy^ zk!XmHn23cqh>Q402w6vxz!%Ao94V0+vW}!P$4O3|rFqQ!Eu`~TZf-H>%WG1}B(*e= zn_DE!G-+v)j+)5LEt3A43^d6IO-7q!nkF+%vOtr?CRwM+Ms_$(K68X@cgpKd-lO!k z2X?(3Ba_}v!rI$K#-z8)uCfCG)GIchO8s)&>kJp30=??-O&@Wj`Tra^hW>&!im8c zieVUmkr<6J7>5a%h{>3OX_$_gn1$Jxi+Napg;(+DBQ|3TwwdGP zfX>pxX8xYm`71ZKnDaL@xowiin#j#9l8>5vF-a&*jrCY{TP$p z2EeYjQDoBFSXg_T%9!*v19rVFB9q>h!rI$f#-z6mu=M77f@L?^9_+9Wo#jG9xRpAqR3I zH}W7K@>(Kez$jslinshKp4^4WTWQZoiO)_4S zNhX=&|BwHY{a22Wt4?{n&byT6Zo#g(r)1LH3s{@`z?d}m8FtNuA(Q69!P;CD#-zFE zuxl<6nKb7MYjbHBljhRHuDM)f(p+9xoAYB#nkx!RbFK@~3S<>g8C6gX)ln0*AuCBe z)Q1E9XoSXSisop6R%ng3Xon8ygwE)SZs>uY=#4(;hX4$~AUH7uLopm9AuGukjKz3N zz$8q@R7{7gB!6QT=3p-7V<8q{36^3xR$vuYV=dNW12$nZwqhH0U>9~H5PPv72XF|7 zaTLcPE6FLG##x-h1zbW9u9zd`zRvnbX4b#bSuYnDe0TVviI+*jYa$mIBylu}Z<1u1 z$OQ&T7EQAIo6peV$*({4$*%$?Ev0E$^L17A>uQ*!p(ah(;k(K=j*?bRd2Pe{lq=T#_8IcKDkQLdH6ScnX>!semo>R&l6#sw zG|3xH-m}AT@|`2(t5aUT^B$$QVA%B*kxY7v3~O((8I#`P!LGLyWYSw|SbNLNnDmwn zcD?;YCcPDcwYQRtNpEFf*IRWm>8%#5z48ukZ$M@g5)X317@{5>{uakD0$wb^gjl26H~KCP___Ruj3%Ajz#sK9dyI zL@qK&Dr!>2By}{YZ;}?8v^Gg+O}d$6fF@3MI8J_Wgp6>?>nPr%^fnfDz0Dw#-e$qt z+akuKx23S_Z3CI~wi(vmb~7fu?S)-$C&;9?)3Ejy#F+GU6?VNnAd}u6!`jMLJ|a zMr1}-WJ3<*L~i6kKIDh2BLz_ig;4~>P#h&u8nTX*LwQt0B~(E*R7XwJLLJmaeKdeS z8lf?oq8VDCC0e5`+MxqFqBFXn8@i(>dPCNce&~+@7zihZU?_&0<7AS~(y3 z|DfKV93u&w@|uWuDb4x9uDSGN(p)B3o6E(RG?y24%@rk+=1RcYTm{CYxyrC>t{#~* z=YX}j=8Q>mtzg$&S2Ag?2dvEnFec3nf~7gv6YOKi#$r4sU=k){DyBnLlD{zvb1)b4 zu>gy(1WU0TE3gWyu@>vG0h_QHTd@s0uoJrxh`rd412}}kIEv$tmE;so<1EhM0xlv5 zS0F3Nb=<%$+{Rtp#{)dVV?4z(yueGm##_9@2Yke5e8D&Tz)yG~6v7}Z!odd-5DBu9 zL_t(UM-0S59K=O@bEKryBPESFQnKhu|LY=yfB3P0{=<)cCM~6@Tx9UQqlSK6ZId+A zL@qK&+H2CuB)v50Ym#A_j5NtaO{SP+o+b;~;k!yON6B)hysqSZN_T5u*WGq9>24RS z-5q31x;p~9?#`1*cb8!8?j~c>-5uC<_nb_+dj)HEpBR(wzQV3MZ!+mFJgnVCV@$e> z2}^gbpCu+C^F=ZwM@pnZ8l;1)B^i+kS&$XkkpsDq2YK-)@}mF>!Vg7I6va^jrBE7W zQ4SSQ36)V5)ldU9Q5$t3Ye@q*&=8H#1WnN#Eg@@38?;4xbU-I`L05D~5A;HB^hG}e zU?2uzFos|lhGQf~V+_V&JSJiireG?jVnOmbNhxyT@SsL2zPywOB1GDw0o32l;ynnX59JWUdsB!woaO_EKMob2#H zy&ND%kV^gyKd(LJgL(ta6Lj*l-Cp;@bo<L|tBZrx z#le}1gB8ZX3gh5B)4?j^V3l!j#`5ozlgIfp_z!(@@*h0qr=QfDH@W$wUX~y}saIa* z+iM}%eS0lKCf{Dm!}{&DI%D$fwHEBYy*4J3Z?DZ@{r1|9G5Pk|5q95R`;f`E*Z#16 zdmYM{e0v=MyKk?P$>iJXG+4jA&Sgx#y)J;|+pFu5kJV&runz075u30D+aRZVJFyFU z5Qu%)kApajBRGcRIEhm@gL62Ki@1c#xPoiAj+?lRJGh7Yc!)=Mf@hG^y_a}}H+YNp z_<&FN0y*9Ljvoky7eXT}yy1iJh=@ptj3|hP7>J43h=X{DkAz5!BuI*6NP(0{jWkGy z43N{k%*cXl$c~)IjXcN)PWR+rcK`qElX~TQ^)aWsp5Q%7cc)?3-BmK_?gp&gJzz|_ zdknko-jPXnA7Sn8Cu7oGDA;xP2bpvi71r+JGA7+6fL(W~$fUcpuy&V~G3hP`e$(AQ zx>-||U$Z)Dq893)F6yHJ{Lu)F(G<MjcJ<%Jomh^+HB?B-J zP7KCS42P^Gqc9p{F%A#zYEu^C&i z4Lcxf$!^G6vKRYs0EciGN6o4K1wHW&GN!f6u0B+)gA zWfEUalA9#GCYel-?2F zL0sVZ%G)F-jcztw~S=cTNYS* z%fp!T_9yImD^4c8m4damN{mTwRblDP_0UHHG6x!>5t^VWnxiFT9chEMXpau)gwE)S z?&yJD=#9SUhX4%3APmM348w4Y#3+oxIE=?cOu`gQ#dOSstRu5A2lFr=3$X}Gune+} zti&p;!CI`xMr^_sY{ho$z%J}YAogKD4&o4w;3$scBu?QB&f+{S;1Ysx1=k?!$W7eB z9o)rzJj5eBF~`Y!ou!}5{0-LmD|dpJ^N}@)W|DZC$ekdP)S9F-Nj6R7P7p~UO^TSL zj3(txQcIJ%CTXlmGm~`GqzgM7C)_6CFRjaKAKs(%)*p7gjUbcWM#I|MWX7boX|U^U z0h#o+7}nlaGbX*QgI#Ys$)vYEu=aMCG3o6X?0UOMCcRyTwYS@hNpJUH>CN@P$4jzT zc!Rfij}Q2SFOYTQJANP-UI>ja@P-eY_dxz#k3K7){U&&CwFAA?rvxv`0sDLKk#Hcl0#JiBo6kP&0qW>inHx=I<;`=9*-w zCM!&`S(9xh*{jI`lbqJ%oJp=~a>FE#HF;)|cba@ONhnRivcqvgm;9x5dHsX;D7{66 zU2h4kNo){))VgT2^?12~AoI0{)uPT(X?;|$K>0xlv5 zmvI%>a054S8+UOJ5AYC=@dVHC0x$6zZ}1N9@e!Z!1>Yd+$WH_#6hb2`!odd-%yAM+ zXK7qBe|>fS%H;*$8!~B<)g*Z}k;@B`68`Cao#Ne#8pPQ_;z2>28))XxuWDqzs+A^f zP0~Y?-X5y#G&cu!%`GRB=2pSl+$P4Pxvj8kZa(zPBA9UorPU<*U6;0Td+3wh%ssIDeRj2Kqk$7hP62_#-zD0ur%j-gh7u@= z(kKgANh+WsDx(Ujp*m`!He@BKhx%~9AC1riP0<`J&M2lFr=3$X}Gune-2ti&p;!GE>udgNmZV_UHuJFpA8 z5r}=*kApabBRGoVIDu0*gR?k~3%G9mAvD6k8{rTh5fBNH5e3l@9WfCLaS#{rkr1+yB!MrIAvsbaHPRrR zIT~{6EX`x)Zy}w(Tw=%?A$N|;>!Tr+Oj=7*xy;~*Xr^D+(j*-rURMbhig~-5n#7?oPtm-9^TvyUVca?jD(R z_Yl_ZUNR=#y@6eK-^rxAU|75JVNAM<2)piLlSy~+VC^m`W71s;Sh{mP@{yS=3$h_Q zav~S)Q7dV zri@8%EnwGMXEN!n8?3$cV@!G*0K48skx6f3VeM@yW769U*!8xEOnO@iYj0~AlioJK z(wpmNiMz@6U@!LJ01o0XjzZRv6OeV}G|u20&f_A2a2Z!|4L5KTw{aKu@Bk0-7*FsF z&+!tk@doek9v|@uU+@jGj{HP0LLoH5!W%w_09i-=Kx9NkG{itG#711iLjoj3VkALQ zBu5IQLTaQ%I%Gg5WJXqGLk{FbZsb8e>n#zP^yUj| zZ)q5l-qORaw_Ie>TV7au^J7eUD+)_*u7|!WkX1xw$U0ID)ln0*A?rvz)Q1E9XoSXS zisop6R%ng3Xon8ygwE)SZs>uY=#4(;hX4$~AUH7uLopm9A?wH(jKz3Nz$8q@R7{7g zBY$HS=3p-7V<8q{36^3xR$vuYV=dNW12$nZwqhH0U>9~H5PPv72XF|7aTLcP>&Pjb z##x-h1zbW9uIOcxi@c{O+KNQEq$iX%SWE-`|o(B zk6+01nZB24{{4jBfB%8G|ImM6j#bsc*_nft)xpZ@;0(>-RS)&i01o)0A^!b@-v1|` z&|5M2uTSWeQ-((@*N@?OTJVbf*LVv#o%?`~@H{Q}!TwKpAr!(OEabExJR%?xW zIX@IeQ4~W7lme%7zr8V7m2o*Or~$jvf`(*rTF?alby~nD^hV-OR89=qKu!$W!|ueO z7nz(G^o8}rU@&8HVlWJLCk7MAL-xgxtU4;$yTX<4iJ56S;pyvRIR4CRwM6+`l5(qscy#9Mj~aNiJ)0%_R3Ud1#V1 zn!IO+3zk1PO1?Vf^*irTdJBfEB){t|BID9qWXMY5*;{P(rMGyHmE?E5rC?loOAT2` zJbTN`zVwz2vXcC+x4#&dm81}4CGqU7B>U1^8I;BEdaKU3tR%Hi8=k%SvoF0hhO8tW zy}8zrc8s@2M|46LbVYacgsdZdAnQnf1YjTrVK9bb7)D?uMq>=dVFF|wnG9J+reQi} z;%~@0G8gl(01L4gORx+pAnV9#tid|0$3|>|tRveX>&Q;*!X5--9}eIk4&w-p;W$p> z6wcrrWF5JPOSp_HxP}{$b>ueg;2!SdAs*ogo&ZcXx;q_8G(|B9rdCRI#QN0a&{X`xALlXTXkn@I*} z;$(;8Br-?H2&cS`;yp@lV`10Z3^M6$7OcH3VoZ8l3cKDmkV$WwVeM@FqJBy}f2kdV2>;Z>|Sbev$qt42M@3XZ6;wlY)I=@RL0!~G1Nfs68lx$ip#@r^HQJ&bI-nyuqYJvBJ9?rw zWF6^;{uqFPaAF9CVz@a@Ch07lYUb}8oxgJbiaEbZleH$5_!emUvbjVurH)dfD=3+h;U=fyJDVAdeR$(>PVm&rs6E(?z~M2v;C$$#vYoE!@Uk+{Xhv!eczeGrYh{yvAF+!v}oCXMDjo{J>9m zLDrHm2#av=K?FpCtR+z(Ye{s(KrF;TT*NoWN=lujY0Ui1qVqSqnZE@z@iR#&P0E_2 zh9b|Vmbu^$I<2uE-f$8iFua2jWE9v5&4*4}P1CcWK(U2o6Hq_Fq1* zdh;fe-onG$TQtU`x0tZ>=DH$HLgtHPNRE_9g)~S9Sw}J=6S5#HvLgp_ArJE6Pvl1d z6oem&peTw%){#;ujj||*3aEt2sETT+ftsj|x{!6G0UT(EMreYjXpWYUb)*g2qCGmG z6S|-)x}yhrp*Q-X9|ABCgD@CFFbu;n5~DE&<1ii*F$q&J71J>jvX0Eg9L&RfEW~0g z!7_84Y}8r0#mwJ5I)CL7gYOL|H92FF%bLg~2FXKBo|xo~CUS{E60AvRlSI@cvPt4; zlF%e6G)ZleY?|a`hvOs~N64Q}dHsv`D7_VeU2kQ`q_^_0_Ew!S>8%#*dTUH3y)}ci zw|0z4ZyjORTOTs%tv{^24P{Jv8v(oCCX-2T(_rmwE@RT$0$6%;J*cvpYz@|7JvL$! zwqP4%9odOp*n>dq!+spZVI09R9LGtV!Wo>yd0fOLT*eh#!*$%mZQQ{<+{Z&a!V^4$ ztRpY+3UBZh@9_bj@CCAte8&$2!waDi7T)kdctk`bL`D=uLkz@3Y{Wr4#79CTMiL}N zGNeFCq(&N~Lk7q?k{MZ$4cUG?`_RrJAfT$p%d}n`Eyh2iV~_iOvyn%qg!Yc#qQCY1s94 zl}viO0c&p$7?a)}!>+e?WYXJ5SbO`)nDiD3cD?;UCcQ<4wYRv8NpA^Y*IOzw=`Ag+ zy=7%gddmSzZ?1<_3X&BqANpee2EvKK7>ePLbz~GqV=TsD0w!THreYdq zU?yf^Hs)eJ7GMz;V=0zl1y*4-)?yttU?VnT3$|egWF6U!J=lwVIDkVqjHBi_xuCN& z$jskcI)CNzf;s;}lh-EstchG+kc87Df=QxlB9|8=zM3RANqS8(nIx|!`At$(lM*JW ztVuO?I8I`4gw%1$Ydzkh^yYwFZ>`9rx3;kM)|D~otq1IS8$>3(4S}_{F^oxX<6+m^ z-(=F;99Vl>!kF~79Cp2JB9q>>!rEIPW76AxSbB3kq;iVvG|u82F5n`9a0RlCT*nRE z!fo8eJv_i8JjPQz!wbB`YrMrfe85M1#ut3Ucl?AGLLm&oA{=}W0g)i&7w+w1(5%M0dwUrho`GE5V>ydasP$#j#<(?l*W zNY?nL`*krPkK=FGY?sN7=vN&#Uv*xSOD4Ia$$fS>Qetw9Jax+JbKa#i_X>8+eI=9T ze!$wCH)GOVc-S=;lT4b618Z|h7?b9b!LGTCWYSy~Sewhkm^Ak%?3yc1Ce4+CwYf@+ zNpn?UY0mYSN&_+n8ln-JpedT8C1fROgSKdo4(No==!)*>fnMm1zUYSl48$M|#t;m{ zaE!z#jKMgJ$3#rR6imf*%!I5YvoQzrFdqxC2urXGvXZRCDy+d;tj9)d!WL}BcI?0| z>_#B=VLuMy5RTv|j^iXw;SA2=JTBl8f^Y@bAS=mD+`=8)#eF=)BRnxj%6pxqpUnIX z*7++J7|i;}nnW{6JWb>RgCw;k=}eMM6S=@3DWpjeZjNyP-{arHE~Ebzc6pQ5(zLGm zy2ko-%}ml!lP>IVti<9d>E)EyKDCfCG)GIchO8y+&>kJp30=?)-OdHsX;D7{66U2h4< zq_@Pd_Lhn<=`Ahnddop3z2%0rw}OmGZ-rskTRAf6ts<Ptx6WZ{Bln z-gj?4aBn^|oAL3yS5 z`^mrG7xdrqv>(4vwJODnhw{qjbuhm?aO35bFkT}4@8vZ5A1|)}v;SXvUJqW%<+PXA z>tA_b$b45Exs2e^onN_EV%`7svZ|oTum8&ZLgtg2nf&puJRoG=VT{Qi{>lSG=CwAP zT>dpe^S>m&HuS#*Z<<`5Y}Ehxh0J4wlao6yFW0Xh5Hio2+vKkI9~d%^)4=2(fBpJi zzWVF^dU-q8+1tT=BF>3{Qa*yFl4^$hskgK%Ds~Q`mOc9vr@@7 zWS+_XmHQ>t{a-JA%A4Hv=MxYzuhG@y4}N`pV931KER$dQm3t-o^-Jr2(;YOq>(9q8 zWFGw7Gv{$o$e@Ccppd>%Efe|NXC*wM|U^n3;g>y_fyZ>|3=7dDRm*EN6qLgqO#n%q7AL*`MdncOu$14HJY zdYjxm|5Jwe{ckKVxvO8lkomgfCU^h;A2Ofw&g8E59~d(4moTpWey)DKQib^a>l8J) z>(9q8WL~MY$zAg^AY`6rqRHL!KV%+#hsj;<-z#;9-{1S5$zAiyFJyi{Vm$r7cm4SU zgv<|SH@SQMhs-zBF}ZvG|C(s#ZA=?za@U`aU&y@Oa+AB>UqHyb$vKm|{(J&M=Ec97 z+&%x(hWP*AH+g*BchCQjd6+ULcd!2;^XDB+?w< zeC89AyXSw%ynFNn`s=ypf5_aC&*ax!e=O-k{Qkuon%vc|U&uV^aFe^{Pe8~#$~u#~ z-hW`oJj8GIAGqWBw*#aDS*=@z_3|p@TZ65$zBPGm=v#}|H@>xb9pGDs*XX`=<=fOe z-+H`e^R3V8Uf%}1R`zx9ddJt_i|>;ieH*e9%C`}(<9!?Rn#{Keuj_o9@>)V{iv0I=eTA?-Cpe@>=JvyKxI-xVVpewqeJ9^;Xf1TFBT?+?yEgampc5wCU;Le4E zD_{p#zz*(%I=F-3@Y;_9IEX_yj3YRTWB3pL*J-)Jc6fzBSa>5Gd=MTH5D}5^2O=X1 zq9PiiBL-q37Gfg~;vyd6BLNa35fUQ_e32CYp?~e-AN-5IX@f)YBX3T)Qt74LI4<`l zU?L`CDyCruW?~j*V=m@n0Ty8~mSP!JU?o;#E!JTJHexfjU>kN|Cw5~G_F^9n;2;j; zDC8E=37o`foWVIVS^uj4tSg9^e+yZ-3Z0h;g~qFa#dA8bbWAalF6xpdfBE>s=i= zO`hSD*T4A^nTm5@Hx-wY$y8hg>r~vtm`ug3u$zke$z&=Xf^{mMVoavuS=dd*>tr$& zZ^1ehA2B9V@hR-4;s-LBil1Sfie8M#R15>VsThS!?s`Q>40uk(`0UG6OoYVnMN)W9 z#Wd{8R7{Ty@SKX-*_Wx93wBe{k4&awQ51vcR4mKBOvMV2yIz%Hor<*?lc`t__2D@c zo3Jlau{rFfVka`0id|uyihUXDhX4$~@228N#z$cc#)7Hn`uXV;wx?pcx%;_L?>#Ot zcO}>8UCH(4uH-IF0!?y66ZwG{_c$+Ua>XQfG?5>Ok-XC6tx3LW^1~$IHHl=Bn3}{f zNit1Rvcug^xg1OHl-G>BN9ipKWC{6QZ+|i_OGp9865`ogarULRQjjI&cfD0*T$Yd; zkR`;kw+8G>Zw(>&QyTI&O&SDwz$?7QTfB#?BcCAa z$X9&B5B!7|LPOROZ-hg5L_j1&hO8se5FIfQ3vmz^@sSX+jwFFEk|8-#A~j?kNoS6e zoH|SMnE6{s=Py4HlQ~~rlS(G3rHTA7jHH<+Eltu<6Zv5nNq&O*dOHbgZxj0Uhhfb58=BlU$zx6AhhZciHThza zP@2dO!$_iP62l}3G)Zidw3=ivNe)eNo20NN#n|CEk=sXQobp1`~my-j6IdYb{e-WHKbZ%bkAZ7pNc z+Xh&A)AfHR*)Z(^*>6%wLDj z-$rKsw$-GANqT6~+ayCY8E%sCn#kn^$H^Q`=9^@>CaX-cRg)e5<}Wt!?8bh5cH@vq z&uV&s9gY>bm3h@Euh)5>(%miCb@!A^x_bd@cOMv&?mok=yD((ZT{u{~i^7<67aexp zB_fmVd|~Y_4P(+>df0WBi%h!93u||Nj7fJzVd>6wDO!Q7A}XT_s-Ze+qBdkLsfYS- zz#om!7){X}Ezk!w&4iZUka4_TvB!;V_Qk zIAkq3h0{2TbGU#@2*MR}tlZaG`pC@gS30}p5`%gFLlZBP{2%u2GTy4H?;AZG7Ghz+ z2BZu?S}Z^iY{bIenAjK~c5VcVun-GD6fr14z{JEvv9Pfa3_?T@1J3t9=DGLY&pfX4 z;=Z19p8JLO=ks0Hn&WrFwJv^Rjy2|DvUZJ>#3hDgi_xOjW8;gPN?*25iK})MisQ zV+-oA726OiNj-{aKtmeQn5Jw`tRy?poR;iNYueI|4(!6N?8YAKNoV$=D|^$Oeb|@% zIgo=mm|h&pVH`nkj^Y?%B{`mAPT)jN=2ZG}dUmGtPqTDjHh-^7^EWOs%0$$)dL=VC@ zP=<30w{kmoa3`a83Gl55mbz~xwn8H-1F`XIABG!>-c$Vjx&5OLu zE6m|F-r!B%W-jmY9`ExZAMr7t@EKq5C13G1-|`*bvxpz~iC9OLvW#C?!Ae%Knl;&Z zQYFpO>e>9QmF90;WSH}HQ&K-mnx!NzG9>L&(lJZAq$DmfBt27dNR}L(lH;=Ew3M8g zB^RXR!YsKuB_$4=Cvnr#4JGk-lh+u%4Wm$Rcgdo+d&&3qpt0!fVG8y3ge-b{l6-H^ z8H?Utpipmb$)dM+$oDqiSoHQOg?d{ki{2KK?`^rU=y_Mecx>mN1id3QsRjE!* zVjbCl4XMS(Y{I5&P93&nYqp^-^{7unwxcmkXvX&J$WF9iXIjyQwzQ`M9odaoNA{!> zUD%6m>`f2$CDxGxIFOzk%pn}c;q>N6j^-GS<9PaTA}4VQr*aynb0%kV4(DwJIv!fKHx*<^9iwze9jjv;48l2I~KBt#r()mEMY0j zS;4RT#wvbi4S(`CYpFm*DpQ4ORHr8E6YI!E)S@<nq*0osO|$viGR@z( zz%b|cNJ-}`*(W7&c_BF>B}Zk+2`P!o3rW9}oS!9^q~!7}8IqD4v*gy4+>s??QZmkg z^Ca%Bd88yBAM+Zcw@DQ0?I~IG_AL3{UNIKEy+)zl-j_vhACd3vOJmX7*A(jQXIb>N zjC^majYV&NP^h=6vgoY_`QA1*7QJmsuD8;^MXV<)q5%zQL}Qw=J+Y4LL~~lQGp%Vu zJ36onyRsX5uqU0_i>~ZVclKdl_U8Z&;$V7lD2H(by*Y|wh;`(6iaCK3Ihj-F%jv{A zau#RPk8?Sn{tRFs7jX%fayeIU71wYrgSd_%T+fZ%%ut3if?K(bI~d6*?k3ie`xwm_ z#xjnF7|(?4Jeihe>5OdtKA+}qTwa*-Z>QwlEcrMkad{#6J|#b7$uB91%L~c6lvK)+ z^-{87mTZ}lZL(y$lr+hbRw-%gpz8ce^($1E<0NSu-}zhNm#@}6RJC%271_P^abNzg zWw+h0EPMJ}+0#{JPgj>c{k`nzn(S%(W`C58{#o|)ud=6qmpxru_Hua7Zq-Wu7aSh{Q{t%qyzy@r0rp9)BsS>GA5K^*q)tT0grDFuq=| zRZ)C-*b7DRwMe~+;!9t?F7lNYmHk)zd*@WKJw~zhq1gIRY=2m6jVQK8{QHHqclt9J z#a-OZJ>1KEjOKpEFqQ`x$Adh?cphc~kMJmu@i-Hi#AK#0l_!|Sbe?1eGnvJ|cTN_2 ze=hbpPqDpKvAtEX<=Nf5RP0myAKc~FWL?FgM>{vHP@%amxv6hm*gZYQn`|cvy~*w; zi#OQ=$-l`SZY>`AhClRcIEo9sEp;!XBE3cbl*CW|-OE6Kmf4mK8VvNurZ zP4;$Kyvg24{!R9NWAP^Y0J%5W(px?z$|f;|sZ3)!Gnhqe`kvugo@X{M@)EBwhu3(6 zH+h@6yvuvM&xd@($9%$Pe9o7A#n*hxcYMzxe&8o!)3=mm{K5)W@*AsJLu~r~;&0Yb zfl5@ND%GjMdaTceY{bTF!lrD_7Hr8@Y(rh@QJ)5EM(u8;{<$9sIhhs@^_VlDZcFId1=e8aaaWD$$`k)K$?QkJuV zU-^wy{LUKwJq!)*97)Q{Xqq1}5T3O0LS1At{MZg(P>RWK@=nNlAPvB$?FbKmNj-?VKY&mc--FUS%}5 zj6%)*A&chzCf{6DW6@j<3N^Q>ESlSbd~@}TMRN@()Z9+8Xs#vs<~kUQ=60n}b9>98 zxqZktcd)T&?oe{gmEQVsysVfLIFXY%g}$6ltR!b~HvKr4^SOWl4CEp%;ZiQ=3a;WB zu4NF{F@)>6k((IGa7J(|w{Zs}8O7biN^&2g8N*n{@gU=wK&&K>@i>#1%v7c^of*vJ zDW2w8o?|vI@)ED`DzEW6Z}K*Cd6#*-&j)TK4pOS3*c7c%QNOv%PsvSmu*b0JBSlx&|Rtx^)73rRYqWG`FU7v3~-mRwR2 zkC%C!(cP64>h1QjhvHU^^Pogr;oIj>KBhf|j(RHEn4}2RagK$?ohyCpxni z-PoHR?8AQS&w(7o!5qS&9L^CO$x$4`aU4${PT(X?=2ZG}I%g7V$vO1nJkF;-7c!8G zvvZ{+&F;b3ydRe4eOzjo_xGmc{w#SoB`!7M{Eus#C)1v3R+hey(zw|0>)uJf?!7Ge zG$mhT$>Nm!lqJ8WWL1__Oi2|7c3_R2CF_;M;|5-5bXSW)-EA$4?&^~7uCcM`t{H{8 zYa@&9+LP~YPh-(t7YcQEfGoP}Nxr+@#-h8UDb(F5vgqzK^4*Cf5(Y7t>xs4GCT?aJ!?}f9xt)>R$z9ydz1+wBjO76y8vVJXXrwd7Y;vWnHL;ZI^M zSxc-X6{$oOs!^Sq*}1Y=nx%EJ`CF9cZ(MAc^UYJTbC&FqlDOEAbWh2?S<)*daiJk8 zPRWT`az;wd&XS8#a%q-ao099YWJF4CbKpE_;taW~Bp&ba8l$(-6zc5}S@iZe6Pe5u zo?tppGLu<6%`-g5^Sr=Iyv(cQdz))4dYea~-aeN_Zwtuxw#ZoY_9KOQ`%MPw*`HWP4x%T$IE2GEoZcKotRu&A9L4nEL{8=u`f?g)a3*JS z4(D<{7chVexrmFol*_q-tGJqLDd9Q>b3Hc_>&Q@sF@jsTjXN00sO&r$muBhsZ2nG4 z^LJ`Cf1gds>@0aLC2wZQM=ALvOTJFYcUiJ5B`dPzkCgnKB{fpAewJ*Sk}a~NK}s4q zaGo@EhU`!hk2`sd(OXLj^|q@ldfS71Z+jby-u9tTZ->gFwqow~fySb@ODNRaAX)S_gnVzqjYV&_lIyMX29Wz?qZz|k#_=HInLw-~kMTH@n9Nk3 zU^+9H$x}SdvpmOaUgRZS;Z8GH=JGD{c%KjWi1~cNXT&=4B@6hPZ}^UdEaC@Z z9r>9hEMqwQO`k z8q$a+G-dnjJZYO|X@_k7c1rWtWk$R=9GH@Wv*gH>9FrxdrsVW2IWHysv*gN@T$3d? zq~zu-xickqXUPL8c_>S!q-2@{=Sh59&r>Dw_>9*Wy**E%-rkT!Z*Pw@iZY+9Rk3zj|E{oo_B;Q+oW6|4oI~$JA2TH&g?~3_NE8>upj$#AO~?Uhj1u|a|B0n6vuEZ$J2)sIEj-v zmA;(LnZ!DB4*fWf^Xbn3268d6j$Fp&T**~jLkWWz%n)wiMs8*(!?}f9xt%+>lTqBw zz1+wBjNt*s@et#gz@x-EGLcD4VJg#jk{Qg(&XbqZES;0h-*?jdjmrz?$rma4DoYlp zBrY!`t5UKiODd)$E-xgtQnE>wY@L$2S<);eJ7!6nl(f&1E-C5ezi@eM$%;9xn9eInld53p-kN5eI`FzZ$e8v}i z$ya>Cw=Cp)7V`r?@iR+V&M*ARN>;I&HT+4eBWqblMJiE+s#K>Yv5suOhSXwXHeoY1 zrw&`PHQP{^deo;O+tHXNG-G>qq&Y3vnO3x+E$!(*M|LCDkv-`|7xtnX-RZ%;*?DqU znx(z7`CFXkZ(LrO^Jk~z+$^~$C2@Hnxh^HwXUT|^#N~x#bV|l%$s;LwJWFP#DAe1JvgmCI`QCmr7QOvWq24OXqPJ?~d)vrZ z^j4cfy=^Ot-ipZg*3?+^wgZKFYbT4|b|K$eXJgS@S8~0T-u!)#tS7xVgu^(T-W)}& zBgb+a#q{AsPT~~$avEoFCTDXF=W;$5Fn|lWh>N+D%eaE8xSDGz;W`F$JvS2T$WVqc zf?K$a+Zo9yVja1Mdl}9BjAa}TGMQnhl0%4K)aRVjN~HG3L& z+*Kyp|KmR$V=rND2iXQj)LeWHzy^1Dz z{JLmz_62=Y98@ft>TyKT6CN8BP4hUVXu3x?|2^qH<`vEGctX)kkG~Yn@_2R8Qyyy< zJ>BQuFPbZGyOejNf4^w1t3QKo>`ixiun+sPANz9v2XYWSIhbDjd%I+@oqDm=y4Y%6 zY`0!)#V)q<``>!IGnd9ZGAhvVAv5NBB zg1;TFr2-YHOci2VP=lJRPi*Hlq88=11zR|-!&bz0uKc#3f#cZDH6pfiO=(8?Z9z-N zv7Kv8Z0Fk1p4b-bN^Ix$AhvUz=|We!(Vcy;o%_d+1P?VH+kzt~v@JM67Tbc8`MNV=#&Q#$blA*cd!Tp^d>Sve+2BMt)=PuCdq{yiaapP%F-gKw@j6BfsK^#mk{%J-YWBgc-rIutIX$~z>7Ona24)u$SEdVz`25Pn$IU4jo+WptBtE~AJd~0NSu!Oh@%feH`INkv zC2yqU?JW5?C7)%L`QCasj^6fTfBvbr z!;QyEawJDlzPCP(qqmcYm84v6rR&H!#`|#|=hL474CG>B9l4BHN3P^5uHjk+F_VC@P=<30v5wqMtRr_aio3aoSV!(>3=c4lhZxTU9wpY1iA-V&Q<=teVjY=9tRv6x zEYCBW7kQain8Rzl!JE9zT;An9VjcOAkNB8R_>3=zb>u6)=3BnwdlvBnKN0K5QkL-x zD_F@YVjWqNohMb&EUli+-&$$@#^+b&eBG4P&yr>-iO;Vj?Nib*OS+^aKEIOmOvxcx za&$_L%aYSla%PrXkdh0t_x7Bz=eM9Gkqy|8T5QZFY|7@;VN14V8|qSz`ZQ!a8qVrs zdpgjO-H3H$Pdd?sz39f?^k83N9XWsl>B+$y!eJavZ;s?>j^Q|trw=D`5~pw~r*S%G zayI91F6VIp{kf2VT+F4!I&uY9ay8db!gUPh`s_TpEzQ!A+58=y=5Ks{WzIjIlF3;z zGbQo)mE_fwyq+cVQWBqENfxB!n=JV;B}=m8_mupZC6!ZBElX;rWHSfOlNQd9txMu@ zTdy&CE228XDoW#g+je`l|^se$@g}UvFNQAg?c+y7QGdd@2#(~=}- zqclsKX7jgYn!l~H`MXC-I%ml~DcL_uj!4N-S#m;3PR^2kDLFq&E=kGdSu!LgH)hGL zDY+v{#-wDN1LsLgXUHQZ@%Wh67`;uRP;XDkqPJ(s_x6gh=z0*`8QO zcA_~g*_qa~p&cFAg_u1hraSwvFZ*)<2XQdHIF!RUg5Dg(F~mA@JjI;A ziJZ(S^yPG79XX4$>BqU8Pk#n5kc+s4OSzmYxQc7ImO)&{5U%G&Ze}RM8NsdG#vP1g z6n7Kr$bF1v3}YF`LyTuacAiX2vvfwE^<%+^TYsNV-Ljt*;%q{Nj&cEbw+oc zDAe74vgqzW^4%S7EV?_ALfxGti|$S(-`zRJqPz1b)ZJyW=Mt-Q7T;?rxVw zcXyKS?tWv@-2>#hE4@i&qHGdVn94M!GlN;gTJj9f@;tM7k(YRdIlRUjyvf_l0+pyjRjN~i z^;n+`*@%tVgiYC;E!dK+*oL~)qdpDTjz%=0DciFnv6i%;C9P;pTiVlsj@h}=EzQy% z+5GL9=5JhLnDfV_q)(QdmXf%{kX)FOi?ihFl*A>5WLQdW$&$NLa&MMAoRUYKlaFQR zx_CA$GdtZ=mZ=tbhZ!v}1`&Ab0 zts>vvT4T{(MGCdIfh^jqMXtSSb<+Qe=x=KZ_19Py{WT-s-_FLOzc%FhE4^7|Pgy6r zuovCfn;z^-tS1L>AU!#lLpYSf>CKTG%`qIu@$}(DPT~|!$qZ)kG_jsM$Md|vi@eOM%;ELyeEA^F)A`v9Uyx>aTxyuXOH#5tOMXvD zTxv+FrKDz-)J{oUYDkJw(lASQNJ;Z7*(D{rWl7hRbkCArDLKr6Go`h21TfASY=sX#?4Q-x|&rzYzYE6GOGqBfhb8Jkmwt%!AGTk29oeHzk;#x$iF zJFp|oX~E94rVZ_A&n|RiH}+spI@5)&bfY^x*q8l@b>tv=(u+elj3emHQQ3KNa+;-m zv-#UE&EL4xFy}8%$yHf0BqedFA-N+Zqq1a7O5##OGO5ph{LdcSI7fagiN~M4%4lvG zg_`?A7R~)lzPYN#qPZFrYHm|mG`9u$=IR-X<{D6_xt(OuTubuJbubpq?Mk8M_LfC+ z`;c$$U}MqTq2!t?y#YQAgres!@ypWQ(%#gg3lJ~OY)0BLXC5uz? zQtYfrwn zJ&i?gT`1Js0kY_=C;8rb8;jnKrciIE$fCE?$oF=xvFPmra=n%Qjp7xuE4i9$C}9wT zxgP7t1~;WY&70HXu-E*-HeOz#Lfk%gRMpBAHZ8lOZnLtdo0mP^qU>p%vZq^SPvcg; zt;$BXE_=F7+0$*yp4KgUS}%JVze7>kX#KLM4a%N2EPJ|Ldb&l`N);+>m+t!WwSMKl zneV@O)89IG{rQc`-}SesX}NFo`}gPe-s~2sp$uaeJ=r~D6Y{YyW$w_8K&b6Tp0TfT4MR&Hk` zcXAhZb1(OCKVx}-2YHBxnZTnw#zZDFg(sNClgwZiPZL|d=XjnMc#)TRg*m)VZ28{e zZQkKs-s1y4WIiABDWCBLU-A{-@GT4Zp2hsYPb^_6%lU<0S;;C^vxYy3E#F$!QISei zp&HexNo@K4Y3s0=@y)5jmXzN*6gjR>Lt@L(JeC5B4RteC4+ey&T7u?=WJ^*PA0LzjY{f99zBbJP{8U_IET-a*6q<^^$zm%0 zPJSv@Fcwp>GKHq%MzYw&)@BpRPsObq$5h;wx)f2L@>8*?ye1uKb_WHqsp{K236%~~o@iC9UhQjHqa zWPLUyR+5dW&8BR|7Sv%YwjoxMdKA%shBTrHv65_`ohfb8EbWlZ-%e@%{?|ubzSQWz z^rc1zXX%kCJtmvor>0+bdX}7*lKxq8WlFBek{eQTbC%qhlDo6yfs{OyB~wx|&4F`e z7iY;+CGq%-*BRYCPoeJKkVSWIlke_BW6|Bm6zc9}gBZ*ZZs104W+=nCg^nWN}L3BQD9Rl&r~;iYbYYxFoewvPqU~ zoszm)(kvxAW=WfrwD+;IM&padx}NvtIsupzbBm`&J>&8fqdY|S>*r5^QZ$aXZQ z3C-A^9cfMrcBU0=XiIxK(2?DUwPa5^(S^O}Mt6F!Z+5O6mgaEpYz`NvIecO^yU$L^ zxmj{iN-oWk>r!%kmW)WrZCNrpC1bPXk(4~1B{NgUhPW6@hza=n#akRBxKNiPoJFb=0TM-l7Du^dM+eK?VmIEB8P#u=Q+ z*_^|uQ7V-N1@&>kwtHplkaVivFL3Gg?hVH7QNj; zzPI~~MQ>v$)Z1gS=xq}D-ewq!-kzdRZ?DLrx7Wz`_O7w$?R|2+mEO(rrECFT^9|pz zkVX7JtRp|Ogk>ye1;6qetNEQj_>;d`O9d)XnW|Ky1~plq4cLf{sm-Qr#un6JE4Crl zk$M!-fQB@pF-_T?SVwlEIW5_l*0iM^9oU6k*^NEelg{i#SN5hm`>-$jb07zCFugdG z!#IN89K|ujI&wV4oWO~k%&GL{^z1z8pJwU6Z2n%E=5JhLnDaNMWO$a`nUc7~kUW%< z30X2FC2@%%c|IjCX2}~Vc{@u!PRVCk@@-1K&yrtKveJR`WOrxCA0_elm)97*t)ozH z>&c?G4axVmxv}VNOA7V2oh*85LcX^a#-g`Y6zXkvS@hP4d~ZFBMQ{62sJFvq(c6*a zd+TE?dOL|+Z>9ILoFnVUd7Mvw1~8C|iFM>MF6TA zZRYYW@9{n#@(~~N37_!=U-A`S^DW=;J&X8(pNMs2Da-hU6|7_xt67tsCsooct)9)_ zT50~qC5Aa)HzoD6q*+Sh5<}8HB^|S*OG@JMLeeuOhh)joDLF1nPD{y|S#m*2F3ggv zQ&QrV$^Hm43-vNhXKmwMEvA=}ZICNyJvc4Q}7ursY_LtEO@fsX7(tRs8Ui7xC#H}<9n z`x5KO0UStA4(1RJ<8XR&Bu8@$$8kJ;IFXY$g;P0=(>ar~IfrvOj|=F}g$(3kE+y8H zE4Y%YxrP$1V=&ie=gDnpmX6Hk@8~pt^3Vvt(vU;_^cBYD!+ul6fhK%L~bZ zlzfvVKc-|!mi(TQKeMEAN~&c^?UZchzV-r5<9 z-gco-Z(U{4TX*uk9b_ze>qVj7j+I4k#pHYIYb<&@gF?LxkVS77k?-v)W6|5SgbAdV7sRy}d7s-aaDV+n2_ox34MG+t0G-Z5jFARvU}n z{-98ARb|mz4f4HhY%F@)lw5D6f0I~GRzw3D(ul@1WqV>B*@@<~WM^8_hIVvd7j|Vg z_Fzvsvlm_2o9^txzUuA0rXS~W zKK&WMKrZ4EF6DBr;3}@+S_W|)L%5zBxtXC1X9Tx$8+S00QQS?eBlj_yF^pv#4>6tz z*?BT8&C(e@rk!cvf5N|vtkk*u&+pwX`}w_%vU}*_emg(cH+~TATu+;nJ#AX{v{~8H z?aQ9-Q1*1k>}mXFJC%(#FMHae>}kugr#qKDZIwNZ-=THcXq&R9Z9UC?es8+XFa7-9 z8vos&-&=ZDVBF^Szy9FfPs`ut_wNtx-K9^l%|o#hzu1XiY~xq#_V&9CaKsaso2F@fN#aF1BeZwrMJM z@l|Z&RBYo^>;kOV=Be1`so2GHu{YxX?YB<;2ejnom8e2hs#AmYSf35qh>h8VP1&3+*pjW-hPu?FJ`LE8Ml_)*+p{CF<7+`nTG5)e zw5J0dvE%zc@`HP0TOYe8|AzhA_y;EGs^NvSw|Y6d0_fr_d7~sA-|{-6zjr9q->0(Z z?+fz%Ei@MWEv8U^zsjP&Rpk3yYb^S!NTL2VkVSvB$oE&rSoF6wh5BnOi~gFC?{8;g z(O(<>p}+s=)0#v5o`=($BRQI5IF94#!-<^4DV)k_oX(k?#W|eId0aq$E@U7Vb1AW& zTtTcSS91*|3}P_X6YI%M+{`eBa|^d|J0rQ1ySSTsxsUr9%L6>fLp;m`9_4W+GMOnn z!8D#^2D5mYSWliK){_@_k(YUuIlP`-0ep~V>3p-aR(v2-*ZhqSgk1G5Ny+jo`8_4^ zfsmwHN@`|F?Ucj^LXx7CG|ZA6Qqnw2c1g)@S<*En-Ls@uN)B`2N_j75$k8S7c&yhL zy%kfaw=-nX+u7uM8(=JYyNE))T`P;;t|Q;uP-D^C2nzLfk1To{O}@AB#-g`JDAe0@ zS@brOd~dUjMQ<;W>#g*TkGZl~N9OS!AMhdb`Gi%%hClg>wNxP1k;+t|8r7-E`ouc25wVWcW)n7JbLy}av5ss@U5cnrLmJVT zrZi&*cBDBi*qPR}p&jkng^ujT9_&eHy3mzwbf*XVvOfoK5IyO|AsogL^yaASJUKbd z(!SaJ?U&|nd>~}bU!IbyvSdg~;sYVc9Vr==C1X+&9|%b%rDSTBJe87Xv*fjuyqP8M zr{tq7`8p-vIdGnIb%y*{5|2N7jnUgO3ibAfEPDH!d~a2aMQ=4I)Z3=A=xqz~z11@o zy)~dvZ#&7Nx0d94>tHN;+m%AS?JbMm_95Tf!N#JuL&^14ddJ7{vSLo)L{8=u`f@t4 zj-18W^y6I4=K=;Wkc+s4OSzmYxQc7ImO)&{5U%G&Zel3I8NsdG#vP1g6n7Kr$bF1v z3}YF`gN$bav5q{(<4j^QQ<=teW-ybdc$#NvGH@HOA?9pAHvAF}ggWtyd{v-!I&&EHDd{M|4m8)wOuDcL4Vnxtg=ENPXJ zwpr3CC3|JbeknOHOO8y*F0s^^HS2^f%BxBGvtzzc)ZMOjNY!KP;WQLqPLsL z_jbFn=f|dNnYSs|z$Y1=;Ix0|!%2cH~HCT`J*^rIcm`&J}&Dnx2*@|u0 zmU`5u0o&1tCNyPxb|lu37PO=lt!Ya;I?$0=M|NiqI?aq^N6w)i=W#y$xsZWeoSi2nX_gMo=I^jHe{ad= z@4YFxKT94?$)j2FWJ+db$qOlo%M0%(@1*3tEcrAgUu4PRl>C$>zoukWmQ+kh6$j3f zy`3TJmBix)USsrDi$c9^EsNgjlJBjtvFNQCg?eivi{9Fk?`=%?KJYeoog(5yMSD8rFVQ>A-j^RxrP!3F_`O#b>t>)W*Eb{g!?U2 zs!)yU)XdJ4&C)Eblg;0vG=Jmr!kllOlAW_;mz2cig`|5*_RW%BDT&JqNpVU}%#t%w za(0$nl#)xc zn@!k^&8fpy#5%Gqbt$4g4cU&yG^H6kup`ZB!Opa%4ee;pE_7r!c4tpI(}k{dqdPs= zm;H%#~jLOcFacP#0&*tx>KL6+c?O+e*$POj(xRX~I z&9$UZbGyo-xjo1?x3{rqZXXIYcc?6yJA!<3#~X|0PM}b8XUU?ue&m}QXe^q$ghI^? zl0|bv$Tv6KSTuJlx#mjm`M6IunlX%J91k*{3B*eB7>_fF$xP)5rZa5!c%ozhD00wdOl`0V)q$iE%j$64}JNjyH|bw+p3Q>eQ)WYOK*g}VDz z7TtYMzPqKyqPt%x)ZJgQ=x!bP?y4J$?$)DFcbm(iyDiCgSKnB4w;j3eO7HtUYaX$SSz(6i0 z){@J(oGZDCYbaq5gNe1|25#hLhBBO6h_&Q)?%+;FaX0sJANMnc2N=ggjAsIm5^Kps zCNYJnOyfyrFe^J(UQXxAoa|hAC!H&CkzptGMM}QPlEo>Biww!Cl&r~;iYbYU3`wn& zY?38gr=)I{G)u{jS<)sY?VXbv{eS%1!F`=2`<2Aw0bb{U^yFX;;ZP3e2#(}vj^Q}+ z-JN1Ax;u?R-CZDy?k*(X-4({7yQ?YG-A%ISZW#IQMjDIm?xIk456Ysuhsk$0*;sV< z1i9`?|3>jS+4H=>i@eM$%;9xnEqRMrOWxsK-s62fWIiABDWCBLU-A{-@GT4Zp2hsY zPyEbMmh%h0vXWJ-W(|K5Ysp&HQISeip(@p>NvtIsupzbBm`&J>&8fqdY|S>*r5^QZ z$aXZQ3C-A^9cfMrcBU0=XiIxK(2?DUwPa5^(S^O}Mt6F!Z+5O6mgaEpYz`NvIUE-n z=Ka|zIX6o#N=aO3NUlrC^;t3^C2^r48J&``S@K9q9?z1QDS0|eUP{TUSu!srA2@KO zvY#{L(~@}n+-r>97Eq|SA7#(IgVoba3Uvh z3Vk_^GdPp8IfrvOp9>hkgcm-WWU*FW#bC&k}LhOz3s|wo@-zBv_tkZZnWE_Y_wz9(_PD+?pF46 z_p+yZWKZLF*t2Z3Q`ytbWly`5J>9G9Y1gu+-Lj{CY_DhO&c6T8er)f`rvLuf-v1Fd zOL<57_j~64y;-u@s{B9tX378HroQxJdk=5;Uq7}twhW6b*NZ8?Em-DwIV*_m+;6O+ z{I=k4$7`uTMJiK;*cQ~FChHU1xs9kr`E9`#j_a@$v7IZwEok64wsVb$?OaouQGQ#{ z(s69(S`*v3cC;t91-la4xjl&OTxYt_m2PxrA8hCT@x#GGjmNg&2nuZrPLRd6;AH;q zZGj)#yT3ot*chBeYz+EQXk&1REH(z0liwH&G8P+yAr#se+$xKW!5!o`2KO0@jlmcS zZ44fh#l~O~`HjI0W3e%Kib5NMS7fmi7RHFtpDL*4Ob{sQuQ#PagjNHa?%*c8a z(SU}OpOM=;jv2WV%_%=4+d7UJ*?~ecaxYoT$i3-K`5Aejk|`;fmL<=pK$2jNaA}E6G3gwx03mZ9`%uDc{@X zj-$6NiIwD^dfU!;^wxw}Ny_)u!g2K0idaehskhyY$4b(PSV_wF*28i1wjcZRPrV&( zJXVq;Ig0YV^>G}%okXl8<$5b!N6suGUG^P{l$Sh(Vd4^|s zp4q&}%e=xIUgHhk&l;$eQdtsgh=C^=$suO7k~9!*Y>cHzoD6q*+ShGb~B_lyuCJE-C4jB|TGeNR}L( zlH;=Ew3M8gB^RXR!YsKuB_$4=CkHx1ZYYVzo4m&8Z5V}myGs_m-Alf=2aQE<4^ybO zCuGsvljM7Q&RF#J0)={eOBTJoL%z59#-g`RDb(9SS@gD;d~eH*MQ^{7>#g)wm9?^U zRHPDBs7iHe66?qYY)CCOW)n7LbLy}qTeA&ysYiVpvK@_SLNm5!M|PqGJJX6bw52^A z=*VuwIBwyUj!yG8KEHA{Jf4!tSu!&v z@%feH)s(!RCG%1epI=E9q~x0{`7tF+vgG%a{Fx<{Q&KHUYNuo~2hNkYK;OC~9=G)x zqqiao^|pg7dTUO;w|2&&w_PaITUS~1)}4HB2N{drdQqsiV`b4>G5Oy58jIe}piplE zWYOD2;-&n=(tl>}oW-S${NM))}jq227ePSKih+5QU6EabOIo-|6c zv}rbfTc-KjI-9?Hq@;6}?30rHv*d`B9F-*}q~zo*>6eo8v*ePLT%ILEQgUOK+?tX* zvSdt3#yN1F^mK+iQWB4kd5zKABntKRlq`CCmV9ro7>nLsqfl?}%c8fB$oKZ8vFPn< z3ibB0EP7i;zPHuJqPIUN)LT_q^j3p>ZyOtn-ZmxITj|wkJy{VAXhC9eqWpBE(5Bst|2XGJv(~Cnnj3emHQ5-|8Bga$B37p8u zoI+ntC)Sa(IGcW)%lY(Y00X&*OSqKFxq_>>hHDwbbqwKpZscZ$GMo|I%5B`iNJeot zv5wrwXvQ#>aXiF$CS>Qyv@}a+_ZcQ;U|yW3^a-JRsSyWd!J z_W-%>N^e$~D4WC-rZSD`%wQI=mOR6=JkM-iAdTC$X7{K5)W@*AsJL#!o#@i*(JKqabBmFm=BJ=SMKHezEo zVN*6|3$|n{wxKTds80j7qY+JL%J%F?tR*dINh?~@miBa@V|K1|OXo_DY_0E^*7~@_ zu#Y+}C4I8ww3NgphUCJOT%09Wrz9>hB*Rj2OP1V~l6$k{;gme;oO~=hCky|R$6n5o zH%j91Ew3}Wdxt{ZeJYFYz98S-LSxb0VhVNlt1P-(MZUYW#-h856zXmRS#(#6e0Oz> zMR!|MsJq6p=&l+0?shg7-L)atUFpp#d&)Y|g}vy;-t=H!Vl6p<1L?`Z9KxX-PH&Fn zXpZ4Hj;9YNauTO-DyMNeXL1(ja4zR@0sXmq{P8@Dr(JGqOyxtII6pRqi^gFM8;OyE%-XCjlC!V^s6NoFvMr-`-XIiBYQUgTw7 zWe%@r=gJ3Z4$seK_kuLL<1)j%Uy_pLS@L^I;xa>0EhROxq;^W;GDA|7l7?BbLrR)w z$u23`ElawlqnA(OWTvdOJfFy`4?Iw*khYw~Hv$+qJUj z?K<+k4K)_Mji69(_sF8R(d2s@Z!CIyghIVdmql+g$@ezfSoHQ1x!y`|RGBM#hk3lm z2YkqUJ|WhT&-sD{e8o3>%R&~hm>>CxB`jq*EBKY)SjF$G;ZOczEfuIpWvWn(>eOU? zVjbCtTGVC}He++@uobb6Y)f5=s82&0(U_(*V+VGmIW5?k*0iA=?b(Hn?8YAKNoTsy zm2PyW2m7)=v5p)>PkM0(hj9eGIVw9(PEND5Z#IAXrTH6|8Rq=uDY+_3hNL7eGbDGU zWK@=nNl9F0NG7FZYL+~el4rBzwUoS>CGV%?qb&Jf{>kH^&X6BV;_+v%F?w4@q2B(G zMQ?wT@2#q_=&c5YdfQYMy=_6hw|d5+w+0mIZ6{gu){=a09gIb9yHcpPy=BqcKID5l z*jV&-D7oHBZ&W#6R?G>U$jO{SUrs01k+V3Pew@qsT)+SZauJtsDVK8vS8)y3GKlLK z!u8z9O$=o?Be<2@xPy_5;%;IcxsTC|VJzc#knv0)){)0}oJmY(D$|(G3}*5aPxCC# zF`E~8iC1`)*La;bd7HVs%RJua1O6}e{sijA^6wx15up+dqCuo04Wvl3W{paPG}u(q zhzw~oUXn^QNHd8vYo3crX&#iM3DKazlt`23^*PSp_qwj{`ERfFf9~&dKliil)xFkh zf6w!Hue$f@eVoTW&fTf~F|(P&XT&=4C3Bh2S1jZkzGYE%ovcXncU3lj*QEJdDVx7F zQc^2R>ZWA#ENPUICRx%vB`va~bxIDf!*colSAVegcvs2ACGmQx_Zi&{q*!-X%A&iG z^EN2D3u!_~hTJi^fvW5y&VlAprm1?ZRy3}BOYOx_3QJYPu%cgA3 z7Hmlawqk3xp%IN~!Vbh*(v)U2XBS$~l2+_NtR;K153Sjc12~9-X~Ur$&Ji3%TaMva zj-x#tIDt-_#3^*93tc&l(>a6goW(iBTGE4_oKJ81asmChD7#il((E3d&HGVl-p6f* z&xhMnGCoW0Ny)!%Gd!06WcvA#XR`FAlupn7y7$swH#18lzPoLWMR(g#th-%h(cNz3yW7`Tbhkgnx;s)9 z-5pK7yN<@9yAvtaT{l^DcP9DndKruE`jG3c^xr2AkPT!oLnvVw!x@3K#E3o*l-6d`Fax9$9vHRM}zMvcsdx4v)zW zhk1soHpAOTH_O?&Y{QbB6Xz$#{|NLmLhphhjFV7WxV^Xk7`Cs>6 zp7W{s&%>1e4?j%#A3XS%ezbS*hVi4lv0#t3d|$`)jA1M{aSOL{J9jXi3Eagb?&cou z<$fOEAs*%t9^-MI`ZfZr3JBd*quGti`e$sxfZygHGW7~HsvF+=|8N}A%Y+~DY90gXE{;6UJgHK25Qy_=+s1 z;%nrm;=9ITDt8*bv6zZ$QEV!%FN_GXcxQp|ciY+NN759_HR6LM_C_fdCa2`{! zEwPJjM}8`HG8R+uWKN;{R6N~zOvUaLn~J? zOTQ7l(eYSr&hBU?rn`*E*_aRe z<$F8WdGvNDv4s3xZ^s#rC8Ptfgp}{Cv-9Y!E3t(9U2o?ak0qohv4oWGt-teFLN4YK z{;s!S#$yS&f)SMOZM5^~?RsvYTyLdMv)o~P9CtE-iA-WL_Y&*K1H?Kqg@<{R$B1?0 zDPkRYmgjhZ7n#P(#5(dSv5vgX8@$Ea#5(dmAMha`@iDWR!)L@g@+EVb&sQwuYhoQ) zM64q}@)Ju~%5qi^>&Pl%9r=yl`IEn>KqX=wslwV+qdMzSgIGsuu>l*gF`H0_P1&4S zN4BIsThWkhXhf_dO|t8xMVh6pviaLO&EJ20$@M?=$L>#Xl{{M#ug`m*(cM&vb@!$$ zx_gIwce9K|cb`zKy9Ki7?i=#mEjAY2Eu&a>f5@V{HRQXiYAm{2hhp8;mPL1U$#>Vl zSai2Fx$a7zXlW{IMss$d1ubdC9>iL*H~Y|<{WyRFIhZya%HbTrQMBb4j^#Mo(}5G{ z#7Ue?XS&dp(>R?o=+0T3L#!n|=*ju?rZ4^I&qc&qaw(TFkU?cWWzpLyC-GP%BJ!XFEgE2nZX;xI`TH}@E-3o zlMk82Y(C*rKI03%WFB9!fUo(6?^whS{K#UKvW%Zu!Ae%Kn%{|a$!%90CHa!Hn4o{|w+a&1a($dYj>nUE#_ zl|OQSqATRnl6d{xdyL-ZQmnV{WzpMD z(OZ4;y)`x#y=_mi-df6{x82G2wx6-+?Lcz9mEMZBl^snxj^%jT(~(ZZI&w0nP@oH^ zavI&}&Y7IeIh;oideNIc^y309&R`~&N#+1fr(6FGWT#F_wyhR@i33_7*FsdPxB1V@d7V0jhA?Z>Ac1a-ry}_9eJ1c z_<)&w#K+8LPIjFvOf&o2Y-TS>b3Se{d}{oek_uT;EhTY_A=xA)n`X&YDT!MQNwbvf zk|ld~srRoth{z62*%4WGT>7gzWPerHl$`FwC(22#k+VzU^<3{Vn(Ili<}Q{+bC;2C zZkVxX?h1-EcfBl{yODfzcNmN2?xa|A56GgqDdd}b%2+h_EXA68RTj;?PQJPKjYV@G zl54K?S(YzlbD7UqEaYpxWf8HG{K!u%VJXY`nO|7Nul&aE{K;Qbpb~3Qg|(?hb=GA) z)~6O5un`-x33b?%&54y{OX{-~4cUfmX-pGhCE1akXvWU$LJL~birv|hz1W9+*^dJ_ zkb^mdLph8iIEuC$LpzS+cskILPMk!nB%LYHl~XyLGw9A)*_F~a&C>qa{2iF)?~rW% zj!enuEV(Hqw`R%RDY-XG9!bgLSu!;xFK5Yr<{+RLK16Ug`0#aQ%q z8oAy|pJnMG>&f}_rZ4^I&qc&Kaw(TFkU>LLrmcj9_4YK;3=LV){*CVfvHU6Wv25gGkBdhd5d>=m-m^; zhs@$*K4A`@@daNpkNGTMA>Z&Vi}-<9M;5b$Wh`d}D_O^iBOW_HzVX4gz}K5j64 z0@O=MgDlxDC2@lx*)1h|WXb+1i5m>b(J47LOHNG5DOqx6O3ulWJ}J2%O9rQ;#EH+7 zQ(Pfemc;AT-edGOiekOpB8%Q`C*Rv7W6|3^6zlCVS@iZK`QBbM7QMYhvEJU6MQ`ts z?`^iR=+U^iN^2YV6g$iB2@e-7Xv4yFx<5$nj2 z9L3Qb!?7GsdpdFgCvp;}(3vir%4u}t49?^%&fz?I(2MiwLtieSKNoQcv5pL2AcGk~ z370dR5!rQeLz<;yv-vwN&EL4eFy|jk$-`OlbV{DflGjr5MwWb#l8>@vZc4t&lK;w| ziR|nO*{md9x9}dLxB3+8ZF^btwiEf@S{jSqcBfcx2g;(iL&*2m)>!n`j$*x?EQ{U> z z#VNTgORh-CRatU`F^ou{(RR7yGa;`*8pVaxjN*D2H(bN79yKXvc9J zPX{{EiIa$Rq%#G&aw@0OjqaR9tRv@g9zE$rZ~D@Y{#?k#T*74xU=TwX$}leH3Px}h zBe{mrT+8*`z>SRMW^N_ckvka2olIaNcQcuLv+LyXG)te#=I_)rf8+MToPQ@J?`O#; zDfui*zDdcVELoP46qTs&vjvFtIr6Uq)dl^vc~c6d_R;mKu(r(}omH|tzBS|~g0Qg+z2?C{jG!_%_E z_&c0lHrlQ1@C=9l{8+CILBWQgU?W#>F&AtK3NGk^3%X!KS8!1mT+{`dx`GS4U}INs zaTi?N1)GF|3%uY0FW4v)T;v58dBG;H;6g9B&EiRKW(QU;|We16=T7TCfQ!_&_cA zKrOftF4zqHuYF|lKX|A5yx*kRT)3v>^_;fviVxO+3 z$>P)X4Dz3@J&eVt>-iM>biGs-pRNPRf4UAg7N4$HQtZ=pj4VD~ZzBKcI^I}(y52?Z z)3x+jkB4Mac!WoJoF{mSXNcY2^Sr=Rrtvbb@G3KSoi}-lcX*fgnaPLD;$uEx4xjNk zUowyREMOtu@GXn@f!OUWW(mt!&I*2E6|0He-XHwQ8Y)nU%2c5$)mVphslocxVna5f zHk(kFP1&4!Y)J#QVr#ac5shiW4#aM+Da~lkF0^1bTCoRqd;cRp)*C-``dF8G|M~_e z_D(OQJfVuO|8S>KKdmHltX3+sdN7?Z~&cv$1GzSN^8G|LA*~sNxrj@M)nov%nha(LB@AOYBZ$@HYDO}O(Okz3 zjA1M{aSOL{J9jXi3Eagb?&cou<$fOIAs*%t9^-MIXaa^Y^EeEX|T%Q}TP3teukTS+YS&HqMgzDQTD`+o$CJ-k)1P z)fIALNxYuyJw|T@iuHE3EP6YSd~f}XMQ;~Uthb@E=&OOd$i~#B4x19| z$QIP2J`HHdHf&2{wqtvCU?-ZgGrO=WE!mCT*@L~ID|GF#t|GzTaKn3 z$8tO!=t!sRIypVf?C#mj?wMwGd==z6xhy4vvgC@C#8*L*8&h&~mfV?=_$o*;B_)q$ z$+IbWAxmCQ$y-_SVM;#ElKClF=)`wPr@2DDFNxP5y~pTn3B`K*O%}cVNxru##-g`s z6zgpxS@gCE`QElP7QJmnvEFu+MQ_c>_twf-^tLC(dOKJay&X!vw_}V&Z^x1At@LS+ z&awhsIhE7tMt9C4){%2LkDm0RH+|?we=g)=F5xl;Fo+=xWf+%p1tYkMtGR~JT+8*` zz>SRMW^N_ckvka2olIaNlbFoC#5(c-4>E;^d6dU_f~R+UXDbayxT?jAB0-919F?w*%LcT>rCH^W$T_a?=>i%Y`%!7$Uzg4M+fy<= zOYTX@{VoF6f85>tH~zWxZmyDbO5$}r?=!lqNwMxWlSOy+$alA`vFL6)igmZEEV|o` ze0TdAi|+QPSa(OtqPwHXch}Kabax`fy6Yy3?#?9NT`yzNT_1AYl|J(^KsJ!U455T! z3}*zfmR!w9MlqV}xSlbLz$Rv*hfQ zoR=jRrsR?=8Jd#Iv*g;8+~CCi>kL=OEhX`KoA(&KjiXp^_sOES2YHBxd4$J!f+u;J zXLye1d6B8S#4AkaHS)c^Yb<*EfMUIUE{opglJD(XW6|696zlC5S@iZR`Q9oRi{92E z*IVf`AM49%vH=^iF}10~ro=k31@)*;0~)e5+tQfr*q$BOiKgt#F6>H6VjbC?J=lxA z*_Zv;p949FLukWc96_ujZ8@5D9Lw>vrz4$+b>w7Dp+FZ-<#f8yoijO`b2yJ4^rAO? z=*IDb;vNJ3`=(R6 z)EPS4ZX;bQFQjx@HoIR>vpa4zTty$JWKNdMPf6TrNS35zd6xW^l0UPgT1wW@D^|LF7NXJAMz0&Gn+YlMyw@YGMD*$ z#X`R3TNV*($&dWR5|*-@75u^~e&siQ=TH8k0+m>cDy&U4sOLwbCNZ(pK60ZJp+?TaB3WN2TPLEIA=1CuPYQDLE@k&QD3- zEE$-RAz5-|N=9bMO)0rGOYTa^-C6QTN*;IOIyuu7@@z@GKJPt7Z&NAO+nciJ?H%&H z%`z6feL}I`7RaKvZ^-wy*jV(ojAFh0A&cJDkngRkvFL3biuG1o7QNLa-&+G?(c9MK zdMkbIqp7SJ&Dn((w4@b#5bMa^>_cnz;{XoiVA^mfhjRo+(UxO4mg8to2Tq_9Cvh^J z=|Wdd<8;oTJ7;kYv5xehC+E|fzVxF%7ZK~orCi291~G&ZhB2HgxRR?F$u*4TI<997 zH*ym% zz1>2w-X_VSw|mI<_OP+&?J;t_mHr#W7iCjy5GGd8ClThf4rY|XYbVmq3!13S@_ooUXlv|u+{u?Kq*>&U*eW`7RgAP%7o zhh^8v@oAQJ%;s+)&EL4i@Og4xN_u6CYPR@3Pd|DE(pL>td+gytE_Ps26`-yySzZi?&ex+D%YssRw zwaNFkzOm?S1B&&wg)DljPrkRt#-g|FDb`y{S@gC$`QG+37QG!vuD8->zT3)qX)g{O&|Jk0T*%+mvAWq7{p+PQo`j7X9QOf z>&P{X;##ib2F5U!n~8PgHg0DeV7O{@J%X@slOg`dcW-}*UCzTeKoITB~+_r+Jcy8I@d1Z$^$_{&Ghw*plRW^El*v+6q?Of)%%5$6c`M7Oc7j zyY7OOw_xX8u=*CPz6HCuf)%)61uodh6|BMqtMLDthb8}mhx*cw^&Z{uUq99xTZV5f z*WXcoTd>6WQkE0jxnEdG`E9|U&i|qU6P%5MucabAZ_iS1nZ zZNXN~V>`DEv7Kwoc9h>1G;8C7-0^vn*MVl5etPSxQzoal>-1tK_$mc>TkB zjNaA|E6LyWwvO@Wtp>4@l<%#!^XRQEv6B2wFD@pm@+BlEi4(AB|uDABaVhiFITkgBZe4hB2HgxRR?F$u*4TI$|9eL#!h=aWl7a8?laz=T7coB6l;Hd%2%j zM;>AdkMJmu^8~SuJVUG_&+`IPna0aZ=T&C#I&bn8@9-|~Gm}_HX7MqfFo)0hf>=l9 zF`or2mKuxZekRvk>7y!t$<|PjN>ru_RjE#_BzDobmRn13^LJdDzwr&0Isafv9?p`dQxe}`NnT6I8(H!}O5z(V$=sBDl_lS&IUH8jIfAQLML+Qthau$=2#wz zXA$ejxtvE&deNJ{^rJr)axs^183P!^5QZ|0%ejIPT*XMPVKmorJvVS8W4W1IiFM=- z#&IVTn8@8s=HBc&c|6Ver?RVIYPuTY2E%8=J1KcTOFl_S++aw)Ny(xtS(cKx!H}#; zNu?}VCnYtqq;5(!&yuZE(kM%sr=*1wpC`RsA$yj@>)zgD^wyeUy&W!#-i{*QTYF>C z+X)ow?KD~Rb_V(0dKin|&Zk&!m&&5If#iD|ZY+Acl48A$kwtGek?(E1vFPnCa=n#4 zqVkYz3Xkw8kMjgi@eHw!JkJYEWg0K@3a>JQ*Ljn-c!zg+pP78fEI#HF=I|Mx^Ck0` z&jJ?m4d1eeABc5iF-us+a#rvQt5{8}BY*HGYp6gaDpQ53RAU|1r3UL$iw)U`+H68y zHf3|_u_X=Iimlm(Ml_}gI}qzgQ<~A7U1-5>v|^9!IyorK(l*)rJvz5a4?S*7iO0LV2TT*g+mfVw)`?KV+F2(=Ap)MXirB@;6zU16gty| zQ#p-poWYr##W|cy4|;Jvedx;t^yeZjAy$$B3}i4vC}9}G89}ThS2L1PjOIFSU<_lq ziCegp+qr}BOyDjiaX0sHFZc5x5AiUM@EDKtBv0`S&k-xhi%jJuUS>M4F@ra-YzHK+cn0b zw`(cZ+ikMwZ5;XDCL4?1?xR?5PspOTr^)v=%~DS&O<=qdpC2$Tn^c3>x(vNOA|D=pcL-Pwb^*oS@DkNr82gE)jX z9L5pEI?|S-X~(e~PX{{EDZ5TiPqVapHh+7j`P)02zn7(CP?lVglB=@h#+2NgC3mJ| zVwOztZCG5ukNTVYHtgAyy^v+Er@!j0?63MTC4cuv9{adPzAuT_AN`G@xg`{9?l)O9 z_b2)0su+vrs!^=Djbzc>Cghvj(pWUN6~&s{Q5MZLBi~#rW6|866l?BaSu}Sj`R0x> z7R?<;uDR03R65HFbmde|ryJcli&#m{xUvw|(T(&Y$HuUf@Nh z@iMRQDzEW6Z}1lH@GkH30Uz=aA2XXdd`7G!Uow~Ze8ob(;ae7ESIUYse^+JmcTJkV zaf{*8p+-t-Wl7zX#4U!TQA(O*N%NG%Erz6ZN)G7qAN`TXzOIssOXBrX?=!j^NU`p& zltp(V$#*x#Saf$2#k#vo7Tw)VzPpEvMR$)-th?uB(cM(?-OVr--MvY%?q zH_upfw}4!CrT->zv1|#;Sk4N5VHK;1wd4=}WDOOl#9CCLD%Dtrb*aJn)M7(6qBfgQ zmrdE6E!dIrq$$m4&Mvf|C9T+lSWEV1A6l~?2XGJv(}qJioFh1j zwj9H;97lUPZ~~n;iBsrI7rJs9r*j6~Ig4|MwWJ3aW(cAtM>+MKc z^ma7)-Z~nK-cF=gZ{1|k+nMBh>t!r@>qD-$(tndUKsJ!U455T!3}*z^5kJ!#|1?LY z*V3QqjmPHVXL|dWJxO;I&--#{XU#y`b zm8i_xRHZtx<@>v>!^XyIQ-`{g-#XNH-hhV0mTy}cQGV;Nlk=wROmlXn1+jJ5ojur# z*z)a5YszmO4sqUw!-y?k`K?1c=dtBGp4jqr#&Hyz zjQ7c6GCoLtGCpA}Cgal-n~bl>Vluu)elosmEGFXz6q}5n%VILlB|jOzH5QZcdx}lQ zUt}>Ee9vPZM*g_KRp zve(l+NPNlVj^^W(%*m4ZDOs2$OH#5tOMXkqpIK5ZCF^F%Mk(1OOaAQ-+xK^kY+n+u zJ9;P4Tr*-B`Mc)!G#<;yKEyIozPW>)M{|b~%gEm~cbxH9MmiA7NcrYEJCEkNQmnai zWwD6#Bo>kK&GmO4i^#=X!rwJF%y=v!S1^L|&5d>*&0Wt8lxwc^NtQc|kK;}zFp)`2 z=3ZhYd4O0+rtp8-Eq#*ZDPyscJj-*uz>7@dWnv|Hl~_q$=MCQCZDJ*PpAYzukNB9` z%;7U)CHa!M%;zf>@-?xNEFxBtANh$TEM++>h?Qg&v6B48@BGPMRG<>El2l=Bs!^SF zsX?qHwb+1-*qBYI!=`LbtR!1fpRH)fHZ&quk|x>J&?3#>R@wY*o#yYqzU2C!`l;Rv zT_w+!#Ow3kXLL7}V%@zdi|*bb-`y-@(cLE$>u!N8y8DKFcZ-chcgrZ&-5;{(ZVmbF zsv3*#)}dH;wPn#=UGm*EFc#fyO|HArr&*fHn$etCOIpy9R_sBnC3~|Et=W$QIFN&B z!=W6`5gbKZj^S8hEon~&PM{MfaWb9hLRU`Xbk3kVXK@a(mh_+}=hK_M^rJr)5o^h% zT*g2KF@zF^F`O&7lB*cWHH_vuVl5fNjoie|+{*3T!FcZEE+%p}lew4siM8Y*rtk=l z@;FcO6whSW%Jg)t%*d{l_tLczUvl~6_#!3qvgEsz#Ft!>l_^=BB^6T=Uvf!mrewn` z*(@dXvShoI?2sk9rerr?JZm)mGh_RA*?Q<~AK>YmvWr|LhnK|bk=|!?cQnPiJ5d(h zokG65ZpNa!Gbz?xA6ayF0r~C*7>n)(Q>?qIWzpRz^4*O!7Tw)KvF;|xqPu&@clWTd z=>cm>I9yO>*EjDChYEy^0Y{ur)V@n#) zkgeI4Mr=nDc3>x(vNO%ul@{zqEB0V7VlCO1*6hy#9K<2C;jrvlIX=zdj@cY8q&a+Q zHoMPDNv|xqFeR5{$>k{-ktNrr5@?4g@l9Jc5#e0MdfT0RZ~GaG-VP+!Tj?!nTiMaH<5-TTJss&ptRp9L3I)1wDyPwn z?wrZloWptapclRALq9IyLN4MGE@c3N7|c*gxSZjP;3{GrxrR|(%XQqq7{+olv5wrv z?TlkQ6PU;(CUXz>aX%085D)VxkMRUg@-)xz953)9(|Czjn9gg=;0@j)){%F4j}Ms1 zM|{j|=498&!Zb_2&F1ftG=JkZ!{@`FDXEYp)lw3-8Iny>vT2rVm6C0;q*+RK$&x)& zvQL&Anvx^3@-^SGh*(E{#`o}Q;QAQh>h8V zI&8}3#5%Gi_1TJsY{RxRrU|i*?8r_uV`p}u1ubdC?(E54?8Cn7#{nG3!5qS&9L5nG zMO%)c9mjDz9q33WP9oNk&J^g%shrLkbmy$>I_aBcY5#2g4ovfRNH%{*ret)M+?0}A zv*hlS+?yqjq~!4|nVOQ9v*gW`yptuLq~xtt4Lm@E)VL zH5BV@9a;2NgM4qbjYV&DDc0N8vgoZ5`QDlui{6@3thc>o(OYZsy|pnGy&X=m-rCEe zw-dSU zH+hS9c$fE?$%oA1V?JRHpYa7>GLQKzU?Jb|EsOYpSVtDKgk>ye1uI#_>g+nHoMvg& zZ2s0v^EYlWd}`E7NrNoeE+uh`A=xb@dt}M}DT&()$+Kd<^maS>-X_M)-q?Inu! z_O>i~dyjl?vyDY>pHi&1uVvBOcjS9pYAkyDnOtwBPqO?aTSG-EQJE@Kr8=>WtVa!M zQi~1Qh}zVlE}OAA_1KaIG-PYGr4ifFgdNzCrtC~}cBKWo(TY9Ti&#hYr8WC=00(g} zZ8(frM~>tuj^-GS<#^iDkrOzPlQ@OWbm3G^qZ?;%CTDRD=h1^+oKGM6asmChh)ald zWB>yh%n(YroZ*bfu9F+m{2iOk-*IXF#_feU|6ocU&XT8761NwU*HZFEmVA(sxV@0f zP03eT@_kBv%93AG@_Ux7m6ElyWP_A!?8N8E09VLnCGon2_ZYp^r&w>>%c8fP$oJOL zSoF3##d+NJ&^j09>TX$p8+u0QBt)DDib=<%h#&R>Uj@-uWjAJ|#xQj_l<{s|jejel@9_CRV;|ZSRX`bOZp65lT z@e;2vo!6Mb8@xrVBk%GaA25@Tn8j@75bMb2e8F7i@f8dCns51z@A-kBSj69g1QxdlqlAbB)oh28i~ z`%2>V0q-$-n?kYPo|Q#!FOcu;Rb$cH>lEwlLs|6pG5OxUG#0(hr&w=4%A&U= z?8#p2!@lgt0UXG|9KxX-#t|GzTaKX}$8kIz=tw6{BG!@46zIySoK82oa~83VoXdIi zq!+#EOF#N^As2HAmob1r3}Gn4xST5(!Bvdp8b)(1*K-3mGM1aUl~_maU>tWcfr;GB zWbVzblgHC6eJY#3Q`7v7+Y594os_(vC7+}uZZ9O?q-0T+EK5n;UP#uYq*9iwlad-) zQa2@=XUWznX_O_+Q_{kTAL|`d{>OTUXFt|^MfL<;JZ(3k>|weq%MPz9JG{E=aAeux zHD!mRvcveBjV>F#w(Rh_vcv1k4sR$s9FrZ!-{Hox(XnNRH#z)&W)ugmIJ_d2-#I$k%Zzixg1 zb7%eayf&|2!|O}+*Z114eoe3Q>({DKVJ-hJHt15Y;1*oG1s89@=B;4iEm(L9HgE-t zZ^1=eu!$?!5d8CFy{GtR(3t{V=*mC;{keh-O~GPRu&F6nkO~&0f{jhVqExWCDcIZ; zd{F-LW4%B5XRw$hEM*zX`I!~`!b(>0E35g9-}!?-`HMAFpyGe@kYD<--aQ*usIZei zwLZwYuy=ZmPuJEI`*b~A7N4$1k^gjUZ!A7tPoUVR>uIw1bUlOor)v*m@#%U##Xemx zmBpv)K=Pli!;QtK>y;GybR8p$PuH8sf4Yu07N4$nk^6KlecIz8*%Th(Q6A?Bp5hr| zxA#0RFqLV%%qzUg3|{9=-r^nJ<$Y%IA+z|HPng4Je9o85V?GO5$Txh;B7Putdy84Z zGM2M~Us%OzVz>7Pf3k)ORH8Cfs7f`~VO?slKDF48ji}8g)MZmPryg6Se zny>@0+iOZQnzIWn*o{`~f!*H!*URnczxS@jPBl} zSa+YwqPs81clWii=KKdeHltW~+sdN5 z?Z|hxv$5!ISN^8E|L9wqsN zxrj@MwPXOXmJDVHB@AOYBZ#%+YDO}O(Okz3jA1M{aSOL{J9jXi3Eagb?&cou<$fOI zAs*%t9^-MI!MUY+mPbpcN zCBLR5z6g@6os#NVvO!AXiy%q;lr+qe?NhQ-mh7IAy|U!MlpK;J?NV~Q6L-i%Tp=fx z#Oul4WAs*_SZ`;`qPO$N_twu?^mZY|dK)T>-YzHK+cn0bw`(cZ+ikMwZ5;XDCL4?1 z?xR?5PspOTr^)v=%~h&Y)ozHuqm;QY(YKh z(}0F-!?rYLJGN&BcA_aevkSY@lHJ&yJ=lwV*q8m-p949FLukWc9Kn&a*z6g@sn39{bH-pY~>Q}S_^%umTeC$5vBu8{9b;`K-GF?w4V2BV)Ol zTZwh#4#sgO6PU;(CUY;bjy%AFOyOZ3<#C?iDW2w8p5p~xWEwB?3a|1Suk!|P@ec3u zJ|FNQAMr7>nZswqI`SoRna@`&(mEvvWXa(vIVwv|NXbcAa#~8x$ddC@($|UWq{J0+aY?*h>ODqp z11Z+qm9pqCRP7>nL+qF8Tt$)dNr$@lhv7Mg;lI3){#H>lQmSJ5^GU~s#Ieg)};pPQ;QAR zh}vvIT{dNNwqQ#duoYXg4UK3_6Luihk)|}GIlIt;mb79IVjbC=eQ3>o9Kb;wOdAg6 zaE{<8+HwrXavbgHzzKBXBu=3-UFgbboX#0^=Pb@4){!3cBj4M$#-g|FDAwDqvgmC$^1bbAEPC6Y zV!a(Hi{6eV-&;px(c6g>>#dtCdOMSRZ@r8~Z+*!1R{EsJ0NFqWGlUX`F`N;^I&w85 z8O3O><9fz0mYcYRTe+P(7|#UmViI?A5BG9E5AYBV^9YaeI8X8v&+r_vj=acJUgBk@ z^C~lVgIGu2<{jSSeP;3zvzW~%e9C8h!I#YAD;DrI-|!ua_<^5T%u<%|Gb>ohDpvD5 zv5x%38Y)tW%B)RQs%O{9#%Y$;$>wkUG=Jmv!kphJB|B%y?kS1e3&|lVIV?-sr6g`I zB!!fmnk8qaH6c4K$;U@!J& zU-n~v4&)#Xp$&&|1hI~^T7jX%fF@Qk~W+)|G&TvL>6|s(7!zixhI&R=b#&UCZolH!#baI#SKhgWIJz~uK z7g9bgo8Pae`5iYIl8;j|Crjq1ByKV!OH#5tOMXkqpIK5ZCF^F%Mk(1OOSVeMHcnhE z!(Auam&EIi-f6VgjAHHWDU0^@A>ZD?#-hDLDc0U`vS_aZ`Sv;+i}t!wti5w((OysT z?e#Yn?OjZ<_J+x#y(`GKH`-XVcRjiGN}u<*LpF{(nZQIQF`0Xb)#L#lWC{=SD39?3 zPw_O*@*FSlBGY)8S9q1zc%3(Ri??}~_xXSi`G}91%^W@>R+BH8%Y43KAz$+?i-^_a zM}A@nOIgkeeqj~A@*BVNCx20aN~}c{)}|WOS(h5DPc1fJBQ|Ce>aZ!B6RXLV)MqOi zvJH)BOq1+tX_01Wt8D(ZPV+ZzGR*m-QgTd|oRE^Z$&j3plC!ep{FKB^hGbw$hGfZ= zDH)k1H>Kp(EV(NscW22XDS6z9>*NYo$g?H!`n>lTy-lT9Z*R(?w|B_*Hp^J__6fy$ zTOfY^>#g*8kEXI_ zG-nrD(2`c{L98Qtvk$G=j{`W6gK5K|9L^COMO%*HSdODT9XNqboW#j=rVCv;jng@U z?wrLr#5&T0o}5o_`qGd7TtuuRmvR{c8N?7u7{+j};7YDyB-b#S>$sjV+{jJb%&pwc z9gOEr?qVW$Gnsq2pIAp8VhWG&D39|bPw`B4olH-&bVfFR-%Im1ZZgdIFH$luOTJ4< z++;{rret-NR7^?SWJqeJWWy}kEG6}_WV@8?kR`jOWH%pW8vXzIGlL^sC5M;9>yh4P zbaynxx;s%8-JL?dyKcs!yE7@)T_0I=cLDkC1{jO(22-rNt7XyMDDvHnH5T37Lb2{9 z$)dY^$anX!vFPqGa^02w+r$@TQ+bJ(na-=s;0>q(UhHO&aSjzH(Id=dl75NzO-h44&WdTp$&&+*UIr} z4tLDva3Rg%xY020&r3GR%gWk=JFV>zDobfgop zj-1RX6zIaKoJKdgb0%kV4(HK>Ui799{kVV&xrj@+lmQH4FheQfa)vX4tB7^v8b)y~ z*Kq@57|YGXI&vGgGmi00U?P*4%st%4{XED+Jj|m!#uGfr(>%j-yugc0<0W2UI#)n!4q<&Kh%43*@JVplpWrh9mYd;x0Q|F zUUqm#+2Odd!|`Q@cV>t2cbHH%dRN)u#InOlWruf{9ZoJgyeB*KL%ojDf4Z+iwb>Ou zuP|@TfB1h*t5otgs#LLJWzX*UXH&7l%EtfvQ13rK)cem5_5SmqWwYKx0dVgD8DUO;(RH~iS67ktfc(5;7{j&QGtrAMP*`JP>t%WOKj)X zrzYjM1)Dgp!=}V`uKc!OE9bGD+lJWAHD)`?Zws0^kL}zp#CEPFyAj)hJ&EnyKE!rz zKlbN94&o3F#dhv*zZ^Wycx($gP;6V!RTkTV)A>K!0zcGym4BkKF*ujl81$sr#^7RE zYz!_VzcCnQEH(yLP;6sxy(~5cH26s|yWAK11HU?A3Zw#I?78`?SDYh|q zRTdkA*U4`T-ZvH-gAd7V3`!qW`BFBQ`FzDfz9wemB4S4V$WJU`DdlJ6D(5jHf8%$` z&&W#7V@6hCZK_e7@-woQ^O%tvu`%UmWS+Xo8 zE1bAtx!P6oTS>hB;XOugYlxNP?|NIuc=T3-SV_wFR@-^>R+m^w{;s#JjYn^dh?S&# zZ%v&?Z_SC7M{kF71b^3Cd*iW^oIoea_twRE^mZDtl9cPM zbRFqoyeH?=o4)j;KNk_}$fd+OGLS(GVJO2G&J|qARgB~sMspppj*KDJk(;=gTe*!` zN5*p}cQKK>nasW1Ppl&kF@;BXl*f63SVx{A){*CVfvHU6Wv25gGkBdhd5d>=m-m@T ztRu7dm`|9)XM91dBlDQg0v7TO-?E4wh;?K!OIXHoR zpV3m4?V6|o38Es1A{Y=v1v8>3hEFl)gb7S6lw?K}#f)Jo<_Ka2K?Mv16Jj6kPmVB3z6g^g?^mZNj z-fp!Pz1=~sw~{ZbJScmJM|hMT^rRP05_9Ako~1W^=u1Cdq(1|AnOAs?K@8z_-rz0X z<{gIf9`7@PQHv5W z$!dP!M}FoPeq|lM^Cy2%j`D0kMJiK;s%%Wmkxi-2X4GU0wxl*&W#`F`X_q$2_HW~~ zfA{u}J4}f9#~lZ!|3u=US$b?rPsn!nS?SN6oh28fq+OPDO377OazjdP&XT)Ra$lA_ zmXgP_x}LOQ>eRlWzpRSh614bhnCpcWbRhcfV1nyGpX?ZbS0j)vy-bZBDMcl6%taWcApd2JFaAG-4NG zmh8?RG-faMM#KN5JAf1Y`I9-77M#u*oJC8{=3HWyw5Es)xR7?Vry~~=v*a=^rxRCl z6BW;gP0W(#=*{!=%ep+ZEQLx6Tym?Iv0D){T5`-K|A$_fn|0p0eoe3G%)5u@=4cBiCEWS5*ed z1~Zh`d6Tyo#&BYeyid%Lk&I#tA2N>de9R|&%0xbAGE@12>3qpdX7M%O@GWzh#{w3z zm?bP@IWb38vWhkQz)$?lTGkPB{rCMJiE+4cUl|sm7+%peCDBi!G_cR%}CE zwxd4VvjaQQkVfpnZp0kflg2b*ZZ znkCn!nCvgg=avG;| zCM{{jIh@P+w5AOg(w6pg;36*OQZC~PuB0x zWBG{jOvuibX=#_v$oBV~w7=sIm3bTY(2##;1t9ff+k zLl(W=MZUKOtwnE-P^hH($fi_hGitI0wW!Tj#2nd{y40gS4cL*LXvEI!%5Lnzp6tcm?8Cn7$Nn6^K^)8> z9LixF!I2!zF&xJU#2h)9Q)t0yoWWVNhGei$#o`2h-CzE{_=CNVIY+)Lj>qr)MbX?U3N`neESme1d~=no zMROZcsJYE$(cG5go7>J>G`Bs4n%i9#%{3<9Tr+FY+<_Eo?kHI_cP#nlPPG=zoldT~ zlCP_@mKAXU7t)UQbmU@Ul3d2+bmB^`;%cs;E7x%YH*zz#a4WZS2X}H8cXJQ-aX%08 zFpuyUJ$Rg6Jjv6_r!&Sa+W1=IMF8O-8qVv>Bz9Of~fg)C+X%d#`&r?l(WX1jiU+VydpVb@nn zNsTP2lajd2kTgumE?LqfC2^Y}X`Yh9v*h@coRlT4QgUvVv`tBeEa{w*E)Kk-^l*mU zP#ljpd5zIqHwyK3uPl0dfP8N~twnE7P^hp zdi#Vzy-k-zZ!^jFHrHD8wt!r3CI2*WrEC>z_<^7JnYFAV=ExuX$$H9Bfeolc6*goe zHl`YzQiGaoPA#^i4qLGe+p-w=E?i4j zuFuYs;U0w3s?PM*w+nGY$?IVls_9Nfj zA=aY1!zk3TAMB0)H6KWiB|q33U!RK~>>XJ8jk=dhpT1K1^wrX*ua!O>ls%2F@(nIs9a8#q zXzA0}OP{_``t;50Y5Waum9D;B`gB<7(|1ar4o^?h5B3gE-}?KeTzv2E|BG+^`HRYa z>u+A8e}1sn*PZ_P!QOvi#&l*dldt%i*?h}f=CgoBEM_UoSk4MovYIvg$WQ#jTGsJ9aoP75>nTqKDpHv$ zR3$F^{_fIY3+uJ0O&!W!I@EJqp9aKb-%d27?4`pVj`w6Qny?Q|iA#t5Ie>$R%f3Ts zPT5O`qa7c^al~a`*-M8Oj^ncL4C1n{C9NoX=}_c2F8eMdF8kWkfw*+Igt+XxoVe_} zlFnRB7p~0fn~Wrn0z--He))-HKZ|j;**Yb*V>v%5KF*j${e{&IJRO(3T?%!WU&>mA-@%G zwss4*avOiQ74NftKM(Q{wqnWWp^tmsizl-?nitYtMt}cAWPk<#L}YNA+IP%wubb{p zK1k`P>>lLfba(P;mVB9#Sy?hKB@44;RZ4!ylHXGDXO?W3l8v*Z@V|K9%UQB(aXjws zbw+oMi81nb-5qE>#>m0M7%AJ`QI4a#V~H{Hcio+CJ;umc#26{tU2DhD-37!L`Md5e zvmRrl6EQ~0cGuN$jFB6-k-zKiPU|s7?&coKcK5L3=g@m?a-Gflv92m?e{$!WT^AOJ*>O zuZdamEpwR1d=|2Zm?g`IS@JzASjB37;3s01tR-g2Z~V@m{6#q`5VNEbmD!N0Y)my` zmegP~HfIaAq&8cz4KYi$qaNGSfE{T_%#vNQbERq8zs<7!+dS>;_>jw8{7ES}HA`Bh zBtGPlbV$j?S<*Qr@gbL_TS{)vl6zC~K$bj_lBctzUrJuelA$Si(}7RPo^Xb|TO5z? zdyUcCNDB4#i7a}XNWQn})}ptW6zXk(EP7i^zPFXuqPH~^>g^9%^tPUSZ&j>CZyQml zw_393tq%F#>RXH6b|BYV$+ubdlr^Rad()JCX~qG>9QhXq)0{&&oFh1jV>p)MIf0Wn znNvB9(>ar~XvNu_%Xze>4HwXsc66X47jr2wN3Ng~ow zlkVKjz1+tGJjla5%4788ah~8wp5|GeqYuy1j~94}{tV<5VvY=AFhhBrH+h?34A0J! zv1yl%&-U-6w149RE_;4vMx)?r=((*R8L9GEZI6Gb+csWlMl*)7jAJ|# zh&l2Z6Pd(hrt$^TnZZoH;%jE}EpwUA0v55Dr7UAP-?Ng{tl>v~;uqGkj^Bwn@)zqV zPX#Jci7Hej=Ex>gqdGOH$rjY2Hg(vVZK%t3)TaSEuoDg0nO)e8J=l}IXu>`;Wj~s6 z00$9s9EtM{^9vW#`EmX_vOl_HR+z*%xLz`|^}rnI+eyf7#3fwHOVCsGnO7?PJ#GB8VqrX+4KBqLKY zCQCj^$;2#~nUb%wWI;+6XUUqB{N%uS@{BX&x8ivG!)uJ*)>EjrjbzbVHS)dHvKGD7 zp-^u-$fCD~k+w zSI9cinXBl+HFV{AVvgLz&2-~dZs!i}q&s(WFZb~P5AraN@)$jNoF{mar+J3w=)?2$ z;{{%#KLdG%m?MK2%urtEP2OS{!-+ZaJ|8fWQH)_M;~3A!e8Q(pSxK$ zDTx~l$$oAA8~?4yXPqPW7RTfLUS%}*5QUn1N*2vMOTM`mtwnPKDAe2=vS{vY^39E~ z7R`;OP;;NjqPa=ro10-Rn)`}E%`K8eb4$rLx7u1X_anLHO1{bRmux-dsX#?4QH83+ zB-w;&RHp_t*_>L`rVd-P4RzU$`ZQn%cA_CWvkSYiJA1MhP1uK~>_;;W;2>g>971yr z<8Y4TD30McVv?N5Nu0u|oW>cPNlRLB4(D<{t!cxBw52^AxQL6nl*_q-E9uPDbm3aM zay>T^ljIh4B}200os`5ahUBA^OvsWc zDT!MQ$()qT&yw#_vLZ`eFhWzpLQg{`3^tOt8Z)>eZ zZ@*Efw@R|;ZA0?C)vy-5ZBDMYl7Ei4ova?)(|{e>iAL-~%#q#MgU0N|-t5D^G-H1b za|UP8lCwFNm?Nzz;sP$D9qsAJ#l#%BjLYf7 zm0U#^uAwW}aRWDUGq-Rnw{r(~au;`V5BKo^5AraN@EARKoL)T1)5IKkj@~>^UtZuP z`ZF*)Pu@)XcUZQ6N2dK7HyHN(#FR|Vl9?%q8w|ZD|wEZHF?4YQ<4N}4+GW4(RK{#ftu?8kcF&AvSs-?V$L^ow-wmp=WV^y!Gwrz1XPse9Z<8S!5bag`M(@#A8-|=I;Uo|T81;2lO ztatx5MJ^nQob*Lb`XU#7MNa%8Cw`F&zal4pk&C|~1E9zNC~_fDWC#=)0!1z+iVT7x zgP_QTV3A=^WEd2=7%Vanid~u< z6&XTBE@p}hq9TLnKlR_B^G5m4kM;f^_+!2C1;6;QUX$97^~PhoyEdoLyX*0?cy~RC z{JZO!*5ci@6@}hi+sfkIwFCKg*DI{WyK83(y}RBdi+9&<>-d+2Vdv`7QrpF-JV21KKZ}Jwy7*1U6z0U`XWE5lgka3LXV?Nt=NXTY)5^zX9sqqA&uCD-H5BbJ!wo6_NFQO(ToFdwfBGE zkM%z94Edxu9zXLMqq|8I>h3F9bT^xPcZ;k=cS|YM-H)>9?icdi{ben>D^H>BHjzbl z)ya2P+gfzDHHEs{NfzDhOuoCltVMVG@HgH4mp-LA-QRg8EosF$oXh#NrVSU;miBbu zA};1qF5?QWq%&93g=^``_1s9zl3R#bavQf(%$;=S9%7c<&jUQf!#qk4deVz0c#5Za zmgne0U;6PPFEN0Dyuxb?VhBTdgEx7bVGQRzVwQ{`X31#AFqV%P&xGvCe_Fcko{?RV z&q-J0@j;NQ_!TKxoh84fBt8g|R8C3NEZHn2@j;NJUP>Bd$*w8cBTM#A$w66iL`sg% zk`^gB!+}qd`Z`0-DUQeUyvFFQh(f(xB8%QGC*RvO)}puTDAd~>vgqwD^1VH1EqZ%| zLcKjBi{5&Z@2$VJ=oJtpUYy7Okpb1n9dAl5p(1l zW;2Jm%x3|MSi(}iV>v5W$!dP!M}FoPeq|lM^9O%Xj+i4GP?5@1p(+~_b7WIuj%-Fv zwxAZZ*@~DW+ftW$)TaSEvJ;KinO)h9J=l}I*qeRWm;KnE12~9-IfO$wj3YRbqdA7- zIDr#6nNw)NX`I1XwB+pUJZYD9X~%5;c1rs2 zB;VY2)}p!XDb(EVvS_X``R1Bgi{=ibP;*DgqPb(qH+QPFXzp}!&6RxLqqVGv3%HPW zw5KB%6O-gJE~gV$auru|4PCj88@Q31xrJM~ojbUbySSTsxR3jJkcWAM$LPW1^x{dL zCML;q^yYc`@&Yf?pMk_Ad6m}~%n)p#|8OPwj^lTEj}I8ZD8}$1(j1}kAj>J)lyO;OX{Q~J_?dF zOvx@;(j+DEQIMp0N)FGG<5O}{mb6OAxmnUSB^|P)b4t26@Q(6=GvtQic)ZDLjNZCY zsJDA%(c1&$d+TW}dV7LGz4entZ!eMWZIHF-Z779$dtVm4jU?aOcx%zyClu;!x-5E| zNxrwa)}prsCvR$VO~TH8!ONHQAh6 zY)KuqVjH$)JL{XH(KAO9rIml`MHXCBw61bV|l%$)uD_&62ND@;~=)tiR|C*{C=kH}M*y zx9Sw?ZEIQdR+oHlJ6Vg~cBW8o`^ciV{mA!rh_&eLFbef{qAYqlg?w){UiqN6zDXifF@yw4*&8xrj@+l*_q-E4hlRxrS@Gj_bLRo4JKsxs5w0<}SK( z5BCvsLzkJJACG~3@_res!@ z%uC6_ELoM3AF|}Pl>E>ATZ8?bC%YEM)mpT71BKeVQx@&rO}@Q{twno}k!!Ey8z0ZfdhGi7Yr zrQ@?5JSpw%xXrMCXQyOtmMl%l@+|o!CF`=Jd`c>2N%fS}%#y8B^8fhn4MwB?!w>cj zbe0@n9FHe@ozdMX6zc9AS#)|Vi|#I>PrIZg|)2XcVd?O#d^w9fr?b33RQ_&vI*6w zP7P|Z1+}P69kym0>ard6X}}KbL_>CF7j|P0_GB-bun$eyk7gXeLBuRMgytN^;T*}) z9K&(hxpGF@-7T}-U6gir+-f*iE>FpoS#n)U;#Na)S4!^5l1EY!w;Gb(De0RfFQ??S zEO{p-?`6q{DfuW%rle$=1Mev>J43!Ij>m7j#^`Mhg?jr=7QL+?-`mgDqPJfu)Y}HK z=&dsO-Zr%sy=_LJ-nNxRZ}rIc*2r4)wkw5t+gBF7?N7e9L#;(`N095Sp2<4a~RE1f4578bue%C6k0oNvjM{9x~=rC*-=tn}%` z>}h<_?(@>sNu^IGmp+|R`gCgP(=W28@i$B>U7cR~^vlwxGfJP%EPXnw^yydGQ$N`2 z*?;d3_AYGn&ky$g^Mk$r{9x}tKiK=9{IcYK^#^;8YVhwL?2Su?CC2qq%3c<%a=e-! zh|9U3`GvBV1%EpJi*l4_11b`i1shV8jfu;-O{q@V%YrQ(*Jdl?a<1%U!S;^ha&AZB za;_0OQ}(i;vE#U$+nczY+n4=_%Yp-m%ejMz%eg~2j3YRbqd69rbAS8s;OW-mvfwNV zT^3v*i_3y`{NI-aez5ly|BJ?j!DYmSK_?1b7~CL>3xk`rbC}0`7P5%gk;{l3`8_LG#cIm#$hD4RNB+j|l--dP9LJ8VL}fOlDrI+M4acz~ zH)jjV?#OK%$Bx{NdTdVv%I?Tr9LJ8_ojoYKBbz#o9odXRJMwT@?8u`ynzB3cB*!On zDlPcC9eJ+x^Jq;GWp`u;#~ryiyJ6{)ZWp>{Hxk{_jYNEk<>upolsudzPoyM1#ge>~ zl7U$=G$rvFmSki~#$?GSDVdlhGgI<)mMlog;w)K{lAj#7VR_YA@>_8{{^2!7Z|jLk z@^`&$WIcMTMof~jz14CYz11Nm$=~(1gZ1dGAu&nH_O_?v=&cDcN&c?4e_4-7(wvwi zWqUiuarAaPC-8T@ooPKLNh{8#Y;SEGM{jM3Nm8b_k~wmP^-gr=D!OnDUAdl^BR3Iq zq#L(#J9ls=-MO24xsL~UkcWAcm?J%jIr0Qg@-)v7bEFT?(~lQ;iT(`a6=IGIVlYE_ zoi}-lm?Oi9Ir2UqFp^P>VJzbq&&Pbir%dE?CNq_oBh&eknatvAW)pK{F7sHxLKd@x zWh^J=$VyhRh9CHeUx+!fE;~;urd?Vk+rQP*{*BMD?D@JWsh=e~r)0M**)JsrWXWME zIWkL5Ny%wha!yLl&ytH$a%q-aosw&_b+gB9oZILW`TS~sS)z+f7 zAIbGr@@193Wa}wU1u9aBDpV!r$R<>yIyI=t=G3A#b=aD1sLOWLrvW>#6Ajs!UD%D? z*^|9!!ag)*Kbmm>2N84R5SnushjS!HaSX>1bL2!$;uKEhG|u2mTGEPhIG6KjO&czx zE$!*RMO@6KT+S6-NoTI63)j+>>$#DbBe&3v+qj)#?xH*QWamkbv`c$s`?q)6zw!B% z^W?RZ49SvrQWBqENj^%+ge;kolKA{eGAAYTv*f#!tjLmIQ}TP3Y><-5S+ZG5ws7D) z8RQJvx;P%U^%|qMdKBtyS6TG72l?LiwHCeYPodt9kVS7tlke?hYtdT^3iWoLEP5*< z-&;p((c2{y>g^g?^mZNj-fp!Pz1=~sw~{ZbJScmJM|hMT^rRP05_9Ako~1W^=u1Cd zq(1|AnOAs?K@8z_-rz0X<{gIf9`7@PQHv5W$!dP!M}FoPeq|lM^Cy2%j`D0kMJiK;s%%Wmkxi-2X4GU0 zwxl*&W#`F`X_q$2_HW~~fA`Mz@4+cKG)s<6$q89)_5u0c##xKrKBiD_U&x}jFUj}zt+nWF9))`Q zUKYKrBH!CuYth?p6zZ*#EPC6Jd~Y?ZMQ@vv>#gK&bURr+wxgY zi@n*0eQC!29LPZ&%pn}g;T*wH9L=#D#|fOs$(%|HPUj5Hq9tc@E-^=1Q^W;aNITlo zk&B5rav7J?i7UB^E?h%buHy!7nxv$u1Meq8oFNAm$K$`e#^|j%g?c+)7QLNBzPB^2 zMQ^Pr)LUCw^wxoVZ&z50-a1pLx0__qTQ~B(b+;D1-Akd~ddi}=C&>5K$6EB(k6dph zUsD+*8_ZB%=S|*X7{iG<@;)Chl2MG|L&h6^|pyDdaF*px7yaCx2-AE z+fK6RZD;bm?PV=`+lO3lC0|lGMAn?cIGiInieosAm?I~05~pw~r*S%G(vnu3!?~PK zYua!jZD~&jF5+S?ZPPXmh766J+fr~lpK^L zN2KKFENPLFGaPt7dEFUuPH{Y*=QT!eMHK4o5?Sy6zc66S@hPMd~f}&MQ<-tsJFLd(c3%ZdmCjfdi#)EZzW$)`CK-MDNJP=)0x36 zVvc;nZ00bR`7B@&OIXTxEN2BPSES19oI58nH9GvKxD_Cws9s`>-$ju|EfJ5C?MzhjJK4a3n`_ z499T-F-K136k2c^XK)rRIXgQ~+NE9EG26eL(*BLx3w!?Nl-!ynccg_05^mZ)y-cGd^ zy`4_3w~{ZYw3Zcd0TPy07+ zFPtaUQc@#J>ZBxYFC-09vP+gUNlDyZNSdeQ@GLn#B`0M`tCXCZC2dpEAxk=^q>BUR z$(zoQ8;axcCa*Di>qep8?v+Jv50LMzr?u$q2@3VrPZqtsM83B{)}pte6zc7LS@brN zd~f5eMQ@)_sJH2|=xrwX-sW11-WHJSt>m8{u9U4}4L|S`KeLu~#2op9KUq&XDzE{S zsKSP9#Ku%(Q)*C?&8fwf)L|>OVOzGNKHIYcJJOIw?80uu9NCk`G+}R=vMX2%JtcKQk-^a_iX<@l=g4jUfA=`rle1n3`j}bUP#_f$?z;0oszLxGASifv*fFk z%+8XfDOsK+Kc?iDEGeIoiVmD7Z#hFYDvrlZyvFFQI)!@MS{A+4CEwdl)}ptaDb(9O zvgmC;^1U5mEqXhQLcN_Ri{4Hl-&;#-(c3u`>aD#jdb@~xZ&zB2-mWIsTgg8^yhYZH z+qj)#?xZ{SV2=2C-uPehf%I7N^Stq8x%heBuS>r__f6^3*`-gvEqywt^y%E}X?$^S zUg_%m(x(ebpDrwYx~TN&;_PYs4NFQ_mzF+VR{He2(x=PQ)AaMa%l~_So_ATJGXM3y zdT05ca7w+F9xtfZ%Hx`PXM4P@-Z>sOt9Nca|IhRF&hyx$-uWI!*K6&uMZF@A^Xj!J zSFWD_{@(=-%GbNl<0JLjdfdKVJC8%_wfA^fm3kfgKU3;;Y*S=66xj_$t_F&n$wkiO zB3A@O&gLR#bCIipB0HnV8C_&|6xkg`t`3Tv*+tImB3B4S&h8?+q{vl5k$0RT?>I%S z6pHMYBJVmyc1)2SQ{;-F$gU}}Yl>Vo6xlgNt{jT&o+7*FpP%Rb)c*#bF_F)i#AK#0 zl`ojabiQN;GnvI#e9bq^=3C}4mwC)*0Sj5gVwSL!WqikSzURN;zcl9^v#8t#RHPD> zsltX-Wg|9b6RPpg&-4Dj{+A~I3t#lxd42hE<&JI;KhGNj`(fkzQ68fwy?BDBc$#N< zjz09IA20F}0~p9FyviVkFqAiVleZbhaNgs6Mlh1mjA1O}7|#UalJ7GnGKtAdWg63& z!A!p5Yi9E;bD7Tq7O|M6EMqw5fINp=JXu>`;B`zKI=Ku~OF8L0jIb|;$j&^(u z#}Su&WiK6CIF3ucGl)yRmb9Ymr9+Y9xa7N#xa4b32jbG<65^8Ya^jNjN;-2jUAUI( zaLM<#9~<6bJuV&YqRgekfB4w&k+MI}`?d}GRB=2$`kGq__8dv;%nr$;#<~Y zE51Xat@xoVw&F+Rx8mp4Vk=Ie&{q6L7F%%+`K`FbT5QGdD6|!Smc>^5mHbvLXDznk z1{B(go66!Ub~9>Hb}Me>IJV-p)TJKvDZ3RLIgYKkE4xv4EAH($w&K1N+KPwDVk;iO zk(AwvCpeC+crtMn+k*U7Jlk4q#q&6yvRkp8B|l`zZz=gROEyf&##yp?O18|B?NhR&1NSq-oFlsy$K&o^Wi;2A z7$Sey+=13(h#X7|k+RJloG(+ z5ksVGb6p+B5V?UH`Mc)sv>rp`ZtkIMa}PU?<{qO5WtuDb{>pRKd-FVfd4U({&p=|5 zyh==x!3^Pb-XJE)Fk+It%X@sl2u3l6m?YzfN%Aoh_>|9xNivx!e8DunWCpYNnwTWt zGKYE0XCaG-NwSQXB;T`wRjlR*ej+BxT4Iv?#_#;eUzDQ)F-a;>nGLDR##AFFNewn* zbGBehYO@vF5R+s(>ajfy*pY_BB-tf9Q<|n-+AQ0@&C~vkkGJgklTvbOmb6Mqe7q&; zkdlkDq;pE*<1I~PrkV-)}pzMDAZgn zSu|INd~@}!MRPllYp&!QEPKit(}cZg%Dyz?0AiB-i-T#-p&ZT;9K|sl%kiARNu127 zoW|*#$yv1GY|iC8TGNILXiGaf(25+86$s;8u8mTaApx>>SwN_NYV zeNwVtn~kH<|KVS=AMPwUzBnFF^g5%vQz+EkIkM>PeDdA3w-()9M4|4kmPL2hlJD*o zYth|p6zcANS#t%;pe9>Ti`vv-Yqp^-+fkne?7&VmWM_6^H}+sp_M!><(3JgX z#sM5e%#uTB&S4zRksQr29G9IdXQVycGTXyNX%AnR?e5D{a%GlWmy#Q^O& zq(_$YPD$S^c{wGoWyw1!c`r*oOvy)CG9@L`9C%N8*BSCvaXfzGHAZi9DAe0`vgmCE z`QCoE7QOvSq24x-MQ@eK_qM6E=xs9!^|q}ndaFmiw?@{Yw_PdJ+rF~sZGZB;9cnFl zJAzzqCAXs|%TA#Mr*Q^n(vq`@IdUH7Q$!msq%G~~$VFVjrCiPxT**~j%{5%hbzIMl z+{7*1%5B_1F?Z3Od$^C7BMp2<4a~RD?3jXrd_%u+rO*Q{*9Xq z?+<^bq+FJ4n3A~3kZhTft+HhMl*CPjq;X32_GaPqT~Kv~cmLXV#4l;3^w^Z1ko~#S z)1NylOD;%BI|t5{_nak{6vyLbUT1XIi9+4oAdBv9Cg0ti)}p(+Db(F#vgqz{^4&dW zExLQ2LfyS8i|z)K?{1j2=^t=NW`CEHPt z?P_j7WA!f<$>_KDpVsDzVFU{DW138F;IfO$woFh1jqdAu2IDwNmnNw-O>72n? zwB&5gC1y!$inxFaX-5Y-a&dO9bV<9kYqo#8rTrT>8utAIDS0?co=8dDXh>d4$-pcb znv%H9kc>>pm@N4uB@?q`W=g)!k_9PQoF!{g@{P;Y0-qPJG$duwAY zdTUFrw~}wNTp{a3XRe|P*U**gi8*o;H`9$lkVKjz1+tGJjla5%4788ah~8w zp5_^zqYuy1j~94}{tV<5VvY=AFhhBrH+hR;3@7Hu`+UGiMlpu5jAJ|>^9i3akxz=EzD`v4$V`iCSc0fGjyIB}Zn-DJeNEOU_Bj`B`#NN-oWkt5b4qmfV(-Vh7HX z51b+Q7RTfLUSst35QTbsN*296OTM=ktwnDGDAd~L` zrVd-P4RzU$`ZQn%cA_CWvkSYiJA1MhP1uK~>_;;W;2>g-971yr<8Y4TD30McVvd~1 zNu0u|oW>cPNlRLB4(D<{t!cxBw52^AxQL6nl*_q-E9uPDbm3aMay>T^bL1AfaT~W& z%w2Tnp6op7k#=dXZ2$I7`!{YeoDHv~WJs30lajc_kbIPq30X2FC2@-(nUj+FS@K;< zR%FSqDfvB1Hb_b3EZHn2TR3o@jBti*T^x_wdX3RrJqq=&Rcdb^H%Z?{^D-tHjRTblp(TYr#; zc!WpkK~H+|Br!*x;aPgqhraaVMfx*=MCQCZQfxx@9{n(7{zElWGo*s zo(X))XMD~irZAOhOlJnOh&l2NvzfzO=ChDREMY0%v78mGWHmqVBR}&Czp{?s`IEmW zM|n1&B9*B^RW@c5Hl;e7QIjp$lG<#QohLh{UD_zyzm3!W{r4?Kt+=_^!7aw2S$b?r z;}*jiaaQ_sXJ^R;DT!MQNvD)tl_fW%%c8qgro8clCME}42^5lQvi+&B)m#_EAzZzse(`!=uncjGech}|=dUriu7VoYn zk$-nR(^|Z{wxZCxYg<{oyLKS|?s|o_cz5kgp?BAtWby9Wjr_Z7cWd$PdM}0EU3<#n z-Sr9b@2-8U#k*@ia__Ds-}x9M8_ZB%=S|*X7{iIHz4!Tmk&I#tA2N>de9R|&%0xbA zGE@12>3qpdX7M%O@GWzh#{w3zm?bP@IdQeOl2xqX2Y%vb*0PSc+WUh)Sx-4CP?1Vh zVM8`zW2&(!HK@tv)M88Ouoc@-m+h#}_UyopG^7!`up4o;wuJ-;9 z{F&a-&X7-vWTT`gJon+D7&g8q>%UX1|4}a6$f9aE&)BT-i(vnu3!?~PKYua!jZD~&j zF5+S?ZPPXmh766J+fr~lpK^LN2KKF zENPLFGaR@>9^(u-r#K$Z^BSYKA`10(i7a}%oP2NBSc~4Sqfl>m$fCEq$oKZ3wdm~; z3ibAkEPCrrzPJ9?qPLeR)Z1IK=&aKMa+?J zn9UsKGM@!3VhKz6j^(UiC9C;?ANiSI_?311&L8|mIbx1%Kt(E3g{o{!%#lrrIkFiw z*@9ZsW-DTjY)f70QJ)6v$WAn3XLe;b_Fzx;VsG|gU-n~v4&WdT<`53$Fpl6zj^-GS z;{;CRWKN+4r*Q^n(UP;X^Q2wcr5&^V+bQke_#nuhzd0qhX35g z+v((bEBVGpYgrK&a3SqzPe(2$=E!ASPA9J9Dz4@lx^f*ia3eQ!3%7DRcW@_naX0sH zANTVh5Az6*(Syh7#gjZu%#r8l&GYo-1zw~-1Bp5EDz7n^A-v9;yu~oy;a%S214b~4 zF?`54KH_60@F^4doXJe#3#RcUGnmEK#2opSIm}}|3t7w(mSyM3PidE~&Gzs5w14A+ zAbY-AN@`?Dos`4}L6U|k*(FPwq$EBFk~B}r;aPHgN>0j>Rw+3*OWLNSLzZ+-Nf!ss zld;Z_8;axcCa*Di>qep8?v+Jv50LMzr?u$q2@3VrPZqtsM83B{)}pte6zc7LS@brN zd~f5eMQ@)_sJH2|=xrwX-sW11-WHJSt>oJtD`l%#!w>w#&#Yw~F-QL3Pu5e83T!|n zs<0s&u`$)ylp54zb84|Ab=Zn+*p}_6&-U!Vjx?kZyRaKENA{#KP1u{J>`OBaAm+%w zIGE-f%HbTzQ5?gu9M1`y#L1k>X`IfPoJA|n=3LIFHEp;Om zANh%2Sj#$oC+5gstfxE`s7Ph1P&GSGwn)3QcD8@(rTrVX7v3B8NXcGVvVThA_Cj)W zN{-8t7Ac9_3rSH*F3gfkQgV5gT$hp?v*eDH+?6Gdq@;%f=gCLTkf(~{@foi%dh1Q0 z-d>hPZ?BQ>?JaB3+dCBM?L%4g_7VBsKDQRVO`%Y4-^il3Iplj=Vl8_6jzYctEQ{WL zCEr^)Yth>VTE_$wxAZZ*@~DW+ftW$)c?QOyALR-s&#GDfJ7BR6v03c z1;hY?C}vQSkzfK7jS0*sf|xP1A}UEy#DoFFj5#Z2Ob8+fMv#n3P*IFxp$_I3pOvjg00N#xOR!PR6HMI-nHc08)+=syNO(TC7=1YOE!*sxR>!vU?L9^tH~og$|N4=NuJ^vp5u95 zkMFq2Q2&1ZZ;tR`PGmv5NQLKd-vWyEUoJ6wza$&mC($!S?~Zc5@NLozreS7ym|DH)a} zx2ELwEV(Zw_h-oyDS6t7SCw~NAukrk=x=2XQcm(uHmu#^D^vQS{_!j^#Ld(VG)E ziIeF=Uryr;VkJ47ew<5x1~8C8Tu7`WmvAYAxtuF0=4ys;E!S~9!x+v;ZsaCz<`!;c zEVpwfcX2oOa3ABjpNTxk!^BGR7?XH{CwZD@d5#ydE9I>;Pp4!vcv_mlahqY*f0dGX zS+X=GahoCeB_+RQN%@q-ZHA;qN@``v7AdKhCEKNBhb-A8CA)bs)9C;3(}VB1P7W%L z$3r~N=&mb;x;sV|-5pQ9yHkuscc)UQyYpnx-TCCZyUbX0cLjyIyFnJ+jUeCM7-P}h zZ4~Nmf-JgwfP8n48;kCqBG+BXzbC#bo6PIH!CSn|6y76NlMk87G^R6yPngAQ=I}XR z@D*P(kNGTM5sO*MGQQ(`RQnMD<$V-$ptBiTMfxIDH)n2qf!#L8j^7-xi?E5O39;H@_b5O z%91xz@=lg~oRXPNysEtK3i-S^9>4S)qqn&f>g`)u^tPOQZ$BH0-hQP}Z|ljTx60&u z+sIh-wlRfz+e#L_H6Y(xQ)AKF_7v)ES6TG7JNe$)8H?W9lk2VIQ{P==UFptY9Kn(F z;AmnUIgaBgqBkdU5~t9YQ#qY8IE%A6hyI+$K+fj^F63e^;W94g3a+AEU{%hZRc?D`kiAH&iYitx|eewe+xB>EZgNhZ~e0ZkQeVl3qtgrvD$R z&Mx<5xq0jU)Bj!Uzs!#@=0^Y1f8_5^CRD26&s8X2zM|i?=dUkcZdKENzN`12UpM#9 zclG}BUA_1AF0z>~vX~cH%!_Oai!A6x7W5(;!y=1%kwv}e-}q$T_I`!me%%}W>$`em z=di@8y_B-MgO$!#@guRT`<+3@jSYxh-A2@)?CxMQ=XKbe z*wvNY9c=A9c6HklySk=qN7>y$3+J(`Yenqpc4aqWcd#e1tJ{az)wN?k+S7qf9DrTj z-@Z$DxbfH>97Uns!3nb19h}U6><)Za?+5-Djs3xy#QvZkh4u#*$zp$SDf#`u)y86f za4m)Q2RF%Le{c)={lQ(vVt;TCh4u%J$YOsmiTwWHIb*Ruc#%T;gSTa|KX{k?{$RSX z*dKgCZhuhnnU$|)bNPn(EMyTeBbN~~@_UxEl2w$Qk!zgCjQpKHC_5u7IFA`wiON)^ z8f9l>P3JKqH(^uC&d4pD$Be8`1Gc6SWoKkF=P@I9WGBkb$kxtdMz*2QjND%qGqNL{ zC_5t$b>4+;bm#A8PYh1?6Y*x2dyru%8JQ)w zrX=3XlH8w?2eagfl*F4^lF2D~BTL>-$Flaep8WI;+6XUPvKS?$Dq%ZIL#-;3k% zPtP%WTSu%Uf7jdk#-q3D#7a`Ox7yC5x4Ohi@^`&$V?26mLaZcZdu#4IdTU9nB!Ac2 z-o|4kX-ljmWqa%FJbF8bgZaDOjx-)CNl%WZY;V1tM{g$)D@mE&O4gCHjrZeR`ZIum z4B|p!9l3;9M+S2_S8^3sGlXloj_Vo5a7J9Ux0%Abyw3+r_BvDVs@Yy;(x2*@{=UPqzwelo9G@kprsRw)IWHyWXUTv18TF~Ik?V@%@dnQ_ znj1l(=5CWkb9a(&Zi2CB?g0ul_mnJ}dzO52uNsTyUZ+rVAIhS+Y2=%mZ7iDmoI=el zl0|b%$v3ykSTy$&x#mhfwX#;Wj`CEXB9*8@HDV>%km}T+Cbigv+SH*gTd*bds82&0 zu?>xB!ge%c2X>@6JJXU~Xw7c4VGs5qR+4>b%YN)n2RhQ31BsR75Duj)-8hURIFcUp z1F|m?d#$c}CN{YFLAq>r~l+kJa zj>+clxHNy`jVxEnqbYejOP)_jypbh&CnfJ?$;T;)H?kyiQ!+nGzD>#UEcrDhe`Lve zDXE+#8>eJbCtguLa)oSB9FJRhj?r5K3iY&4b zy>+KhZ^y}^w<7Yr^)(i~olc?N2Fjwh3&{6&xv}W&DssJ*d}?L5Yy_jYktDmwA=fc%3(Si+7m9dwf8wBOfu1 zkD0+tW-*)3h;`&kzG5!(n9o8Mv4o|3%Xchi1*`axpZJ+y_?6%IgTGiyIm)vh6{$=W zs<8pFj%-8?Hl`MvvKe*QJiAV|O|!IVHh){B`P<6BdRQUiUp@X?enx$otK^~Lczne3 zjP52;sJjH-F-^FyRVH!ci&K`yYFSu-AeM^tuYqe{Z66o zD#@a|s^q(?X)LH1` zaE{<8dT=zy5^G5>ia3E2Ihj-F%W1?~awccdk8|kH00uIMSW7PAVlL$}F6T;OExDR& zxR#+@&oG8Fl2P2mXl`K)W4WDJOYUME_i!)cxu1zVm|ZJRr)%Z8>{^+eu9djS@cJ+{ zCDXHHPDKID5l+gS8=E`@r#L>9db zCg0l-W6|4n6zc6}S@d=*`QGj}7QNj^uD6oUtUM;0#1lNp(>%j-yg;lYFB9v?WM1P9 z-sEkj@GkH30aN*i>CE60X7MR=_>3?3lCPP^H!NTwi&?@lz9ZI=6|Ce3eq=R2vxeV@ zb>vU}VjbnEKt(E1g{rL222^JwYEp|$sLf{7WplQq9`$L+)@;MJG@&WY*nwC_n$v=o zw4yb;(S|*;>!d@PrJb|++cnMKxWzE%k55VOEIBnLaf=~2KP4At$rULn&XN%+xiL#_ zOUa#C@<2)+&XT86@@$s8o|3nmxK2KHg}h%Jj~{xD(c3f%_4c_edi#oeZ;OmYZ%ZlE z+fTCS?HBUBtu+?Cm8Vc|8_J@$8svMcV=Q{xf7uav6iUf-5QJYKAbBSVwMP7$X?Tjg01I#&9dQaXWW%7k6_n_c4L{d4LCb zm`8bx$9aOMc$#NJDb0A)BKHF3|GVQl&s2< zUsDpd7?R2F~#wC zoaY$56;Y_S(`C`yS>$^gXe@fWfI_`pC5zs!A>Z3@W6|3v3iWn}EP5M9zPE|SqPK@A z)Y~(%=2$A8^S#QR|{roZo%?C*Ow{e2%~|4pBy&vi$%;6E6FHev=*wxuN^&M=(T{WJ&v^`F z5EpO}7jr3>aXD9V6<2c&*D{prxq;z~WE3|snp+sdSZ*g)lDinkJ>1K9CNPl)iIwCL z9%T}b^CVC64A1dAFY*$v@G7tI25<5<@9-|~@c~o$i0ORHC(PtiX7d?e5G%>o%;g*A zvyjCsVOe&itWNWHO*VhmrTH5-7+xK!r=(_<)J;j;U`U#zq*<1W6|6D6zXl3EP9(mzPEYCqPGR)dMo*N#1*oY z{J@W_=4aOM8?lc3$zQCa92HoPN>rgL>$3sX*@&9dViRh!8Fks5E!m3tG-PYGVOyHe zlxFNetRu~7K}%ZEnq6tb9>hAbH~Y|*cI;0FI?|Z~IEaHelrD7RFb?NPj-n?=b1cWx zi{6~TNt{d{`f?g)5bMa<^y6ImGl26M#D&>)Qk-V#kZk^rNb@&tFwFToQw<-_%t-riw8)HIwCjARryaucJunOhjct&HV1Zs!i}H}~+r`9UhHPLUl) zk$cV}uU)eBCpCtcK1bInTxzK7un$#d37%G>RjahtjH=~Y%- zjRkw8<@-i%;%3HhE4OhwcXAhZb1(NXf%|!Y2YDDT+5gs?HE;X#Q+SWq_I=1yrZJrv z%w!g`nZxIN!B>3EJm#~2MJ#41%lMAvtY8(f?fZ$<{K6W3;}2rnx0cxUm8Sv~sZ15B z5!=4M+d6D&yf$^HOWCbM1LqBCL~Q#S(}c2Hhn<|qwr^)*+gEn$u)Fiv_U%P%`}QTj zb?9WQGY1mezOq|~?#^S|cLcHR>p@S-ZXJr8$F}c8V%v8LeTc2Y>BP40EMnVt4*9La z1;%Xq{`SqotBl9i;Tp&5LR0ZeSxm*brrSbZX}Cc?8elh>{Q&`c}&Hvs7C`DQg$jfbskf3dv>7gRBYuursA%| zE_QeJpzKs^=X^if(}A*6@nGjM6}u3-*zV+~;?c%pDjvu26w#ZQiYF6Ou`h+DVt?6r z4CH*uPQ^=|U&iHJ!QV~A>y6*Qa7HkS8yU?ljA3kcM>9U%w@mC^_NVW!nr)|$?rL61 z>1)~j&b#S;C*I_8zw>EIKFgACQnD~hR;J{~Ecrbpe`QJ4lx&bCo1|p3EZI6G+d6Ug zKigHZeQ`YQ=y^tWEr@00@4DO5cyzZ9v5b`MuA}ql?f_yL`Md58Hy+E#QN%J*w!2== zqq`F*)ZLk~SVsC0%ShSo204%JF5+VTuDh#^$1-v)Ln+(cNaxYrP2{>O`7Fy_vT@wQ zy^Lo96M2wWOCBNCl1ao`@+40YYsqsw&x^!b@(Qo=8gCG5$=k$Q@-FZ30Ur`;$#g#E z6K3)$v-ylKh_&Qv=JE~mS;!({Em=maCEv50m8{}NRugN<8h+(>{@^dxQjQA5T2hJ1 zRHYglP@PyyYO*n#uqm5Sht1iNSWD{DfURl7wlpEul4jYp(mKu3Hrf1bo91u4$>nM| zG$q}#q-RRvO)g2Fl$@3&=cXjyg|15^fr}zZ?lX=Z*wTr+X7kiwwQcxD~v^NKTxQ*KV{L|I`X|$ zF&4e8Podsw%c8fshAbH~Y|*cI;1kI?|Z~ zIEaHelrD7RFb?NPj-n?=b1cWvi{6~TNt{d{`f?g)5bMa<^y6ImGk}2%;zD8_xr9p@ z%;j83F;_E$Yq^f=8OCr%aw9i!Gq-RnW4WC>xr@8Ghx-`M{Y>OR9wye2$C$(uJjv5M z%X7StT_BR{Cz`V}E!l_!{*U@u}F*_XEL$NqGn6P-CQyH1Wsv$RKc zH58@m9L5nGNe_-D zR+8g5o+5g4A}4VQeL0oWIfJt}n{(*Tc?{%yF5p5g<`ORBa<1Siin)d%4CQ)aB^k~L zMsXvfxtTGHC03Fy# zyv}RY@useIQ7yGa; z?bx68bfgmpa3BYBC|&4AcMj(Wj-m%gb1bor^rDCpIFXa-LtjqIu9E?2mJZ71@8C3l z;|9Z=AC{7lS#oPi;s!%L3IjNaB!sJHcH(OY%$z121rz15{qZ`;VCw;5QTa>QWm}SB;Q+aW6|45w^S4HtzqPXYTQ4OIvt+xJ?2sk9*7R~)cuDOy=vaFS@qdXO;NF}OJjaW%Gq&hXINi8;^Hg%}W z7Hmm9>eG-$Y(rz3upQ0VfgNei&a`9~TC*GfF*W|D`~QyqbDcSmSV<1yP`c8M!#IK? z=|NA9;aHBR7ri-=lQ@MwoXTmO!C9QmIh@OR4B&hQaUmBIE6HWVN^%8PQp`0BVQ6-x zj850XnCyBO*Sp@oc8Kx%@My{&&$8#!wGy`&l6O+_UY2~ElDNf?%uUJsEcrGi%d_Oy zl>Ct;>!qY}mTa7oO`Uj6`PwzIMR7cC$P!`QyK)$)ljYV@;k!!BxlkCG~BN)Yv zjOJ#>FqT+J?%+Poq9u=uf6{@iTv65^=4K}70o3a^o*gU&ZwoS9NX*Pdbr1|R>Bi4xjwx5Wc>neGu zI36GIJfpiw6zc9pS#h614bhnaxcWaDA zcfV7pyGpX?t}6NNY8s2~HX+wt$-gGnmo;E(8nG>nX-YF6XWox?eTqv*lW980Vvy(r=YPUK`xp)aQqYss0MML*7=KLZ%ZAYv`Kh>N+D%eb5? ziM8ZvuHjmSay`Qs&PYaa6Qj9>F^uJQVlBCgaooebjOTtP@?dtYJe{tU=dx>Ma=KRH zHpA<~)Raumk~t}f+YHI#lq}1VA5s#x8IpA=sgNb>r=)t8)J@5jS+Y$^nq*1Kl(cr@ zTYKk~{np-k*|+xA&ptyJpSNpJ`f<93rH5OW9yTgH+@|z!+tS0v*?6Hjl;5?#_?&V(K|~{P01Nq@?ZF~>)*IS-Y<^F4?V}|ZW@KU`&<^? zeMP>zMaH7Lr4;J!Cs}m&3;FKW8jJ4AQ>eQQWzk&?^4--j7Ts+@q3#;XqPy+Lcek^# z=x!JOrn`dwR@>2kzB30BYsn!TN>{pZ7>9EtJ?P0X9Lw?aqBkdU5~t9IQ#p+@IFqwE zhjTfP0i4euF63fjExC+XORnHbin*F03?$9K_2E&9^-MI;3=NwS)StsULw|#SBbUcb>84D-eC&wWjp_w>1vprT@7>7)evt6 z*~KqU$*L^*H6`(8kfd@-s%6Q>DTz0OBn?v1C`-0a$xd0adrJ1olJ+U-lqKC$a)cA_ zCe3$+98(;R$9ay?TM>nNJ6#sNokhO4fySb@3n~ z*@}8JpdpRemc}$?JGN&BcA_~u(~4c#mEG8#J=lwV*q3(fM|(QZiOw9z!NfY!g|2kx zFpl6TdT?}hot&IzY2R%A_Dl1(e>Q(FP08h1a&1bk&yrhGGB!)@Ny+#unUsKlvRwx&>TJIbQB z7UX+tV=Q{xlR~|9ltpg`kngRVvFPn^a=n#&;-i)0L%E(C7|uvWaTBAtg)xlfc48g5i*ek;y^Lo96M2wW zM;_r(Ch<5=@-)xz9MAJ2FYyYm@)~dOCU5f&@A4iWFqMy(&c}ShOg?2cpYa8;j(p8r zzF|HKS@W6|3a6zc6|S@brUd~Z{XMQ`s@sJB_N=xq-9-sTyL-WHJSt>hCQ zD`YGAfgf4T&#d7$VjcODzgR~(DzF}vs6tiNX9KFU5jCmBCe&s#>asaovK94d$kuGb zwltwB&DeohN1D@umb9WZyV8a|h;?Ld_Mt89*q;t`q%#L_5C?N8UFgPP9L|v(MNf|A zSdOO`y*YuCIGH~5?mTkcm3->sGTC6R;7W?Qnjs7& z){+|-#t24oBR6p~W4M*uxScz>i@Uj(`8Q=cn)w{aBe?IBt8_9&0>I8X2t&+shI^8zpN zGOse3*Ljn-c!zv%9~q0@KBiD_U&^Alx#W9WVk~<5mO{P#EQ{WLCEr^)W6|4s&S5&PZ7O2k&`)vzMRVGoWWU~%{lbvJO*+; z7jPjLb19c`IahEM#azP>hH^czjtpl6qqvdL+`<^fX4lF1G)pIXv3S6M-zqVwcb%*L zdw+ItiK}G$;&|NA^Nj9VP^h~-WzpR}h4Tg zbk~o3cY};YcNbBpyQ^i<-L>Sq8)+=MyNO(PC7=4ZOE!*sxR>!vU?L9^Ysn)#$|N4= zNuJ^vp5u95kMFq2Q2&1ZZ;tR-JFmv5NQLKd-v zWyD(YJ6wza&5-m-$!S?~Zc5@dLozre zS7ym|DH)a}x2ELwEV-|Dy?@;w#GiU1Wlzhzsw{PlyjUEMFMF2J+++$h_r5Hen@Ya9 zS;nHdITUJcfh?L^Ouo4l#-h0&DAe4avS@A{`R1w^i{{p+P;<3q(Og~f%{4R@&22-j zxsuO(G?%rYC9P=9uC!qfVkOy|eP~NN_NP4^>C6Ef#K9a&7rJp6hjS!H(UYS&mgDF} zZ%*JOPNoliIgK-jmE>&taW4HCz(59ZA+eHN!lex6a;~J9s~N(zT*vhcV>lzZk(;=g zTey|6+|Hfc#ogS)eT?URCh{N;6D!GMOyUWios!MqX=!fH$maG} zDVdigOH=Y)mi&^E-?F59N-Abajg-{Nk}XnFFH5#d$qrevOGLS5T&bV zgjvjH4xjS{U-32bn9l+hv6!VS<2$}*1*`ahpIFT=tl>BQAl8z#tfM>?s7NKMP>oni zHl#W=s7Wn0r8ae_%NA@&J?hhtMr=c4ny?+s*nypB&d#)C7h1C$ZP#B9@!i&N^>}FG_3V!rR1C}xgaHRqanE_B}21hR7&DTLo%-Szx@-0 z-?~OVFOJ7AJxVs(!)JV5BDlP+`IH}pVGs9vqRt9>nQySzxamU|KXc^b06IM-#GOv z{BmE}yR_*)U)lT5SN8t%mA(IbW$*vyZ<_o!KGv7MviHD7|N6?_*fK1!TrZ{UwqT|6 zRs2Y7=ziuG%5Dq(a=w;wlxICE65E2RRAU2TL$?t%D7!7#%y}I)CpL6tw*^}}j}6_n z#D=aZ+fjB~(876a=vomQx?S0g*cR+bZ0PnOHgxUSkM?w+69-^J_qT5k9&S9g1xHb6 zTX2Fbwgo5iAKL<7+54UUMPp-dCb2Q-N1=_uMY7l!TuOdpaJ8}67+g!CjloT_*cjYG zeq(T#vDg^gL!phqBeK{SOd`KAc+OaC3|^$r#^7yPYz*EdzcH9@EH(z8klPrPd|>5k z*<8M1J_}hy%*bWLjQpPEtYj5sXXF~^F(ZHH56aHS3eICjR-!UhsYcluS<`vU$W7Rk zvNLi^=P@Je(}1mMMA;eH%z4bn9odPpGqSbwn2~KLG$Z$y#f%ImSl2D-pG>oQ!+J6=A`6{ELo6}#aZ%0N>)2@YyZ8gHml=6t=BG|ZCiQWEcCN&JYqe}CB{ zOZH1iyn`j_nv%n^=Et ztBgf&KauOLaqn}QjhvHq!HWDm?msT zGj?D{nzJ)4*@f2ZMjQ5EFJc|pm$vN3{&b)tojH(LM-Jgoy3&oqID#YTK~Ik1SdOO` zy*ZJSIE6l(%4wXzS)9!|oXdF(;Cu#gAr}+t$Yl)X3a+G>YZ$`N>^d2pX6cx0{*FuY z_ug#&KAMupv*h`dyp$#Hq~yIU`8Xvrvt({c=4Z*bDOsK+zoz7mELkrlm9u2ylx*t6 zb+W=0vPE$`Zsj>fZw)Ba+xD{PZ71@*?P@G~+nqwawUmEp1xjN(Q{b2DQYOROVza3|xqn|ryB z2~6Yx9^zphRbJzD-sCObVG8f@0kMvJ#56u;1~Zw(Y(68_ zkuUj*xy)le3t7Yxmhvs%v78mG;zxesXMW*Ve&Y}RVlCw;&w5m(GF7O?2E;nD5jEJD zT5QT@)M4}NI@vbO(x%z`ZIR}0t8D)6lah8>azIKB&XS{2a&(rQkdl+Lq+d$nWTh+Qq2hRa#B+?^CQ+!j7iH1gE9857+gSAWE`@sg zL>9e$O1`(RjYV(YP^h=>WzpM8^1ZDw7QOvWq24OVqPME#d#hF^uJQVja1QaooebjOTtP@?dtIJe_9gbJ_fzoaS%bUf4rTP092unUj*Z zy^t(U$+9f@AtiBpAz7D_3R$v#N~&i`-IQ#ZCEKK=NtU!sNoyyrlU1&eJ&WUUZ_hD$ zYfGWt4w6N0hm!B@NMq4kPYU&Rk}P`bL%z4OjYV(gQmD5}WYODT^1Tf)7QJ0Zq26wm zMQ^u~@9l15(c69GdMo*$%44!gJi(JZ%`-g53&c9|GOsY1*LZ_Bd7CM`%lmx5R6b%l zGx&sAe99a?;|spzYv%C{3s}fvmavTPh;?KIEBS#RS#4`kxEpd zD(kZW)!B%e)M68Avl(^SoGqzGeHyYg+psN7Xi777Al8xQw4f!eXw7c4VUO%O>5yh= z=WPCVP4hQyFURMM~oKLNX#HH)hFgDY-LC9!SZMR!|JsJq6p=x#gm-R*2Fy4!_ZcO@TH*;m$<{n(!l zbfhx}5^KpJ97auTP|hf_I?GdPp8IfrvOj{%&|ATH!$ zVlBCh!Cb+W6mvC07)q=qH!zG5jO0c}b2DSOmD{+TJGqOyxtIHx!2LYHgFMWmJjUZZ z!BafVvpmNOyhN-euQHj}d4sojhbg?5T`M!wES;Ur?zw4p$1R3=zdR+YvgFs4#4U!T za!RUY$;K&(TMS8qlr+kc?NhQ-mh7IAy|SczN;+jp_mmvr#C7tcE998scs$N?jNXbU z)Z6K@=y$v)LyAfLKR1q6QmNi%qFb9X2P{k*%ml0~*qZZD~wXwqtvC zU?-ZhGp*Q#UD=J@*@L~Fp)Y~Sq=xsCdz124sy=_gQ z-gcBlZ!O68*2Y-$wkL&p>nMxf4j|uKH)GM;;pBQN`H)I4SrI32A}4bSeL0O-N6zFd z`f(2ZIgf!1;sP$>VlL$}F6Te_%k9KEau?&chkF^% z1Sawzv5q{#qfFv)p5$qs;W?h?MPA|+Ugb63;7#7<9p2?VK42;zF`bY3gqeKGY(C=) zVjcOKxqQQX7P6QnEX%Hw)oGTl$>#66G=Jk3!L}SoHP;g?f8g7QIa--`f;p(cAkJ>TQ-RdYePOw|T~*w*};S zEBQCX6|$B5z>lovXV&l=v5x%7U#z1X6-56Kb;=b=jOP*^2r! zWNWrzTbj_6X6!($Bh6_+OIp#IU1`G}#5%G!`_Ptl>`w&V&k<6QbPfb$u|h1qpdoM!2eZ2pc&^EYlW%=tT0 za(9+IkP^2TkvyA{7qaB_l*H|YWLipQWXb0#`6^46rsTUU`6(s8WJ&pyRCMAx`Pmh+ zesMf*=s8AjH7L~E7P9EA9{JuH8;jnyqfl?V$fCF1$oICdvFL3-3iWn~EPCrozPBF6 zqPJrx)Y~bt=1u=H5+RlV_n zx%jHywxyq;Ygc->U+LlgrHAcH4?ARs@!`FWrK6on4?CA09#DFCVCmsO* zQhIo3>0y`B!>;KteN}JQ|JtwWUEK7auj=hxl>O=X}AJe8tzyWgg!!p9L&r5sO*EQkL;8-|;=mS;0zH@dH2d6RY`|Us%Jh z{Ko&{_sx05Eb@w3FpuyUkMjgi@ifo!953(^FY_vsd7U?Si?^A=d&HLSL#8r~>C9jzvzW~sKIaR* z;%nwHp9L&pF-uv-cPwWGtN4MRSj{i2;Wz%^Pu8-I@>HNAm8n8CV$1h;TZc`J*QO42 zDZ6!O;JhJ?h%H}ZnoxG@u#@xV>`Y5`p*68}*quGti`eq*OIylr9XdJh%z?y~uk6;L zyYtxc9YJjQdeD=yTZba&vE@6F*z%o1A7blpI{M*(Jf`CI>_FM6*vffK#a$^h72C;TDz>KsWvAl7&SNTe zA$GCd$xp?jjm1 zOWp~+#qk)%W_L5=)4jyR>@H(cy32?+x7?Y$l9Jc5fpfrt>kMFq2Q2&1ZZ;tRr7Dmv5NQLKYG0 z$TDIb`JUyhWEDTMnpj8H5bMbA{J~$Wr5qKAb)*uNsY*3ApgOUR)MR5eVN*7v4x6(j zv5wTI0bA3EZD~TRBh9kwq;;C5ZL;~>HqGC7bIY7RG$q}#q-RRv%`Hivl$@3&=cXjy z+>#7V$(31hT}p;!$*n25JxlIO$^BXKL`t4^;yU@&74l+nJihEXMsJfT)Z6>A=xr+b z-ewt#-sVuKw*|83Z87=YRv3%kexOiqf6Ah_b>w@iVk~-FpF+LWmPK!M$@kXKSoF3H zx!y`X!O~pTf|j(RHM`P=J&1K=Z}y=r?bx68bfhx}a1aM`C|&5rVI0np97Ru#=2(uS z7ri-wlQ@|^^yM_pAl8wy>BqVBX8;2k#D&BfSc#@}imgjgOyH4Ipvvf)}f2XDS8*grz z^IxT8UY0CPNxZow`6VU4Wl8yz#G6}^8Y!ujC0nGVUY2Z^k{z;Smz3<5CHtkMgA>=u zZ?2GoisSJR&oO%IN}=A4kwtIElke>mW6|5G6zc6fS@d>3`Q9!w7QJ0Tq26wgMQ z_cq2@^mZGCdYd4N-X0*|+vCQfx2MSUR`Lm!S7noVoi})kx0%9w#5(dJQ<=teX7CBK zn9UqM=L^2#YvwVZ1uSAQOIgNue9sD2@dH1xnqOGMZ~Q^5BWqblc`8tmN>rg5v5ss= zb!t$PT5L*f>QI+0*phnGry-5lhQ>5uJDRZrJJFn-X~{0MW;fcf2YV6g$iB2?KlY~s zo#@Pg*>!S6nx#Fm`CF9c?}^#`Ju4;WWXT07xj0L%Ny*SG8I_XJSu(Eozx_k@zq>|0 zFOJ7AJhH^czk_=}AqqvdL+{_rp5-Z6a+{rlZ z=3d4#fr&i8Lp;o*JjUZZ$x}STvpmlWyu>TK%4@vNo4mz4OyNB~AXbu(n8wGA4yXTBWcP~Dv)c|R;A zBeUezl*CPjz3nZF-rADyt+TP{ z?H~&EcBCwN>q)-1-o~Q0lgRZ}@)?%1W&Jpp{tRFsgSe1bM=s$~26H)AauruIgloBu z>lwyyMsg!JaWl7YD`UBxJGhIxxrh50&;3l~K^`X7k;j9Ux0%Abyw3+rnr_A9qzThjqW**wj3G=JkJ!ewJL3l42*WlfPUc*A>U(4W484HiAOE-6o6P?j+ya1Y^_~HVrX{=3n%!u_9_&S| zBm2^p{n(!lbfhx}66?qz97auTP|hf_I?GdPR0IfrvO zj{%&|ATH!$Vja1R!Cb+W6mtzj7@A!tqth%Mlg;08z5n~asCTVvWQ*c>+{&|z<{D6_ zx$R}q+)m`1+tpY!w>yQJYcGrDI+1U#i?L{~JB6A%P8Q7-k#DZAv1sme3N<%S7R_Bi zzPZbdMRQk?Yp&!I?89Xv7{!f@=4Qq)mRL#d;7-PIH}`TM6PU;YJjBC1%40mvlRU*U zJj?UEz)QT$tGveRyvbXv5W#gF{N&-}u#{Kg;r#ahZyp7p3mWvWn(4TzOwBWkcQwb+!+sKe&jm9lNRQkrI0 zN{h78|LZ2hFMix7{o==VS$aT9<0ivv$5H9e9i1g7q$F-KB>hs-KT9r3$)#CxZAz}s zlABU;OP1V|lJQQws;qOBJX9Qyk9eNZ-6RTi_o6Jidxd;=ZySs5-lb4?pU9%SPsw-p zwXx{#8wz#zy)3$0Nxr)^#-h95Db!shS#(#Ge0MdCMR%K!>#pSA66?zvur-a?mc}%t z8L^h^$WF9iXIilfyV8c;*^|B4hka?s{10jmdr^>++;`=r({`{ z{E(8k$&jo|Nrfy~KPA<(q;5*K%#v+V(j-e-rlhqKU)1XYc^6-&>n9?+W?$6XE&Bvr zeA=#i>4)hKD?L2C^zew%!y`)%k19RvksZcg*0Xf<=+eVuN)L}MJv^@T@c8U7{)S$q zqeZ2My&X=iwBE**SCvbj@{8Z)SMI;!n|fz9E%PbAf4-^rpKt2@=bL)}`KI3gQT9!}mTBMA8;|kw`v0(Z-$7DUecPx3i7Fx@f&mARAO;XbF=Ie6X9W`j zN)QtuC`OWlh)Plsj3fag!Gsx%m=zOdR16r1BA7YXZ|(14=IpZ1ALlu5ov*&~t-7|o z*ZmIFkN4WE*KXSBwiJ4KJzN$quSb!8c|FNkyu5a#(97#tvUqvzPX6Wf0%P&=+J{0f zuUE+8<#izWm)Bv&;^p;P3cb9JmBq{Jc=9i=6OF~o>lAV?uj@bZF+(;uAjQbH3n97V|aV@*UsvBeC6E z#&TA&iq-tWT7Dz8dw=p5>nKMBDpH9mRAoapqB@(fDVtG?+H65xwq$GSu`Ts!z;-mE z2~BCnj>LAaIW1^OD_XNVZP*jrz4*=U|C@bNZ+Tb9t0i%K-E)lY-l9-ZBK#-h9O6zXncS#(!}e0Oz>MR!|KsJq6p=x%%R-R)v5y4#h1 z=CdHHPOK#Zh_z%e zLnvV=!x&DiCD${8QH6Sv5*_dm5Hj;DK$(OWTvdOJrJy`4|K zw~LHLZ9e0M!vUs#-g|9 z$@RAWV;^tIVjWq)JG{sHEaW3%9r={cSi~26#bUnUJC^VRKeCi%tY8&C^9yVEmEZV- zKlz(-#5%G86{$=Ws<9EVj%-4#BQ@EK&8bZtwj|b(ZKy{P^=U{W8q<{R*?}F|nda<5 zD|Tf!c4rUvWN-FmKlbMU4x$~0(4NCMf+IPaV>piEIgyj;z$w{v(lyP}9@+fumF91} z6XbUH%9ISsl50{D?*vJ1PRT7ITCsOismb{#j*Rtf@lzfmSU#8@1 zC$5tXTp>S{#PKK3F?w50q27L%MQ?wR@2!%t=&dS+daEUi-nJm$+qTA{w+0mIZ6{gu z)`EO*ZHz^4dr_#jgJsd%q2zlz)>!m*0=eGSf9Ru=te7sGNmshjgPz1XavtZ?i{4yF zUoN6Qmv9-Eb0q^9#1O7xC|7e0!?}*@8OdnIa3eQyGvm00+lY1KP9`vk$=tv%#Jw9L|AMpvXj(pA{ zzT_*u=3Bnw`|LVdoo4CUZ2qoG^EciJGUuzOWYa9Eo051ZNYW%F&9bCrO5&X$N!yeh zm?ej&F30CQqdK1SxFqP@EoJJffVZPT3Pfqf_!gdjYV(c zDb(8(S@brId~Y+1MQ^hy)Z0_C=xr|f-sT&N-d?3pZ|}>Zw-3qp_Jy(NZ85pt)_>q* znQS>LS;cC8VJ*KA>&Tz{#X8DSfeolc6{@l!8&RE2*p$tvMQygAE?crS+psP5X~1?g zq6tlD#*V}~(wr8wq!q2%jW+B_tRwrdFKyYM18K*>wC7L`=LnACXpZH0PT(X?rX#0t z8fVan&UE1{y3(B<^yFM(9l3yB^x;DKaWVb5G`mhp(kva8&EHXJ{@#$y-#bz=F-z`F z$$eS!Xi6T>l4n!$LYBOhl6SJ?XGlQv9aiFdkXcot1NokoqTWm8H?Typipl|%A&Vp$oJO4SoC%(g?j5I zi{8#A-&=2E(OX|~y{-Si#{k(t1~Y^bhBA!d#5!_4BN)YKZs117GLG@w%5B`iolImh zQ<%y$?&cou<9=o^i`hKH!#v6y9_LA79eIYiJj-*uz>Cc16=EHEoi})kw|R&6c%OxQ z$j5xbXMD~Ve8pnE;aisQJwLLPWvpN&KeL)OtmQZUAl8w;Sx0#)P?5@1p;~sGY@TLm zooxOVrTH7T7hW57PRTA=vPVkd_Cj(<=Vt%e;w`SR(C(n#RSWG6QdXRP>dfq?&PmDn zS#n8AF3*yyQgU^cj7iB&PFyLKT_d-a#PN2|GMbw}q2}(DMRO03Z|)Ie(cEJcYVLVi zH1`tu=H4_G%`Ko%bDzqhxkcog`_5Q2_XCBR`$ZPb{Yt*Ma>k;$4ahaO{v#io$ZAlN z&DfmU)L~0vCE1306j7gsY)507vOPPnBRkWaU1-Iw?8ffw!Jh2RKJ3T-9Kb=e;}F_& z7)KB*$QNq;>V>s6lE6GSkF@_tsiJKY6E!mZFSDK~Mv-vyIM?+@G9?Y_*Q#LQlUQTm6 zZZTXdAEe}?Ecr4eaf=~Yo|08r@_S1D%95%n*(ghDrDTgNX^@gePFyKfTq8S_#BnFj zB${hMq2~6IMRWU-Z|-1Y(cGaFYVHJCG0 zXq{$hn{58JP4m|+MywI>&hb&{qanv;X~&etZH6nNd-}PaS<)vZaho9-n35q`a&1aR zWXbrH+?FL%QZg+|W~by~C$5#Mu97E8;`o&38QsmLPBmL%=Tc%V zxq>Sh$RLJL!cc}0Yss}-#|TC;nj46^Pi>Ui!51^lDN%~tVzjlSyDbFahoBjk&?}_ zWUG|a%aZL=vSXI)nv&hK? z+xovtd`32xXL*hnc#-+MLaZaN6YI!Zyv;kj%ljI$i`Hs2Ai@On^T)Q)MYESrXJf;pN4El zW16r%&DfEhY0fURWLH|VJ8jsLy@_>XKiYBt2hxs1XwPBUb#h{wr5&>QTb$-^++>*Z z=clB1mRypOxXF-Qos!{MGA1Q)lOdUqlF3lE+f=WR^Uil9#e%K}z0p;yT&T z74mUO96$9OqqjvA>g@+v^tP0IZ@(Ce-hQP}ZyU&>x60&u+r(J(R+B=#Z6k}`ipclY z)L8Vk1BH6qO%}cFLB6;BjYV$!hrW-N9m8?NI&vZ>(ScKlb>wu;pqS2_$ys!x z2WN8*=W;$5(3=bC%SBwwC0xqoT)_YaF_^0;;cA94oa=~nWF(^)!wuZTSjKS+v5wr% z9ZX;%levqjOy_Ry>GNQ zHU0M+djI_ebN`3_f;p>dvE5m*m9^N)T5N|_T<&zvpcBP(rVD3s7G3E^cY1I(JvoPS zIgj(XfL`>b4;Rvxeq6-G^yd;T3C3eva59Cq1zlvZE$GVs+7|eR-i`bljg7&1#Kxc(g*FD4 z$zo%0CHalPP-C$%xQ0R-gBxYBF}Ruh#^6q4u`!rLp^d@)ve+2RB)>73V=OiXPf%!M z@S-d>1}~G}7`$yPHU{sK+Ze3>pvvd6MSRIue9bq+jQpOMkw3AN<*cCWj9lwHX5{bu zLD?Bu!FkNcN>rvQ)hIh7H+3E}vKE_Dc1CXPJZ9v!6w!c&l%0{yoX3pZiJd7sBU?L< z8QF$HGx9)L%*cZ|gt9a8DCb9WEXVOrGx9X!r_+gI%Ff8{&U?@^yJ6{q5VN=9eN_>{!^SCVNdxhG3zrzGCLlFUuXb6N6gO5Vtl4^#3 zC99pdVcFPK@_R`f|MVQAw{^ry@=v{OXgqqWPOKzld#mj{daFyUB>&XgcE+Q(Cd5io zwzuZaqqmmCO7c&=?PEMvlD5Q3Qnt7D&ZD=(If8%c?Ih!|l62%0%J$aTdGvM`v67VO zZT&iOf$?7S;X?Xx5&gN8SVyiP){%h>VhC3;lwn-MwOq#tMlzZkh;?Kvv5t)A7H;Eq zVjY>tB&Ki|)0oaZ+()bDo@Ordc#ao%k@>vLtGvb=yvf_V zL#!k3^8p|75uflGv5tJfmn`OMzU4c<=SN~4S;lf!vWnHLA=Z)Kvg@Q`nx$2;`CB8+ z-+2GZoUfOX`dPAlO5*)1$?hrHGfNIgNxXk0IVL5?XUVB4IU`HXPRY4h(l;d+XUX7{ zlsIvnRCk43TN20XJ;&&66oq=bRTjP7LB6-C#-g{oDb(9Tvgqwm^1VG{EP8vELcP5% zi{9QM-`hfC(c8xq>g^j@^tOb2Z!3&NZ$FdkZT$yT{+6wyJQb)&C8|)3SVuOdIyKmo z&8S6f>QI-h*qVB5OMM!$9gS(i_B3NhcA`1E(2`wg&F-{ePxdC(k^N}P0USs>4yHYa z5$nj29K|sl%kiAZNpzqir*ax+(235R$ys!xJ7?3Ab2*<2=uIE`(vOSj&!t>WtRn*$ z$Y6$0!qp68cy^uKlxFF;Z2nG2^EckVGUp#i$*e4SEG6E*ifcdqy5*(x*DbGP>4KET zdsu#MQTn;BvgC)9EX|T%Q}RcaY><-5SyD44n>%r>Y~m`}sw9rvc%IQ+5rw+jK^EQZ zOuoC_j74{QP^i0uWYOIr3FZhbD`G)UU!Vmn&QkJoTRs75^tl?LF;}8DgZ^{vC z$p%!UGF7O?M#NgO39**cWHUBr3+k|CcC9o@v$Sb8e_N#a+bWyC`=(_7EIBkKM`X## zDLExex}>CQmh?)=g;{c0O0LY3Yf^GumfV<BmL%=MpaCa;{_mgBZe94CQLBVK~<@f{~173^#HUH#3e~xQ$px?qmX!n9N;FV>#ZS+X)Eaf=~Ymy!xuvSCW9XGz_Z zY@H?BrKCxgv`k5BC$5uCT_Jmw#Bm?bF?wrDq23OcMQ=xu@9iXG(OX9f^>&sldh1TU zw+oC#Z+$4#+ZD3tZ6NvHh8c_AuBA|KV`b6Xc=EkXG#0&0A=lgb530>arzUQ;%(_PXo52 z5lv`HGj=4_k><3ZC9P=9?zCag>^f$oKY*vFL3Hg?js07QL+@-`n5DqPOxC>TP3L^j3p>Z*`1CZ(C8Qx5l#QZF};) z?P4r?+m&2z>p!HjpR6qha3JkCnD!h-tRqKq6vuEZ$8!QF(SeSf%4wWICpvQ`XVH!B zoJ~*8l% zP9`#$DNJP=cXJQ-@c=WJ#cUqpVIE};kMkt4jy%I$p5-}S;3eksN_L&RmuBh0Z2m4v z^EYlU%=x7$S&=2brX+4JB$ZQAElX;qByKMxMJZ{RB|D^K=PcPHC3|PdK`A*TOO8v) ziB4Q6o4G!W6|3s6zc6NS@d=_`QAnvi{8disJGi? z(c1*_y-hb3z1>Tp-X4)fZ;z4hZJx2{?Rj#&t^bh9o3gi9z&pIh`z+)mVjcOE&sfA4 ze8pnE;X9V_13$8qWvpNoKl2M~_?6%IgFpG3a+GHSDpHv$RAVDz9od8$)MPU@r#5xi zl2}K!p&mulry-4KOjEXJ2XpiEIgyj;z$w{v(lyP}9@+fumF92UUYPS&resi-T$7Txy^!3Tl3TK5QcB|XLNc>+ zv;W*o#K-ZUNZHd__Hz2E*Rr2_Hzglr$(Jel+KDTrmTTmPk~se4Sw?fqDb(EWvS{uv z^37E;7R^h{G}nMa&Fv(M=30<%u8py1ZZ8Tocd#s)JCuBL#~O>~ zP9WFZ`j4q}k`>d1GwDhB~j*=MpaCa;{_mgBZe94CQLBVK~=u zJtG;-7;fYyZe|>}a2v6b+{pwcF`2uV%5?4_R+9U9fSJtVK_2E2=I|I#@FY+34D)!7 z=XsHrc$rstjW>9cw^_iuyvGMDh4-ubT@*0cVmr3cjGD4-4t1LH;sIEGmJ%d zvnkZwQ?lr8F8S`}8;kBCv3WkWWiI-9U5n^B9}Y(ZVNWNWrzTk6w*?Px?3n$nCNiM6CTEoezA zTC*E%*ppaG_F-SzvOfpXj)Q5>p&ZT;9L3Qb%kiARNt{eaPT@4ppc9?x!dY~sJ3Z*h zxx`v>0lnzMh4kZM`g3V^t(2r$IxL&Nqtg71n+)^*j+9KylDkt9HyM&gQ}TG0Je!iZ z$&kF2l6SJ? zt+BD_ZF>s!wyP|9+ns!G`x%Sg4xmtPN6MnNW61Z`!C3TmDusIMCX3$ACf{3cW6@h* za=oqpo5TUKfedB{B@AU4!?BL|p5FMkIU*g`e@}0GY%ad1w@>LO=`JjN*thgyztV>n zl|H;Udl(<#>t8y0N$JB&OCMfV`tb77hgW0|<4?G^ncv`7bh5DpMI9Ww6m@i5S#*ly z;G$C7Ili_UZ$Q*@SNgQBjE zvx~Yp9#EyIyMHVw`uAg0#qL>(?KX<-Hj3T16x(qW+i?`T=PdThRczN$?A5E-t5>mm z&tkieV!MxG%Tlo&NU;bq7{2ZD|TN~Y)4XTM^fzG zrr55e*si45eQB{*w_-b!Vy|$;Ug3(pUs7y`Qf!Cv@Avfn`#rtIR{CPQ{bH}u#a^Y0 z?f8qmQWtxrF8(h*@|V7+cb|swJ-xADkG6c@z>SP$9OJo_+qi=}naE_OFqLWC%{|=5 z{mft%vw4Vzd6YRk&XdHJ?-}OuEYI-*FEXE3h%Mjiyun+%%{#ou`z+)`KIRiX<8!{? zD;Dz&-?D`7`H`h8V+AYunboXeEx+*xvE}=lb(E(96{$=Wsu5ehf7&{1ZoD>is7u+c zLy`0PG$gisjcG#Jt;5dFo3jfo*_GDB)?p9!WN%{2w;ydOyLC9kd3z2cwtQu`4#zo< zE#Ha6mahXHDZ6zjb{<>4Gl?xy{jw$;+y<3=|x0b~5c0UqRaRP;=;=Qt%iVu*VijNqJsrVR$rsDImn2Ilv zpNel9i>bJPLR0ZmSxm)6&+&Q+6sgbskf32X>_FRBYuurs8fCnu`0&Vk#a)JIYSQBb>)nJet_W z9!GvEo?;BM1Cq>X>0(47|cIS#p{e;&qzjLDz1M& z^k$F8aZ7eLb62{Tn4aBb%uII~4`z26Pp4#Fmb{#jc!SG5%Lgg>C`-OfNxZ=&S)P(r zS@L^I{>qZ7DcLAXYNce0ENPIEMo!$#)NzIEP!h+TJjdv*1+j$uQ*V12k0oSZVhJhR z+riGGw?l~~0PTAf@JCELOQJJb#V&T|mq!ydA1$Eext%-GH zTZ(8vLmJV9SVx*=*GcO%OWS1gw{4og-r$Nke^g42&618Oi8r?--BZ#tOZucF-rSN5 zOv#Wexi%#uvSfTpZp)G>DVdffvs3c06W2*ySI843aeT^ijNax_sJB;T(c2s3dwbtl z^!6czdRr`u-o7Q@+cIO(+e!-c_NOd*TSvaPD#oI>4Jp)HZCUhImwa#ajYV(Uk?U>! zXIPrcTF{bKv}QNjuqUyO?8CmaWq%IjAP%NIhjKVaa1=*#EXQ*KCvh?zIfc_WolbP7 z3un=l?)0E1=MwA41@xj17t)W5=+C9ZI&uY9GLS(Gp@g9f;~K8zIz}*((cHj|jOAv= za|^d|2X``&Nlf7`rZJs+xQ|#zW-yc4Jjla5${Zfgu9FwiES;at-?!5IjW@T<`Oi}F zMV2f{NxZowS(B3AvZQ=U;>|5djg)MbC0nJWUY2a1k{z>T*Ocs@B?qLWofFr|madS) zOX7H>=NP>mL!sVIl|^r7kngRVvFPn=3iZ}k7QJ0ezPAC!qPM{m>g{@2^frooZ{v(b zZ?{sYx2dw|?QZhD%`z6fJw&dzwEjP3{2Au*EYI-*FEXE3h;`(3-rz0X<{jSUeHQW| zAM**H@i|}c6^r?XZ&||k{K!u%V+AYunboXeEx+*xfATl$C{G0{Qi&>5Bi50PsZI?x zWivLXHg%}WR%}f@wxvD|*^b6EVSAdfBRkWaU1-U!v}SkOuqS)75Bt%U12~X&971~z z%dV3X(=6?f&EMiQf6vV3@A)a|oh6r~O;$fCO<^4&Ex7TxVYq3(8*MR$9U?{0r%(cMAhx?6uMdbI2q zj^lVvOB$pkZZRY+Qqn3*_Uc^kKX(w39h$Nuvh0NPQzvIX)g>ieow!oAc8#1< z636pA%V@3_g_^re7R_BrzPX{sqPc4*)ZC4-Xzph6&E07%nwvzS=I)n8b2G^|H^*2s z_XLHSdr=n6y-dEjw~a+}?~-e7{U=#Imo4H;zT#`X;XA%3R+67s%5qk)il6y~wfxHO z{J~%RO*tyC0hOpsRjRQO8?y_`p>akAnQdRE~Fn9 z(Vt6+b>s@JWFUhW!c`1q7}szu*D->TjOGSzWGpu`o?Ez$+qsj8OkxUmF^%cm!+pd$ zGJ~1S=0P6j5$5nXv5q{&)68Wa&+!5;GM|@umDhNKH+h?Pc$fG2fDieIPxy?_`GPN5 z%-4L&cYM!}#5%H!<*Z~Ct69TZe#@?tifNWs$>wj3G=JkJ!JP9prnPYAkxYn?k)kB#Yi2CEwdK#-g`pDb(BRvgqwC^1UrI7QKB;q29icMQ=;U z_qM`V^!78k-qwGHFa5Zf{#?rC#5yv7fedB{C0xxghG*BwO=*^n%jWNd zG=JkJ!<>I0C9|^Rv6Q&Uh~%Y|ypkmgQW7^9l0_-`DocJy$6;Y_S9c0nl&g6UB%~vLcX`7jYV(AQK+}m zWzkzP`QCaMi{8$mP;VE>qPI)P_cq8_^mY}w-qwGLeWYv@W4M8v7|S?rA=Z)Gxq}Hz zWHM8j%5?7LUhd-oW-yBfd5A}Nl*f3SCwYozn8&j`&kMZ7d|u%-VjX#tw^+bCyvO@2 zUrxV?~^oRU+rq)STT_CnGt zB^PGNWhuEbORh=Dby;#_N^Z`QNh!I@iR&ajU2|_q9PjrWqqmt9>g@?x^!7CQ-d;2o zy}eAK-rki(Zy%8F?Q>(%+m{sT?I&6Eww!!#YmG&3zf-8UO0wv!D*4_vH5R?qBG=pc zzeU_uRzw3D(ul@1r5Uk~?8MHrU>91kE4$H#J=lxA*_Zv;p949FgE@plIgBGXlA}46 z<2Zp6IhhWe!fC`h(urcaa3)>pMh|)t>&SVWPcM3NA^o_B{#?RkT+WpYU=TyNilJQ1 zH4NuEMlh1mjNwLZ;%3Hi3%3#L$em1J5|g=$X-wyy>^gZk&C)s9{GFTTZ`@v(^KYc& z?JW5)C2@Np`8FlrXUWQx#O;M-T}mos$%ZMZo+WitvUQehmy#w~(lRBjo%n{{`sK>a zcab!X-{DtoaP|$oL$c4##pmp^t#fA z*OxvVQTlLX>BCXk!}t?MmyV7peRzY1Z&s?{e?j_q-~ZSb^nTj3%*Xrw{es?qzo7U3 zsNX#2h4SBzOfL2BU>VChsuki+N@;2}AF7NXJAMz2O@F}131z)n5ulbhm_?{n$-QF^m zvyxS;<`>rT8?oE_lfPI;IVw<*N>rgL8?q7A*@R8mj9S!Y3+l2ZTT_p1sZRs8qY+JL zN;7sOc6-ffK}%ZEn%!x`p4jdE|M>;I|9J-|_Ce34J)Xm1IH+WT1+?X4l--rvTez48=lZ(~`sSA%?eb&N%OTT!UJ#8;{{$~KCfhZ|M$`?U6}337o|OUycJ~rE=|dbEcrDh z@m7$ea!RUYNzIhRTS1bdlr+qe9a6G$mh6#|y|d(?lpNx(zuRZm>v8G#be$-5pX|lO zf4LsV(>>4Vu9!mIog<6x&L`j9MaH7LODNRcRkG;rYVzHUG#1^Bp-^|X%c8ppe%pb9SK>yRsX*vj=;!H~X?5`*Q#X(T+oC&tV+FksQr29LMpT z$jNlzl#}eQW9?mNoIEbum8*S z?OY>2l*I8T&oY`@PNC+0mql}bk#DY&v1qO;g_^4+i{`c<-`uvwqPYeXYHlZ4G}nTB zb8U=8b9+&!xr1fV+@a)~JJwh-sldPC7oJm)@(Sx4EN^&0O(~I6*NMA0Z zKbLSBmvbcp7{m~+VklR04a2#P>lw*t#&9DyaWmt%h1-agRHkzev69@+ z1I%O=5AraNFo(x@f+u;JXPC!xJkN`~#LK+GYrMgmyv+jM&L(WiX4IlKTTquR*_v(GmijbcI~vi1rZi(mVl8P-3tG~O*6caLqCx;vYEcfE~8 zcYVoqxBinK17rgk%n(W#$}ol#YsvMDU=*Xdfg2giIL31;w{Zt|GLgwlVJg$On|rtq z^WeX_6L`_j&*v3lEqR?cc#F4rhxd4&g?z}ze8OjZ&KG>eV!q*9mhe44vXo`4Al8zf zSO@*95;YsuflT2h`0RHQOhsFqzTo2OY?C!4=TY5vB|hB?1;N_NSTJyH@k8AkQArn%q%%4CFf_!B`LW)ORh@E)mbtoB{w;7oiuTU+*%UH+daqVZ32aQ zyH^&yJ-`fRF`I{YghzRd$9a;cc!s$=%k#XzOXPcd(^&MjfI_`}DvRD0k?-w0W6|3W z6zc64S@iZR`QFMIi{3UM*W3C}erzJEK}|Meb81tEEs1qx8|qO+eHyYIjcLmE?7)uf zOmlXj6}z$! zCSB=956!SPnx)gT`8zYs-v_h#`*cd?Wy#Aac`ZvmNXbW8@?}cC&XVORS(PQfr{u3JshX0F zvZPi@w#bqODQV=yb<)%ovO`H6ck&#gw-yxYZ7*5$wlDeK4mK9O9ZI3zPLM@!CzJ23 zlduvofKkk%GU=owLi>XZK z9%3E2p9h%9EFR<`9$^lT@dQuuG|w=P=XjnMd5M>Kh1YnUH+h={yvuuhz(PLa6Jj0t zoJD-eSA5Mke8>01I`R`sSIS^r5YPiolV%3n$%)* zwxAAMvNf@eY)cUhXhd#-g{C6zc6yS@gD!d~a2ZMQPb zoiuZW99|N~BR$9H?HCI6cB(9TJA-_0-Hb(VXH%%RzOv};V)DHWFc!THrciI!%c8eY zxgw^B%>I^4cx?7#&HX=j@-^2Okg6Dxr?bx=Wg!hJ|18Ovv`n)c!WoJjK_JB zr+J2XJj?UEz)Q^M6<#COkvDmZ1-!$1e856JO4mt+uS<5AXI3^Y=W}xFzo_@d(vQ#G zRQhmi_AowbcXR3JxYCE?OCR1+`ta7$hqq-9<4?G~bo7qWhj*4foKX63V(G(4r4J`( z4}DRuN9nVD|6^a&yT%Pu{HE1z70Z=t>z|W~?ssfi^nl~DMKc_aE1K!}WznqcH}lPQ zQoiUx$1z0@IW{PI*l~8zBaR0Y6+P-73yS7Ab}4$yab?ltj)RMyaI9JM?{AnZ_;D$( zNX6wgpdyv1Ockn9jSbm|jj7Hi{QDc`{vUtCoK^MTFX|od-@z^1%5B`v9o)$TCNhc1 zOyMr3GL7lve#7K{@mu@S7xi{%_@6K8jV;4>mg^;y-4-l&zJgW6cJ3F}P4fTj^K?7nt*NE88HD!CsZVOsCkL_G5 zVmr4RyA#`jy@>7HzQlHJe-7Xv+HnYnVmtSbZwa1YJhlZVQ)pYzMHbtFuKcfUfiLRa z(ZA8y7@S9J40=&$V{n-)HU?Lc-xv%v78`?WD6}!SQ5GA6o5^ns?lcw~gGm(H7~C(5 zjloRv8-qEiGWm_c+s0yJ@GiNH!TOJ?d@ftWmwd(7d_&C0?}-`t z6H8gn3d+vNwa#Nk{>~qioskus$Be8*WvWt*vNLj1=P@H|u{mXDc>hW=Hzm(y$*U=OBTGI^ z$tPK|I3?d^$;y|{tEwPf6?XA7@=)gTy`4p@BxQPAzm8mBycd1AkbYc5e=a4~kt>LGWFUhW!c`1q7}szu*D->TjOGSn z9T`ikBjdS++qj)rMM$Q15k8q>Ll`-pX91~Zw>gFMV5#5(dgv5q{&)68Wa&+!5; zGM|@umDhNKH+h?Ph;`(BKHx(>;uAh2){!rWb!0JL^DW=;JwFob$TF6*l2xo`4Y7{= zmR%J-P=6t=B)X$RbQxflANp?@ko>_80O5*)1$uTK8K1)tb$r)L4 zc1q68lD;XqI7JP9prnPYAkxYn?k)kB#Yi2 zCEwdK#-g`pDb(BRvgqwC^1UrI7QKB;q29icMQ=;U_qM`V^!78k-qwFe zFa5Zf{#?rC#5yv7fedB{C0xxghG*BwO=*^n%jWNdG=Jm$D|7yVl+4PK$5ImSUrAm{ z$tzj1ASLnsm1I#$zRHpxQnEBleoe_AS+YS&DrZT}lx*(Ab<*4wvQ0g=E-C4nCB0H|VU}E$k}I?1nv`6ZB{!zz<}8_% zlDnL^PFlD^?k$Pq{hnj=Hj_fVJt2$Uo+jVhi^ih2mnqcSyRzu*1M{U`D;dBbhHw=_xtePj&UK7nB%>L_joie|jN=w=Bi4~S znZP6_a~IQ?&OO<6@^G4^b2@Jn3r2kOcW(MciE)eJ_2G?_zMakP57X?9TMWs!DfvE2 zR;DCwF(m6!QXxw=OiA@Dshg6mvt+xJG|7^dDQWG*wX%z=WUrDq?&EnzcWo)u-Qlw6 z?kMuzon$P!>qw#Q&XPrU-N|=%fwAbW4~4qBLKfW(B;VaIW6|BU6zXoQEV>&{zPpLW zqPr>Nx?BGtl^L>`%;rHJ<`L%bII)&I#na4X9?$VSFEXE(d6n0AgEx7bcX*fg`G61k zh)?*G&-sEcSnKMBDpH9mRAoapqB@(f zDVtG?+H65xwq$GSu`Ts!z;-mE2~BCnj>KBhoEEgC6|LEwHtd;QEA7%OZJ*8GW77PM zTMTpljFfcFlCx71w-}O(Q*vpR3{FYhVn{}%-W#PM~{G}?QMLhXGli}pSv-`+RIqP-;)YVT)Rw6}(Qdw&~? z_R3SJy^UqjUJY{XRj!l%S44kXQK-MhvgmJn^8M{%Ec)A(Tz~67tg@f1EeCKQ?KqhB z97e1sM{*R$a4g4j0w>Xdj-1MAoIxi#b0%lejqaRHPtN5$E}%Dk=u1B?razZ*IkBD$ zU?77TLJ30|#&BXixtVl+2!6Jr_2cy8r3?%+-)GMOn%Wg2&L5BKo^GnmC}9^zph zWe$(?B(a`6!(5)_IbPr;=JQH+y}Xy^>B4M=FG@2!ZZ*u{r72mFCBLR5ZZ#y8Q&KHU zYNjM^H6%qTX_zHDq-5tT*&`);XURb+IV4MtOUa2&Tq&(wBd3^kY1W@(RX{`N}q zH*Ph|`72X0C`+zMN!)5kZcfQ9Su!alajPMj+4;Zz&mMPmjr>p&$DcgQXl^-$n)_WA z&HY8bxk|>OxvCUuu9hsC+k$*^+Zv1J8c?XYon+Bm3-ZmiF&543MWN;nmPK=il5g%< zW6|6RL_joie|jN=w=BUX|-nZP6_a~D&Y&OO9Raz76+lUY2-!#u(q9^(m~#L_^(=4f*lDN^3G)YObENPjNxY3ZbP04}wSuWuJxBuB=Ygfr-C2_pM^Nj8W zQmDIYWzpRT^4*O!7Tt}fPOaA09)=`cMY(OQdP?ZhYi0W*@rffzn zYO@7(*^;f(BzdOL=EZyk(9Z>LhIw{EiN?QHVB^)?p0^(EKa`oB>eAREYFhET##hA|xLh%fAo zf14xHas3ze#>eO43wx)OexmNK(uY$^A5JTMIKA}Y-PyzVDBnG$qxY6Rysz}({iP2d zD1A61dl-Mh%+k?Wr4MJ9K76qB;X~Vm%l8f3$XLcPo?E$%JGhgHOlAsGna17R!+qS(3}!K#hj^Gr znZx5eNo@I^VJ^?|953)9^Ld5X^1aR*yv5tR!+X5XLO$eUKH)Py=L^1KG2ielOZc82 zS;{h2u#%ry%^KG78-Ea6zQ0*Vc`8tm%2c5mvE}=xt;6QVYg321l-)WMIj>JcV$0W< zCY0Se?CiWbyU>zdX-#Y$_Fzx;CboS0(U!7XheMpV=P+W+S9a@gob%Z7ok(o?I?$1_ zTZdxjvE@6H*z$FwJF#^*huHF+Pi*;m(}%wF<61yrs5A2nu@>3Vk-VhekztT7E^Hp3QfgLWU-5_$!3(Did#C5skjaG zD55@Pr(#p*F%@@UN6JpcR?cH8?na@hxW6o>;z6{d>{L9$c}&HliCye*gG1Nk5&A7#mxDfv1}mZxM@mi(TQzp|ui zN;b-pS}EBgOB$r4krVebZCoQel*Dl-&oY{8K`bKw)ZAXiV-eYxSVYP;cd+wl?oeV8 z`KRViFdmD@$;2X3wz*Euqq#1`BJxkooo752kzT|iQntDN&SMd|jLZ3_=7t)NMdTWW zQ?|L$&ZD^-xrs8(t^Y*JoyI3HiOJl>RHkzev69?RtRyp;#e+OVtR!=YmE;MY&EaFSP;%mMkR+8_DmEB6O4MmM_CgR6+O41Gta-d4Pv_ghv_7 zV?53iJjpPg=2>Db8O{h^`hSvoPBzmwDajgPq8Q)Z=PPL?c8Nqod5 zS(%d6SyC}2@e!A#MoMaC$<`^Uoh6M@vU8R+O-XYfJ8LxlLt_V~Yw{4OYo(>D?%GhSyHjP+-5KP&>u4;xJD+0RT`r66t{~rCPh-*D4HWC{4q0^9k9>CnjYW44 zQmnh7vgqz9^4+~)EV_G{Tz944Y8fLN%iFxecqTBBNyJ+65g#*|PnpVROlJmP@Fia{ zi*K02T)tyI-?NY(_=%rc%u;^gSC+GqRjlR@Vl7$2S}IbB%2c5m)rqxa12&`v8&i|b z*qmBy$<}N`ZR${u`fN`FcBB!F*_mD0josOUrZl5DEojNU#9FdHtvHZ_IE2<5#u3@I za$=gp?Xx*tNOSn?Y<6FglCD{DWlFBelABXzGpvNp8kcuu4p?Ky>5 zM^5Jq3UuIX&Y>fnIG+o-h)d{9S1#jnx^o3rauwHbEj_uO8|Y05H`9k(xt&-?`qGcP zxrhD?U?2|=>&U}A!XO4SgeMrvFrMNWp5=Ll^8zpNGOzL)qZrK_yu}#C@iy-=p7)r@ zBt9h8kx!V+6s9tb&zZr@>^hm3X6b@#{w_)LHy$(GAO1>7g)CV&CGnUc*&-!dWyy9a ziN_2{la%b4CHtggzbrXCB}Zk+2`M=#OU_EkxlUXs`?^9dEQ!~Py~pUS3&nc7S{A)s zN4~e4j74v^P^`CmWzpMxM6SoHQ7#d>>A7QKxi-`hxI(c9}3>urK8dV8OIZ=V{A z-aaGOTj{r1zLCx5Tjny4`7GcEVjcOJMJ!<{%lMTQtl~F*=MVm34Hc-wI#i)5>r$Qd z*?^7Mm`&J}&DerkY{fRjI#P$aY)5@|paBhOOspfjuq#d2ojqyBUbJ9u_F-T4V}B0d zAP(kGT5~u@a1_UIEXUE76F8BRXwNB}Myw-eQs6Ak=3LIB6BlIHNw+jhdt~#sSDL@^ zh+)p(nUcG*uo(*^tK`S-ZnQDy=_Uc-nN%TZ#$CjZ8u}l+a46_?O(F! ztrhv+4l@?L9Z9j?+R37~lgani!C3Tm4!Pb+zsu5D)`d&CjBa$N2Uij6$hBNYFRtfC zdUF$fxP{xeojd8vUEIUH4B$TQ=K&t#VIE~LkMTH9@Fc@{nrDf1WH=*uk(YRdR~gA@ zVjX#tw;0Pf-eEiwn8^Elz=wRyCw$6OK4Utc^93{cidlTa9KPi{=J7oX_<^5@b!0J1 z_=ROGXCCGQIbS;^^|GW zl2cQ1MwXnPl8dtB@|0YWB{!s`#EI)>L7QMYjzPB;PqPMpx*4sz2=xs9j-ewq!-oB(*Z}Vl*+d}faEj1Rs{YtL4((kdX zk*%d7m8eV=s!^R-M>b$XYOpai*_6$x#g=T%Hq@pL^{CJGG+;*>(U_gth27YlJ!ncZ zn$v=o>`Sa8`_qa8Ifz3zl*2fJSVxZL7}{_g$8#d>XwS)<%4wXznRMW6&Y>gcaXuGt z5tq=Ju3XCHbmIzoa23}O>qt*}aRWC}!p-#I*6cdzpJwU6Z2k^P^EVzZ%=zb2@Ct;>!hS=mTZ!e&78PS_IHJBT@tU` zdXLdtU5fR#lPr4Mm3(h|8H?WbrdV$W%c8f|*vGZ&#AX`H4j=W+}_~l@+YyH&*ipf3b!NRAe10Q`dcAe~y zW@*E0{x(VTHy$s{`TbIIK$aYyl6bt3oRpGNvgE9k#N&meOG+-wlB-j4U6$OElH0T7 z-jv*zC6A@#2`8?T_z!PAQxdPwd5_WC2#WRgx-5Eoi+pbrj74wnQ>?eoWYOE_#d3`dRv!#ZyOtn-ZmxITj{?^tRt(-cGPDF8qko& z#5%GIyV8W+*^{R1MGN+3ANFNG_U8Z&;$RM?HHUKqM{zXAavW_rffG52_MF0L#5!^& z145S--1~C&!@aL$-=T}| z+r3)$b-LHe4o8+9jw(AGU3U0-+2I@6Vf zx9o7d!~ZXSxcAHQU-SFthkO6|;og6KxOa4if`{IM8)(7Cr{E@9a1$+fh$`3s6>NYC z9;6C3K?R$jf`{OO8*0HusNkkra8oUK7%tcl6>NwK9;^yBMFpFpf`_YujZwkIsNli4 z;3iwJIV!l(7TjnH9<&NJNd=pvf`_ewjZ(ozso+7m;HF!!St_{k7TkCX9+(R@O$D2# zf`_kyjZ?wKso(*uVDnV4c`A5#F1Qi@kNe@?{p)8x+-s%w!@cnuci2`GyTcwSi#zNw z<`X_; zDxWc(&-sFxe8ty%!yLZlJLd5{3;2Pbh#lWzmhcP9Sk4Mov6|TN{mEafr2>_xOckoJ zF6*&A8?q4_QNw4fz+eE%&! z+#B2a*gg3>?7ibJn53tMx6|HgeD(xzpsVDAl6d{d`;7i3Q>?!)WzpX(^8L*>7X2-x zSbx9DqQ8~o`&(ly`m0E>{x*KSWSIb!Rw>8E3YaomM8jgcaXuGt5f{^$u3XCHbmIzoa23}O>q$>yJ-LA!Dd8si za4WH%+`*mn<1X%@KLZ%Z{XED+Ji?<4W(bcnlqY$Lr+Jp=8O{s5$jiLKYm8(xZxHLr z7-Btnn|Bz`drV|f_5?63&C(fWX^r?msJ8hV9|(EsU6higS@K&-;sYT`)s$4vl1)+) z9|%e6rlfwB?39vSvt;j-?3*PAr=)e3v`xv0PCO|esU>&QA(rYhB_&iceUvJtV4Y(h;oV{>Y;6|s(NOKs{>kNWID0~*qZo!FUO*^S-V zlcwxNbM~es`?4SVa{vc&Fo)2Z!#IMYIGST=LtBpLL{6eTr)1a3xoMVm%I0sEG=Jj* zA#?t^lw6-Bx1=OK5R%-Nk_WQnv6RFILXr_Fc_~X?Psv+Z@_tG_%#zPi@_Ckgo054> zTqg&+LVhfX*Pp$|=xqtbdiz}#z5PYLw<^Y>w{umoX9O?s60h(oBN@f(yun+HVI1%9E)#f<_nE|pe9R|&$`n3h8lN+RnS4d8Bi}Ha zZ<)(HzGneHWY@{^G)q@y^LK5Uzm>B2yJ1Q;&XO%tvQ3ukn3Bd>vPVjqWl5`)9F!$T zrsS9`IXNY#Wyv`yIWJ2tO-VN=u9HJtAy=2g>$Tov^wx`Fz1=2@-tHvd+W=$H+x-;l z?QvQ3_9Xe8FEhVF~Izw}Bwjc0 z9;3G!6zgqkS@c$$d~XemMQ@EL)>~6q^wykwZ~GgI-VUT#Z%50bw>IQ^Yi}%iJC$O+ zb(BSK=acWPtFh?qa&oqjWDRSnNF^#$m1)+sq6OWLL+9xo(?l$@O<7pCNrEV(iz*JMfW zl-!&pcc-Mk6W2*=SIC1U@%pg$7`+XmSZ~kBqPOSC_x7r>=xr3mdV5zEy}d`iw@-{k zZ&N7N+t;$_Z8rJd78r}(exz7$D`e5zZ{&NcU@UrDhg@%^-}u-_R)bBb$!2U$Ew&=o zk!`6>UFuPv?P)+m8nF{Qvn#u?JA2ZUy=czfv}9lY#r_swB|65;3#4pIhHoG z<#*2{+S+ zTe+QBNBYu_ySaz{+{Zv3$gYzo(kva8&EF9n{`>##;9;(jol4?$7wGLQKz;0IzQ`I$v5VJXX4&I(rX8^7}hf3b!N zRAL>fP?dG5&iZV~Mr_O`Y|3VAK`pjo8)7A?LtVC`K0B}@4QZTRDb3QA(jvQ3TBViV z14gV7@!9cz%ikS5+*R^=NxZ)4eMWa`62Bq6IC9 zwd7yyM=K8CAT<1MJpr8VpFfihoW(hu%XxI-0xlxflFoGDQZAz#-RZ$q#9DGK*U^jX zxseiXq7Si_+{W$PNnh^b9%3ySz&k}3Na7OSVFYyYm zF_O{QwK6_kD-*M8WpcV!;vvIMYF0|-WXZym#6yN;WlC0ONyU`JLx!YAN@`}w)+wo- zC5=+DbCxtsNpshvM*m-bckl>T$&n@TdbIa>3~e}$<2iwLoW#kT%4wWIzPpabqPz1c z*4^c@=kri7d6!>!y-tRsEt$KBjRe+Dp+2Z(j#VIE-+gBijT z3}qNk@eI%MJi~c`mw1_1d5uww<_+Fr4C8p4cNx!nOk@%t66?q(OlAsGna1bLU}m~b zD$Of7a-3P&pn`A7mHuq+gt9Nsy;pWPF*}Se+Pz;kI;rgNgR;X9%ML#(JN!61j6dO% zveC(9ho6=mPANN_T6XwZ+2OS8(9iZdO8)`B|FWO$UEc7YpY8o0@ntD@q<{W9bN`qB zJ9Adme}1<2|MGul^56a0-gfo><7azg%do(5y^!+Tf+fzEvW(cytzaePw*`MWUqb~d zvJRDrZNa)!XMJKjw-Gfczb)9pc`ddgwsYmT1=~4~?c5H;cCI0fD8DUe;yku4I`SKXn~cTA;1-H)4DOZ1#^65k8-quU#m3+zIBUd?(8TmVZP<}>Mavn3X3RPK`>Xe_68#|8~xhb1benxKNJZ5Aa>ard6DL*3{ zJC7N;3%gQ&MmBREGqMH6X5>M#n30Fln({O980W`w9Bui#8F`xV(>ap@&x*wj@@P zzw2##&P6w9tc*FH0JwBtFBEG*3y(EIBYGhh#~clpLQWr>5kLEIB_V z7iG!iDY+s`Zb(Uq6W7Txu8`YG;`I*iF?#DqvECk(MQ@Lg?`^2D=+%`g_deMzz2=F6hDh2(o%YAkyDm0WM7UsYKnTT4YMQJE@K zqdKvUY`})pU}I{sDVtM^E!moFs7)Q}QJ?K;z>YMcF*~yhyRkca(3EC0rv)w9msm&k zrxgcs5QlImhj9e4jvUP~wBb08=S14ko|8G1(>Q}O>A=~XLr2czd@kT3E}=7Bxs=Q4 z#ufD7Dy|{ck)HJ825zK;o9V-?*>%!C&C-F{{2i3$Z+w2`YIr^+FJ#H6l*H#(lJ`Ct;>!hS=mTZ!e&78PS;sJf@l6c+LdyL-dQmnU~ zWYOEM+N(|^j09>TPI`D+l3VCt-CCGyOMlw z*Bgu8dXwv|^s6d;W&OCDd+5&q2J!&0jy%jG3}P@tc$}dO<0+ouS)OM&FYppC^D3_~ ziqX8mn~Y%`Z}TqWd5?)q;zME``Gm<#VJg#@&J1P}>&Vy4Vm5P_%RJ_@fQ9_XPb^|F zOIgORtY9U-v6?^li#1fBBI{6@s#K#o>l5q9M$}*vYO)zyP>Zdy>tu&COB-hMw@I46 zduH=@zmy!1C5NZvs4O`tC8uP`St&U;OS+`wvMjkeCD&!iEh)J@OYTj{eOdBYN}h1y zI%(qyd8Q;@pYtB0w-FTU?R8o7_7?fxCK!v}-lte^pUI-P&&l`pjj`zMTZ;Aevn+aB zLcX_E#-g|1Db`yRS@gCp`QA1*7QJmsuD8;s(K@oaY)5@|paBhOOspfjuq#d2ojqyF zUbJ9u_F-T4V}B0dAP(kGT5~u@a1=*#EXUE76F8BRXwNB}Myw-eQs6Ak=3F|`i3^Bz z9%T@Z zF@z_0l3_fZT_>-kSvu0c2OMSKf5Kl*U+*|q$v!3V`Y-P@x@$$T?v9j2cgK+LuAQ;y z?qrH}caAK&JCA&KosC6zmr|^|Yh}@0FY?{>F&5q3MzQV&$fCRZ$#*x{SakO|x$a88 ztTJ3Sf){y-S9q0?j3(BSH+hS(jN={NWdaj#`o}vmqO?F*Vtg&Dnx2 z*@|tbO&#j79ow@5JJOKG>`bgByU~O_*pp^7rv)vuYvqu1tsIuE^=;BxACDOJQD>y2 zLzbMMl6b_BT#=HivgC%8#3P2JUrO%Dk_S`rNR~X6l4o6$&t=zS@xSue)>ZOBNxXjK zeMWbaDc0SWvgmFW`R?W$i|!Uuth--j(cMb&-K{Ye-BqMmcN@r}yBg%Xt7R;@+nQqC zHIPMjjmUSmyRqo5DY@=SzpS#qtQ7}x5QlImhj9e4mK@D7wBb08=LFi(o|8G1(>Q}O z>A=~XLr2czd@kT3E~YbGxs=Q4#ufD7Dy|{clAiS925zK;o9M%>#9DF(chZl$xQG4> zU?BJNAP?~fk207cJkC&_YDv7F?mb3t1&Z}{p)7j4gnVz^jYV%)QmnV$vgqw*^1byn z7QNj~vECk*MQ?-1_cqK}^!5zJdV5tCy^SK@+c;y<+q>j?EB&I%C$h;*VJg#@&J1P} z>&Vy4Vm5P_%XiFY0Soz&pIF3Vma>drS;0zvV>N&9Cu^ucMb@D*RjEdG)+g4Hji|vU z)MPU@rxsfg>&Uj$rY`lU&ki)8A&uCHo!OP$*quFT%3d^QZ(6c1`>{U6} zCGnUc8Ih8gvgGxYyp<*Ir{u#d`79-$XUYHeR~}Drh5T3&uRnW_(c2P=_4d0gdi#rf zZ&i#%Z|hR5w@qcy+ZN<|t79yB+m2$r?IMfbnvn0Ug|X;uABy#Ms4RLroP2M`8H?Ud zAlF;z7gf%b6*!BtIhT%f;sRnFxtL4nLRT*1a=O!lE4i9$xQ?D&&yDovCT`{yZsm6F zpf7iEH}}$?`xwXrJVdM`k1~kI7{U__Wf)Hr>&SCF&j?=NC0^lGMly=md4snY!#LjI zT_*4z?=y)H`It}mlqr11G(KkrGx>^GN4{Y;-!hkZe9r=Y$gY#+Y5uOt=I`1xe=BA4 zcf*uyoF!YPWScD6F(r+&WRH|I%aT?pImiyn<@=xg!QK;HC0Cck>$Tozbk~bw-Q6aO z?(QVt-2h|J-Tf5n?r~Xk_ayo5h8v6SUZhxeZ_1*(vE;j(Xe_$>fMVTEmqmA9kne7e zvFPqQa^02wyTrw^CH%rNma~FYtR~iyKlzKbRG<>;P=#u&%X+NOhHS*f)MQgOXA8Du zE4E=<>QImE*q$BOk%ly8XJRecjVA2Do-|`GTF{bMOa8@vwBi5`;t&qyFb?NPj^Y@O z?F zypP8W_lHMPGB`_~O38mbX82nEYw71hMrY~UDIK5vbCc6QH#JMXOv$V)S(uWavgFs4 ztjv=C?XNzzbCs-D60aM0pV3_nigmZOEV`>rzPkp-qPs>E>#nIRx@%6pyZwztcL!3e zyQ5{%T^sVsol3FpI?AHE^T~JD)mU_QIl1mi|9xUlSubwjMoPGeKHQ46#ES4`##<0Wrs7$4!iaEcK!I#-dM2jvV7mey$oO=_wyhR@d%GHm?1pQP@d!| zp5|GeV>mDHA}{j_uQ8I*yg_XH#xRz*d57^#U?P)N zFo(H($9%qLAwTdFi&)H3e&JV^vyxS;<_}`qw}!P;q!N{>N;Rqz+rGcsI&5Zqb84|A z<+l!Xo!6s2vF&TXj+Eaz?CN|sc4rTo(u~+T>`hDdCANM0(~9z2ht|#y;|OBgSAOfz z)_H9EP9(N{?Kzq9TZe-4*!G=GZ2LNL9%_{8X%9ET-Z*6q|}0$zm6~ z2{kD{6}NI8Q*m2rQoo|2ha@@-1yWyzA1 zEX$JLQ}S1qtecYcvt-khY>_3~rDO*uK22`#3fZY7UU%^xqqio+67qMw?PENako|}y zq+J;Nv4osNEFtB4JJWgeb{4UO{9SJs8;>QV3$cWh@2!XPSVFGm z8vd@gn~cX2atpUozPG!aM{oDipK`sGew*b{G z){#2YWjpG#13MDyNaO4}X_jVbi){Y3O7r(WKIHoE`fK+myGmX!iPty1&**L}#k%`I z7TtYJzPsthqPs6B*4=lq=#K9cOVI0np9K|sl%W)jf3AE!R zPUaL&<8;oX17~p#=W-sMxPXg@wWKp$xRlH2Mt6E}6|t6F%XRePdTykIo9M$W+{W$P zNnh^b9`0oT_i;ZD@DPviD1&*7$9aM$8OGB*OROcs8NrLZ#4EhUNJeMZ%J_7xOw6v8 z$>~~&54hYpW~F3KmMlz3e844HnUd96QZXf!v!q5!YG%pSDXE<$jZ(66mNZRC^DH?q zC5Je1PdUXEa%4%o9_>9wZ*3^n+o`hX?F{n0bu<>eolmjeE|*1bSCH?mr?Kem28#7| zhb(&QN4~d##-g_eDc0LiS@iZ4`QBbI7QMYpuD8-}vy73Aw}f zr%dHDrZa;t_>!-f#W&1hF5fYq?^(zX{KU^JW+}h$E6Z8QDpvCcv5u@^EfuLmWvWn( z>cl#-0UJ_-jj73IY)&n!IfOaHC#(iuIC1NQ^L*k;Z|-Z){(yS<8JPuKLZ%Z z1H?M=Fpn^Z!3^OEhBAz&c!pjyNu^OCNhZ+iFM=? zCNqVpOyhHAFf+SO=B1gvAe-4s(wvV+40nycQc@vH)=fz~Vo0_~$yQmiT}t8+L((KA zduGW#9cur_6GUW(r|hUKJ0bm3CuRTCSt&W!i95<^u8|8%;`L(hGMei`vF5IpMRV7Y zZ|){z(cCQ*YwliIGeq{x#_>JHBgTGiq1uC%)RjA6kRA+rQ zU?VnW6E&c?G z4axVmxv}VNON#Zjy)1g$k$i8v8H?WbpjdDJl0|Q=$oF=bvFPnciuKk`7QLNJzPAp> zqPKI%^;Y^_md>&+T*_s1qdPsgidaXkOA6)A^h)n8{bn;v44hE#EPZ?^(bP{6wrHi&?@iEMqwu^_$?;ioYD&(?lJiq?QI=euk}I<0hLn^z zaX&f36>?iiyx!qGMsNKn*4u-!=hPZ?BQ>ZH%$#?QM$n_K_@l zn@qm98OEZwFDcgBd|C9ikbG}TjYV(2lIyMXdn{{YYpF;jDpQ4OR43Mv4cL$xY)nly zWpiq=C0nx%wW&ir>a#r!*pWswW@mO`H+E+an$nEsw4f#X66?tRwBkSx;t&qyFpePB zk)t_=HXO(CoJc#`b26uL8fS1O9XOkF=*W4T&jnn>C3L1MmvTAXxPl&B#Wln_(vx1? zz>Sn}Gkv%K{`r)=kR_v1@n@Alt|Z^v^~R#N-sE~K{T_Q?SwHUP9{MwYfjmI0BMfpfK4CIbn94M!GlQAL zI`TEMn9UsKGLQKzU?D&96N^~PQkL;6D_F^ItmY5?Vht6j$U0P}D%GgY`ouc25jEI^ znry}v)MBgbI@ux3?1tIQZj$DFJYcv^_DjhDS#o$v;_*UqQc6zAlCx40j~9|IDY-05 zu1?8yS#nECZqJf?Q*vLHJeHCtoVcG9Tp`bt#Orh3WArwHV!gdCi{9QM-`fOZ(cAkJ z>+LgH^!7RV-o7ywy?slu-hP%vZ%fGcw#r!a_B+LTt0Ifu)+OKD#>S$zP096E`fm~I z$m+5k_1S?2G^8=Hj_ks&G+}r4q$zvRg1y;?ec6xwIe>#Wm_upJ;T*wH9L=#DM_W$d zL{6eTr*Imvj+{w>vpAb`=}0FoAl8wKxr8os@fbY#bu*Q$_|&79sW{wxUB5( z*X%IunUfyAF`W-SvL*ch|wj;_mu5 zxw~uWcRhy7M(`pp@d~dplF`I&?@iufEaP~GcbULM-sb~8OA6)A^h)n8{as z%{R>9TfSo+-?M-p_=(u$4#nu`xB- zl+D?KE!m1~s7)Q}u^rp913S`?#_UY&_I9HQd$1?XXif`SVz>9-@?*X6L#HqMw-(Xs zYuT>q?X;&EpY}BCo#h(&pd?;D^8XR-O{Q3TU&^ArS>)TBZ!FqdNU`>Ql|_3i$+x%0 zShQD>V(o1pi}q@eZ?BfIXm4wZwbwuv?KL9b-tNYty{7z4d;iwwG$;5o+tHqrIhE5m zgEQ&C*_=a1&f|P8;36)jGhMlq%jw1y^x!J4Ay$)~#ABn8% zLw^P^ko$R%hj@fX8O#tKXDCnd6i@Rk&oi7Cc#)TR1+%VnHF?8vtR`cK)#Pp7VLb0K zkxAJsot9?ljBHOnJMGEiqab_#MJZXDCBLQQk1VO0lImHqNlG@$lDa9WpCvn`@sZCw#QJ)=XKtmd_6FajjyRkca(v-bu&fc_SU-n~v4&Xoz<`7zQ7)NjvM{_J~ zXv^`O$Vs&4leW_ElO+N@0^!69|-l`aj z-qxj9Z=1@Zw=Kx`R>xTMwjITK+eH?=H6h9%T@ZF@z@=$}pZL){*CUo)NsjOT5CXjARtA^9FA*hH<>ZyG-Cc z-e(dY@-d(ADO322X?)HMX7UxWj(o#xzGW`+_?`v)kXDc^=KO{! z**HtKOi6qcB-t?~jk9Erl*C6tl2$1>sKdYY_twvKm0VpCuh)8?(OoZ!b$6RAy1SEn zcLR(?clT4QyT@hG-IL_I8*VJRdy!(@y(x?C#**)DqOs`i1B!JwT^8MaLB6{=#-h9L z$aPoxeUHVmCH%rNma~FYtR~iyKlzKbRG<>;P=#u&%X+NOhHS*f)MQgOXA8DuE4E=< z>QImE*q$BOk%ly8XJRecjVA2Do-|`GTF{bMOa8@vwBi5`;t&qyFb?NPj^Y@O?FyuT-# z_m8AxaF#rkl4o56uK#$t`7iu?>m6Ms>y^ao2Ht0MSA$~RZ7qxLYLoA-fwAbW5yiS| zDvR!#lkaYSW6|A#6zlG2S#;Nie0S}QMR%uCth#9DF(chZl$xQBZgz(DTjK_21}9%V2?c$}d;$x}SdvzQ0})-%8a|NKNI z5o^gue9UA%Wh&E{&J4cbOTJF>n;>pWM;gC+6$u=g0f4Wd|Y&&Z;;=NZlmyvWPE z%4>{bG;i=GV;IZZyvumrBj4L6#-g_=6zlD4S@brWd~XYkMQ=Y+thW`i=Ci2XhFmIgBGXidaXEr44O4o)c+Ddrl$Nk<&SY0v$M;bLmJY&gVid;u1R3 zmCLxC?p(o@T*Wn9M^CQj26|J%&Gg|`ZYS1}zVzd6?x8>TF^~tc>*R?vONY5xJY~Rt z-*iNWTD@o3ZKP}Et(1<-X7~GPcE_WJtLXET%*>K+QxcCFk|ilwmLeRg0+8qzqsR+^<*+9I33tC9gPfot*CqdA%fF-}D}%x3LuK?E_i# z_A&Y1rW=dizMxod-^rr4@5%SJ*jV)T3&nc-Qx?6gCEr^$W6|4s6zgqsS@gCg`QGXo zi{7>;*IViLK6aBeVGs7C8GF%!mc%;pFZQDq2XGJvb0~*#I7f06$8apiaXcr`j*~c< zQ#g&&Ig<{Y#W|eId353eE+W>E&UE2YE~6XW>A_XRI&v-7(TnT3krHmA54Ug|w{s_b zxr=+amjT?z{XD=!Ji?<4<}n`U37%vaPxCCXjtpl6FY*$v@ERi-on0s6(=45s&ELss z{!Y#2@2r%}$&!UB`6)|Qret-NR7^?bEUA%_npv`SN@{0Gqm=BNB~4S(JWCEt$stZ$ zCl|Ovjx34SqrJ!ItqsL`J5?6Fok700j>e+5^C{Nb<+AAQ3i7@6G#0(xK(XHLkVS9( z$oDqTSoHQF#d;emi{73h-`fkuqPLgH^;Y_C6vxQM@;2`OA6 z)0x2+e92eL;v42Lm+zR*_blWGe&S~qvy@->mF28t6|4D!SVz{dmWouOGF7NXbz&Xa zfDNg^#?)jpHm4R_vNhXKn>y5^KHJlP9ce^kc4k+0V|VtTDa~k33tF--v5xFdD-Pr! z4xu%NaYS~VoS0^5`)vLe()^7_4EK{uQqna`u1rZhVn}XI$*oy(cS_i8JE+YE4Y%YxQ1)#$@SboZ%VkC zKHSRf#5&TKe%#GH^k)DAd4O0)9_A4SF_Xx`v0 z#xRbzd6)6L$3!OaA+e5p!epi}m1%s=3}&Y5q|&^S3&)w24J!DST(vcsy`p&#pY z6#sdv2LC5N*88ycu-=RcbJiC9zmh7I{6AExSg~@23KjkF6)P-m_|I?l{_~r?+jS_o zkrmv?3O2+AH?xA9S;50h!NOQ@Ln~Mu3l_(MhwOqITfvR3V1rz6b1S&H6>O3VZg2%R zxPpyx!D3l(lPg#-3l_|R4RgWGuHa@@uxT#1;T7EQ3O3FKi)X=2uV4W!SU?Ll&;>WY zf}3B#Cc5ATSg?>5Y@`b|Wd)nEg3WZnf?BXKD_B$u7S)1Hb-}_~u&@?vtP2*`e}1#~ zf7oyKwyFOgzu6l*hXq#cg_PeNEOEY+WyG#-1uH4PJNV1_8Y)ncb*N124%VeQ>l3@W zji^ES-N6>lYq1rvt1G`d*v@(E>UJP@bq#4m`Q1Si=dr8Xli1bmMRQ_zun)1T+mG1Q z9l(Jc%ptVqaO~>-_S3-=jK}WaB#P}0&XUFM;9UOK?!a&MUgUq#*dJU>><_w7Y=3aI zEcOT2k>4NOWGwavw@_?lE7` zOpwL?;C=G@gHMgc{@^om`-9T&tb8Mz&9}^D9`lJA`2#T{e`XO&SW5XBxypIW$lv*c z@-woM^O%uUsLHxjr~HiE*m=yzP1%g{Gjbc}F(d0xm+h!e`5D>RdCbUN*p>1#vYGRk zku4}TBM*|rj69Uql%J8uI6s!-Xv^Qt$kU9U&Y2V_KO@g`-iZsc=az2isi8;qJkcvX zPsGPqo%#Ecq@a-)G4$ zDOv8sbIZl9lHW_>^-u3HdRt4ZB!Ac2dd8!-4T+Vcd~cgOkKVQw_4Y60v68eRR+93)9p*fGJCdXLyWZLvkCo(PPN95n9h^sR=MXDN zx!y|GkV;vQlh89=Nf_wxV` z@i4KD4CXN&=Lw!<7*F#ov5pL91TXRuukb3dj*KSOkvDmZv5ey##xsG5yw3-G$j5xb zr%WZ*k?DNS7tG`Fo7S#m>4N}RY-E^&?ARuZpwc$d*!KZ-T?pe&kugnV;DjYV@$QLMR_WzpPgViZ*GRMXzojjH8)=t%`GI~+)`uF+^^)CEB(gG8rfPZQi;k`p&HeR zm1F}pqy`&PlTF#2T5QSIY(s78P>=d-PXl(O5slfIUD%D?*@LDuqd6^T$-cx&vOldj zkb^jcLph8ih?V4Mj-d_5aXcr|j`p0)shq|coJj}H<{Ub59_Mob7jX%l>B^;CPB*Tg z2Ul?ov6A$p7dLPtCEQFOZq2Tg{%MvD%;xW)G=JlREOY+(l)R87qf!zdWJ%sj$)qfq zl9Ko!OENnpbF<{flq|}U-%|2NmaLPKs#&s0N;Y%iI_c~R*}5cNxAh*Qx4IPTZ6{gu zwk!GG_A(Z|?M<=X4wgl4t;zRxtg+~=Eya2}T^79+$oJOCSoC%w#d_;5i{7pz-`n-Z zqPO1UdMo|5N?%z&?&co)Gk}3SK&&GV^9X|&%n%-DD8qP)XLy$98O{s5#LK+OYm8zv zZ}28#7{}YZ%Xr>nB9r)#SVul#GE$#1OY5B_2e6{yHMRHiD`sLuMtIEBWF_JEY9X!I?{;?h;`&*E};uuxr}afrw3PZHP>(*J-MD6>CH{t%q`r??c7OU z?&5Clr9byEkOz2(SVtaZ5RWm0CwP)!Je^%9ucSFYGP@earmGDWXbj^*)dD@NJ%p%?k8PcA^ViX>%Y9m z=&cpSdOK1Uy&Xfow|2&&x05N>+c~o6?L6|mbv72gT}rXuu9Zb^y~y|0$5`}s8^wAX zAdBAaC*Rv(W6|5=H2wvnRUg1?nGMZRN-sCODGLCn6mkCVdeLmnrKIRiX zWh$RBozMA#nS909e8U{R_|fzvoo=d>_!vzU{9LSoEEgqu9HL3 zEIllnzira|jmHaf{*07#$ddC@5|0;>D^hY*mfVn%c)XDGOUXT1@?c6H$&#m1@@$s8 z+@bj2d%V;&@MRSeFH@Ca7Xs#)_=1RY-vcIeq2XYXHa43gy1hJAF%`vp$ zIF9E8+R>hqIhE5mgEQ&C*_=a1&f|P8;36)jGhMlq%jw1y^x!J4Ay$%}^x_6?q=cL3 z!>z@4xyq@koMsEd*^>(2wdb@;tZ{3YW zZ&y;Rx8Abo?Pl`5^)(i~-A%FH9+pLKgUI(b%vkjH48?kTRTjOCBH!CMW6|5YAnKkVIkw2k%uH-3pwiIiwCq#_NZlx9lNs5GI$Q5l+*NF(A16=@Kf43RWxo{L19 zL?ewVQkqbr+3)dsU-##n^SN&OyViYw*7tYc>t6lw*z3C9&yVxjtLOWA@AtLsV{;&_ zIEdC9LL1t0I7breNIQ<9J;!kZC((gU*>%!2&C(v({Oy_MZ?A0rUX_voSu!*w*Ja7A zDH)q36H+oMOQv}@EH2>ByDI3Bg*sJYE#(cBi~o2zRqn%kB_&FvzK=9-dku7$B^ZeI#Dcc?6y zJB)mD#~O>~jwjb#$){C1%ZfOiGw4b;dT=(elAOo+^yET%aWQ@9%cWe-61~8C8 z4CWe!GK}lEo)L`XMsDU7Ze=uMxt&-^?qVDhn8+k1Gli+dO7Z{?GL45Zf&OhD`Ly$A zd5#x&kr~Y7WoGjVukspiFqgNO&)dAqdwjqGKICI!CHag+e8HD2VJTm;EW1)xrTM!i zo4;$*{Eb@-uMSmHQawv*rzCDMBn?y2I7^zPByKSzEmLxEm;dNDAA7q>E-#M9D?QKX zu0MskyH*z64JY5-C}Yvx7z%ZFw=BB5hkSPr8H?^7p-^|v%c8sKa#7|u{{lGL}PX)){-VPr5U@^oIPp5KEztGKL^l~RvgSB97wir8v#* zA=$jYAl25bbo0NQ)B|oQR zb(XA`k_t}jzWTUAHY|?EjXlTctr~@T+e#L_)gj;84#uLl9Vyh?9(|Xe@d= zh(f&`C5zsUA>UgEW6|5m6zZ*;EP6YOd~X*Ti{36K*IUWoB=(c_=V}I0%wUEv4C{z* z>y7`K!_#BQxAn%S=i=LXE0unru5#&NmD0lvOAj|HJ={1uj8F1aEgjvY^srj#VfE6( zO-m1JWQXw+HY*+7y!5bU>ERZohqcmS`nKL$|N6Gx|N3)$1wY{TZ$Xoa<;}aYpZ5Ez z(LdkT>mySqO{`t1vYW^EkXH#mh8Jkm+EvQ9pwqz@|W*h2ImwMFa z|Iv?8{s;e@U;4J*gB!%R^~Qod((-*1H#3USjNvwJ=T7coJQKN_$=t)eOyzza;2|F7 z5gy}lp5!T>;W=W<_af7IiJ8n|HgkB5*z&!}T;?&KcX*HYS-?U*;$uGLGd|}_7V{NL z`G#eD#}E9-3Rdznt60q%e&Y{f%l9{HS&#BmU;`>qh1l|~YwNJN@tV}4Hf6UC^_d?yfF zz7BMx%+_IpqBJXF({~23>FY*!V)JkgvFSUX*z{egU`|!rH-`9JIDSBIRJl^4t#AF;tp~-lkEGFZFx+Y^I<1ra`VrR-u#@(IAWZaWNld+X7CSz+3q3mQl(s@kAcEmomJ^9Jl z$yiLrQ#p+yx)4*bD=`&&P-rUllEqZ)Lw+h=Wvm|qxSDlM#p{e;&j@b7R4jQ%^j62C z8JpeDOiFhXQ?mPvY5qRsVcDZu_Cm^LWZA3f9wgr6a!2z)NT#IV<>y zRm4iNhFD2{=MVnkZ^}`gSV=0f0hOu3MpPwMlIm>AW^B$D)M87vCRUQV)MHy3usscl zm85ZYH8fB2w?#I8Tc-K@uQ$2=Pkm$WrLK}^i{tTm&ojE4PND8zmqmAT$#?g@vFL6g zg}PfTi|&?^@9sxq(cMZ4b@!(%x?4-WyGq8QyA3JST}@eZSDSoy^^HY$+mY+8CalNRhltR?$%04-_7!L;U3+Hx32a3n|5j$=8FSW8aiBs$WGQ#h5*bm4T) zq$}O&!P%TktR)xFlZ)s@Z~D-e%ZRn)O0J?m0~km#gBik5uH`z0GlG%aM64yFxRo)C z<#z7mF2*x~yP3p2Okpbb6KlysOydzA<#C?mDW1u$m09UpnUh^B^U}2vZ*qC%_%tP- zXUR7yi8r|(?idPncd{(HJB@sI-Hb(dXHlrTi)GQ>CFHy7XDqtAnnK-O zFN^MOAm80+W6|Ah6zXoWEV{dwe0L8Ui|!sH*ImgcT3(b*=Otz`i`mTKHDWD!lex@e zKJV}@@3Vk~e8k6m%4dAemn`NhmhuhD_>S-Skrk}uXI8PAHT=dO#9Hz+ z?PSN$p5r)y6X`%FVjVe^(!?~Q#1zbokE~XEca4DB@1y|CK0bI=> zin)d%4C6Xt9T~w5+{jJb!YD>FmRLvb;7-Odo{3CiGE=yh`?#M6d5DL3l*f32CwZD@ zc#ao%kr}+i%go{x=I|PC5bMZW%;Rm|;XOWJ0Uu`9$&xfnzs~0G@-%1Y@3qpv!rQCcF&T1Q*uC-9F~$Jv*h@coRlS}r=+VB*U1&GkaLRT z@jTBldh1D{-Y%C#ZZLqQEZ779$yIB^!-AcZ%jKevSqiM&nwC8wE;3PWGiBpJmq%%dF&KY#2J3Tl% zyH0wiS=u+7zx~tv9hlAE;VBuJC1X-@dzRdjlBrqpNJ<{hlIba#nI*5MWNwx$Ov%St zvN$D6vt(sTRylEnp)Z#a z>&TT{MSlh`kUln@mMsgE3Gm2Xo!&q+T4(?(+6S$j6+`|;6azC+-Jj65} z;ZYvv37+B^VjX#&7nsfrW-^P}%;8mD=MCoa7V~+BcX^)=SjdNb%%^ZEk|qE48&*qdb^W+ zZnM1 zCf8fZCt3cMtz|vRQ-O+9q6)E&Y)np)MIDr%CKu1pI6i%ZvT{wd? z=|*?X;%v_4d@kTZF5+T(a|wO9j4OzBq#ymcnt>E^4MP}~T_?As`8ztBzvI&UjoS-z z{=t+yoFz}EByKMxucYL)EO|R6aeEg`ln^j1W^ zw;sl#w{s}eTOV2Ub}9MZ1{jOp29fKn9l3)$8OL}gayOHi z!oA$b{XED+Jj|m!#uGfr(>%j-JkN{F;3ZyW7Oyae*LZ_iN8Vx{Z}SfC@jeUqkXT1P z;ZqjzIbX7bulSm8_?GYZfgf4HPyEa;tmapK;}8DgZ_2SA>r;UZs6-VuBG!>jsK%z$ zU~{&h7F%Z5$@XcMHp=F2(=>nM_QIS$ASJD`)?S6Imy zr{t!iOcnM1r%-PdWzkz@^1W3z7QJmouD6oENvtcY z$F?+Jdv>4^jfr(+7j~s7yRkcauqQ3pn|;}j12~XY987Btr45I1I7f06?Kqb99M1`y zL zMlg~axtUwImC=mlc48g5i*Za~B9pj>DNN0-lgHC6eJY#3)6@Ko+Y57kZc657$-@a><{nF8GOAi~A9&T59xP9s24%uP+ zgodS~jYa#7|u{{lGL}PX)c6&`|N;7t+IeXE9eX!g6Klz=#gW^|9(k|$ww4<4ob~GDa z?FxClI3C~h9HYB=6zc9HS#EAH-hZqe@MxSEcrDh@kWqjgOpUsl1)<*Zv;u|rKCZY?39vSvt;j-?3X32Q_?0& z+Nb0MC+?63xl6y$LOtyLcN_Mi{8#B-&-GJ(c7gI>TQrLdb@^vZzGIFZ#Pn? zw>xCf+c@&QO)(a|-AAF`o{&XvPm}L$hOy}FWpcfheA44BS*#;(^A7LvJ`4DeSVunL zQx@?#U$U65_?mC{mhbq1A6daq{LC+`=2w2>5B}tD$`R|x`cz;8Dp7@vh;?KWVjbC( z8f;EYYOy7;j%-66>QSEtY|jofVn=pjXLh9tyRkcauqS)5H~X+32XG**IEdC9LL1t0 zI7f06?Kp<^9LEWqL? zjwjb!$tOKJ%ZfOiGw4b;dT=(ej-1E&^yET%aWQ@9%cWe-61~8C84CWe!GK}lE zo)L`XMsDU7Ze=uMxt&-??qVDhn8+k1Gli+dI`RMyGL46Ml*f63r+AuYd5#x&kr~Y7 zWoGjVukspiFqgNO&)dAqdwjqGKICI!9r=t!e8HD2VJTm;EW1utrCGWro4;$*{Eat) z%=xM*sh%aZQxb0kNgAf4ah5boNxTsxX_=CPv*d`B9GxW{Q*ug{oSBmDS#nWIdOLBQ z6uUw$FOJ76J;&&+KZSa`Ru;VtC*RvBW6|3f3iWokEPA_#d~XjKi{2igP;bx6qPOYf zdz)h{dV8Hhy}d7s-WHPY?Q>(%+hTIPm3-3UN7-^#@)N80g*E&}tRsK&7i%d;dDf>Q zm8i^yY(!Nyp*l6#jGAmgZMI}eVjbC^ z187Mr4(1RJr7eeX1V?f-?Kqa>IGz(ZiH>yQ6i%ZvT{xXH=}LEca5m=>>&ON43}{Jk?JsPp0IVEO{vnL^q)=~r z$fCEs$oF=jvFPm}3iWoBEP6YJd~Y3$MQyA+{;w%=K&t#VIJWz9_LA( z;u)SJ){z&P&P&W>7PFbdYs5P8CUcp`eBR+b-e&;|`G}ACl+XB_FImi2Eae-P@f|<# zBP&?R&#YoKYxs>nh;`&|*0LVuslWzQqDpq1Y@TLmt!)0*OY=8wFUCYjJ|%H`At_498Ch~pO3u%cOH*=1mJCYCHCb|FN^WuDI=RLba$9jc-r+e$ zZ{sM`+kLX=?LqRrJz*?*dzwPMy)28~ULoJxTgIZdw<*-yC$i{m5&7P}HWs~oOQGI= zkwtI6lJBjYvFL4ma=n#&(qj`@H8!ONn^TioY)Pym+favk)TaU4u>+0Rk)7C?U1`E@ z?9LwS$zJTuKJ3T-97rn;qBV!mhPE8ek;FREj$>%gah$-3bf6Qlj-1MA6w!q<=t?(w za2DrqF6VOr7t)K1>BA*l%4J-^RrF&3S2KuWu3-qnxQ^JrPYmXQ+|Amy#$maK}X@18|hU9~ke3&I)q$F-KB+FCsQ zY%JP4okH!MCyVxal5elCv1spd3bi*_7VQls-`+@L(caDE+AI0I$6c~sKHOq+AT#IV<>yRs6yle&u)m;4l8B9OYS`iflk-s<07N*@WtB%4TfN z7Sv)(wkB4Sy3}J^8n8VLX+-1fYH6NkX^U+BwoLOkZZgdIqf>HhmUK)>++;|)r{wG` zxhN%ZlOgG!l7U%rZAyk`$(WSfo+WpuEtKN^ePR#K?9KV{L|TJpVBG8VmUNTJ?p%A&X0t-yvJBvcyT`Y_4E+OAtKV#9|)fDRPdRcUL z1NrVo8;kC4qfmE~WzpTeQI;ZG+;Y+pdmZbn4Q^`ChSHt_Mka?(Sm*0k623%q$LM& zFo)2Fwj7>aD<`Bm+##F8MQIMljfQ!Deo8LPl1oz(HyV;_QZg({ZcItsXh_DTWMY=w zmy!pwKh3jNTSesJCxr(c2H?d;7&$^!6)- zdRt!>y=_3gw@r*iZ<|u6w{2w6TRrlt52WE|s}$Rs8+g?qV=`+1Ouc$i0dj3;=Kr+J3w zc!3w0!Arc%EM8#_uki-4j=aS@-sT@YrLw@c~huBC@fN)MZs9`06p*epAYpRjxB=pLnq%}WpWEIr(-^sq(g;ojMy z@9TAx{^`E>0^U#ltFPcK_(8sZ3w)|C_eH&{8~yV|z5jes@BgF!!kkt0pD*hDzwC>8 zJ2d#$7xl)L;cLtFHUW+Y>?OfSy!M4t0JGVWtoomF7l-(9IbspQf-HGkop6o?z3-%?pa|aOHxmFxR zYYw3ehhaOn&Nl~-Hy+!9lPI(;I9(Rog0B3JZGkW9z0QBp*chBgYz%r*Xk&1>EH(yL zk>3~$HWnL$p%mH}+$@WY!L8&s26q{Yjll#8Z44fe#l~P7`HjI-#$sdeEQK}(vt_X{ zc$NIdV7{@~7`#hvV^H!@mCt00_<}E4!dJwMTt>{u@A-k{tf1_ST;n`u1GqSt$9-N)su=Gy13w^U2iT>$EBHqJt z^D#UnBeP^oO5!~%$vr8VnkA2s=+k7sunDo@4a3mRL#F)!T-~qqnNWN>aABn$DxQ+Qdq-uHLpY9=$arR+6&4HE|xj zH6vD%b@jHt@mNV(5-UmB-r72k-j3i%*45jI#$zSvNGHnn*2Q`Bb|$fsl)+`(b@GdE?p1t4wmcT!IV6lB~Pa$-ocW* zl9Jc5zD>ywS@LU2{>YN`Q?fypY?_kIop?nV;R@NRI3Bn09HX~- z6zXj!S@gCm`QG+47QO9Fq25}{qPI5WduwMbdTURi-cFT8Z$;#L>tQTvOmR-#LxV~YJTN6{@^eErX1_BJ{8!2N>pJZVjbCpYHUgkHfIZJv1N9hY@cRn zqip^*P4jnmf8Ak)h`;VQApH}Gt+Mp6lpdMQ?vv7=>y#y@r=)9^^h`;wEV(=-S7pi2 zlw6l3H>c#*ESZp!NlsiVH@HgfD~`tpJkRKE8il%hRu9l_ zg2gOhDPOaU?}&BeN0zgapIF5&tl>9e9r=^LSW7v|Q-O+9qB0w@5mniQ>eOH}YO)2j z*^;fPLtW~#E!(j@4QWJUb|%)5CN!lPyVIP#Xu&?&b#h3WrERnMdrX?Y$7S>Pw3Kwo zlCx5BZkAk>XZ~`$qgyFDNAlk$(>npZ%Xd>a`k}!<0=chuGjk4{Xtw|FQt5z z|FNs(M%T&f#qs#2XBzFzqfmPv$)dea$+!2Fv1sob3bpsMEZSR5zP-PVMSJT}sJ)G4 z(Oxz3?bR|C?QKP&_I8j(dpnYEZ#QGn-X7%IEBV06fwGnyM64!6>@TMJf7+~MsGzF>g^m^^mab^-uf7e z-Y%t3Z-Zpf+co5S8(}PZyOBb@-64zK#*y!Bim~YJJ__~rge-b{ntX3Fj74uRlk2VI z!zypd=J7V~@E-58fDegv#;r+*nmn@VIyK4*@S9rN)0xrCbig-SVy*@4)v%{1GZ-e8nGigu`|2Ugx%PkJ=l}I z*qeRWj{`W6RvbiY4xtTgIh-Sjb)+4~(4ONsfs^P!r|dfEnr3N_Z2tC4^EYlX%=xQQ zG9XKarX+4MB)6tyY?e$&N!(;erg>K^F5pM~@c+#(?7i7F@@;WEe(wiGbIU2z-0!kz z?l1DqRWugORi;pLo5`ZNEyy=l*H|>SErpufMHbC9CEr{NW6|8c6l(5JSu}ST`R0x_ z7R?<`uDOzrt8|tXaXM$vm2ULlY+@xjkMrrth4kWL`p}n4xtuGwihc}WAcGjpH4J4K z*Ks`~7|D&?%q`r?XvT6ov69@yI3_TWNla!6Q;C)20Ul%;4`TxT+g|c%=g;ySFYqEW zn90k`<`rJ$HQrz@Z!w>@d6)P2fCYTW$HYqV8H@OWFImD;zGhi=rL0P`eoZ#(*QQw? zw;5)A)s$4vlG-VW+YCv=lr+wgW+{o=3`xtB9GoRbq~z!<>6nsJvgFK^bkCBDQqtRr zSCm^^A(t1&y(6YEG5n$nEjY0jRsU>{-~ z*`EVwNh=QK5Duj+hj9c)ay0EYmg6{{6FG^FbmA0FqcdGNoipi5cY1I(=MwA41@z=1 zdeNIp=*wl5y#x-jL>R+-8{bccx@~mfV|?f8AzySM163uGlkK`cg{cCc~eb zm;T&4S@KazKFyMEQu1Av6#nJMQLd5=i{o))&ojEKMxpMul0|oQ$alAcvFL6`3U#-K zEV|o^e0K*Li|!7hPQ znt>EEm>~?qTH*_PMv~;vh>0#T_!^27s4^M~b3wsa$@BPBwFB<*xg}pu+ z_Rkmg{_};s8=D7J*@S<-u(!xcUu3smD+J9lyyu4m70f)?ruYP1ucQ>_Ky4 z>##TbuphDQJCK%?-8!^!-j>6OZC}}~Lwo13?K^?k_I02mWw#DR&STqm2C?nyMt5TC za1OEUJD=G0UC2dTOm8mXQf&Ly`R3suB6^V=)!K zrO;ITMHW->SMpP_oUxdS>r-edZX%0a?55P9>{Q&+c}&G^s6##KQ+6sgavoE0Cw8Xn zRNUQpOvODZG!B6DWBnMw)vRkOUT6GzMsNeBV#)iVw>lop*zAsGQo75S;%`LmHQ;YVrgf<` zXn}j(ba(PXN@ryEAg`volQ**DgOq%jC10duNtP^6$xm7GdrJPwlFBLBC`$_e;{9k> z$xg-bxQpi*-8Chak#%*quklz$4j`71vfUl(Ji0rKSVq>>-SNg_899kqM#^^A*?Dw# zI8BSVqcr*VlP0BbRdp>*{W>@mNNNGK{j_jdUK}-OMeN>8|7xEq56o z#{?!aiOEc1DzTP4K&&Oxc$i0dj95#aBG!^;d5#x&kr~V+){@!8TJkEd@dj@aYsq}x z=3U<70~YWh9}{cIXDs3izGMks5o^gZVlDZeA6U)`eqt4|maHMxlHd7*zxbPSlqc4b ziflk-s<07NiM6CUo3a_3vjw%-lC6ogq%QT?mIiE3Lt-syoLwu;)BJ6b&EJ-3X2+Xc z?&6P5$+20|F(vUPm!x}2&d!pHQW9@+N&2T`V3u5)lHpl0CMCCL$=xZrCrchl$>UDE zOE$(8@@#QDKJPh3Z__E%+v~FEZ7%uV-ZvJ#Eu>Iyi)GQ`4puA=Z)oIe?b5;$T{H zC~Y~6BRGB8xpNmshlgR?o8SVu0PCl}F+-t?g_ml5m8 zm0U%C1~8Cf1~Y`AT+4L~X9Od;iJKY4t&CwTw{s_VF`fzB%_Qz&3RAhCSVtaW8jtWO zkMkr?@l1A|%u2I#PBwq%rTH6gaGCR;rsVT1`6eat2A5=YN`A|d^-@wHORA-$MwV=q zk~&$kV@h_;l9FG%kDqo>N)C~@PR6=Ijwp`Dqddpx?HCI6cCsvbJB@sA-Hb(VXHlrP zi)GQS-Skrk}uXI8PA zHT=dO#5(dfYgv!-RG=c2s6wnG8&j2PRHp`;Q@|)Z>`DoR&p!aPIe6KIgS%Jkq&es){#>=jUu{m24~WZ9-PHFoXh!Kz=ibU zV)}3imvR|ba3%d1z|{<*m}?lqFs>ukkrCX$joid7jAArniFM=-?qnR}naCt2GlhG( zkNbI$hj^Grd5kA`lBaow=Xik^nZZlE%q(7E4zKYBv5vgOJl^IV-s1xn@L_hHEJ?HU z>umlmPxCi!FRb%_rKDVzR8C3UUP!h`$(C8NZA!M!lBOxyJxliOQs-ZH5AmlCOWBcG zc6|C%CuM)?^ptdU;!3&QHF8dIJf7!SMsqzW)ZFE=XznWV%?&md%?+hcb2rPPxm(FM zcbBnfZUTjxdq5V=O(WmjQ^umXXDQU&Y*{q-D*5K-8;j=NCD&ZZCs{s|E#eElWC>sK zHOq*VT#IV<>ypZSF~{L1hA!C(AMIm)v>71@BwRAD1FW)rHjDVwo5TTqKF*_v2M z>QawwX~6dEKqDFxE6FbGN>g@Ycbc;&E!dlV*^dJ_kX9T_YYwFihjBPZay0EYmi8Ra z37kX+I&liIl60ns(>a5#bf*VrXIDz^G)wzt^S6JRzj1?MW)Dxv$SfI?lDNT;+>?^2 zS@K9q;s!%9JtZ@<=&d$|dfQGGy)`7?TN7i^TQdsvw!bWTYe~Mhw#K5jBPi6{iL&UeBl+IC z7>nM{B-dNX=U6U~_2eRY(VIT>Nddeq=c-`H5AmW(~h(*GYvmODkpbw_2LN zaf4yb*GWnJEZH$7af2b*tIL1mZ$;ke8o9PO9)ZA^dXzot(%}q8I&D~3( z<{pzpb5D|Q?nPtK+)ET{?oC-VH;;UC3yei`A5o~euVm5OH{_dJVJw>anOt)vpJe%4 zwwCoMPX#Jci7LcOvN2VuMs;ei88xXzZMI@->QI;ZG+;Y+pdmZbn4Q^$ChSHt_Mka? z(Sm*0k61|#q$LM&Fo$p`Z8@A+Nsi)Zj^S92;{;Bm106Y;Q#g&zbm0unq#NBii?cbG z^SOWvxrmGD%_a2ZGOi$2l795(Y6eowH4I@`cBR~su7}at^)N174{?j(dU!A;4`<2K zDT!MQ$tx*&Elb``N!(&c7Nz9NEcrGiKV-?TDfuHy)=$X>S+Z$LHh1C`kqS zjprD>)uT{vJISKAUCH;hr?Kd5ZwmF+S{A*vA>Ug&W6@iC3iWoXEP5*<-&+r3(c3u` z>aC9~db^Z-Zv%`)Z-dD7R`NOa5waV&k(;=MQH*9Rv5wrqos45V6SU_I z>ty>hOB-eLw`rQcaf@NjACQt(S#nrP;s!%}MH8w^R$l=RAy%TsbymJChF zby;$AO4juok>gw;_Z7$E1D<2_HjP5PJu8deULfDwY-7>es}$<(U0L+@0r}oOGZwvl zL80Ehmql;O$@jL#SoHQgg?g(fi{2`e@2$GA=xsA{y_NhuVqIB1wxt2vvjdH2Ospfj zuq#d3josOUJ!!$-?8|-}z=5>lU|Mr1Z8(g>Ig+Dj$Fa2McuwFXI?#zzh;^hhMV!tV zbfp_TIGb2U&f|P~av{CwO&|JlDVK8vSJ96F3}g_4xrU((<2r^jf|1_UXC!q}`FFAEY~~ z^zi7?!*->I$CMr(TYA_&JB%N8T$Ot*vfg-%SJ##ldUZWQ7O$>H zlYezR(OA5?cBIg&>zT56b?r|6)%5~n@#=aJg$MbmbsZ&( zSJyG*UtPx=i&xjX$-TOkeA43~*)$&EQ6A?Bp5hr|xA#0RFr699H<-&? z%;z26<$XS2As_NFpYR!<^974p!cx9w8Q&4Ry&qZ5N`7J$zp#eih~3_w{KZen^BW3sLhsaO&#h|pKaNW?P*9O8nZL8+iOBonz1|0*^3tJgWcZ$ zgj)aXh~1IYxK$DAe6Yvgqzp^4)!9EV}!KLf!o=i|$sF@9uA7(cO9!>TY9M zbXSdhceRW~cUw`YyB%cF-HznD+s#;Xw+HL!?mv2$=6FBzL^{xslR1Uc=u8*R;7q#F zowGQbb2*O-xR8sunBH7MUoPVcVlC-MtR+`7kYWZigki*5ay`Sjfsx$AEsSC`W4Mjm zxs$sX&qVHKGWT#VQ@Ni9d5DL3gvWTCCwYozc#c?0UL@9%mzc>cUSSTeWjp`((!P5^ zwj*DZcI5F!kX`%_DOr&vzosPK2$F1&k}6rUX-eXaAW6NHG{};jQnG87?46SRvZQrN z+GI)ll$_wiJ4q8=Atx8dUN5yqmo z8!6P=9kS?c9Qod+7>nNSqfl>8$fCEW$@ezHSoHQXx!y`X?eUf@){(b)hxd4&1$;=X zBcJdoi};)`St%mJh|pdKJU?4R>bL? zL07ubgR_a1RD1dCGl2} zq+v=LXGyb^#9KjB^RZnw-c`@lUyN}7sun3o@4aZ zpF+J|D~sNSlkaVmvFL3Kg?hVN7QNj=zPE>rMQ@K#sJG{3(c5(Lz0ENey}eGM-rko* zZwtxy_PMd>Z85ptNpY;HliwsqiauL1g%_a2Zvg|r3PP24K zHh*tO^Y^A~{@$6A@mX?jO772+CsXoFmb{dbSy?hKCGTX(M=AMV`;GO;q29KVMQ?S;_qKzv=xs*|^|psBdfSV9ZwDHS-VUNrZ%4_Zw`0in*1=fxb~1%} z>n4ld&LZF2g~p<{i^=s?@@bELvi@AnK#Cd65QY)!$n^~821ardH#3USjNvwJ=T7co zJQKN_$=t)eOyzza;2|F75gy}lp5!T>;W=U*d6DV7#7t%}n>oBjtRrtSmwC+R9p2-8 z7O;?y_?S=mjL-R!#eBt5zF`^P@dH1yf|dNtDps?G-}r-ANB(9l>rtKxY(OQdWY@{& zX=c~TW_GhEIB77=V!^KDY+s` z2BqYhEV(fyw>a^7a*r$Iw&Hla!*h(@#!;xZ`()AEgXDXA!dUe7G=+M5Sr)y$LcX`R zj74v6Q>eF3WYOCq^1Xd+EPDHvLcRSWi{5@E-&;9j(cAjudMo*?$0o9BY)TC_rzW-7 zl2}K!p$_$^PXo4N2O6;>JFzpn(uCdEojur-z1W+5*pK}=kX9T-YYw3eZ8@AHiFKqM z$IzbRIDr%CKqq1yIhE5Wq6=rxm2ULlEY9Iv&gTLyq!$;{hfBDW%eaE8=*IxAW)Q_( z!w`mX9kGs#;0A8wCT`(YMl&|MP9~*UI;Bh5-x>VZ9x-PA3n`zG&F@#!{C*>w-yfvp z!z}qCB}=koc}jlDlHXJEzxG>$Q(PxI702T)o@un#ltS(8D~t9HAm84h#-hE$DAeBZ zvS{xl^6hmt7VVu*q4v&`MSDHTx7XKLw0Aj$+8Zp3_J)#gZ=|tk?`CrCm3-pkF4;IH zFp)`2W(re@)#L#lWEv0iD39?3Pw_O*@*FSlA~TrD%gp8#Ugb63;7#6QK5z3b@9_Z( z_>hl@)#Ni4@daP9gs=FTWyEUoJwLFV75v01eqjy2@;iU<7k^WZ@~lrqHlQ+9*odlZ zLUlG}Gd5=nYOy6-6RSyG>ai^i*q(+oqH%V$G*2_TMK-frrkNc#8LpF~Q*vyUbWBOy zWJtQF~Wdbm3v(y&lbny z^PXiiH=RPwy)KL9=8|vjePhwwLJBpvSQgDKCEwhS#-h2E6l(5ISv0qnd~=nIMROZc zsJWW5Xs$N-=IR@Z=C&i(T*+rXn#h{cjNNI@p0r>eVkOz1187Mr4yHAS(w4(Gf+IPa zb{xxb9M6fIL`OPt3a8SUE}YJpbfr5zIGb~cmE;0?auL1gO&|Jl8L^UF$yM}c00Svz zFhdy1wOq$=Mlh0_xS3Jh${5CSJ9lyymE<9&@d%IdI8X8v&tzB1 ztTapKWHWePn%i-kVg7!alFzf`o0NQ)C96~NTb8Vsk_uT;EhROwWUG|?m%ld{js8zx z*gMr#azt@F9_4vPcgIkuyOU+n-D%{z>t-yvJBvcyT`Y_4E+OAtKV#9|)fDRPdRcUL z1NrVo8;kC4qfmE~WzpTeQI;ZG+;Y+pdmZbn4Q^`ChSHt_Mka?(Sm*0k623%q$LM& zFo)2Fwj7>aD<`Dc-65OZMQL`&t%hsm{FGdnC6}foZZ#y=q-0o@+?bNM)sT!!$;2$V zFC`CV$=@c}94Bxh9q2@?Bd2m2MRef|&ZHYXIE!;Qm-D%R3+cth^x+aN&PA4$vDO{kx5Ku3iom!_wyhR@i33_7*FsdPxB1V z@d7V0gO_-jS-ip=UgHg79eIm+yv;kj#|JFn!*rdLUs8PE46|~Fay}(j@`b&plzw>b z)Y8M#vcveGUFXu#qSC`IrH7}N9-dKpcxHAOKcQ>sXt&bC?xlx4N)OK}Jv_Vg@SN<> z7xp^(@BPBwC5`_1!rp(ru=k%Y>@Bj&7FlJB?6ixlv_*DoMOND)t8I~8TagvF$ckHJ z*Ii`QEwbts*?AXPd5i46i>$syR^KAKxFRcXkrlYePOiu*{QuO4CI5qewQr}j>yZ_2SA>r;W)7F4DR8xh;NO{hlM zZNV1KYq2G5{0$}r^{kn(3StOE%1fC_xmpz8-w$RjX_TeZ454##m3+& z@*9J}#$sbIltLSWn`N;vxRw0I;4WjaF_=K1jll!5*cePBzcF~qSZoZQrO?J;wk$RV zuae&w%r_PrgLlbo3`#z(@|kQAU+^VM_==d3%ZM5IJwLFV6_lNkYn;c7{GC52J0r_G zj~Q8!4X8{N%Ff8@&SOSy#^#irky|^D8CjQlY)b>m&dA2jV@B@6u9TgT&7H@LY(b$J zd9W;IK90(Ldct#JgB- zK8B}cWR{FcNxX|CxhEx4v*eMK#Cuqh=_#3+C9kJsZk8-e$;Vl;I3-K7WMxWLIdQ}C zfUD&9;&}YibBx~B5-Z8NdfU)=^j4KvNy_$C(|Pn(n^;NK)!TN)qql~{N>aABCeEX` zX2eReuHN=H9xF*pVkIftTU+PR+Yubex_Ud&c&sEH=|tJyx;T&C&LmcnGQE|oBNrI& z$wl;{H+|^KWyCsiC9#h5X8;2k#9)Rnlxw+;;f!D;HxcW|C}JHM!&q+T4q_b{&jjvf z68A8LsoYPjBM&i+M|hOSd4gC+o*~we=XrtY%wQ(7n9Uqs<#pa*E^jfPcZhZ5eLi3z zAM!Du66?t4e8FOtu#~S^#&^Uz@*~Sx$xp0eHL;HTmR%FP)=6s!$ z)X$O~Q?hfG?3I#zvgH3`@6O|HEc^b^OGTQL5)C4SG>HZccWI=#G|^p&398atxCvh^TavG;|CTG!% z=A6rUTtEw2(u&r!r5zV>F_&^VSJ078bfGI((w(d6L98P^>BSB7ri7d5OTX+q8Ioq{ zux$R0O!GJ1zjB_8PsyY#nVOP#|4Q;&N@iuroRq}-SCWM(S)3)`q-1%P{F;(KvSh=Q zl*^K>Q?jiC=g9$2qk;xDF8bcQ@q5|590jnUggiuLx4EP8vMd~dHBi{4(RSa0vkqPGvo z_x72w=gnZDlNa+lE|k>+VK(mQ`mLYO))( zs6$<19odV$smH!FU_TC^AqQ~?hjJK)a|B0mG{@4I<2arZIf+v^ji#KznVd~C&fz>_ z9ce*<3u#3g+R~njiFM>ME~f(>=}cF;(VeTfh8|o;Pp+poeYlaExS4+3N`D40h{4>! z5bk6ccX1D~j@-vc?q@U)@F3%OI6F_COf!2*HnV4>nH{$mt_^Ree)G%UJZ*oMOGTmPK#v$oF=IvFNQ6#d^C|7QOW%-&usnkdK*r@ zw^7ETw=v{;TlX=Q39^Yy=5e0nDW))uSVx}Yd1mkeFYz+3GLzSNgEx7bcX*fgc%ONE zzydzxV?NV!2TRaLk`Z)lVj2>ZIaF3 zQ`7v7+Y594f|Rt(l8aIjw-=HtQ*w2d+>nyEy^st{$?aKkcS=TN$wMi5G)taH$?d-WYOEFuqyc z^j494Z&i#%Z#z(|w_393ZFlm$?Q1N0+mBpt>prA%xU3OJaummKEKN9`SVvCcWKQKY zPUj5Hq8ZIOm-D!Q7PO=lt!Ya;F5+S?A-5AiUMGM))cVlq$gBu_JiX*^4;Bh#6| zi@d}uyv9ssW#`G zWRH~Woh1jQq-jddbl`gOxHIJ3l6XAdYmD9s6zlC0S@d=}`QEx2i{7rH zSZ{q~(c4YrdmCUZdK*l!-tLt}ZzIX~HqKb|_6Ws#drB6)O(oyk3&x_im&x_E?gJ|C z$YwK#_n6B(=JO%3j(ozWEMyUjS;A75@fF|jEz4QKDt_Qce&!c`tLIr75R#CTG)(bF%ZKO`4_cv-#U0&EL4aFz2sJ$@N)s zb4qT_k~>p!SC-tLk_WP6VoDy*l4nx#e3rbPk~g#D{gix=C7-9{3kS}VC!8VQl*HqA zUSssOl48C6E{op&BH!D_#-g`PDAwCHvgmC)^1bbBEPC68V!iDpi{9#y@2#P+=(Z*dOMDMZ>JfH-p(M`+qw^^w2&3JkXE#zE$z9OSVu17ayrnF&UB$0-MNZu=)raL zL#xs#gJkFCm z#T2IU4A1gB(|LiHc$rsujn|pQo4n0CyvrQkXD%NwpAY$%SVul%A)m9DFZhyWe4U*q zKc!i^I-9?1)BKIw3+KreDcLGZs-`4vFC?{7Qa4NLrzCDKB#lyXRF<5Ol9RKfc}mX9 zlGZ6{mnEH2($#_U&#dM* zVjcODzgSBd%CaFFQ=U!Ol+D&QOT zqdpDTp95&f!NfZ9FAk#-M{pF!a4bzYjuSYMlR1UcIGr;%i?eCYIh@A@w4fyy(wa83 zqdgaMDY1@RK?gd~nXX((cdpLPlae$``)2cZV4A;idtuIxNXe)yc_<}udm(u`CDXFx z#gx2~C9_lVUY2~6l25bbtCW13B|oO*mn_*JB^x<#o;>9Y*|a1cH}@K&w~7?&Z3kKO zR*igbwTwk?yHl*U{bbSGf#iET+*tH>B*l6=Nfy1GO1`&d#-g`#Db`zCS@d=h`QADj zi{84B>uufNANG{>;s$zC!j1H$AJ!3H&l~@1_D_%NzMeNeEEiwTdui$C=PoOKdU@&7 zD@vbsD1F*7dm10y>r^`0x%6q5(x+WZpLQ#KdS&)BenR)s(W^?IUS0b1n$o8|($no%R0pzUpWDpKwm~W*#rB-rVE&)z9&GL-lh#Ze9Jn>i*CD)z9}>zxo9p zU##B3W7FydkDpg>S*A>N|Nh^F4mPOX%H!bbtv&8iy^Y7o)!TYJvV8S+{?DB1|9p6= z;BKX0r%|xeD7a%O*liT-HVW=G3$9!RJC1^@SHab*;I6Y^=TWfpC|H&Xb{_@1kAl0H zf*nY~4y51?wBTx1unQ@;q7_`x3hrnMb|VG5k%GILf*nc0j-=p@wBYJiuq!FJ!WCTM z{`q>|f4-i#V3jYpIv1?;|Ix4KZCo?Ho;MckL6+~^xr3n$V>own4>#+d6D(yfRg& z%KBS}>W*trli2drqBiSq9rkv-5BpM|{n($_Ivm8o97=5Y4yO_8Zyg#tZo=`zmT zLsQ4Gu((jj$_N$irDhCr5&+#xP;j9T~2KII?{S;EecA-QyCfd(^+#eVj-=RBd_)#gaXk5{_>{4jic={z6dex#iruVvY3j$lAnrYjKx&kkYZDDOIhq< zx26*7PsQyW$5h;jYE-8N>rcfxj$0zuujQyO!ru_Cl7up6)>6?Jda%Dfuucg>w)JQk6&iA7}n=2|$8<}M@_k-uy1GUKs`bRZUy^_%PN zI2Ms>=)vDLccbxGL~f=Z>o+&ZaWr=aLs+l5b)R3k&-h60XEYBmmT^2xtR#;SE6GGA z@i=d$KM^a*YGNh%oj>@CHI$(&v65^|IX0mJo3RD4l5EA+Y{Rx} zM-{ebM`9(}nd|~RIa8)ON1iE($LIVfqPZCq zYwitMH1{_7=H?lT<`z(_xh1k_?o0B`tuPkNeNVCG{**;?YsoiP-dHrZDaD$rEQ{u< zl5ehtv1o2ra?P##1j|0Mdeo-@`*Q#dIha^U{>5Q5;s}o7XpW@`$8iEDax$lI8mDsx zXK^;oIfwH&pBA*_LR!;?cC_bWE+tlyE9gKcI@6VIbmwYfCApUC=*9K)ri2^m%gx-v zt@LLAgSeeL7|NXt=PvGH1ottD`x(Onn04z`l1Dv{m1F`Fnatxn$S~g2xNwaij zHiKuUxgBqCnf0HhWKouUm6CXaOY%!fe#?>#QW9@)Nh+qKQkLwHl4@D9drJ1qlKoP0 zV9U*-(f{e!?58fhfOwOVi=Md}2`CLGOmb9WZZE4R%T*9SX&J}c|GhOJ$m0ZQu^x#^0 zay>WDhZ1h0Fa5ZcSVsmhkip!}5QZ|0yNGq1W;2KPn9B#u=fmtg`6A8IW!d~)ndWcYWVk;3 zm69@9vPnwfCPT7aO196ET~ZP^8IpP_Y2aev^j%V6zN>$gKJiUjDLpQwCuV={jP&Qu z&XNmL(#C;v&SO^qp|4jW{P!phb+3glYDpg8H?`j zr&xE7$)dZ7h<=BJ@Y{uqn$yRL5Hf+mwRAGB|B-WChsm?Cc zWH)M2hq}aCvKM<(k9}#t{v1F<4&o3FL3AjNaB#thY^N(c2c} zd#h|LdaFvY-gcEmZ?(zywvVystvE*F zIbSU$HL_&)l*Da@HAZg(Dc0NFvgmCD`QFAFi{2ihSZ`0rqPM5X_cq;F^!6gfdV5P2z0D@y z+k9iu+eZ}ZZK*7J`-*&TtBgf&Ka%Th-6vVr$kws}W!Z?0DNhAr9od{MsK{1SVjC(` zg{thpj#Oi3YEYA1sYPver!IT47yGa;_1Ta8Igo}N%%Q|OayX4RlA}0=V`;+i#5!^k zCvz&NaXM#m7R_kRxtzxZw4f!eXiZz%aS<1DDVK8v9qB|Dx^gAmxtbotI?|I~+(2(i zxQV{>%g&P_X_gMl=I_Wff8!Rz*)TpOld@!LO5zqn@>)t}WyzeB#4UzoVM-Qf$u}uk zo+ZDg%e(3-5Ih&Nj&c4HAZjMDc0K_vgmDZ^1U5kEP6YLV!a(L zi{2WO@9h+0(OXlB^>)52dMl9ct-Z16?GlRh)=d_@T}8gP>y1TkeaQ8;?o;doWCIz@ z?F?Zk!?=rBNA6_=BN@eL#xRy~Jj5eB%6KL)iN|?@r+AvFOygOeV>&PJA}{j_uQ8KZ zyh*Gh?=YJ=yvJPTF`o~Kb>tI1Wg&}L%oi+W8DH@Y-?E$)tl|fLv-4!PG)wDb^S54_zyEcMQ8{idc6Ez!M3x?x z(zwNNMx34g+&NitVM^i_L((B7owMYclw6l3H>c#*EV&~kcV@}`DS5zwb7h9J8?OXcv=F+GAvZwJAZYdqTwe;z2p8l`+n%)KLf6(uruj&2g zYkL2G^)Ju4Q2z7b$>shxSiwqG@jXBABR}yozp$EL`HkQCgFpF;HLRr!8?-F^8~>tT z?X??JfAn89v#;s3Qu~_Tc#NxSBZ^&JPmsmc^?&ky{>&#dM*Vz>7vf3cP_lw~6}raYUlDVwncTe20E*oMk%M^(0GN2;+i zHQ0q+*^S!Np)Pw8yS;s=M|~QwKL^r~gR$HD-|%aCUv!4NQ4)`Dd5zKCY>IXFku19V zlzewfjYW4~QLMWkWzpR)ni|#6t@2-lm=xzs!byrIk-R(}kyM2vC zcl+@--Thnd)STgGo<%d7b1vs`0WD}rD_YZ*c3i~8T*_r!K}R~#g|1vlcdn)fv6l2C z){-0OO$j&Bmwv=ravS{_$RKWK2tyghaPH|z?=?nm1&Z}{ zi7a}%oP2NHj74u(QLMKrIa zgoWiLz<#f*EY?^UScAm6Jv$TCS ze>>>L%5S++{Hb_I&vQ)xu4NI zz*xrdFtLt2#&{+&iN|@8rZc^$ z2$D2P$x&HyLP}1~lIAHnFH2gdq+OPDN=a7-&XZT1A=i||<-ujd8 zZK$#6Z8*hx8zYO}9wgt}1Y^{BaYxGj^S9Ea2zLaA}4bSr*S%G za299NoO3vj3ur-0E~GVWXh(Z4=2BuExq=RKqBC8&lI~ocohKz}miEo&@4z&FZ_noM zh?I=Vl7~|AXqG&kl4)7;VoF}glG!PFFH1g3$){QJRZ70ik{?s@OO|Yql8qcVPhNF~ zY+4eJn|qDXTSbcXwu3Bst46-JTE?Qc-6_`FezNH8K=QpEZY+8`l48A`B#YioCEr^! zW6|5W6zi?6EPA_$d~Y3%MQ>fm^|tQQ9zA8fxPji3a3g)`N30{a(Vu|~;&$#}D8m@e z-Q2?n?qd|A8N*l}&SFw@FFkq3a>JgS;RW>7H>0~ zcX^Mw%ws+a_=u1Bl+ReiVwSL!FZqhE`IhCZU=`oRfcbCNDyuDPz&wREqWXvMhRg zjeKwK7>nNKP^`C4WYOC~^1UrH7QKB#vEF``MQ^{7@2!ln=xsxCy{-GS$Ck2+Y)vJ$ zr7~66o>)hAq8inyK}~k07IoO2J=l}I*@t~;z1XzxObwRf2;+Ur2Rz3#@My=y4e-i@+o?`HDt z4Kfz(-9fIsb)WgTPd1YK8O;NXWgHI^tI1=GXCjk$oF{mSDNN-Vp5=L_^8zpNGOzL) zuQQ7`d5d>=mpQ!8Ts~kvAM!D=ntaAWK4&psu#{zdO{^y0v7D8x;s<`>XIAqozw-xw zv4%2~WkWWm9Gg&q&Der1*@~^%hHcr7Ds0b=#A>oL)!Bub>_%MoUmQjwj^HSc=2)6=94BxhCvys?aXM#k7H89(b2yLlX+cXaq&015M|&>jQeq{! zf(~?|GhOLMcdjN@l54q+UR+OaO1P1}+{`W9N`D40h}*e?q1?%E?&2Osa37<%pD{eZ zgN);09wk{VAN)B`})9C;7+k>;5CnuD|<4Im;bayJnx;s}E z-CaPwySB!nyNf8+T^Ct&cP07mdK!!FZlG9qx5=Wrf#kazW-Pk9n_}IKl|^?Ck?(Gj zvFPpza^0=_`^4$88NA3#yuz!@WEQcSyv5th=3U<7edaNr1$@NEe9C7mVlhit%9nh_ z*L=%&tY8)2^CLg;3#<8!KZw<24QttevTVf0l&1o*nrzM%RAehEu`QLULREHPN2;+i zHK@t1)S@=KQP`%#y2861N(Xn^Mv*O9rPTZZ#w$Q!+YB9!bggESZ{;XS3wxl)RQDb5b(b zfvd_J&XA8v;_(x&F?w4_vEIIsMQ_W=_x7`~=ar~XvR6jI&wZ2P@pBP zXiZz%a}k$tDVK8v9qCLLx^X2}aWy@-mY!VC4fLUeo9IhFZY9={0Ssg?w=;yH4C5|h z9l4hgjARs}d4REu;~^g5QN}ZYNj%OIJjK&YWg5@&JkxoB7kQaic#WCN;!R>5d577| z;XUT^0rUAVohN0#D0$-rv$9qhpOIVlCB6MiKRP#{^y$FtX?)CXQ0eI4(x5$T=L$jyx6YeY>9aj2ucy2^H9k*v@T9Mb_UIZ0EQN+Y{Tl^|uAPIF9Yy zZp3!34!g7dwxFKl*v>T|wsQw?Ah9htgxJm6Q2A2^VgANqi7+fQZjlp%~HwHHvi;cm}6x$fwA&ZT{ zo#ZzL_Zf?g!Tl857(6D6jlo3n8-ppvVq@?O#Wn`7%3@>iI{A&kyT)Q;@IJYX!MYEt zd?s7S=Pc$6mJ&1aYhp%z$8uJ(iuGsYYR54nf9DU@pOIx9$Bf*Va%@5c)}N7EIgS~* z4coH*jNH+2%*dUo&Mwqs{TW%;am>iQ*qildBN6Xnx%udyl0jKAJSFiimgK>d zJe(zyQxflDNoJ(vr7U?PC2wcRf|PumB}-EBWtM!OlAj#7VR_40@_R`<{^>PFZ)=H_ z-&&u=SV@|54(s>U(sA_GnpjEJ>uudSa)t2@bfPm|=|*?1Cf1Q_ ziFKqG*VCIm+(=(;<`!5}=J5dw_>hnJlvqa=@i|NQ zf-hOd*L+K?BP&?R_x!+5{6efFzh&piMroFo&*pE%G=JkgEOY+<^jFjW>pg@e73aIo zRO>U}RVMwZQ`65oJ^Oj*rsRSwxhN%ykK~$L_i>dqvbAhLSvF#0%2R<@Nj7H-DzX)o*oMkfp(;DDBh}cM8q{Q0YEhfr zsmq@1#XjsyefDF24x}Lmb11Qr98M#S=^M>^4ku3SlXuBHdElJuk(H_)3BZlW*!vNL5!n!m%c`8zVr z-*^YhnKC{lld@!LO5znKxqF8T7%c8f&*jCZ?RsO;TOV@0t^2sj0NFqWb2~#A$}sLC){%P|!AM3i znlX%J91rmbk20PKOyY5#;3=MFD${tD=a|k5yvWPE!fVWA7H<;k$UDqt4(~CSdCccS zVjcN}Pg%$!7V`y5S;kj1@zwY?|~ zwlWsoZ9}fRb@!w@%c`>rHQ9|?)S)i1mh8pe)MH;7py7Y(4&Wqz{uEB5DQ9pdXVZ*x zIFDFMT2SCZTG58KwC7@CExC-#=|D$1)0J*?C)Sc{=)raL&hHxjtxQly;wd6iVazCSafCm}J!`Zp=WI9)-War9^bgslrhU>%IDS08gm>ca3Uv@@9ivO(OYwh_10Pzy|p9X+ZD#5w@wu6?OIv%){A^^eT_wL zw@|FNp|a?0IQia28H?V=kn3&ThgBxXCNi1Fd6K7?!ZczXd5%~|X7B!)BKHF40Ha1l(fu}i&7G|7?LYfa&?y6kdl%t8JLpWv*hlSjL4FQ zQu1h)Jdu*8v*g8;yyC!lGRGP6MoB!rnMX}y~ltpj9 zkne4cvFL3BiuJa+EPAU*zPBpIqPHC=)>|!E^tL=b0#}n(wNu127oW|*#!C5q;Ip=a77tn&1w4ya_X~#ue%%xn$6?CK%UFgb{bmwY% z5bH=!dT|52Dd9%?(vMh2ZlgZ~8N}@jVJO2G&fVO@2<~GPqZz|k9^@e&=26Bofk{l} z37+I>rZA0XiFIT;GkB4gc!k%P$*k-=nVV+m{A~U%O!GHxF`Ny{Q?e>ceoaZ-Vo1uR zq(YW#oszi4kW^1e%`Dj?C3|PdK`A*jOO8%S<1A^Kk~1ARPu_EeoLdr)=X;IOTY+M| zT_TI#E+^kxH)GM;RTS&3k1Tq-iF|JZj74vQDc0M)vgmCj`QFAEi{2igSZ`0sqPMB! zdwaoH^!75j-qw9g_e#Vlhit$}+y<8@^>ZD_F%3{K(Jz z!ms?sANJ9+Pss;a^51^x@qOpWHzo1- zomUynt)y6UzssVzzsNVYv9V}w6N)vrjVzkmj(l@F8;j<4p;&W!$)dS>&hHxjtxQly;mE=A~azCSafU%6@VPYkDjPXol5|8sF zPcen5Jj1g*&vahkC0^!LUgLFU@g{Hc4(~FD_nFHF%;!TsCRUQqSjgur<_o@L8DD2- z%1>$juFmG~+BART2E)~1i=xqtP-q!s+;tJVHzUK#i;%8R# z8?lc3$zQCc3}xAnjVaG2Y|3VA!Io@ACAOh5+fkM6*^!;tnHucEuIxr_>QI+GiFITj z>QSEt?9Tx-p&39LEWq$jO|-X`Id(oWhixs+H(uAl>*=uB6xq&rt<=SfMLrG2ycJ21`PxWO>zN2DbGOOJ8x$NOPVr+=*R zKk|!@^PDA{mc--cUT1Vykz(ELAdBv*k?*dSvFL7higmZ2EV?_8e0PT%i|&r3Sa&DM zqPtVcch}5VbayVrx@#+o?k*zVT}NZlT^DlQt^2#gp0ZxtKyOO8k-qf9TH*_P2ci`_QnV2;tP96lzx`(zS5^7OP`J^eR_ZC)6v<}_z>Ti($NP>pN=hk`e5nPaivcm z%AUqgc(`=*k-F`6-q!A z=2d@wCbNib-&?%RY~JNP<}#1@EZ`$P=2Jdn5sO*EQoiIXzUEt&vw~H`w(m!N;ulu) z8-EbnzBRu`|c*!CStZ2Jx;zjbJAtO>^x+rIU;4ow}$w(m@0+t-ZdtiN?AIF4;!D`MN% zmUhI};SyrocR8``>qvg6k{v6zbAP;4sxEQ_i5EBUEd##l_n4JkGix0J;$c55oJ{#4xFaZJUXs77^au>Mr6 z<2a_`9_-2bQ?Y^Ln2HAwyV!#`nDwXP5sr`KXpUk1sd%E}n2M(myV$1Wr{X!rVk(}` z1r%sWOvN_DRBTVNsn}W8g>GEQ`cv^b$33~88~D4ac&qW-7{EXVb2~%0lVRMI-O)Ud z?pwyST>sbZ`^Ad zTC$p7`JF%bi#3#?EU}hsOgT280-Lb~v6gJb)@;MJY)2KgXGdZ!*_rC>LQQs~HnEn} z&CZqm(=2V6&EH08{>GbJ&W4jya$1%&Pf5JVC25zEi?gItO5#l}Nw1Xj&XQYF(mzXv zr{tb28IzI+vt)8go^;?mS>OzLrX(Jp^BSYK85Ha74O#T|Hu>J>8H?T)P^`Blvgqwg z^1ZDv7QKB>vEKfaMQ>}#_g3Cm^tLI*daEpp-l~%Ct%kAaZC7%=t@|9yKC*h$rvdwO z01Y{qSV#WFVKm|hj^b#Jr3uGz0w;1Zr*Imla|UN|HqAMQ^EjUtwB$ls(}s4m=VC4; z){!gdKqorWm2PzBYGNI^mh0%n_4KBM8|ll<+`_H&X8?n^ojVxHoebwL?qLM?F^c;c z!vj3XI3DIvVjY>lL?-h%Px3TVn3kOD&)BJreo4=o?WKovmrNZt|Z@EPh-*B4HWC` zHd*vGkbG~$j74vEQ>?eKvgqw0^1V$m7QH<|uD5lcW0@|S!Hc}aE4<1~W)bViTfEI| z-sL^sXCCuez(;({r+mgD7PEw*@u0p&wlLBfi&b`4kgx+ z!)e5k9K|s-rU}Pq=gFCAmNv`IhC(_|T4m?S_ z|8+wVe?R$1%Eo8e)byvG&HmKODS0hR=A>k<16P!foFgBV#N#JkWi+>tV$FRci{_S- zZ|-Mf(cG^TYi>hXG*^y%b6XmV=C-C-b34hRx$5Mbt79yh+k;}w9UzP54kF*&5yqmq zqscY5?q>89*{L+;bk5`~nsE-XlAO;46lh5+TGN*HT*M_@%H>=^M>^AmZd}P#Tul$I zr6<>O1AQprCi>EkTZxrq00SA!?F?Zk!?=rBN$zC?BN@eL9$+lvc!)=Ml<`bp5|8r) zPw_NUnZ~m`&vahkMPB9=USlS+c#~L3-eER#c#pY!z+LaF^fr-v zZ&Qp#Z_iMyw^wD++w0_ed)HX>_CC4Z)_s!YGuc8uXE9%}lx2KPtRvsCoRzHN2Y%#d zR`V;r^9O&ihBB08LpG)yn^1wx*qkldimlm(ZP|`0Y|oCwI*@c?yMlI@4msm&k zVsGlPFAdnA18B%W9KxX-#^D^nQ5?;&H0C&t=R{8C6i%ZlXK*HG(~NUCk61@qP~bvZ z(S~-k=i=-<>6&I~_iX<5O7k~vFwFV>DH)U{!&4GB7?KB5@^F?+PD$KgNM@wur7U?P zC2wcRf|PumB}-EBWtM!OlAjznPd;&m{9Y1|e|nA4+gghCwy7+7+k$*=m5oJjRVmin zuCnN@Hu>K6F&4emr&w?Ql0|Qg$oJO7SoC%R#d1KEjN*RA@Bj}o zj)!@aSVtx>k;y#HlRU)~rV;DNb3D%sUf?BO;Z*7R|j#vF6^AMRT*sH#gr{H1`q3np-N1=Ds4|+$v+y+>hj%TlYzpHL|sAKv_0o zW6D#3SV=Z#3o5b|mDq;LRG}(6up`yjnHtn&S87q4-Koo-?8QFpOMUiZe-7k-OpX83 z{r|E4b4@s&SV>OeWKQKYPUlR{q8ZIOm-D!Q7PO=lt!Ya;F5+S?<#Mi|Bc13%SFWTx zSJQ)7NqQ11$qn?Tgq!F~zwAsIlFo-=+4(TCWwrm>A;$G#e99(e+0=Bd#4U#8wUo@t zk~t}fTMWs6OMXqsA6c?tO3G!))+yQ6fosZV&XFBT;&CUhGMcMSvF7%W zMRR+TZ|(qN(cD2essFaQqm3U!WAe?NVl0|#O0nk7mql|0^3An37R_BkvF5tTqPeTc zH+Q|UXs!>r=GJ|ZeSmBrgSnj{3}qO15i7~Pj9?_A7|j^QGLDCMghv_A1Sat~Pw*5^ zGnHvP%X3WU1!5(6nOAs?natu%VkLQp+05ZR<}#1@d`PS$pYSOQS;S(#U@6P^if{Oq z<*Z;8Kky?z^9#T78-MT@YbZmkBpb33*mk9nQZ-9(CY_lzvMd!BrEuNsT)UZ+@h@5`dQ56E}- znX%~ZbBcBMoh-UrNxr+)#-h95Dc0S_vgmFT^4)D^EV|o`MbQ{BPX@oaE1+!f7<+49?_insE;25o<{c3S3Al+R&EvTuiJbmvK2A z=tyU}(v9xKT5=6NxQ?D&Pj6x^xsjW=nSR_#e+Dp!!Q8m8qcUGIeKGj#EJyNRVAr<+vzbaLs_$4j3+ zQTp`B(x*>lPveI@T{=3Y^y$>nr_)NGK2!Si+3acjgy%{}pD%qn-P8XSU)TG|`XBTA z=j(d^`MTbJzOHv#%Yqxo;pvIQ5}f?KVE zO;W)oso-|2V53y9Q7X7uF1X+pY?l7F_%|s3jepxOeO>PnHM6hlwNm@K-grFB)ua(e za1=*#ER8vi6F8BR$zNU1G8R|Y<`lcSwwA@!wH^7Z>lMc0>e`87SJ!K0adquQ{_5J- zSX^Ciq1e@Rs4T9o!^vM=M;VK&>lkuZ*L9!wm>`?TWFF^9o?;5qh~3_E#BOf}FYppC z^C~lWoi})sw|R$md5`y*#|JFnLq6scK4TG|vxG1Bl4X3&x5RF51uOZUANYx%S#mk8y4#(6cl#QP?)Kwvx-0s3wa5C;H{p0N+D%eaD$bfODgxsvW&O%Gx%=}D|5H_)3BZlo{$h_&Q4`ZJJ0+|Ce&GK}He z%{`3ZK1MN`F^uIw9^zphWjqs@#AKe}NuFj3(|DFxOQsWR$&0+iE4;=`W@S77x#?_} zpPda0)7cPj2HC|gPsyq*`86f+W{{*@N-AW@)+vcMgCx~cQZq~TNXgz=a!^VR&61;2 z(l|?+rsPZq-c4HU3^}(X9?$n0qqhRZdb>mxy`Mdo;{XoiAP(kG4&!i+;7E?<7#h=r z<2jL7M^52XnsPd4ayHF4Cp%Btq*>ZNo4*~>{Oz30-|JFxeU{vul3TOn&XnAhCHJS~ zfh?JrlE<^;|H*HzFL8!^QxcEgd5zKAN{aRNyDWP9i+pbz8;jmHp;&L*$fCFH$oICh zvFL3ViuJaaEPAU)zPELR!&=wzTJB zVja1R%jrNzI@5)2bmuCrp$FH|lk4eCA8zC(Zl)i%avK8}#9;1V2zN4!ySRr~NA6=J z_cNLY7|S>wCf1S17|%o|@in&;$>dtHC|^HZ}K+p@Gf(BpSgU% zd_Lr3VjcO6g?!FpzTiui@pX2d{FG+)>TG7OO>;ip2y$)MA|+d8N!66Z8$puVDXE(! z^-~gW1W6jD< z-ujd8ZK$#6Z8*hx8zYO}9wgt}1Y^{BaYxGj^S9Ea2zLaA}4bS zr*S%Ga299NoO3vj3ur-0E~GVWXh(Z4=2BuExq=RKqBC8&lI~ocohKz}miEo&@4z&F zZ_noMh?I=Vl7~|AXv@vw-2V^%=K4}+$)+XoxVhIE-BqMmcRR?UyK3aSt7R;@+nr+F z?I(-w4kX{*;l`r7BPrJ1NwVnfRPx<5GZx*QOR?_S%A&iA$amM#SajEgTzBg}_0dz- ziyP=o2{+Q0e#BaG8~qu`Aa3UlhBA!d+|50V;66q%nlX&!K_22^9>qNPxAy<9`tviH zMXV)n@iw!0m-m>wl&3;=u56pmh$`6`Q9Ydzaf{*luy;!K&60ys61NzV#wj^IOPZ!6ZZRZ< zl(fo{OHy)qmRyyR9$C^SB{yZs;FJt;;F|KKGvw})c)ZtZjNV34thYyG(c5??Fp0@L z!BafVRHpGP&oP}DyvWPE!fWJvd&gMxHiu%peIkqA7LxC6nX%~Y8;bSzvn+c1m3(hy zj74u7lIv~VXFj%+Rb*=_u`QLU!uG^EvJ=&)P7P|ZE48S@?(D&y?9D#xO9S@f0Ad|E zh=Vzle{ncRa3n`_42@~R@tjDkBd2gGO*x%2Ig4hTL#!j`a{&cf(uy{;r9BsM372v? zSJ08pbfFtpaurw8gX`$Y_1r)oO1O!>^y5}y9T~tt{tx!E)L zAPQo}j1e({i3S6h5k)bB+6o5D3YZW9F=NIIDhNi*m_afsQ3Mfz@A|F%KHWXLJbyhi zHB(eRRWYOL6!-g&bNF|tR+7&gP)nj9Og2gg~VF& z8;e-NQkJuVKUl?|{KXp9vW{|8U;`>qnW|J{BdW6rHL1m>Y{ur)Wec_<){+J^q%los zN^@G!D!W$NrE8@_w$^t{Ykk~i`2BE1O1fl8x0J+fhNM?Y&dQR$DT&(*$$*qxn zEo(ztcA_2a>A-HpO0p+=(UE=FkNr82P8`gk9L5oJrVB@N499Ui-8g}hIGOJBpeLts zI=$%4S)4 zXmPwA?tMmgM^dP}6J^oeDdf95!&r28CWX4YNEY2)LcY7JjYW6YP^i0GWYOJi;DDeFRqdA zisSVM?=qU3L80b;kwtTh$T#H4CETFqnH~Q#EslctR%N`8+ULgcXJOz8BVMu5AYx( zc$h~R%^1e=7*FsdPxB1V@;oo_5-;-#ukt!?@)i?$n|GPSdraYdJ|tF>PngDMe9o7A z&2+v^S4xFB#lK86E1Q+`oLtFQ_D(2$d~Rat;oI3^JZkq&>FB$qhm%SVCzl?+S9&-l zJB&YJYU$|vrH3Ds9)4JQ_)+QM$EAm#WQV@8*U|skuk2mW;@_|A{eR+dDZi8c{g>wU z_ut?E4&)#@aWIE)D2H)4N6?ug>B3PQ%`qIyaU4%qx^V(0auO$V3f(E92R%8J(>R?o z=tXbNq5H>=2ahox+k)dMv@Pf&LuVmeJHdsxLg(+gR97I46Zj88-u|V+8Ep=i;cm(y04!FXe_F?fwa8-vNR*cePDzcKjKSZoZwAh$6nd0^!y*$jSW7ITt4P7gjBMvTW@HBn&B*;^F(VJ;Aj;0jBb;}p3rF!!Gx8+kC)1rG%Ff7M&UUk_TuS0oEXjnFypts#q~zl) z`6eabXUXi8%*&ExDOusft^IGVlD~@M^>6PndRtGdB>&XghQ_0}>cmP?wzoRYqqlm* zO7c&=ZDTxoYfh{rWqWJwJbG(OtR(-`+n&Z_CFw}4BxQT+`I*_wVIK2Y$gjjYvX~_-V>v5WNvtEQ zv+JZ{nx$2;`CB8+-}n^EoUfmfMp@D_CGjbi#Q#Ly-@ojZCHtl%KEaY4nUbTkg^+0^frxrZ_|xMZ{JaaitTQJ)4hq6yp3jOMhY72B~rt=W;b>`Xg$p#!_I z2eFRqO-J@+KMvqPI&lcGjvUSr97z|B=2(uSE8RGelQ@O$^x#xZ;|zLnCTDRD=W#w4 z(3gwo$0hXVGOi%jk*gWNHC#(EH!z4Bv+LyUG)sqO^LIp=zmH_|_vw^8np*qbStd$+GCJheF#WzpNE zj3;=Kr+J2Fd7c+| ziI;hWS9zT`c#Da=&AUwEJ*MzJ9}?@xCrslrKIcomVmjXv>&OrM$P8vOi#g0?J`4DT zUs=Rrma?4R`Gb}G$!gZHmUWb)JR4Av%2c5m8xiZsCe)x7wb_i#smm7Gb<#A=(iYkL zZIkBjPTBn3D<%76$-yZ(EK810$q8A~GbN{INuQKlm?f8|(W6|5s6zc6a zS@gDqd~d6aMQ?vmsJBY8=&dUG-f9|)-ZmxITglyM16e~F(}bopqXn&qb!2;Xpba~+ z6FbwM4(!VA?7?2_%|7hM{v60b9Lymc#^H3P3rBGb$8tPfIf0Xib)-8*^yE}d=L~vt z7O{?;%X#$S0xqN<7t^0hxtuGwimMsOwOq&b+`wRN0j?d=rgfxHS_QD?O7ZyhPr+o7`P?FjO{9cL_h z>qep8PLoA%y~y`=zOm@7FNJ!$QWm`pAm7^{W6|496zc6BS@brHd~XjMi{3_&>#gKL zm1kt*c#h|Jk(U_HtHe6;25&NfiM+$ROlAsG`G61km{0hW&-sF{_?mC{mhbt2pP0$d z%w`Vrn9o9fCDxI}EMXbTS-~HyVl}aj{LNa{Q;rH$q!LxA%7$!2bvB_Uwb_(9Y)(D4 zU@Pj=fJQWC8=BIb7PMkJVjXEs8``oH?bwA5?3P_82c%irDVx7XruiGU7v}saDd~|V zXQm`>FC>?w?8gBdNGA><){?_Hf+Oj|(Hz5Z zbfp_7auTP|ogSRZX`DeX&g3l4;atw=0{U_h{kVkwT*ei|T5>f5xQ1&f=6VKkBe9m; z!VqrbcJAbE?qMjyxR3jJkcW7fM;OHz9_29}=SiOC8J^`iUf@MuW<0O*I&f0@^j1Wn-p-aqZ|9Nk?P6om+ocrh z?K)ZXb_4m|ZZ#IY-9e$=9*{+EBgpqQ)>!oR1ciEgNfy1mLcX_&#-g`($@Nz9n93)z zX?(`#e92c#=UZYO`GFsq!Axc`o4L$q0l)Aoi&)H3mh(G*u#!Jn%^Ln@9pxy`22`Xn zRj9^B#5%GGHK;{xHlq%8*@9R{wx&J}X+#s6(u@|gWLvgl2U@cuJFzqE*@a!%jXl_l zz1fF-*`EVAh)x{BVZ=JpnIk!hqdAu2>BB~w%KVU~Q6lCQJm=akHG;yU@=74l1Qy#D4r zMsG_f)Z1UO=xr_e-YOZ3-l|flw@qcy+venZYhWyTYfPcuwwFb3ZOHf5!C3URJB4~X zP!_!%Oun}+#-g`l$n{q8kVa6QoJFi7=W-r>xPS|}h>PjZrCiPxT*cK4 z+)u0{4>5v~Ji=(kFqX%Ob>u0YW*pD*JTLMR z<9UVGc%3(Si;29$yG-Uirt&@?@-d(ADWCBLU-C86`IhgAb>t^z@H4ZR!#w7*FuP7x zq*=Nuo4@PR{Eb@-bG~{?YGz5jl*BEDqV@^^@fWlLDba#rvMt5{8} zBY(4&^^~Im8&HWVRAoapqB@&UliF-b9X6*PTd);d(||@aW*eH)oEEfVJ7OJaO&i*> z6YXeE2X-UYkv-Xqj_kvJ9KeBe;$RNtFpi)zT{xO!IF94##tEFnDRid?Jvoij=|ykO z;v8ZfIiEiCTPFP^tKE6-u5;Yz3oe(-VT>VZ%2~vt*f!EUu>{QwhsTx$A)*5{i@!TCg^>|@%n%tiK#e(LR0YxSxm*J$xp?XjKx%Zg+f#D zU0F=U_sCDhPmIM>{ER|V@dsH<#Tn$M;(TK<6@Q`7RQy90Q}IvoQ?Z<}n2H-vXew?Z zi(PCjYEyP9Zs9zp;?~rsA&n?I6|&21KNU|f7E|$LPND2nJl%Or#oiQ}iWkaaDqc)}DqdymY6fx*|1=eEHhv4Y zavP>%$tR)rIv&dK>~3asx|bN6-DQkRcNy{FmOGO-Q!+72rlur5+>(5ql5ex*=aj^U zTaqOyS)L_-rDScER87f7S+Z$LHqVmADQW7&-OMUi$hO7ty1n-py|p2hkbmlJcjK{y z>_sdgWqUi&dGvNLv4s3nZ^syqCFFQw2`SrKcjwVtPhtuAr{2yr9!p3cVhJhRTYu-V zgj~)Q{8Mk&8;>PqFgH@Rx7(dZZ+CGwWqK=lg5@FOBN)jejAjgDd7M~Bo+8$faXicO zyg;lYv`+Uep#5(dRpYa7>@-@@>mhXvmj{LrvQ)!2yY#5z)wT5QT@Y))Oa zU@KxBX+T37(}bopC)SZx*>%z`&C(9p{Oy?LZ+y6A&L5GIE?LqoCGp{wq*qGL%96e* zi4V6V15$ErmfVz*Az3mkCHH5^sFXaKCC{bgMJKM4KV2cO702rv-edGOfkM4~AdB8U zCg0mv#-g`xDAe0*S@brKd~b`5MQ_U})Z5>(=xsgu-l`aj-ZrFAZ*^qRTRrlB7+*!*Lu>H%{Or zPNq9O=*elEPA__M7UvM_$ocf4FBj5}i|Nm0#5!^%S22KrTuU+6Gl;?5#LW!hR&M7` z?&2QqWf;S`p9gt}hZ)HzM)N3Rd7LMSb>tbw@f^?dA}=$ZSF`J6QktbxviUnL&ENQN z%bfo)B{Q>RK}zDoEy>E1tj?11DTxoaBsEe}J4?1qN&PHonUd|YWapIZk|q15w}Zr+m&A ze8qIW;XA(PM}A@^vzX0X=COc<{K{`EW+}_~ofWKP6{}f8tRw4KPkAa(kxEpd8nKRS zOm%8dliF-X9qLk#E!m3tG@ubp*oI~_rzNe}jvZ*tj8_ z00+^DL$d4S*fdMKX7jfw&EHeA`FmbUF36HgQ*uR?+>nwRv*eDH+?^#Odi>Y_Bm2Kx zBi|Lr>kr;#G&h4n&HW;a<`$7}?hj+p+@BO`ZUb2~SDAcsn;47cYEh`Ut!2?%L-NhF zFc!^iOQGi4%c8km$v3x;v1o38a?O?8h<278$x$55u^dNNP9Rp2lR1SVdT=VIaR$9P zle0O8^EjUixR8sum`k{n%eaCoxtf7o!*vvM1B1Acn~9a=R&L`C?&NOnVJO3imE-{) zWCRcM2%{OpSRUgEp5$qs;aQ&N1zzH1Ug1?<=S|*XB5(69lX#CQyw8WkO7aQQ_>9l_ zlCPQ0x7n34C(Zi#*{olZW_{dX`0cPZCFQcDYD(e;L$Y~Fw#bskDTx~lNt=}H)Fb;2 zEl+;!o}T>LD@zYf>0#N=9g}|U_$=v}lGB~|t+K{da&~dNp6h)^cYP?--Q}|A?ke)# zU2iP98%&|@?vh1!_mc1KA!E_qND6iLlq|X%N4~r9#-h8|DAe6#S#&p*e0QH3i|)Q4 z*ImgoEI-L+@H4ZR!(8UGkXTE8V-ZVO%5r|^4_5Iff3b$OtfL$i*nmn@rYhCgh>h8V zn$%)bHe++@vIScaYe@qd(wHVRr5P<~MXV*;vjc6|k)3EqdpfWyyR!#-u{Zm$ANzA4 z2XQcma2Q9>nJyf~F&xYBbmat2BG!`b6w#AYIh|hg=B(^m>6d0{|7`vaNb@&tGR*rS zDY-pMhNUEKG9-_td0Db7B`ch`PX2a< z{8b#Ue|wM7+jw^6dKBty8(H+$oP2MsjYV&5Db(AZvgoZN`QADi zi{1{UP;bY{qPK43d+T8=dOM9=Zza#LoGCyh^MiZ}27) zn8-U!Vlq>h$_ISN$9%%4e9jkq#n*hpw|vi!{KQOtW;Sz}$9xv@E3u9&W(mt!&I(qt ziq+Y5QZdcaD%t$4k>+pQWSH~yQ_?6)TBam!G9TUS#nWIF3FN>Qc~>1b+XnKa#L}<-r_w*Z?{pXxBFz#+k@nL8)GbbdyGQ8y&#L; zUMAn$TgIZdw<*-yN3!T`8u{L)8;jn)qfl>iWzpLL^1UrJ7QOvWuD6nBSk}qbQ=SS` zq!LxAMyw+nQ=J;rq&AyUhq}~bOSYmu4QNCYwxJo#X-O-#V|!Y&BW>B4cI-k2c4H4> z9od_X?8|-}z=3q)5MmuUoFh1rE*#CV97k8WaUv&i3f<|!shq|c^x{m;;vCN7d@i6b z7txPP=+9+bL98QJGk|NjmSS#T5I1Jm$=zv|4$bE8h#vpVeYh=sfc-`8&jOH3r zsJU%r(cBK?n`>_@n%k8^&FwFX<_;p?TxVm^+))&2?qpdsS46(K-o~Q2vnkZv#j`G~xu9Ozp zmC`1y^#8fZ@DD%kmHy$!eX{i6l*Ubl-yO%NpF1H-dZr|9G9-Oca$%NSo|3DwWN=Du z&XT)Qa&MN5Ovz{`eygl^l{`@#uTOcO(cL%-b@!Sqx_gs+cax1pcT*|U-50Xx?rZYh z{bVe<`QyTk^vhBT%LO=(68 zS`ll>_Uu3#c4Q}Zrac|lmEGBcz1W+5*pK}=kb^jwLpY4X=}Z@n;uwzQc)D@|ClPB& zcZ%rAshrLk^yVyLEjgF-=)(nENIx#7KbLYjS8x?qGmvY!j_bLB!Q9Br4B=L8=ML`T zZti6$!?~YWOCDkbBYA|;Jjz%e&#sjh(<~jI&EE-W{>Dv)Isb7=KFyMEQW7^Al6fgv zm?g_n5;qx=^(m>4B^#!sdY05n$yQmiO-h<)N!ygPbK;A7T_96w7XOA{xf$6P_0G(m zpo^#NelC5OZdU2x?9#(IrH6A%59gI0&d(0x4_i<=y0G-{m(s&uOAmi5JzSI>#-Fgb zbaYAS;ZlbmSK6Rfm8Ip$b@=z6o*U-B!Eo;5 zejeaK9%2L!Gm=Lb#c0OxC}Vky$9aM$`S;1mBENn%uh)BI@#}RM`CqRO8;f7BqsaYwEqUbQ8QD0V<9S}>CC2kAvE6%v zH<`dh-r-#)Gli*qz=wRyCw$81e8E?I%{P3@_x!+5%;aZgGlzN1XCc25+r7msVHwL= z!5^$*HL>0Mo3*T`92KZYC8|)B4cUn5Y(hTzGjP9mUsJriE(cO>ayPIn)x?4b@ z?tYg=cPq(vx6W8}SDr%MZ7hrKYLM@)uCeHDOA2+@Ocvd>B;VbR#-h8O`G@ZQs}F0A z@n;@KSGsW`Cvghh>A|U-#u@bDOwQsQ&gFbApf4BEk4xy!Wn4k5C07$`$u(R{G1oJQ z8;P~#7KU&ew{s_Va}Prq#(mt+gFM8;Ji;i(@FZ3XW6|5Y)A^QIM}FW(W-yak%w{h0S->y+$|4rCl;!-+AFSk0RQI+0h;?LZ>eG-$G@&WYXhBQ1Wjl7DH9N8sJJX(B z*p=PbgT2_Beb|@%Ie>%c#33BU;dJImj^b#J<#@VsLUx^;o@Qz9Z2tC1^EW;Ta=Uv~ zN(N@h;FQECL6Un@GCWI0rX)TIl8j5q^I7s*O5V(psVVs|OTI|S*IDv&O6E9moowI= z`K35sfAb!rw}IgdVEz=d4I#q{S= zF6Roa;%WwRE!S~9H!zqRxtUwImD{<4ySSTs8Om_(C)SaN7{N#$VKieH%j3j4@)S=q zj%RtE7kP>Cyuxd|&YQf&MBd?DCi5Osd7lsYm{0hW&-j8b`I_l`%lE`O@)I-onOV$X z9`jk4T_-EjEM1k&-}Pz!#wS7MeD##n%#wO3iBEzg%~R4UOWLL+J_(X^Ov!#(a%f79 z$dYa;IVnp{OG&RR>6?;%PFyEGChTQ%P zdV7?7Z_gNu-kzgSZ*Rz=w+ZBXn_?__`+!2deI<+Dz9HY+Ok>g8Y;wJoJn*qtwuEIY zX9a(-iq*tA@;7T)PdO^E0hOpiRW@WJs!di%(m~n$y)Dh(JG1%wU`ig&lE+f=WR|>~ zl2^0j?UYQ)l4&XVJWIYy$&XpGASJ(M$?qvynI+{@QqhU)M3??Y>+!m=_ZYp^pipmH z%A&XW~m(c8Wh>g{k@^mZiq-ntr#-cF=YZ)eD&w=>E2 zc7d_z?ILo$l|1lqwQK;_a4p4L&me9j){$En!fo8no!rGe3}qPiaX%085D)VRqZq@Z zJjUZZ$x}STvpmNOyvWOp=T%-O){(cEz}vjTBqlS3_lb4nBR*ytpYl0h@)gthhVS^E zANh%y%wjfkna2Va@+*s2%u<%|J1bbpDps?GSVz{ep7K_BUFWG8l}J-e_gyRip*vN!v%FZ*)<2hoW`IE+|H zI&&mPaWuzr99=nqSV>Oi6pHAGAFSd} z{$dSlSw}f4umP2*OjW9}5!Km*n$%)bHe++@vIScaD@g+y(wHVRr8zBVm0b<((k$(e z&EJk`{<_78H6lJaJ|aCD(j`l~r8I6cToJv}&z+SeeNz&*8Il1hxi(8~O39Ec8J3d! zvt(3C9?g>HQu3k`*Gg4a$!o>&`iA!z-A$lScOS^2yN}6t_m#2e?i&hqH(M6n%_HC4 zVq?+WG75F~w=B9_Prkb<#-h6oDb!sZS#(#Ae0PnEMR(hf>#pRHkJhp_v}GsS(Vh*p=*xxl<6`=A8L^gJ$yE$s zAlFjN^$a4`lAE}hA>7LC+)1n@_i!)67|#7X$U{8LNJcT5M;XiGJV~r2&oGYXc%Bz| znen`uT`QB)ES-|g-)U+7#%+c<|6@vKX32t-#BGLTWlC0ON%@q-ZHA;qN@{1xmMN*9 zB`s63U6$;el3lW7-;^BS#C1~56>?~CydLg79>I}x;b@NGIF6?qCvp;}knimbW6|50 z6zc6FS@d=Z`QENJ7QJ0Vq26whMQ^u}?`^2D=o_# z-;zz>ZQfxLlbOQ%#5(d3v5rjRQ$FVlzG6Dx@EzatBR?^dSynloItE2Cvyr#^x#xZ;|zLpCTDXF z=W#w4a3L3QF_&;DmvIGGay0|FhU+Ni1_p5>Hxui~t=z^P+{xYC!%&73>&OE<$OstJK@fn}ao{r8J{8+-bz3ER+=W;CY-EosHJY{&NOKx^8tBW>A#_y0t1G)ZXzV<8bxny~T?<-Lc6ZRmdF<+TB6fA{ z*@f60>`v_J_9Aw5`>-$ja{vc%Fm`qS`1#;5#$$JIJcV`#J!P>wIGz959r&W&jrmZ=IY+Z-;Ui|J2)Y#$zSv#tD?| zt%vjI?KEN~DbriYI&!}8KJ?{6`f)M+xr|sxt|Zox0Sx3?uH$+JF_@dUnIYWD?c7PM zBli&N$S{U;KMxS=$is|e6r*{Ru{_R`#5(c}<9Lqed6Achb>vlI9eIN{nZQKeVG@&> z!c;!sLq6scKIL;_9r=o{`G#-#o*#*IWF|i|n>oy5J`4GkSVtDKgk>ye1uKblWOa6( zR7|t9N;ZFMr1={kW0~{yQ_?6)TBamE#**xklHIao-;~71Sdt@Ca&(rQn37Ynn+}6^mZGCdb>{+y*)_2w=u?|x5p^d+Y7Sj?Pc=4 zy=5$Vdz(VNeI$$CrjhS$y0Pf(I|}tSR~Ef3Am7_kW6|61{uDMJiE+ zYQ#FSG1aL-O=`0#b*M`{wqz^n(||@aVH=v!oR+j=JGQ4aJJOb&X~!;fU^n(4){(vG z$iD2y0USst4k6Z&!#RQ@>B7+*%W-t28z*uSr_h}qoXTmOK`+kaEY9IP&gTO9auNNw zg#KK{6~sDnH3PVYYboXi261C{o!p&f>CkNcj!5%2KE^WVpH9iMS@KFse2f*>e*CS= zd+Bdo-p|s{QW_s+`MDYC=VoQeFDY4+C4Z)5O_pqslFC_9D zqq~L_>TX+EbhiWf?%ErR?slb6cl*ntyMxGg*V$NfcNB%XJ6RUp6_M|*x3TE%YzlRE zu`If~lzevsjYW6Yk?XGHnUz~*w{Zt|ayR!dl;Ol$@&FGqf`@s8QH)_MkMRUg@-)xz zEYI@-FYz+3@G7rk9{g9IGkxXfr}Hhbmi)kv%wQ(7n8RG=vw&atl|?LODa-ktKUm41 ztY!^sSw}fyE!lvIRHh2m*oat5HX+uMTGVDUHm5FIWYYMZ*TGz6M36=naq1k<$XTnBR*jopYa7>@-_M1elixl{Y;_Wev?IS zOUU=O%2@RF7lnGOB#YjvlJBjivFL46a=n$@kv5Puq%losN;6u}idaXsX9wD_BRjD( z?dia-?9LwS#op}0e(cYI#5!^?hj18&)0r+D#W5Vq@pR<`P9oNk?iA6JQ#qY8=*?Ng zI&v=O(T5ATkbYcDe=g;6uHY)JW+2yc9oKUMgSnBL8N#jH&K=yv-Q3GihI2o$jy%K& zM)C-wd6cm{o?Ry|rdc{Zo4*s%{Eb@-zZ*VI$){QJO-kYxLozQV3$tWdO5zqnvOXmh zvSh=QRL_!nDcLGZwn<6zENPpPc1~O;HC-XQ7suy$v!Jz1>8i-tLh_Z^Ov<_OP+&Z4|lQN}gAF zMmCP;c%Bz|iSfKjtRrvmCKH&*JG{$erZANc_>hnJgiraLFZhbD`G#-#o*(#$nf%Ob z<}i=>EaX>W9a+p0ma&`_{J|<#6YI#|tYtmrs6a(3QH82($VOCW6KYbMO{v4?)ME>_ zqCO31L}Rw0Da~m?E4Cxnk=C@KEj!VUUFg7W*>!S2nx&nx`FmuVzj2FU&YzN!9$9i` zO5zqna!E=q%aUtS61NzV+fs68mfV+;2eag{lsuUwFQnw?8gBdNGA><){(&f0@^j1Wn-p-aqZ|9Nk?P6om+ocrh?K)ZXb_4m| zZZ#IY-9e$=9*{+EBgpqQ)>!oR1ciEgNfy1mLcX_&#-g`($@Nz9tjZ^{X?(`#e92c# z=UZYO`GFsq!Axc`o4L$q0l)Aoi&)H3mh(G*u#!Jn%^Ln@9pxy`22`XnRj9^B#5%GG zHK;{xHlq%8*@9R{wx&J}X+#s6(u@|gWLvgl2U@cuJFzqE*@a!%jXl_lz1fF-*`EVA zh)x{BVZ=JpnIk!hqdAu2>B&gEcrPlbDX$RHg%2sQXH?pd6&`L z5(+iM;|WWLN4NB`g19la|Ks%H3PYp z>$sj97|e~_%q`r??cBj#+|9iVWjOZ}E6GEQU?h()nlX&!abhKTil-UJvpmm>yu^53 z;Wb|8P2OT6@9-{@d5@{Q&xd@>Cw$6he8HD|&2+xydtxQ|i5dLNEaote`7F$?loe@~ zuFB@``ZRyz2E(kco|2kbQZFTOgCS|2l2%#LHYIU`A?cWs{d_p)0-o)k#6C3rlh{XO zX}6S~l>OXk>F0W7N#B(8bK+Xr%vExEalBsXeMWZ!DAe6evgmFI`R?v97Tpb_PnTSCHlPw!sLFTPFP^tKE6-u5;Yz3oe(-VT>VZ%2~vt*f!{6l`_HY)qH-;5UjeqFCe(*FIr-Xfou6xpE^ z*`X9!;EL>0itJK~-0u|GsTA3%6uIXq@*A(nZl%a?y&}K$iro7Y*|ikewG_GkDYA1Z zvU4eN5A^S2l>a|{jPk$uhy2pl_3qguzOFYG?AtBhcXAi^FqC23$NfCWLp;nQjA9Ir z@)(cvBv0`S&+;5E@FFiWo>zID*z&!_1m5NyCNY^QyiaWTKH_7h@hPA4C0{X}Z}^Vy z`H`QP$t-3wmw7B;A-}SS#VlnRzq5jstYS55h%Mhb)>EDeRHQOhs77r0{%Py5nejT* zr5Y@|{O)`7WR@7txPPxD;EyfBf+9 zI^(f*xPdZThyUfn!#m1;UGL^5=zYcU`hXvasW^f{Q}GE|OvR_kPsNvv#Z-KSLR0Zw zSxm+E$WO&jjKx&^j6zfK2U$$T8RVzpd}A>cf1%J+{6iK~@lWzov7E7(iW^X9DsCc+ zU2H9CQ+6tD;XJ0|*3_pVjVL=6TR4xYxGmdJb}H`VJf>oM3Qfg*WHA-@=K#u1#lxJ( zRP0RbVviy}6;Ci0Q}JX@q3l#V-FZyK-V~aO7s_HPUQB)}US;fR267GmG!<_)ehas9 z8>V8(=b`sH9?J0SZf114ml&JfWsFOA8P8{T8E>X!VwOxzNqoTNp5^P5e48adrzAe$ zk}OHd@+|o)C2O;!YDzZBl1)>xd6qOzNmD28X6m{^wk?j=?Y+n7tqrk+{8Mkc8;>Pq zFJcKP+uMQ8qql>JCFGxaJH~h{A;%L-NZH=HJCELa5=+QG^>(iDSVH;`OGw$?`a6#$ z&R5z=R-as){#&7j4$|-ubIxbd{3++KQV)!nZ+FD z66?r9VjcO7MJ!<{%UMCJBddsY0~*qpCN!lvv5vILu9J3YmUhVIZ^tx$eZUoS{)m)x$&zjkmh??Y ze7GeUkdkY&Y{W*|M9L%8{#u0R;3rBMd$8kK}IDwNm zneOzUC#P{bz39zZoI|W5=hKJ2Tu47IrazYv>&TT{#Q+9!EyY~VAO>?2H#3A=xt%+? zi+i}2VGQSf9^@e&W+bB+&7+Lvah@dBk!Kjkb3D(Byv%rB&90M4X_ijO=I^vLf8)a~ zbNJnDTxoaBr8+0I!nr@BtG1d)JRF~EZH(8^|Pd9O18_Aol~+)mh7971Dv={ zws3_US{$#3dymoEkre9fL|OE93i;m7Fc!U?Nuk~@l0|QqkninkW6|3+6zc63S@d=r z`QC;ai{9>|P;X;o(c5F>dwbSc^!5U|-b$Wfc}q5dw|R$2OlAu26YI!Fe9SaH<#WE^ zE2i@e-|;;^@)I+e#cbv>j|D8`SAJtLOIgP6tY9UpSj`$@9a+bE%2R=gRH6#ih;?LR zs#AlS)Mhj4P?vga$yU^-0gY(FHZ-F-EosGe>_BUFq%Awsj$P=$ZtOv1QeZ(ur$jOIOKv#qs)s_Zi*IpipTYXUbk~r4cP)%XciU2^yY{l^ZddZ%?PDyu+n-!_ zCAXrTWk+%pM{_L4(UlX3wd7<@p@<%w%4wWIZ_eaw&fz@H=K?O|A};0nn|m0_aAGZafCm}D!#u)h#xR!0c!DQ+nrC>H=Xrsb zc$rstmDhQbx0uM=yvrosV+!x{A+eTx!ZbePbH3zjrt@uft;|WYbbdCwm!#Ppw-|mu ztW8O|EUB83xW$lco{}xHq;X2(7DLh|B|Bxw?mg=N=MEyWgHv``mK~FR>iF!ZdZy%b zC$5yOTq9=}$LqP?Wi;1^Ld{(+i{`E(-`w@aqPf8oYVIysGy*z zvOPP{h8@|7cC@DhyRtibuoru?5Bsq{2XYVxa|nlV1fA)^Q5?gu98XtH;3Q%t=}r+n zIhE7tMQ_f^u9SXhmiEu)?|?La<0iw*9+HyVvt(FG;wD4#Xi6T>lIKzqHyM%%DS0PL zK1j*OS@KOvzR!}`DVdig%Tlt!iR)x*SIA$*@%p#-7`?5hP;VQ`qPObgd#htCdaFmF z-nNlNZ_UZ~*4kL~)|NuO?J0}iI+E|LldSoGg0lM!vTm#-g{=$n{q89LxE# zKJ?{6`f)M+xr|sxuH-5PFpz7xj_Vo3U~b}OhHxvlb0>Fk5BD;R;oQ#yJjBC{WE7)$ zl(9U{lf*jm4C8o?=XsHr7|*N3I`Rf@GJ%P_!z3m%g{geNhkVQ@e9Gs1!B>3EH+;+Y z{K!wtk>eGNm zG+`T>(VUjFVmr2{H9OLlooUA|bYM63Al8w+>Bzq9#{nEjCk`Rjk;6HHBk97?9LsTZ zr5h)55~t9e9-PW)oIx+n&W$1@)Y~6vfH?WJGq;C7|L*B z9eIEU8NtIm!YIZtmdAL4CwZD@c$VjRftPrhS9q1zd4so@$lJWjB;I2R@ADzCj(oy2 zKI3z~myeCbO8sT;{WYU-*?pEM_Uo`JF#l$)Bud4Qp9PIm)vE6{$=W zs<9EVj%-2=YEhfb*qpj-kzFTE(=2U~&EGa@{>BZ4Ilos*_Q{fiQxdlqlH*fyLYDMQ zN!(sY`lRH-EV(=-S7piIl-!&pcctXsEE$=S(N0_^4P7Bm6vyjR-edGOjzYb?CX3$Q zB;VU)W6|4G3ibAdEPDHzd~ZJ)i{5^wP;bAzC#oWM!MI?|mYdU7hK|9|Y=XSftqyCz^nf|w8x0TnhN(EyS}OrRi` z6%$4plwbx4V#Kr&F@gy*f&nvP!VH)&D=K2f3hMly<1Ih`{&i_x6Jd7RG}F5p7OaWR*2 z8RMD2m0ZO&OyoLlAl8wanZ#tKa2t0pmAkU*sh^TfvShQAw8)ZnDe36M5A`;#RB4WjqR zewAuvKh#@0dv-3Kv#V477~O{Dhjq&jH!44@SAJN({IEfG82_@3%SSgUKistZuwnUO zqw>R&>@fZfjmt-ylpi*A_(s*r{(n&ZJH6}tTYsltJQw(X{!Z`5Eh{|U_s{S2{_{7_ z{g3*a=X_8Wd{7o_a0)&w3qC9hZgLAYIt3e@f*YoS%}&8)r{JckV8c_e;VHP`E%@*( z*z^>9fEIj!7TiD;Y<>zhKLs~Y1skA(4N$=iaKVRZ!6vBS1GV4-wctj$U^DbT_L0ed z3Wc{_;fvlVxO)@%i_~@5cyBn zlZ?fu>&X=RbUjlRpRQ+<|8yN|EIwT?qS&YF)w1|>y_Wo^>n+CO)Ad$zpRQ%kdfYFY z#&jO!VIE-yj}yDSr+Au~%;H&|;|1pM60h(ouk!|P@iy-;kN0?=5BP|W`IOK2oG39_CSI@HkHrtI0FOYBHN=d7c-U!^_#;|J^i8=VyEJ1!+$n zUj>=Ji&L^JOMXd7d=(_AmXaD-QY$6#Rgk14B~7zr^OS6rCEKQC`z-06lAiwacdzVv z?VbLft^=j+lYO}Olk4$%g!dWU6)4u-akA*{MDpE@G#1^RO0n+Fmqm9Mknip?W6|9N zigkCREV`RSzPqW$qPx2(*4-nr=Nh>yI3$~&SThpEnbYdH}r3>4$BRkQJo#{>wdeV#C z*^|B4hkfbI{v61`^x@F#S{ab$@Zf9?4@q-4z78_)&q~QTS#n`Y;_D#EH7U6+OD3lz zz7CR1>-TT}$@R@#Bj1(A>kr;#G`Eyu&HW~e=Kdt#TvcPy+*%ZCu8u64+lYK~jf_Qe zO(@pfma=HBE&1j;8;j<)qgZph%A&d5$Tzp2v1sl9a?O=J^U+sUpg%`3fPoC=SYjnP zffE_RP=+y_k&NP0PUj5HVl?M)9_KTL3%HPRT+Ah0#&{-hC0B6`6SSN@+k}WoG;-F;>(y8Dt`cV$m~ERii`IX|+3 zpIODP#9HzPf3liNRAx=8Qk}I}n{`-^_1S>h)S)gLQJ)5E!lpE$F-_QvX0)Ist=WQD zOWM$ucC@D>o#;##VlCN$9qCFpcA*Em(u>{LgFV@seb|rvIe>#Wm_s;}!#R?^^rJsV zGk`%1=2(s=){>JL!pRI{1g9{H)3R%&G|ld@*}T6z&HF2|d4E$%Zpo56Q*w`s!1W*Z zIFF`1)8kn>JEd{6;d*&9{c~?;$%iTVBuf^hJBECBLybju!^w45_T=JP%u@)4i#DWCBLU-C8I zu!wK@p2aL-8L^i9#0pljieLGiSWEsQ){-@-Ockn8of_G-vSFH~^|JX}lICyRY?$*~ zrDW?Y*)}C{vmxo3lHIeUcS_<$LsCe|QCV_aN>0p@Q&VzAmYkoG3$kQFO0II^I%(kw zxxO@BZ}c9cw@DQ1?QU80b|3fi0MmJhM|hOSc$_DBif5R~Y@XwJUL@b!8^)ryxfJW| zV_EdJfP8NYjYV(YQLMM0WzpL&SiFITj_N6!bb07!N zheL^VNu88zlqF44(#(nLq@^ol^U`?T(tC{F+ET2y?PSs0j^umW)mZel z8^wA%Ko-3nOuo0i#-g|W6zlB-S@br9d~c(SMQ^85thX_;=_c4tJc#wy9gc&@>6FkY&Ji{!WOIl~wNyjuxJ7@E^Yns1qGvc#h@09G9 zC5NQs@GKdWl4G;vBVmB!Jh2R zKJ3T-9Kb;w%pn}g;T%C<`q7`G8NeV0b1cUb>&QtA;bev}f{~2kG-4e&le0LRb2yJu z#xRx(xrmFol*<^;6HT*I|o#|_-X&D_FdZsj)aU@CWU53!Ei&orjGk83^ zPM%M*bWS#Z-%Rs2ZZBLXpQPloELoJ2xV@09Ov$fVvPMec_CiuKCAG6;&yndOMPQZv%}*Z^uxqx8btr?G*C8 zjW!m&olCLaE|EoVmy_>pqOs`hdW!XSyDWOUlYDOv7>nK>BG+5le^Y!$Hj~*r%k#Xz z99|~Yk=J;gH+hSQSGK*@T8PqA^X`jOMhU6|LEVt!Ts6w4(zZ z*@n(^VS8d7*@>>~%r5kxC%xD`yG{;Fv$Rh(e+y~;#_feUe_~38X3423iQ5aw1t}Sq zB@upV0^j3|0Z|fV2-fB^-w@qcyTM7BzS{jSqHm6u`on+D5w&Z*3 zW-NN^POi7Ir@r@*?MrX==Rgjk4~G)#$PpY#fqopt(F|lT$8a3Sb0Q}(lwk~KB&TpH zr*Q^nGMaNZm-8v*0>(0qi-~pQGA?HVS8x?qGm-0vb>v2FViLD7h1t1+WG-*>F7Gj)57Ko~`K!{+XPK4F zD|t???Du*%D}Q{hS^1&I0OPs2c+{>%`Dn}X!&c>ot;-KLFF)KOJB)wBmgS>cl^?b# zKWtlmxOMqqyYj>K*`c4+b(Eg%i(lIPH-E49e?Qxo`=Q>IZkXb4S{+!WQl+l`@8ptu zy|yd4&+F`x`@QxqndbHLk_RePD)I2&bSG<+Jm_^o$wOY7lsxQpddVYRcP=S;)c=`V zGQ(^ClE=I*FL~VSxg}3{tyS{R<5C5mLyv#J*CstZ=uf|a#k zhgPU`1V_@B0{!UEQ5?+x1~Q1j9K*33$MKxNiJZg`hH^5)7|sYratfn3mD4z#GdPp8 z7|q$7!?~Qt`IIt-3mD6VjN>By8~hEE|Hfl|>4$pzH2v2P^~RQAq2+oJ6}JUToiF1@ zVmtRUE2+3G_|y4cRALR*qzbVuSc@90Lu}{PrzRD*1sgf9M+0Izw&DJ>rw2XR4cocD{Yvlv4B&rn3;a;;7XBBFjll`T#$X7=HU_85VqRv`5V7eaYj~l9y78k)mV!fRGg6;IFA`whYhJX zBR6p#GqMrIW@Kww%*ZX-ii$I`qw|=NohddWcag=6+?AeGoRNDw--rF^&EL()!;K$7 zUkX&5k%OEM=Gg3pWkkAN7?s^foSkkY;`=K%AD5=o^_SVLE^wy48N&c?49gN3H(v?_AD)!dPdGxji zd-8X^9b`OKl0!I@ioNx79=#n+tRxkBD_ciSGCqWp8O8`kGK$lPb>vK99XXqGIFIug z!&ollA};1qE@M1b5bMa*#5!^<*Kq?k66?q<#5!^-w{Ztkxr=*x1gF`Wl_m`8|p zl$UNTTeLmnLJ|WhT&xm#8OTOY87V<6M z6YIzlma?25S;0zT9r-o8PO79?T0NV;HPif!@2|}HhAC;BC9P5t-(N|#NlBM1**PWg z{gq_jl+K;~^!6zE-kvcQz0IarZ?DOsw>Qc6Hs4tE_94Z3`&t&gEh69BGGo!( zPvm+ldr0Lk*=p9HGF7Nbb!rgn$hxdYO*Wu5b*M`{>a#JM(2zznrYW1zoEEgAHCwPH zZP=Q2bf6>K(3vi5Ppl(5(UqOqg&yomFLo!^k-gZPec6xwIgo?s!yz2T;T%a{`f(IT zGmt?X!?7ICiJZhxPG&eGIE7K1#u>yqGMckFm-8s)0>&~fyH2i3vvguMe6}CGq{0DA0Yo?@HmefkghE7~3 zZCoK6m&WU+-edGuLb2X9mql+|k?*aOvFL4EiuKlA7QOW(-`hUMqPN}@>+J|x^j09> z+hAkS+i?`@ZKN!EJC%HI=NOCL&L`Jf*#jz<$u4ICS8x?qGm-0vb>v2FViLD7gt1+WG-*>F7uer2gEw^ zF`ux2&-j9`_?m?*;yb=)F-us+kNm{XtmGGd<#+z%FDkJHYf^=3RHp{(5bMbL)T9=* z*^rH>M}zD-X_jVb%WVF(P4l;XHh*_aNw+N7EhT$q$-yZ(G)wxYWI&b-Ny)G*IXxw3 zWyyspxj0L%OvyD_GC3u;IdPq|b%oqr8n5?ykI~ySiuLw{EP8vId~Yupi{4(MSa0vh zqPO?R_x7o==Iu@C#vn*%tIgXzPe z98RnweJRkNqZq(I26HU2j-0@W3}Gn47{N$JaVn>C24^vvb2yLl8N&r!$T%+MQZ8dW z6S$JAxQ2;b#|^|fax;^d%oJ|p4yJNfcAY$&X6cN6>%@W)kN(a~e^FxGV)%S`J*97D zv-|xtyWXcN@lC@K^UY68P$tGE{SxQ=DNxPJEbmCgs+Eub$ zX}s>>eMWa(Dc0Q{vgmGa^4%R|EV?^{V%;4ri|z)I@9rdH(cQ@u>+VchbayuS?#3F6 z?k=KOcUQ}zyKBjJcZ;#;?pAW$l|7_#zib-Qd60*Bgc&?etR+wJG&7mSvpmNO%;6k}zB1^7M$xT^u zXG-pIP2QVblMnT)_pked_$Ozl{CWS6T`lcgC$E&o>ucU=wD%^(+WSxz?R`SNy|0Z$ zdy6R6-cPb7MfA5Z#rkV5i~d@X?{8~k(O(C0 z{gpkevXiVUJF^Qt*p*)FPOK+;u{Zm&ANz9v2hoQ^IE=$NlD_ogD2`?zgE)p`Ii3?Z ziJ_d#a7J(nqd1K-i1lPNXLBy+QOX#`GLBeJF5yxxXFOMM6<0HnYq_2qxQUy&g(=+1 z?cBkg+{HcI$NfCObRObi9%TlP^CYpJJi|<8^DNKvB6D~-yI$T+^K^bT!xy9(9=951 z@Zyv#%aUJG61N(XYALCaCACr#w;Ga?lr+tf%~P^fmTa4n?X#qNN_u8V@01+q#Ff(C zHF8*KydL2_MsEd*^>&;rdOMMPZzGLGZ>LhMxASGu+Xdu%yUbYhHi2Tj-6)IRCXw%L zsgEgr_HL6pCb%>Q@eQHvR+H6Q&>d}B$M>eG) zB{Zff&1guoZ3Cn)Y;{6Wg#YUD%!-*@ z>BFJfbuu8$(!tsM9g^m6+-jKfXQkwvEV(cxajPM@CMDNp$>fy8t%hV;zkmCWJ$7)7 zd{-K;KX{kX+)|1)_nR!5`;&ZgRgFb+Yf-GZIp;&WU%A&cps1~QmqiIwC8PGkr}8OCr%GKy0< zoijL#(VWA1oX;38;6lc6F_&-|B=Z%e>0#yun+{sMv7es!Alaid|@ua}YyvZQ`W;zmQ#A|+V)rbaw~&?(R1h-A$)hcTdTp zyP4#>n`11xdxc`%&67oU@00KDGh@-+m*l!D`!9-1WJ_7jkF4NlR`Dybmi)n=tfmr` zS(B<%XD!xd9oA!gHlQ|jsLMvwrvaO=DUE1M6E>q6Eoez=wjkD$HngQ3?deD-I@5(% zOLkyKy3&nZ=)tb^VmJ0+PxfXX_G5nz;2;j>5Dw*Vj-)UB=+Ds%U=V{jmg9-F{=;JvwLhd?=MgDK5jP5`Jercnvt)Kk;xrC;>7N&lPhHH(s*6hdyL*{QmnU)Wzkzh^1U@T7QMBi zSZ^I<(c3oUd)vua^tLm_dfQ7Dz3ofBw?4+Ax5Fsb+dx_Lb`1I6h8m0BhLh{9?7t|E zmYvPHoJT2R7|S@UBYvc{9td#^21K$huf4Nb}m2M zHam<*`MQ*kZdZP|efi-I<%c_#AMTVL#=oI!`DnND!=1|ycPT&Yo(|Iw_I6KC{eA3r zcIQ|6Px%}D{^Jk!E^JxhZ}clU*#CrcOZs^2Uvh}o)^1 z+m#&Yb#_T#uf0n=y5_OKe%WJz{hh2)a+KEzB}aR0QZm5n^pb&IcdlMC$p4vJ^3UT` z1@|lkyN!a~M!|hc!H(mfzkP18{|%O~lw~aEM}A@jKeLim{KBvN#_#;WKaW!u+=~^g z=mnp|1*>|&s$Q_AEBHh%_(U$)-4}c+7knxg?C=XdnF~Id3+~SfR{4TY=Yo~KV5KkE z?H7DX7ko+=?Dz{lsS7@-3;)KWf9VH%do+z7?2QF`yyg1}uHle@Twd%2$nn9f5y%%jZUah@c$e9thG**wehyuch@CboR9@j7qv7H{(|^O(>3 ze8@+9!l!)37ktUre8VEX<$D&hgk>z}CsweMRs72D#FpY$^_u#Z(+gekz`2Y&7R^E`K)_FE)M& zmvK3!V%hhh*EpWYb=lp_ZRuWOYIc_~tzW}`eM1rVCQqkqR+hb#?m%A6?m*s4$p=~T zc}l*@lBFs6F-v|+$)8!WR!Y{%k~%5bC`+28q?r@A49*f9@jH6<6mRFO0tSrNq*yZ{^TzzQJGjts#1-$sKGj{N30|pP>VWj z$VSwo0h=pfXIDzcG)p^Y^S5i7zyJD*%U^1=clt|>_RG>kQhInc zy9cFz?$|6jIVB^q%Q{HEE zHn+fQ>?o`WYOJf^4(Q87Tv8)vF_^1 zqPzO!yK8JLy4#FgcV$ntw2`%?9qs8zCpy!GSW9+bN4nCDUFgoP^kO&mU{CgDANFH^ z4&WdT<`53$aE_oa{piop3}6t0IhNyzwd5p*a5BRf!AM4N8nKp~$yuDuIh;o+V;IYY zT*Sp(%4Lk_3a;d8uHjm);|6ZxW^Q3Jw{jbIFqONwhgeJQXByLakcWAc89bg{E6=A{ zIwzaIZ>IShUvc?N`6MNuWyzwH#8+IBl_~i(OV&t9e8nZHnUdOBvT;fpW=X4*Y>_1$ zQnHP&oi!SN(b&%En(QHUt#omf>`@x8dwHMH-M$p-?l4(&cO?1l1{#a*j-gn0!)4Lk zDdf8wZ7jMwmtx&rB8%=WC*R#fW6|C96zlGGS#)3WH!(8 zJTEYZmx;CHHD2dU-r{ZEVIK2&pAY$nPxzG2_<}F_nr~Rdw|vhJEMXbT`H2;*WEH>i zJF%Ah#cI}|GF7Nbb!rf6$-1mZO*Wu58&a2g)MsNhp&^ZEOj9)tEqANSI3q9ybFLuwal>^fp?vu^oLYl)zWwZOllnl+1Q&VzAmRyjM zaal4UC0Aw1q?Am_lDkuKUzR+Uk|(p|xs<$^C392qt`pbEcCL^QOXKxp?=gB?K(XGw zlSOZf$@liNvFPm=iuJapEPAU(zPI&_MQ^nz*4w7C=&gi&Z!L{QZ<|xBw@$L?ZCmoa zbu$*dbtl(b*$wGFvVG~z{v60b^x;rq9XWy{DbSCjIGTYB<`|CScuwRbhBA!djN}wf z0;0mtdY9?|Wv5wrxO-$kzrf?g#GnG5Jn|rvA z`+0x|d5A}Nl*f3SCwZD@n8j?K<9S|W4lna6v5vgKo6O~H-sL^!^FelL|SoHP|x!%g2X8BaMfY14YulSmUd`qk&Kd_jk zEaOLh;%8Rz3%~I@fASZVsLYyFr5bBdgLPP!_1S=0)L}z5q8<&{gjh!!Q9=`%(v0S` zq&2aQY{^!%Woz2gkxq1GTef3+c4Q~Iu?yYVm7eUz?(E6l?8AQa<^T@lVES+8FB_*$C$@?k!C`-Of$v0WDJS8ifxK4I(h5S|;uYY)t(c5Z@^|rPwdRvctZ*`4D zZ}lnG+h(%ptp)ks+8B%8+EJ{x9c0m4SMt5}G8VnUXnrpb0>$rg%xtUv- z%&pwU9Zcme?jhEZ`&R0)%}i$TEYI@-b9jkYc$L?AgSU8_cbLa} zyw3-G#3y{pXME0=e8o2`#6Zzh5Hx|9!NwMA@l0|QilJD&qW6|4eiuLxIEP8vBd~frO zMQOy)82qz5PV4x3cG0{*tX`4JuQGs#K>2v5u_EdemeCYEy^0)T2Hd zvk47pL}Qw=8O>=yD_XM!ThfNDX-5Y-vJIW-!uG^EvJ+j|nO*3?uJmGeVjbCwz1f%j z*q;MAh&~*`VI0np^rat1aWn%N#4#Mp@tnv>4CQ2oGlEkX#c7;DtRtg2n{zpjQZ8UD zJ>e zB_+RS$(kvtmL;`PvY`{#$xg12jZ5QoQ|~c)E1_6#o6DlNt;qM*$yoHZEya54E{ooJ zlJ9LFW6@i0iuHDcEP5-D?`^QL=VHUG_j^}xiIlRoP z#5(c@Z!(v+d6#+2=L2FL`It{wz-N5HSA5Mv7V#b5vzR3;<41ntXIAnHzw$eO@)wm@ zgEgr_HL6pCb%=FjeQHvR+HA;1)T2Rmoit0cv}HDb+ot&&w-@I8jw$JuCA+00ZZ9MU zr{vHq>7SCgy^st^$*?RrJtb#l$%QGoI7_Zf$u(IrIVHC_ah=3pymfbJyx!|QMsL$7 z*4q=Z=No!&q*^;ej%ht4~1D)v1wrt1t?8r`ZV;8!! zD?Qnb-Px19*oXb-%>f+9!Svx!4ky-;z7*)sQ4C-pgE^L1M^4~GhA@<2j9?_AIF-{m zgR>aTIh@D&jNt+ZBDVH&x30%olT*E}J;|5|KxtU2!W(v1)2UEE#yG|ZXvvh{9 zX&*E2-{DV2R_<2uhkLtcKiu0RdxkEax7)S+ak`%6hrP-VcPl^Kz5H;G^20r|!}yo& zRX)0R`Qbk0hx?Wv?pJ=;J3EYj!~W%?2b3Qk=YJJ(Ov6*gX_4S8@Q31xS2`Z!epj!E4OhwcQBQI9-S<>0V~)% z6>OdgZk`K1i2u_co%}Z*^GiS6yHnHbhkLEmez-SY;}fA^? zu!m6W6ZU9Xe8LVQ{|S4NvG{~NnPQ)?XUgIe_H6Q>uw#wIC+tNO`-Ht(7N4-!lK+Ig z#aMj8-b(Hhw(Nnu98+dI7 z^tY0He}5T^{??#af9uMkznbLxt7k0w+n8egHJ3$yt;qMcwXx{01Ao)szx8d+0sfr_ z(T77gjKevSzVzcLj%FZ(IEG_6o)b8Up`6TcMsNzFIE^!i^<*@$o}9~hlrn~~j3d^Q zOSqKF8P645#nnvYTCV2?ZsKNcVG6f$J9ls=cX1E*aX$|*oridsN14InJV~r4&k*a$ zY@X$LUStk0XLkVardc}QEUg(|2sJc+;|n2oy^B+_EK7b#NqiwBsg{x&SyC${@r972 zBqdF=Wb>44l_lGzWcw`Xo|2wf(mN#wI&r7Gi!0=?(s(_>dyL)+6zlCcS@d=y`QAnv zi{4J9Sa0XcqPGjk_jZ}F=xqYUdb?2;y-gzD+f-xG+uaoF?Gah@_89rzW*Lj#o+H;= z*%Kdc$YLFt%iFxmJm&KOv5tJqCoJGIzTiu~W+99Cj_+B_5|;5JKk+jw`GsHkoj>@C zO2j&{CRM0Lb!xBBa8s$zJTkzVv2)4&-3^aA z3i+-yUVrc&qqn6L>+LsL^!6wD-l`gl-qxa6Z*^qR+eYMjYh)~XYeKQ!wv5l<6e=BG6cfFKskR|m~vPqV-NJ;A~X_u0YS<*EnyJX28DcL(q z4oS)3S#oqr24%^~DH-9!b<)EXa(ZdJp6NYCZ)a1iw~J)a+oj}tyV_Xvb}hwvyHysw z-9f&$`;A3!(<#>5Q?lr7Ci&jx7>nLsp;&M8WYOFE-xOIou9 zv5vH%E$wJeM>^4&F2p*r13S`{ZtOx2cBL1)u?KsyH~X+3`*Q#XaWIE)D2H<-ed$Mk zj%EOZ7|gL8Ppl&+F@%#D#t2Sf6sKj^Noks;W3%~td78ghWb^l?l-!afcc$c?EO|5~ zk7vp3l*H|Y&yzP(@^+Sdn37MjWKl}K&yt@~vNB87NJ$kZu9IC|A#0b$>$=`!^j4E% zy=^Ru-Wrnct+}!2trf+3>mZBXwjtl!PR63QohjDaUb5(IU-G^6F&4cYMzP)o%A&Vp z$oDqXSoAiWTyJGhe2kWz&AFUMDPtJRIAR^SgiE=c@m#@`T+KwT<$7-5CT`{yrf@5_ za|d^F7x!>4_wxYLd5DL3lo>qElf*jm3^SR{vpmlW%;9BX9eIt{d6Tzzn|GPVeBS3n zKH?KTv&uf|ab|SAHkfk-u2Y8dRnV)u>L5>^j*n&C+_= z{4GiIH*PP?`K?m2b(Ud}B$M>eG) zB{Zffo6($>v|@9%U@O|NHSOs@C$?c*y0ASvuoKANHj;`*R=% z(T78cb>s++q(DE8VgLgf%rP9t@tnv>3}qO@8ObS}%4wXzS&Zf!&gFbcxqz{Z<6>eR zxs1!1z!hA@HB98X>^iwE&C;pa{GHbCzy8k-_HvDEUK+1kdY92$TZ%Qeoh+K$k$iKz z8jI$3qgZnX$fCJ}$v4;6STxt4V$Gc(i{^%qZ*G*aXzp~1H8(~U&0R>ox$(xLxhu&v zSN6=u&9X^MW(v1)J5#xfSV`{XKBn;i5AqO?FoVZ(CvWy>D!OyJX7k=Y+{^TzzQJFQV zN;TG^2J5gM>$3s1sKbVAL_Hd?39*tiqJ$6osR&e@gHHLdh+Fk+2} z?~eaV{_Nmxu97E8#cgrc( z-5;{(ZZ-MtsvC>$)}~l@b!E|Aee&HkHWuA&My|WE=RVrV+R~2pbfgoV=|Zd}JFp{N z>BcT-_}{t%IKn^Qmwxo;Xa+Ed!5qu+#9DF^LpYgXj9?_AIE`3K&g3l4<{ZwWlrfAY z){=|3m`k~g@mxWyC0BC|*K!>)wU-n~v4&WdT<`53!aE>J3-9Tf}-7ysFZn!ME zJB56Aqm4y(=TfY@OJvdA<>b4YXe_$Bo?_kIE{pE&B;VZw#-h82$aPotUlgB_&15#u z@;omvhnI=9rs;psLh7dr5^R!m`!L%BO23`&1g;wTG5&<*oro6 zO*=Z!k!|Qq7q%zXlAY+v&g?=DdeV#CvuowRG>7|SbGVS^aNKB^_a~-gXqKFslDN^3 zT#%A+Su!Cdaibxbl#(e~a(7DZ%aX@Z@?@4gmy#E=WNu2{b>dEC4_C;CrSbZ)_ZYn` zpjdC;$)dN#upn6^j1Q?x0c4Dx6LWmTPIoc zwk`SIx*3b!x|8dz?78oKWc$*aSVs=zAo_49v5p+Ukre31Q5?-c26GI@aXcq-5H znu1+x!KcmtmxrZ%BK`BTz5mI7&zx2DpNA#?lOLA+Hy-LsKihjy(|`SJZ)_PBTCNvS zaa*v|`7(YawsSwTl8W1cKb`+YCDvd~su0_PwWz^5#CC3dYEp4qu#xk6G$6Kf6}JUV zoX2*q8L^#fNh>OD3)(u5?Oc0eJJ*SAh;6}k#CC2+VmsH3o#{>wda@g~bAS8g-~q;C zTW~PNwgvrVu`L+D|K1k(+1@?D%u<$7aYn9k9y9Vcey8G$tn55yWL2uM7B#3iBR6m! zGqMgFQgKFZ;yh+#BT8sOQ!38L*3M%_Zpl_uoRJ-!$BgVuu^G9GEN0}c^rYg9+}rs+ z>_>0@Zblw%{0RC|pyG@i_+13bR%(Ib|Z0VO2%i&wJEtFOYTU? zU0E_cB@buG%#=KvC9kC9^(=WmB_CzUmnr!sOO~f(g%dX{d$~$}D~;DbyvOKmHL;TX zU2kg}kKWcJR+5Un)pZ`d)hAYxzw2!?(c2#E$=~&Mknvba4&hKL_SVmN^ma6{l2queY#lkt_z+HJ7$X?TC{82Rku!;P z&UfS#|_*_tRuHDnOnJyJDAE{+(WD*_cM*@ zJjla5LaZZ?6YI!RJk3mI@hs2t0&{qYS9q1zd4sojn^;HY@gDE<0Uz-Rv5tJk=X}Xm ze8WP%<$GcsS;A75^CK%*NvtEkX4grTG)t>z^S5T2zwsTGoAib$X`CgkQWD=`Nw!Hz zmn_*iB|Wla-<0g1C5NTt$SgS~CC6vU@RXdACFiE3)QRh4Z&%1grSW=+_ZYohPO;vu zmql+kk?-wxW6|546zlCFS@iZO`QDx}7QM};SZ}Y%qPI86_cq^H^!6dediz=yy)7c& z+cIO(+fU?rD|=MsFWG9=pfXjcN_A=w>&UvSM@=@MHg%{=J?gVDo6wL(G^Qz=(VP~v zqBUEvC2iQ6c66X4+t8UVY)`BsJJFS$*@YhLN-uUN){(u~n|;}j{W*|>=))l##^D@E zU;1$rM>CK?9K*33&xxGGP)=qzBRGXooW>c%Ix?EGIhXS&yO0#rgHh(9j z`5WI~xfPT~fAN&)?Hps#+xg^rD|=MsGTG%!;0mtdY9?|Wv5wrxO-$kz zrf@5_GnG5Jn|rvA`+0x|d5A}Nl*f3SCwYozn8j?K<9S|W4lna6v5vgKo6O~H-en&1 z`G8nQKIRh^@EKq56<@QEMSREiEM^JI_>rIZnU(y)ul&xR{6!_!U`?t}jq2239bz3> zpPJO7HXE`L^=Ob?C(Y6+Rv}J4B(}7NOW?Qyndv;_ey0Hu0*_EE`#_sINUhKnu^yUB# z+Wb-bT^26cPAN(?oOsycW26?yR*r6H`Z8m zcM-+9yIL0AT}!^ZTZ~0_x036w>|vGrWz(3>gFMV5%;0fiEqRKknaM1k00TPt@Zn+wLWe!?4yoMNxv*PCM9u;Avq-_r)9~xDT!MQ$>k}zB1^7M$xT^u zXG-pIP2QVblg0nYV{cc?G^T&g?=DcBL1) z6Kly{?9IOH$Nn6^LG?Z&;r zdOMMPZzGLGZ>LhMxASGu+Xdu%yUbYhHi2Tj-6)IRCXw%LsgEgr_HL6pCb%=FjeQHvR+H6Q&>d}B$M>eG)B{Zff&1guoZ3C zn)Y;{6Wg#YUD%!-*@>BFJfbuu8$(!tsM9g^m6 z+-8{bXQkwvEV(cxahoBzCMDNp$>fy8ZH8o8N*>ITCsOismb{dbSF_}ul)RTE|KX23 z9^eZ3t~6eM@E)VLr4;M!H(B)dC;8s08jIf6qF8TrWYOD3&OY5$Pk7yjNy!A z6sK}JXK)syIfwH&pD|p(g^c53F5xo9Gl46)iffq2b=*L#BR4aN$xPukZf7cY5$njk z+{ZK?;6Wbd5oYihPw*s9^9-|imgjhZ7kP=7d6n0BgSVK=JG{$#%;y6>BG!>lS-|If z!B>34LcYzelND+HuFB@`>NI~VXY+Txlx&bC^;5D*mb6Go>nv%Pl8#x@H6^>)VYz(& zZ-21&Kv&7>rSW>E_Zi)tO|kASl0|oylJD+nW6|BU6zlF*S#);?`R?vF7Try!Sa(m! zqPv;oyPIPyx_gCU-OZClckh$$?lWW2-IwILEBkMWOJqw~&X26%XIAkmv6lS7pRA@5 zm06RjRA(*LW*ydJeKw#rb*Rfm)TaTPuqlmbOcOSv87*i@YqlWPk~XxZ9qs8zCpy!G zSW9+bN4nCDUFgBC^kO&mU{CgDANFH^4&WdT<`53$aE_!e{piop3}6t0IhNyzwd5p* za5BRf!6}U5wCq|bO|yG!Ht#P_^FD4fd_LTil3TLm&XoM?Hp652kEWjwc|1#Jr}X*k zpL;X?b8lzKhbj3aOBSW%`z-k>B`dS!Km5_hgIpzRm&WV5-e+`IlVaU%EQ{_MlJBm$ zvFNT9#k%Vti|)1|-`!5eqPv|b*49y=fd*k7`_|e{h*+X>kqrHR54+obY9#ejJ zZ294F*B5sc1S;j_l4(IZBQ}JTsmv9-EV=9(?BYKVFiCmZ6 z(cG5qGNxvC8Pn2TMtsTTj^^o<%*v9NQW9TsN#0Az2U+rYO1{dHr78I_OMXkqpINe2 zO4iAeIw{#GOPZvlnG@e8_i=@6UK+1kdXLdtTVe_MyWX}l9!toM#1c}mw_Tk_Z@Upo z$lvvLfbm#D4knh6ioNx99=-J^mXN>e?F8eogbX2;kcz#Havn>_>72pe^)|+MEFl*% zj*7jFcOJc6$yHS7t?X%*n~hImGE=yX+nLH;#5!^>v5rjR0UqQbVjY=5tRqkGBv11U zvv`(RM_wS-k(YRxS9y(CN8VyC@9-|~F`p0kh*(EHWdWb_1z+(sv5tI8tRp|Ln58V^ zM^+H)$SPtT`HkQClfS4$WnvwvN;TG^2J5gMv5ss&E$Xl#8&Qu2Y(lIfjVPfBO=(68 zVjXFnT_+vWEbW}l->zx?{_9Jw|EfQBe~7E(iPCs|%KMD&W>T!XS7g!M>*TweXDqsV zpJLs8DU0sDA>Z8+W6|AmigovgEV^4wzPswiqPw*z)?Hm$bXT8zca4ojcbk#xuI!1H zHnO&~qdgtz^uO4<%jl?@z5Vl@KyY_&+})kv?yfs%aEIUoXbA2QJa}+-4I11bxVyVU z=KHHUbSHb*FXp+=v*y2M?z7gX>8|SleREY+*KV2wg-`^tmlQ_{l!7P9pe)LxA}XN@ zs-ik-pcd+&F6yHJ8lf?oq8VDi3oX$aZO{(h=zva;y`(F;p$B@RH~PQ_{ULkFAPmM( z_+mI57zsa&##oHQ1Wd$aOvNi%fd! z3v+Kn7?a+H!K%0MWYXItn0xbQOnRFGtKOE8NpCA)?rj5O(%WX3dUJl7Wgppo9Kb;w z#t{VLIAk9=h0{2TbGU$uxQq~7#dX}kE!@Uk+{Xhv!eczeGrYh{yvAF+!v}oCXMDjo z{DABuzYz*IxFaGw5E)S+`$!DLL~O)CJS0FuBt{Y>Lvo}jP&;?!59X-(teb5*E&>sUa2t(kDVHg1iM!^qb zFb=YhOvEHi!BkAg4ESR2#0YL!8neSkbUF~&f+{S;1aGN1lROBd8lUg6Fsxvs5vi}7`$ryQi)9`QB)$A z7$k{Ql2j*YR3eucB)L?QS0_ciQvSJukgSr*s_Lw+`dJP1&uXcXHay@Jr73HqqeE^x z^DL#gZm?=@0GTv580O|iGA7N9hE;RZ$fUWMFgLe=F==iQteRUxCe5vfxw-9(Nprhk z)!Y#>Y3>-z&7EURn!5;7bI#AQ+$Ot&d$^B>c!Vc-2H8no;uYTDE#Bh;KH&?#;yZre z7k?AEQNgbUuQAu;1 zv{y+do%B*kU!4q7iGv5co-}8LjCIKEc%Gy5HVIa}%^{QC=EL0EGRCC06|m}UGnw?Z z4d&kVF($nofK_j&$fUQkF!vV1nDllXR=qtUlir@f+}m5mq_+<+_2&E>%Wtw!xWOF} z;ep7A3fV_uASPlX4&os`5+X5@AQ_S)B~l?Z(jpx)AR{s(3$h_Qaw0eKARqFhAPS)f zib3{~k|>4JD1&k+kBX=a*+;6OI%=X8>YyI#qahlh37VogTEGjf&>C&g4(-taozMkc z(H%X|3%$`7KIo5ukbPtbhGH0o!+}xo!x+6zrmI=%ujg-on!j>^!JJ>Kk_|f9p_1J? zIjWN5IytYBOFFrulKVROpZuH17OaqD4!KRibCllfu<9)(e=H3c0CcPDgRc~d< zq_+w%_f~^3>8&=bdTT}|y%{j~=FOP&))7{{^&ykq`oY|rFJsc%2$*_vevW-2*(6NC zR7}SV_+vI?ADM^w2*5%t#u6+;AXZ=%R%0#JVFNZ{Gqz$Ic3>xVV-NNr2nTQohjA3a zIF6H$edG+z;yf=DnL1gdlBGQ0^~7L>ta8Zh8lI!{ zwjNf!?IM%j_QKrT5yqsqW3cM&BAN7d1?JvvGbX*=gH>-Y$)vY8F!%O_G3o6)ta|ex zlis4h+*=&Rq__Am_2&Fr#8hN6Z255-JXbRa!TEIX{v_c!Sg*Q4t_L0u$f^O)Jp6HD} z@IgNez(5Sf5cpy^MqngHVKl~I9425QCSwYwVLE2QAG0wRvX3l402W~}mSQ;qu~M&- zEozo-*YkJ3n!j>+!JI#>l5;w_s*)Qzd90FWI(es(k2(oeiMvjssU)UOlBguPPSU9) zqfYXuBtH*4LfpN=ezV>_`kVFk)t{Y{&)NC7K1SEib+^Ck?f}=_fv&rQTz3cSyYh<- zag7dj-Su_d9p<__+;w+^zAL|i!!494Q$k4zdIh79&Vg9DMl zfym&(%HU9Ba40gkC^mS3H8>aPWAf_S2v)DIt;yuowH?f_ zu3Z_ESJxh}dUYK{CaV@zIM$HMB>bq1Ndy3T_6)pa3b^6I(-rdLe_9F-faR^5cjAJ-~lQ@ktIEM?kh|9QwtGI?6xQW}ii+gy0 zhj@%9c!n2{)81>m!8^RiM|{E;e1n|!e&QEGVS_s&!UK^J14F%b)K5D)Q@5Q&fk zNs$~WkqW7i2I-I<8IcKDkPUL$%ZXgbgS^O(f+&O{;I#Mu$~WtkZ*;oZE9IYeaOCWC zK%Hq0t20fsmaLHz4!J$Wvy}GE!m7RNWYXR(nA>~An6&p4R_%QtllDHt+}>}-q&+uS zwHJd-+KUZydx;s7_L9M>y$od1US^ov%gvaymk)nw?;pKSQWH;Fd*-Z}MAP(awf^l4* z{V%Cm8lunSchs3&-U?#=zEa6soqScv51m9(NmQN0RY?M!*j18NCs|eU|Lotbw_=4f zamZ~mo}=_;z^b>7WYSv~n0xEPnDo{UR=tfNlio(b+}lLPq_-)s>TMpG^cDbfZ-IwO{+g38^Z3oP~1u-VQ9fGMh=chf+kjXxB9v5&4ml1+%kbUGPZs88@;yxbW5uV^F zp5p~x;WggkJwD(QKI1FC;Rk-=H*Ao7BmyEL5+WliqC@tPSde`rF5)2p5+X5@LiUjq zNC`VqBQ4S+12Q2qvLYLDASZGoFY=)P3ZgKIpcqP^Bs@_XWl;_lP!W|;71dA!HBlRN zP!A2z5RLUZX`^Pgx1QPE)XbK*f>3FPMAtU`%?80;}HQ zlSyxhVD2pyW71n1SoM~jOnS=&b8m$hlirHLs<-lF(px2%d#lBm^i~(9-khKIXhCM6 zC0d~k+QJ(hAp1yXbU`SY)x88TZ zX2GhvC1ldwa+teY$Cz}t5mw#pA(QU*!`xjkW76FTSao-qOuD-Yb9Z+clkOhC)SdJ5 z9 zK{}*IMr1-3WP|J_Igtx_kQez;0EJKlvX>M`36z2-%Ag#|qarGy3aX+yYM>VCpf2j8 z0UDt(nxZ*czzZ$W8g0-H-spf%kiDcUx}gVpqBr`&2mSS0aj4ntr|117HSeeDc|Ttz z3w5$WC97Ektbe(>`Ct5Z>up&j(HwFcgXbyT#fDXP$;hO;lrVRffidYWGpxGHM<(4B zgt@ztj7fK;VbxtVGU=`+%-uC)OuB0VtM1y8Nq6mG?yfsy(p@i@x^sT!V+h$$48w3Z zFcN+k1KCT)V*(~&GNxi0X22h_Fb8un9}BP$i?IaDupBF}605;H_(#_ONBH@{I1brM zPT@4p;v6pE5-uYIS8*LTa0|C_7x(c1kMI~z@eD8U3a{}NvX^|oM|{Q?e8UgOUh*5V zm$<kvWq?JxO zs-%lf`l)1~PDZF?luo9oWI7Kxf3;(U%yG!=Jf5TU767Z>R*^|>Yq1U+uo0WF72B`_ zJFy#kun+rj0EciGM`7;m3}e#Ud06#!lT3QM19NXr7?a+f!>YGWWYXJLn0vD^CcQ;~ zsW<0mK4Ou@MqI>00whFYB!%oFDUcF&q()k#Lk46*W@JS+Tw(v%KbVMg~L05D~ zPxL|`^hH1P$3P6m5cpykM!j{+O-T$x<~-19`Dn!2n<1 zbiG&N5h0v5)LPlA(jYy%kEz)$ml~|1D=N9BlY1(WOAV4YDtWJy?<)DFlPD^Qu9NsG zNu-lBDoM`+)`~Z)B&$Piv-3QqyIip9t|*ywR|4kl$}=Y2Rf1J_b;+c=1~7Nmf-&i? zC9JyZOeWoRgSk5&#-zIeu0a09ZJ+{PW; z!+ku&BRs(~$X@aiukZ$M@g5)X319FP-|+*#@EbO`BLX}S2~iLg(Ge4|5C?G)9|@2M ziIEh^A$v(G*pUWlkscY530d@7$**Q8+EYDjBYmu_~FMlUXX6tCJ-vS+0|fD%rvV)=7I-$S#N6?%_E~Z~I}@+X*u1?KI52 zU1m&ry9%q`9*{|Ik74fZHDl7-J6QGhlT3OGg}JxLj7e|NVAWefGU+V|%)O;%OnOTP zQ*X}CedHv|g*?cM{3w7zC<56>ilYQd!4qXr7UfY9l~4s$Q5`i<3w2Nz_0a&0&=^h8 z3@zY=mS~MOXa{d}KqtsP(iPp%13l3jec*%skbPtj24g6EF&qwzgdav@EXH91CSo$C zVj5;(CT3wa=3+h;U?CP^36^3x01_qfy=`DjdfN_wfLa@EA|=3@`8!ukjY|@Btt38DH=XKOp5u^#kr`Q#4LOh#xseC?kRJt62t`l~vX7KRDU?PT zltTqnL}k5B>Zw`UP|sgO&0o31;Ps@7O1kT$pGxEsgJhIS#^_{y~ScodW#FI-cpcBZ+4h_%fy)UmK9dL6(Ez|3d7u+Cu7oES(tire&)LdSxwYN z9n?d8G(=;_KGF=$VZaNm&>C&wjrQn>PUwQJ=#HM~g+Azue&~;Z7=$74#W0M31Eb)F zF&GEgMclAZnW#} z7=2ehXgAh1I?i=>yzA}+*WHP(yOZ=?`4uL+MyI&$PIcX#=DIuGb$5pA?o54`Z`RAb z|J`ra`#RIV->molh!0EgiuCW-m-{b&eK~g3f4^DpfBEZ6{(}$osc+U>Gwq*m)+|_A5fBk_EQo@rhz>c<#X@X^Jr*S5abhHe z9OuFw3)1jdj&tcD$GJ?%jIhUoTs)TJTwcg=t^f)`js-;_$GH-aLS|?XkaziH%RPtCS?^N=U2VAgpW|e$* z$n8&_qx2RE*-8G^TQtU{x0sNfBy4X9c`Uspf$SuI>n$DQ(pyHzP7=1aoIIA^@<4Wy zzx7s}aoI^qL3Wa`y;bC~^i~B`@weXUGcG$xBQ!?X-n@7$y|sqyBw>1U?jv0p?}i@e ziQeb~AM}UpBZDCO$WZuVI7VP3{4g40F%A?5-<8*?!avX3mpA}qmD zEJq+#Vl`wRS%>x5h)vjnt&n|WCuASlgT2^~ARNSD96>OS;RH_NG|u20EtmkiRHGk#(E9QJk zm88~5W|heMS0n|!vix}uK|UN@T4nMM7FkX8v+C%d)kG!Db<$oXopjPmC4F@=OeGE; zuu{6PM#ehic0A8gnwtcx=H`$|bMs+tZW&|J+zMDVx0y_u+Xi!U`xukv4#29pQ)JTI zS(uv(VN9C44y)!Kkx6q;VQ%g%W76CQn3{8bROL5WDBR$Vi10vUM1|}mF%T265eM-Q z9|@5dNstW5krJtp8flRZ8ITc~kp)=!M?s3m^2yK*&xq z1Vb?l!{NXv_+gA*Dbv*~_1E(^K+Ruy2a7qsRwWyBvO^{E4i?E#l^oZ}d6mdJSR{8; za$hIURq{$FUsdu$ClOQ z2#0YL!8neSkbUF~&f+{S;1Vt)1lJ(@$W7eB9o)rzJj5eB!BafP3%tT>yv2Kbz$bjh zSA4?{{K9Y8;D!i@h)9TxsE7{PM`9s1;vyarAQ2KHsa_}P)hx}V=Wi}GfAi}3TS6tC zI;o_Rsyb<)lK+)|n%<2SvdSU1Yj}>*+j>~_wu?-9+Y57VM;Mddj=`$8i)7N<6_|Uw z&6xCd4_3XsB$M9Wz}(vx#-z9Jua0X^I z1+tH1M-JpdZsbKi6hI*qMo|<)36z8<%AhRDqXH_SGOD5)YM>Tsqb};90UDw)nnL!G z7BJ8ftzL)hY6U7$(Vv^ zn2wq7$85}n>>~>hfJIo0rC5$Ytkmmdi<^=jC!R)bt%@Y--%CFgWn+okUYfOr0cANphW}Q%Odh z{H>|xuUuX*=bNj%*{PwOqzQNtL8qCNpqiJZtgc@(wrNtnu|du&Bcbf zxx|b~bID-UTm~{}E;G!{Yy&_ zqahlh37VogTEGjf&>C&g4(-taozNLw(H%X|3%$`7KIo5ukey@*hGH0o!-0|T!x+d; zG9D8!36n7u(=h}7n1wl*i}_f9g;%*Az)(=*_ zjUbcWM#0?MM8>4IDX{8o9+~tO0CR7Fj7e{+VAb1JGU;sx%)JFMCcPbksW<0`RL+o{ z#d%!7C0s@bu0i&Zo4AELxQqLEfJb(2 z6hjG=geOX)EXtt*Dxxx~LiUjwsEOLBgL-IyhG?wUNgFjwz4iReHzL)hw+$*$(Vv^n2wq7$85}n>?8{ifJIo0rC5eQtc2_&Yp@pUu>nk= zaOcP!Jl=)f*o%D#!a*Fu5gf%a9LGtV#u=Q$d0fOLTtNt~;Ra+Uxs5xxhx>Sl$9RHg zdZm0+^Y@FMzoBaW$|VM`4lz{{M<+>CB9|B>8C8-+CwWvNml!0aR8q$4AN`ZZ-mH=V z4!Iq~^OWv}!m7KmWYXOPn7f<7m~=M_R^2TjlkS$o+}%3Hq`Qr<>TVC2bhjVo?t&SU z?oPm}yUS$K-Bp;oyUUn#_W-8uoPU$}n(PhU;XOX$6TaXZWH0%NUkHT_?uY;nL`D=u zLv+MMEW|-P#79CTLJ}lJa-={iq(&N~LwaOHCS*Z2$X=2YxsV5Wksk$62t^=!NpX}w zDR`m`%Aq_eq7tg0DypLfYM~D5qCOg+5gMZ@nxh50&=RfD2JPUD4(J5gOS+;PdY~tI zqc42WU#}I1n%#bS-cM5VUM@43_w!YaOnPeqtKQm@NpJ08?yWmx(pxW>dUO6w;t;Z-7>40+ zU?lu72J9nzn_l_ToS<%<-=*{lHmd*mhR<`n)%l}8 zc~JPgYU&i~r(OAz+j9Kp7t%lEuB3D4pO0twJW_X^-~03PeZ%KJ{dMmA^9c%{-`cP9 z7k_@fEoZpjfBO@iKl_t=hR+wrPO1L?SO4U`;q!5YbpG~F9uz)rZRp(DuPs-&-@mS( z&YkneGkjijz0RNh`SpFn=ZQje{`gNG6h8m`Tj!Vln+!em%qIJ8J0M zIe&b^=ga%*-1+>2!sjCw=-k<_El;@LzvWS#JO6w)hF|Z}_}qBD?zae*F3G z3kshnDXDYk^S9*<_xs0hr*r48?-@RSKSAftKOf)l`Khfscg_Fs`L0_!cg_EN;eP)B zkJRe1^UudKeBLLA&Rz3AeBPmSHIt%n~ckJ?wbGM^Ju4a z?wbGM^A8_&?wbGM^OGsksNc%judRT3{O4wOIh{M_k7xLNac7;o=70En$aI~*`t#ox z6h3daN9V5jU+~Xwt$wWgQ0LBmJ;UdjVx(37Kj-}M4WB2>uXE?~4+@`uY^rl-zqUf* ze*beLbng7~@eH3IU9EFxzrNw~wHI~n+W*7nem`{XeEzn=;eP*t>C&mk&R^d%eBPw0 z&YgcgzTxxyy>#xH|Kanrb9L^T|Gako|LA}2Zf+56HaGr@-E8t_lz@9387XCNz`gVK zhTOKaH{$l4UB0j0FuQ!$u(@B#hZ8x~RXZNz%?6w~EmOOB? zx8in+y*0OK>}|N+Xm87H>B#nW{BYjx&BwOeqXRmk6FQ>{x}qDpqX&AT7kZ-)`oahO z@bA~CHF(#;;9U!Y_pJ@CehuEaFt`FXxB@nKAJpI-41;$t4BKHG!BGU`7>?rvPU8Qg zU!#^Q?0^5+#eeyqUHpT8B9J+hji(GR02$P!-DEEJreYdqz#p?P2XiqW3$PH2u>{Mo z94oLAtFZ>_umKyf8C$Ro+p!b7A#V}w!+spVK^(>r1mig5EuvF6jk7q13%G>K2*Fic z#|_-VZQR9uJisG7##21Q3%tT>yu~|wz(;(>7ktAH$Xi6e5ehfBBO)RpGNMA>BKq4~ z4G9=eh{Q;Oux~Zkd7K()A#V|7Kt_apt04!Eb0RnLARqEW-fAd}A}9uVi>M?@A?#ZX z6?j|`l_75tg?+1`Hjm{kqI!_Gh#I01!o1ZG$xyRG-Xv-Td6TFu+CkoI=m>d}s0-vx zqVDK{Ug(X!=m*{;`s-gej$mBgY#4&7Ww9wS0{t69CT)0bDPIS#p<$4`;T z7yzruxQa|B<64*}<5tFGGVXxYWIRMBlkq6blkp5=G8xaqYBJs=lgW4o=E?YkF`10d zVKo^)k;!EI3iD*NF(#8S0<0!uEHZiDD=y+8>|{*JW0{O8kP?5JjF}jh$(R+{5Oy-= z<*`i00Fk(#&r<$UjCVk?5vDokCtnvZ9)Lwy zjHOtHK&*u9Bx@i$$$I>!UFRoXw=*U?$u8{1UhG2<4nlU4Baofs7>?s4PC<5(b2yKS zxP&VR!8P1~>?F5w2lsFv5Ag`HlRSg$Brov_Z}1lH@e#6?Cmz7x9q*iI5mcksPvcZSao-TOu9P_b9a{+lkTpCrGw15{{qBYu}9lX&2ogjNjS9C)U^h9s; zfe-pa_L4yujG^$wa5yj$ei)6h7>5a%h{>1=*-K_%CT3wa=3+h;U?CP^36^3x0tj9)d!WL}9cI?z^<*=Hi!Fv9lRr6Q=QjAxQTPnG$lcy??zZ4_+tdeg!aZ`!> zr5H(UmBiCYGL@v%NoJK~(@8#+6yz_eX;i)}X=$}4%aO8H`m;)^IOMh(&r`aq39Ig! zkV$vVVeYOiW71uFSasKnOuFj}b9X}+lkSGWs=M)I(%mGOyYpvEx|;*5?v{~BcPn7- zZUbY|-Da4&Q?CPi7~hBeIDmsVj3WrfamZeB3a4=v=WqcRaTy`FitD(6Teyw8xQ_>T zgvWS_XLx~^c#XGshY$FO&-j9G_<^7JjZnD39TDMy$cPHrOJX19Wo#zG9wGJAqR3IH}W7K@}nRMp$LkhI7*@vN}~+Qp#mzRvR*6o)EsW8 z=dhvXa4S8#yQrkQPWq{2piV}qWQBbq_?cF>a75o^i~+=-aHwT-paz%oAZ*i23bwiMjg~ceKbU4$Uf2x&0)X` ztjff$4#@Wn8UfCHo8hcOrj*+(W~5~g4(reg;D zF&nav%)@*HU?CP`DV8A+E3gWyu@>vF0h_QHTd@s0uoJtn7yA%|12}}kIEr8#$4SUO zat3E{9v5&4R}g}0dYwE}v-F9czi-t1mCFp~{4bT*bP`1+a+yJrNF_;il13%zb&^XZ zd391$B_(uHNhMWvQdcDnbkb5KZFs;s8ORFh=#bmaJV)uR8?1U8KqkEnhPk(qj7e{! zVb$9-GU;t5%)KpOOnO@ctKQa-NpI_6?rl3`(%UXr^>&0zdOHSlZ|4}3-Y&w_oAdLp zx5@6{9`55I9^na|LH3cCc!f83i}(0|Pxykb_>Ld=h2OBj9TDJxNQi={h>jSDg*b?d z_(*_6NQ|UN4%tUi!HzUYi}c8VOvnP+N3tUaav?YJB0mbC5DKFxilGEb!V_gs7UfX^ zl~5T~Q5`i<3$;-f_0Rwf(HKo3`$!8IXo*&6gLd#n2fa>ut6A!!=kHK8f8`Q`)i6ON zlXWsnC31;DvRoxAb+S<uY=#4(`L4U|TG6;h)6uuaa5f}+SjK)}u z!vsvkWK6|0%)m^{!fedNJS@OMEW#2j#c~8JJrBx!A7bG=RQb#9ERMK20 z?N!oAC%shCS0~}Vg2G@{$XJKmj^{Z_ZQX&;nBQ4S)12Q5rvLG9>BPVhr5Aq>D3Zf8-pcrHy zDTz`jjWQ^Q@~DW)kbR^Ys-q@qp$_VyJ{qDCnxH9~qXoRs3a!x=?a&?_&YHe zWYSv>n0qV0nDkZ{R=t%alin)8+*=LCq_^6z>a7`>^k%@^n>S<9TSr*+)`v`b>j!gh zzKlt4BVg*y`ETDQl1;)COvQA}fIntK_K|s*j{q#hVl2Tj1Y!kNVKvrb9X4PSHe)Nc zVFz|%H}+s3f^Yzba2Q7sjN>>7*+UEM{&C*PI z{^nBiS1vD@^CeW`sgp`7k;@B`1}bT+la?xx%L|fjD(R_{0V)}+lhG;}r;}+anW>XS zDp|?{*2z#-$SQ~2uHiXKZ|h;z+b%NcZ7TtAGU+V}%)P~7OnQqCQ*X|{NlZm%M;fF>dSpN*WP$7>*^vXe zkQ;fC4+T&Ng;5m6Py!|4i83gQ@~D7HsEn$ph8n1a+Ng_qXn=-jjHZx%qy-GLL@TsG zTX>@bWFP5_F6f5t=!xFw10VFm01U)n41q6(V+2NG6h>nV#$f^`Vlt*+8m40={4pDI zA^XSz1Yi*sV=0y+5G(aM*`j9Yc0GUhtNAOJ7tHz7DmkZm-^=V(KJ`N|Ng&ok}w5B#%n+^S~p--PdLdW|3r&ul#EZyc@dQBZ6&3+{kxB zLqp}$`78BZ`4Jf?`7iiK|I6v&&My@9Lw@o>N9Tw9_=uhxznh!g zo!cq)2;8QzN91;+ojui7+HQ};59jTXxov5W!tFbIRBngaqj4M89$kM5Fa{6u*kf{g zz#fa++VwPm<LM)qBc0x87yprgPpIOrKHc7sEM!Gbqf@CFA5gGFz!=nW2i1`FR{;Ts(M3>Lq^;m=?LFxUVL z4iW~NfWanUaF{UI2n;p?gM*;KW?--x7#s!-HUxu%g~6s^uqhZEE(|sXgN?!9U}&&8 z7;Fyze!bfN^4F{7h0@@K(%^t&@M3B3Vrg)ZYjDspIOrH$Fc}^D_Ryhx{^zy4hD3O>48|;H%vDvM&@@xAN**3RbVKRmkMk zwK~kNuJsv{SJy_cdUb70CamV|DbsY-xtE(Sl^6EMkR^7R;}%3mKDF*CjB$x;j7Yv5ss#HewUDU@Nv`C*-uZ2Yay}K{$v*ID%jt!wHR$RhUkciScrpoh>wIwgd|9c4LFP*ekiM$a+(pM$@buvsP4xLO=$yA-p zQOSIrtWe2noorUgHk}+$$zdLF@*l=JIpL7oQ#?oM?kudjyG|zE-GaHhM~q2#Phr*F z2QumIGtAxnW=y(sgH?Ai$fUd2Fn5=jG3hQDth&oUCf#L*xx3tqNq711m+t=2yEJwA zHS41x8lefAqB&Z?3$4%^ZP5HM~;ETb+DWiM$a+5=kXdbrM%4@CJ#uZym{`w=OXE)`v0a ztsks<8$l+$je@ziiHu2aQ()EGJTmDm0OsBT8I#^t!K$~dWYXIXn0pIiOnN&6Q*X{s zdYmDXedIhY;1Vt)1lJ(@$W7eB9o)rzJisG7!BafP3%tT>yv2Kbz$bjhSA4?{{KRkA zAp1xJL_{P+MpQ(H>?5%t`$$~GLjoj3VkCv^BPoy)cBDpHq(=s1LS|$|HsnA~-VH80zlt4*%qBP2)94eq9Dx)f@p$2NAHtL`r8lWK>>vhsb%~EeYf4iyqD{llb z=Lf6AS0|%YB5wqd%v8y2oh(v`yb(mQUL~7!vP&gFo`ydizc$z5Rl@HxI_7w9uqMcQ!owFF%$lnjk%D0WB~%O2#c{4%Mgf_kbPtg z)?z(2U=y}rE4E_?c40U6VjqHV5QlICM{x|taT2F-2Ip`d7jX$!5Q1yC0og}x;|}iO zJ|5yRp5U2YCm+=;{i5e@sG7g>Mi6s8rb^=IB#BDojUbYYD#@agJSvelf=EiKq>N6g zsHD118mXkIPFky^olbhFq&E*(Ck|G~0EgTT;yFrhLt)k1STgBt0?fV5U`%?O1*_hc zkV$XLVeV}mW76A3SoOAtOnTc7b8o?nNpB}$)!St<>Fp}az1?L@dV2s>5v{7 zkqKFl4YH5qL@wk(UgSps6haZmK2jVdPzs(XgK{X3il~GtsEX>Sfm*18x~Pu^XoSXS zisonmFSJB!v_U&~qXRlY_K~jWh92mN-slS-^w;aep=PO{p1+gS{FTcK=KOq>EY!&g zmB{4<$u^bj)X4#r$mIpeS(RMS$#s?7(#cbmywJ%9m3-ETn@S?`fORsG6%x%Mw=sB* z(pzj;^_GlGdP@m&Zy6Yq-ZI0gw|r#MTS1t6E6JGjRvK2lRU?z$YQo%GL&l`HCa~(Q zEt&Mz9_HSCq0Ic4aG1FhXW(whcS?SWIQHd5+-9RreOyBF$;4r7xS?I z3$YkWunfzw0xPi^Yp@O*uo0WF1>3M4JFy$GkL<&K9Kb;w#t{VLIAk9=h0{2TbGU#@ zxQq~7#dX}kE!@Uk+{Xhv!eczeGrYhnyvAF+!v}oCXMDjo{DABuzYz*IxFaGWAu^)s zb&^2M(!_fH+SUA(%M0dw4wdBANnw@9p> z8KII!9={#VajADh%amejFo}=^@0IS|skx6fBVeV}!W769WSoL;@OnN&Cb8lxD zlitq5s<)eD(%T)Fdwar|^!6N9y?r8+-oC=zn~gE)EdorvIX~$Ui!3(cA|4VTArd1g zWFJX^l&~W;(jpx)AQLhpE3zR6aw0eKA|DE%APS=hilI14!V{%Y7UfU@6;T;gA^S)T z)I@F6K|Rz*Lo|l$BhAnp2E5P;ZO|6pXpfHQgf8fc?&yhL=!3rKhyECd!59Kx48sUG zFbaMcgK?03WFjVE3Z`N@X2Kt{^*ULqW@(^TblET-M3qa7#DC6+GV}MUJV?*)V`_fO zMFzZ?n6%dtR_%2rllHp7+@23((%t}AwKtMX z+8YgXdy^TH_NKwqp7Zk_3&;Yn2#c{4%Mgf_klkbr)?z(2U=ucDE4E_?c40U6VjqHV z5QlICM{x|taT2F+2Ip`d7jX$!5Q1yC0ohG%;|}iOJ|5x`p5Pf|H+hLyc!RfikB|6- zFZhb@_<>*e4IA7M0Un5iD2R&ah>2K;gSd!~1W1I$NQ&f;-6R$4NQ1OUkBrEKEPA!% zSF^N`p1-Bk{FRFg=6rRP)Y3^KmB>W~NjsHv&`A%K$VCRpP?ZeV$yk+4(8(;7%+<*f zl`PlEMwM*g0qbNmD`b~LZujsUrMLaC>g@!X^mZEN-YzpHyZx6_%x5qH|_L?#2 z?H#Oo`$;Cfg~HrhWX7boXt3%nA(`}+1m@mSGbX*IgQ+*?=RILQAwp8?=KrI-nC|AL)v2 z=z*T-jXv-}f5<*E2!k;cz8DS%M#2xHF&5)60TVG9Q!x!QFcY&d8*?!q3$PH2umnr7 z9D!Jg)sTH;9oAzbHen04VLNu}b#hqE(qKJ*&#L(=7a7d?TPnG$lcy??iwu&_D*2`p zHTVgCbhiTL?lv$c z-ED@cJLlgf?jzfe12~AoID%jthwLS%a2jWE4i|6{ml1-ixQ-jRh1bXihXhE7#7Kfslq`OY~sYEU`NJgn-j83MgL@qQ)0#veCC#zJlRwp}DvRfyIRB}`&=T&lv z2fU_?WrbXK$n8y@qx5zMR=qtZlipsz+}kI{q_?lI>Ma79^cD%`-eNH(y~Tx9Zz;&6 zH#^L|WnxTv%L=RB3Xn-}g<FyMt& zXpOe;MtgKbCv-tqbVpD0LLc-+KlH~y48jojVi-oifl=_o7>tALBNH(RQ!o|NF$4aX z4cSNLVLk$|5R0)C%MgeaScTPCi*?w5P1uaB*oGb0iQU+XeF(w<9KvB7MKF%zBxD~s zgR?k~3%GdR`(1Z~Tz3!XyYed>bd4Tz-97BOd&G73sOxU9>+Ugqx4DNq_tdBQY|-U&egBP5 z_3;aZ{ZyZP`9}FvU)zX$={|m3WM9K=9{XBu57^gnTid>#+k5s6`YZEo?5gP2~2D|w``9NP*zG`3ThG}iKENljk)REx{+x1g~Jr=y-@msuy9OpjaGr}GV ze)0G>Y;Z#aM1&j*q97`wLymK?5F25S1&Mf^7)c?=xvH;c~n5y8CjjjHBbw+@wXY-l<{V0 z0Rv%YWIG;vql3O+>8&moeDsCHP<0_8?_qKAF+nAhbuvpO@*Wn+a+R#q$wrmPdsrm< zRdP@#CscA;Cs$Q+LnjYZ@>nPDRPvDrT(FF1m3()|?N6Sg^cD))N&ePbG{&X3n2?<$ zY;Os9EWIUx>?D8dEgj?1TSmxE61KOTJeJ<_Kz5S9^;Vp5*-1)4c9O8YRphbsRs~h@ zx8CYAE;~shG)CCoym&0VwTA2@VS01!BV8Hqh92mN-sl4#^oQ&tgCP6JQ21gvMqniT zFdAbq4ihjDlQ9*tkIaDVBeO6Yb1@IHk1WI@EWuJNM<7;WHDn)IhxOQqP1u61kbPt) zWFOgsz1WW+9K>N9K`@Tt1Ww{K&fpv_K=zT#xPq&=h8wsA*+=f;9v){CN*SzLi;N zm6g+3P4%?AP|6R{Bo@em&gkr+vk49SrasgN3Jkq#M<5t)$% z*^nJMksEoC5BX6Lg-`^=AUjD(ltO8gK{=F1MO23DB-KzIHBk$7P!ILd5RK3TP0<`J z;DuIbjkaiq_UM34=z^~3jvnZR-slS-^v6KRPBH{TF$}}uz$o}(j9w|z)hzYb^EW`v zUwH?M^{`eY8+5WmCGrjy$x)RY*U5R6$U9ghcT{p;C(l*#N+(}c@Mbjo^p*qW-U=`#y%mO4Z)M4(w+b-#R)aC=tv0NBYepu$ z88G+e&6xDo5mvqRA(P(v!Q7iKW769Qn0j-5SY;yFBuv3nOveoPV>V z5-dX?R$vuYV=dNU12$nZwqhH0U?+BC5B4Dl2XF|7arFOU?>@k#s@8QuBN9X2eLTDeOKMU( zTPO9?ENzs{-==B)HuuLJc8K`nj{VbLT{$pIk4)(?+3Y?&{ao8DIX5Nkv!qi>uFR6_ zQ*u+5+>w&Iv*f{)Je(y@r(}QwYo(V}@?vp3zU*~IcY`U^-Fvd=?nCn3jWibBjiyj{ zU(2GqZ^(D|qp|32CWX5DMHbyHA>Z9e0SB1MR%K%>u&uu>2|U@ zY)?Jv(||@aCiaqD*p;U2Msqa$Z(RW#>*tT>L{8!qPUUo3)0Q)dz2qEnx&+L1M8%>6|zrpJnrW;?oTTYBj-X4-gZ~e&k_LQ;c z?OAfYt-n>}RoP&M@H%hu7DIWL*hfAf_K{%>X9S-xl2MH2bG~3KUooDEe9dIOVJg%3 zj_>)A8O&rhbC}0`7Vh%UI3|R?N?!7I^|JrRZZvzNUCn=8 zAH+|7obpfom#vojt&`7-6j!?Wb1l$`3oI(fhfIiolp&+;0hw;~GlcCjpa zyNrBqR~w7ouBA|Kx5}co+sXHKpRwreehT&WxGZ|>PrkQ-#-g_uDb(9rvgqv{^1Tf= z7QKB!uDA6!t9&IJ#{?!aiOEc18nKUj&ksyz2D6yWT;{WYpZJ+YEM_Uo`IVKd;y2dt z2Y<4bGHk$xl%oO_sl+D4K2n9MY({mqpawOmP3$Avuq}0{OFin-fJW@dPVCICG+{TI zvpajRCws9s`?5a=a3BY92#0bwM{pF!5c|mSoWMz(%&DACYuaY(q9Qr&AIa8Ir+16^jM@x?kL$}e(HC375DDGypBKNZL0FMgqDZV82&`&|~z{YAdH^2VaMjVRRI=CWvROY+TaXDpiA zo#_|>8nZVaf;v1$g zjqiw^(kvx$ znIUPFl7qA4=#(6nC2dl2W|o|vk`7tYIVD{jSSNj~kn4-%@kXyPdh1T1-tLk`Z}*b# z?IB~)TR#f*_N**=d!Br6uNsTqhES-t4`k8XF!H^PG8VmkPNCi=%c8fbye1uI$28e$*$lfPI;8OpLD<*CR?2KRN;8_%f<0)--o!q#AN$ja138#OIgBGXlA}3><2arZIhj*9 zjnipETh8Qc&Y>OWaz5?pKu0d(5@H{@oKAG+O1f|jUAZn>C&g)&_RQvQuQY$-GQ*sI zA|+2{$qOm@*JXxJ#okVzioKhqAEz`fGW^`Q^m7xlk>C&giZxg}U2H7Ts-2zPkp-qPra_)ZOl~=x$H)-5p>ox;uzM-5o27 z?oJ@zU29{}-5C_>?gCkKcOm)it}qteT}7_D^?#z+P1c=T=s_{J(UUu|muz}Z`rW)Y zJ@y*yH@3mjGG*fSxwhpuEHlP``bY5MpYQv;@^nhc)2Ss-r=_P`mM>eT%(QgZU;ImcQ~sCS_2(BV zeb?X2My38Ozkj~4_y5D&shn~A^Y6|r@_VqDB`jqb%lVZRtYj6d`HeOFU;Mjs-ZlTZ zo$`P2?Uet zj|D8`XBM%Tr7YuDRqXHGFM4a~h-KoPC#%oZMT9iI@sN=XU z^@!8H1~jDfsl%?0o3IeS&se0X?&>96a3*lEP0#qs#KABm~hpF&gdMOjS6SIAGrw~WP9e1}3)@e^50#m~r3 z#jlLTRGdJesrbDtrs8z+Q*pkrn2JA9XezFh#Z>%_{8TJsET-ay6q<@vWN{X|8PzF0 z6>B?=skjZ>Qir;fo{Ehe$5h;johdyPn>&uFxCe!%;(@Z5iidD0rKjRCj$SKNW8>){UFFg}9d zhduAhBiR+rfOIV}(4UCBU?BUe_qR@Qt(&e+K1}KG>>6Zrx(104xm>q=lags!GBYLd zA(v!HN|tBI?@Aq@wkiE8QnD{wvoT)Oc(oorrCuba!1H z$2M|3H}H4e-DW(tkvq7P(%toT9Nj%gA4+w%{*IO>jrZqio?!sbF_1yTUh*=rmkj1L zUgr&BFBwYgCGYV*AMz2y8A0qNBZ<9aG-LRJFNwWmJQMhuNqoZ;rtuxIm;A_dW-^O8 z%q8}cg~VR+3yWC7QkJuV*h^Lud&%$o!C$PU3}uPEq&yYah)QfiWnwR>#%65J7HmmP zYO^)5muyEJwx=HTX-MoPjkC4VBF)m4+5Byl=5Kt+WzHX$k`uF}O-kZJE=h-!T$Cl9 zQxYF?NxG+`N0!``l6$kHUrHX!l4n!$e3lGJ$(s(WlYUmnd&Tkif!7$l4Wm$RpUa}R zvE+N3Y%F@4N}=9n%c8e=DOr;x8>A#Y;F45LN%bt*Dka-y$&M-6IZJj=$(~tqP)ZJU zV4XZ-g&bWRkH>nA(c1|W>g^0!^maD+-Yzf}yqWk|zQ&@rM=8|XbF%2|1@gVUW-NMpgIsUx?`HW(HjIxM!KaL56k~{e38P%RCmake~U5#VlnRzp{c=tY!^=5c|kl*0BL)DMxuKQi<3{ zHl;FEsYZ3SpawOm#a3+1wrodT>ahb2XvmHscA&B7?GSRkt-lmKUUmW} zaWbcJ8m(zd>?3D!Hbu1KJkI9=I&vWwa|xGmIahEcS8+Aha4pwy12@u*o4JKsDdu*1 zawm5a`^bIt;(i{W4-e6oM~Hpoah{+*Pw@-`c#eU*z>B=ZE4<2Uyv`fE#oN5YyS&eb ze8g}*<`X{UGe$9nFNl5QE5@frZ6pACv(y)ouAF$C29V~<%Rc#zfw{rOEyYL zTwX}FOiAr5**+z4c_C?(2Rd?5 zwoGg zCdQ(-W)$jeKUwtFihOTJ7>nMHrciIE$)dM5=2-^tJOdfTOT<3% zDuWrq>%7TZ4CP&7ANha}8OCr%@F^o1#b`d~3&!#lhl&HYIhlWXF`m1%_nLcK?Nc zEAk0z_#(orv-b`lD*lN*hvnc6$f!JhjJK4a1^nV z9LsT>z=@p9shmb@+HeMEayIACj`KL53+TXwT*M_@#^qc=XRe|P*U**gxPjP7y3w6m z=s_{J(~~>1mC`4zhrZc*=%3a@Tw+)cucYL)EO{p-afu=MEG1*IWI{^f5<@aQC9|^R zr<5$plHXGDN0w}uk_uU}SxUBW;2q^jD`czUc-+QojNa-{sJESD(c7-%d)vcU^tKm; zdOJiGy&X=zx8sdPZzoZxx3gr?TM_x*IvR`KE~Zd#SIeTeYsvR^v$5#yR&u?qzmNSs zSugJA0s8O|eR+h~M;_-1`tuae@GQ?UkQaE7mw1I&d5zb3gSU8_cX*fg`GAiY&c}Sh zr+mgJ#_$EPk9@^ACNPmnOlAtxh<)UHeqcH?n8h6CGM@$f#Lp~ZF-uv_udHMhzp;it z_=~laVFNa#92KZYB{m`Ukt$SWGpe%%TT+wS**dA8W@)2r{x(hXH!d;E`TbLJV3r)2 zlDNQ-oSu@lS#oYl;sQg`DJ55C$@M9@DNF81$=zAZMCuJ?RN_G zR$dmpZA8AeYR00s&B^t){?8G&lht8+>QSEtG@>!FkL<#(G-WrMvpajxlD*i6ec7J_ zIFN%mgu^(TBRPs=IF{o%k&`%uQ#qa1wB<}h}cIiP1y|CA ztLe(MT+a>ML^p1x2e)z?w{r(~ayR#KAHBJs2kFDZ^yLvABleLe>Ce+V!vLOVAcL}X z@@AT)L$moiEY07zz%b{>reu7UOif8#U`XbrWMP&pOG#W{NYwT*9m-SA|zO47#?C!a^)9$;H8|l6;dHO@i(;rKoPA_>nqvYw# z>}mXBvr0y1mpq+Q@^o&=(|ILN=VwpjS6EOoy0GNwPoDn2@nyZ=HY#<4-#=g0yH~q^ zzO45;zX#WI12=LL-RREE+(Hj-<-hsALFbL~pPMHC3vQbHH*WAtU)CEp_{Ep?+Nph6 zZ#>4kYby%9yB;lzch}>{zq_7hEZ$w)Q0U$Dd|AA^b|C-mdbzQ9ckN7}ch?(b@$TB4 z{JU#UWAW~K7lqzkACkqpYd`Yuu1^_@ch_ghy}Pcz)8kdyV21EIZ}JvHd6zib`+yG_ z#&AaP2_qTBXg=o)#_|>8naI~n<{PFmjqmuLADO{SW;2I*%x57#6K8viS;8`wvx1eZ zW({$+_a}d`jxv;`9ObFVMr_O`RHh2msLtlpU`uLIo2}WF?WoK4>_B}Q(ul_FOq}gC zp()L1P7C&=C41v+@4w-f^*(Kdd|n)nUwVzv-8c$$_pL0t`+?$VlTOe zd+9}Q9-t2o(U*Qa%40milRU*UJj-)D&kGFVC0^lGUSkMv@Fs6Fly`Zb*h@Yl_L7eo z!KZx2D8^)G{*%&q_mu36e0n+~j}L;J#V<<9(k%HcCGkO!q(Vw6WyxkKi4TG#by89< zOLj`hu355IO7_i?LsD{hmYkH5QysWMe#Qzpqc|SV@*1PJA`10(u`GJKjC^lb8;jnq zrBH9T%A&X1$@g}jvFPo73ibB5EPCrtzPEwKqPG_*)Z1IK= zd?ky0WC9bJ#AK#0jo3%N=Le=UgIUaGF7sKyPyEax7PFM){K`sJ@f&OSgFjhI8DbyV zkaAR@B9+*L*hi`m`^aWgXA5djliI{SvJKl(hq~0GJ`HHZj_kzF>`D`MqdB{?2Ya#? zd$TY5a{vc&5QlImhjRo+aSX?DJST7xCvz&N)0(!~I%%I~X~%5-c1rU%J_s`BZ%WC{ zS#n28;)5W`!zp`yIX0l)4S|9|+m)}OUTekzW~U;IMR+!6{k_q!~b z`-^;Y<&8yi8&Rma&1KQtmgJk;&R8_JJ%yUvMHbC9CEr|2W6|6`6l(4;Su}Sf`Q}bE z7R{YPuDSL1dz>RH;#|(7Jr~fCi-?`%QZAztS8yd)aW!4Jmg~8Jo9M>P^x#%*<96=g zPVVL&?xQ#N^B{eAn7%y1W5iDKB>j1sXBfb93}g_olf29;4CXaVp#N|t`HtiFc%KjX zh~bRj6GrkGqZz{&jO8oFGl8#}#5YV~8s8B+$&XBDCbO8sJm#}7TPZ8jtY4kY`gLj6 z$45bCedUx?%aU3tiI0LL4O7xMOPZx5J_?ewO3A@la&$_L%aS%JIWtSnPf3R?>70@- z4!olbutKgcj>j9l#^|j(g?hV77QNj|zPE>rMQ{Bm)Z4SN=_B}Q(ul_FOza~~Xi77h(}F!{$=<|1 zvLE}?iUT>ALph8iIFh3|hT}M%6FHevIE~Y3LtD<|Y|fz_=W;&n=|D#=;u2yXxtvaP z=1RJ74PCh|TPMY7miEl%Z?80eAIRqK6DfHrOI}FHOIh-EO5V+qk5lq#mW)fu#4PzX zCI7j~o`Vl`{{gV;yb zvW^WXOF1e~kxJP**&@yCn%T^*lV)~YV0drXH6^=c$zCam3k=EODLE=jPD)8!U`UEm za$c5PoRZ73g`2Y^!5t*-rh16 zy}d)B-ae5r_Ps26n@+yB`NpERpD5JZN?G*w8~NVK7>nLEB-h*e zdp)Yisq&BgSY{RzHp)U2H^dd9>#OI&vWwa|xGmIahEcS8+Ah za4pwy12@r)o4JKsDdu*1awm5a`^bIt;(i{W4-eCqN3wM?AkET&?MnZh!GE12#?1dP z<-@c2JvzCr z_Vy>=-eJa~y(1~q-YK$Z?{xC*ontK8JC{Q3T`G(AI+1U$tFdVBdJ467n=IP9gM53v zjYWG8l521M9Uo81`tvl;Fo5S6$RJ`jd6`!j%xk>P8@$C(-r+so=R-bXI3xIkk$lEz z#_$DS@)hHmz}HOT8>TRg?}**xN2W8ASsNt=|!MTVq9N-oNh&MAqD3`zHt^vIICQgUyW^h?QOS@LYVZU1$35I;2} zWpB#7ue@N5yjL8LA9$6~+%O6?_qi;Z8%w^q$;P6&sT68%wk(>PN4~km#-h1p6l(5I zSv0qfd~+3zMROZdsJR-lXs#Cd=IR=Y=5`?0-1>Vyn#h{cjOMgp4_dM}v6Jk_{w|E?iAl zt|NAm8@Y+@+)NLOxs9IO!Cl8G{hVpKs0!c@NHJAU9tW-yD{%w--6Sjf-(!eW-Pj9*#7Dps?GKZw0# zE$i5TvXrAd6{$q*C7V*2s#K#oTTp|V)M6{PW?QzSF7?=f1~gso|Yh)~X+lfNG?IDZa_9EZg zfySb@L&){E{+{pSWhZbFCvz&N(VDizK5`akQ$#z?<9sflBNuWpmv9-Ea|Ks&6<2c& z*K!>%75Ryv;kj%lmxDM-1m3)A$uul#H${dAh3P z>FScFzm+^)Q}Xop?5Qv8^(_6`D0Z0WcrwTaWY(x(O6JC4)2`o!s6BX*?pX+cxRaXQzWIGx*rJ&DtTeTdV! z{fX1L138F8IF!RV5~p*2`|;o@#^bc$bPAmooGXjdg7*B6(*j@E`;y<$I5D`CI5Fr% zp%a7aWpQF~6ZsQ^+l2Jy`4fZj#^S`_YjP(B>u*>2Q8t~K%wi66i5a<&n32D*h$Sqg^o(5X zIA-MU{6Xm%S=MpP$nsQRBPvmPMpkniGjembp!AH~+HuUt?Wn`{)T8u_Z0tB@h;6q=C-%VI_z#^IEnk;geco)bBVznhU~8b6D3D5CU??BKW~7iAYLUDD-3 z*X%-~d%BQ_PqAEl+?$f#S<){h@hO(%`IHRGk|8OH&#)xJQZgb-K2OQmESZ{;@3Lfe zO6FzBvXrcF;DY64tK|3Mc>L3AjNaA}JIUYmwz2W(tunEbl^|qh!*hyLuJ4xx@j&K~k9nCTPU2mrukDa6qZ7JPbJIB%6 z`NU3As<-v~$mPa6(U~jh!qs%;I$|HWk=RGNb2B}-mD}ja9o)s;+{=CR<^f_Kd5G9Y z`tb;l@i?)MJjK&I%K)BdAcJ^`*hgMvFhh8qH+hTLN8Tm&kq`KgVGL&kpE8nBjOKH` zU@TuTo{7XhGMR6f$~3;?2Vx(Y!Axc|hk49rAwLuQ$YPeTjODCg6|s-3$<|4^G)pUH z^S5f6zwsHCIlpa6>SoD~DcLzo_Dsp%S#nTH4$YDiQgU*ZoRN~Vv*f~*T#_YMrR16{ zxg{mV4y=<`tdP5kg^3#^!7IS-aaxGy?sof z-oBJYZ{x`KHpN)<_AP~an=6an7Lf04sj=woS8~0rzgcCiY#kd=mU5J*B9(}JWK$|r zm1nNaqEK&#$fCEy$@g}=vFPn23iWoDEP5*<-&;px(c8ro>g{S-^mZ-z-flJ)z1>Q# zxAixx+$ZbB{X9S)9-=Rg5c|mEJVAe+;u)UhIR^3qFY*$v@G7tII&bh6Z}SfC@;)E% z5ySbIPxzG27{wUAAoh{37{>%AGKtAdVH&ZIe9sR|X9lyF!(8UGfS>r8MJ#41%lVa+ ztl~G;@CSdfmNIO>hLocM6{*A~#6D7ms%%DewqQ$YQaf8G_0ueEl+E9!Y5q3P=I{O~ zIWS9(Ovy1>a(YVIX34oJX`dyXQgUUMT%VGgvgH5fUrZltg}hiCk1u9nRTXA=9!ITUd&=h2=E=*UIHK5{9S(TOX#k}h0LSFYuHZr~=m zaWg%*mD{+TJGhg(xtIIs&HX$`A0DPJkMJ0=k330#p5_?_@H_(4&Fo=m zX2<1)_lB`48J{InQxcaKl6fgvm?g_n5|(8y zdh1TUx1PqLx4S6R+e5PGtsnW`o-!7_Jxi{)^|z_KDjUoYUgu5TVkqwt`^X1;$S{U8 zf=?L9C`R)+Uoe)h7|%q$W-{L}m1%s(_x#8VW-^;O%ws+a`I*>97PEw9EN2BPSsLj@F%XZXddv>5c4QWJUb|&_bCN!lP z&1u1&v}EsWogA8G=@HrdJt58CxV$ju&rV6ZEV(cxad{!RCMDNp$t@{~%L_@blsu3n zkEY~_EO{X%FJ;LaDS10fK2FJ}4y=n&T zYsEnv%%L2{5gbMABgb+aCvYMsa|)-?nl_xlnViizwBtO^=K?x#As2B8mvT8*(3z{~ z!Zmc|I&L8Lk#2P77J5+3ZS>?$VjsDOd+9}Q9-t2o(U*Qa%40milRU*UJj-)D&kGFV zC0^lGUSkMv@Fs6Fly`Zb*hfBM7#}l&Px*{djLFu?q%=#XWb=1=n!j;*Va_i~$HWs~IOQGIwl|^s2lke?5W6|6F6zc79S@hPQd~XAdMQ<-s zsJFLd(c3%ZdmC;ndi#W2Z|iSR`ARm92~1=XlbOOaVjuaQADGSzW-*(&%x3{V@iU89 z%u<%~D=S&WZ>-@D{$wp>*nkZwM+GWUiA{)oqzYBpjOuJb4Qf)G*hjWuTk24kdeo-@ zjo6W$*qL2v!frHYclKaU_F`}LWq%IfKn~&%4&`u;;3$qE_L1W`fs;6yQ#qa1w9VE@ z`!q{CX7jgGn!j;*Vb0%_lAE*Sj+ES;B@d_Mkt}&SB?Gc#a7td!lJ`>bVU~u*pwM^?nSoJV^upd%L% z`^cqSMklV|O0ME+x^gYoa|1WgjhpGgt=z`#+`*mP%{|;lZ|>(o`tUG)d4$J^edI~{ z^EA&efae&EX>x)iZn}CXY+Smn!j;*VVzV?NwqAgm6Eu;kTgt5<1A^G zlDNE(v`WdrS#oqrj?0oZDLFGs&QD2)Ea{w*E)J}dH?5HCi{tS|uQ7V-PNClJl0|R# zlJD&yW6@hb3ibA^EP8vMd~dHBi{6G%sJ9Pf(c3Wcy^S&!y?suh-X_bUx2fcNn_(<^ zn@z5_^?!c2Shj>^EN2BPS`d$?BRGAF5(hmAGw@PbmmIBa1C9#E?XzXX_ofP=5Mbwf8+AP zoPQ!EPi4sqDT&Jq$=fM;H%mTFNnBn?#-(IpmVBF%AF^aYN`B6gUsJLwOEySJIS1Cs zTUN-%#qqeQ*BHH3rBH8M$)dMy$@kX4SoF3dg?igv7QO9BzPAI6MQ;aDsJCNf(c1~+ zduwehdOL$cyZjzp+ujk$5H2>sCSK`p>0Q{U z)IZ|)&$smc^DVtaPEv~Ob45;6ikzqvx!@^svQp$^rN~83kvF~~CoDzY{EEE!6}k8+ za^h0t#HGjuP?3|DA}23JE`t8KhjM$r2ld#2`ZS;+jo6XK?8MIO!mj-P;2z3<<9@&N zExm`=i*M|^`~e>1A^OsfM|q4Vc#@}hhG%(>=Xrrayu{1A%4-ba4c_E!hVm}& z6K8%OF^rEH!KaL56k~`pzb_ffIL0%PNla!6Q~8$f_<FHol<8I|KZca`%8aI z@7pHmqs8&~xF3nB*q=gE@kLoo#aGBr#kY*bRD6d*Q}Gj7OvTU0PsOi{#Z;U?p{e-2 zET-ag@>6lXv6zZKQD`czl*Ls1jr>$BV=SiPh7_8LRb+88yBXCfJr!#^j;Xi}+fs+R zl%9%>9LH4LiJd7u6`MPbskjG)rs9FJn2LvRD5a<3F^*#@9#5Rio7~F2#e|<<1mna{mYL;W&=xL{8=uPUCdi(3Uefi*snlxtvdXI?$1e zxP;hAE~gWnxsoniO;@fXc9I*piSFD?4~n^sp4`D*+|9k*M{geBK_22^`tb;l@dQuu z6i@Rk12F5>?<6mI9y`ga3}y(g^CoXIly|dP`e~Y_qp}%1F3s)uoXf2LAtf`iWI;;e zb1uoMl&r~;4N?-Hb4jYEq2PfbGRtY;q$WDeOXGb$dYSQazmEfo{~GW!NlNXaAz-c#PQLcT4I$M3zy=xsWMdizNhy)7c&+e%~6+iw)=Z9`e~R)KtPRg6V% zn^CB@ZDi3~9rC?3G8Vn2} zJU|~FqA!mS`^e)wL4Tg&83yni19^cLd5KqemDhNkH+YM;d53p-pAY$n;e5;|e9C8x zVhmpp`^Z;}V*(SI#5YV~TDDH+q**#Yo4-ra{EdqY?+<^aq)e7k*A z&giZag}S?57Tw)MzPsCuMR#{lsJjPc(cQ!3yL-}DboVrcx_em`-3=z+-B4rE-Fp=3 zZlo-_8%@5u@y4RNugP_{{)UzxWz(6-Eaotm`79*%l3!TF5|*-@Us=g&e&cuk;4juv zhO%r(c`C3GmDq$$sX{e2V{^7(OKMV^t%<#4JL<4K^{7t+8qt{8OLk#bnz9?sX~7<} zWH0t%U-st!4&-1C;V=&8NRHwdj^lVv2JfD(5Su!LgahV|*mXZ-!@_9L3AjNaB!sJD$}(OYHmz11)lz15;nZ#&4Mw}#|z8hLp)4B9^o+_=SiO8X`W>O&ohugyhQ9HuQHe+ zyw01v#ZcZQ_K^?xkYNmG1fMdJQHf# z?Kxx7+Y1!x?G0J<_BQ$6J~9@)eN3U=zLZ68>L zE}#P!auJts8JBYfowMp$Em>PEYR4)=8fz3pKvdfSUay&WQp-VP_<+wsPtx05K;+gY;ct%!VY z9gRhA7gMOWt7XyKwd8xd*;w>;E4kj*-^_lWtQYt50DX9fzC1$gBaib0{dtOKc$ViF z$P2v4OT5CXyvFOi!CSn|JG{&Le85Kx=VLzMQ$Ax9WB7vDN4{bl6PU;(CNqU;#6I#p zKQNsc%wi66na=`#;%64In58V|S5~r$-&n&R{KZ zEvZTEY@O6kv$Rn*f19TH`>#uk8gX&4gG-D9v-HT6#wCUoaeDf>wpns+O5zej(kUfZ zX36y_xhYHTNXgw<@?c6H&XT87GQfegGR!J@u{a)I_Bx}x!4&H5Jy~@3A^Gk`8jJ2m zQ>eSIWzpR?%-EBm^yK2UwyUodUxBkx) zx0BUjd+Jf21~j5Ev6t+^t~6ygnzK85(2~8_hkep)MIgyh% zg;P15*0kkJVlO#|BF^PJ+H(ONxro?HF6A;haRpb>g{$exwOr2)+(b8SrU$oj8@F=@ zcXBuPav#09p9kr~!}R459wYXWC+W}AJi`EUKoRZ2}QY$4}XUPsJX_zI=QqsbKZ|fai`rCRp z$-b?3)9hxtxbd!X$$fQIN}g6Nd0MUH>1HKQtCu|8JbN0y*cK(DHA^#{^KHHV1^)o$zj32q`nKNv>Sf>7Yp3>Yz3~|DuB|Ba?s~K=-d&F)|L%I4v3PfF zL!o!q^JVew+JXGL>*dDc-L*4?-d%5$#k*^F^6#!ajm5j`T@-qEeMlDXuKmcryFO(s z-d&$1_wKs>o{v{$gBil>yvbV(YgDt5=ZMJ4xwxcfFvjg>MNFy4vGjX=pgr+p3IW5?emh6qQz5j;a*88y)@_BJQ ze(5zvcjGA3-M6yn?g#SS%{3O?Euc_$zsjP!Rph%{Yb?6kfI{7EDvR!_lJBmjvFL6q z3U${&7TxVgzPsIwMR&XNH{JbPpVXY<*F25ZwBZcSHWs~IOQGIwl|^s2lke?5W6|6F6zc79S@hPQ zd~XAdMQ<-ssJFLd(c3%ZdmC;ndi#W2Z|m>)_(~T0$OI-biOEc18nKUj&ksyz2D6yW zT;{WYpZJ+YEM_Uo`IVKd;y2dt2Y<4bGQ>WzA?2t*MJllgv5!=)n zBP-%u&Z9jS(2C9vnbC}0`7G~>YMVh6nv-!I&&ENPS$egd7l4@B} zD<$zkkfdQs8fQtfl*9)?l2$1>I7^OB$#GfICM9QP$@wYikR_c{(#3&w@~IVaeQ`YA z=ru-f-6_=DU9#xyUh=&?WGs5?N1@)Hl|^sQlke?SW6|3X3ibAZEP5M8zPC}vqPNc} z)Z1iP^fr}zZ!?TVZ?nnuw*GF9#j+(VV>v5W$!gXR`^caC#X8DRmJKOSMK)q%HlZ?A zs77@*rv_V6i`s0>Hf%>-wr2{m!5qqA z9Kn$s%`qIu@tnxXoWg0GP8-^CCTDXF?Kqe7X-@|_auJsh`^e>VqBB?0g=^@_b=f*8 zPP4RUHh+7i`TIaNf1gOnQ(5vtN?yv6w^Qw<-A{OBST$=Pda( zC9ASzgOrqWV4aM#LN+dr$4$M)=&dS+dfQ4Cy=_arw+6|j(P|R)gTT-exH8@;?8Nli~D(iK0Hic9?90pfHX@7w)=1OUA?2M zlbwp=aTl*N+G|Ro_V$rQd;61b?=WN0-jNh)?-W_IcRKm@&M_A4olBwiE|o=loyfP> z)mXH5J%!r4O&0ClLB74-#-hCk$+frso{uMG{dt;a7{GH3WDv2Nyv!>M<~3gD4c=lX z@9-Y)^C2HGoDqD&NIqjUWB7tE`HJyO;ASYJQdi8N^C-9s!)y1*qklclA6?JYhpLqjyh~lJ?hht zMl{Y=ON+ExT4uX_tF+t4MTU2X<5F^Bmb6JpTx3W(q~xM3>70_d$dGhTNslbKD<$`4 zNxzglmL<=ot$x2Y8BZMH0W zn@7I4#m1tyWfbb|Pg(S~j(l$wjYV%8^FMm4P$m5@E8ScTX*5@hd~&qKiInyXdTPHZ}^C$i8Rn)NFhy9O0!DQs5H^QRzfN>X{5AEqejt4 zNz$Ns9%$4g5}^{2sWLQ?2Hwx_IPd@7`##^UXRYV`Klgh->t5Y!eXez$$M?4X*Xnzm z$90^SYrFO%R+0lbh~^x^VYJ`~T5%*ta}3APniDvYlQ@M_X~$`t!I^ZRBWKZxbLc`> zx^X_SlJuY_z3D?g`ZIuwiIwCsE@u!|FqmSlW(e1EJvVR@L%D_9xSe6#$q4S^9`56Q zM)3fnd5DJ@%Qzk*R+8~N$ru_v6^hmCRC*w)!BkAsXQwF zEcrAg)17!#`Op>eMR7cSIx>`-xs}_v zgJBHkE@Btu)VF}u2@quZAr z)+;^Sq4aRa(!=`MVf=&!rK1f?4|gg(Y*c!bN@sCojI#&k)3ssm9@yqT4aY-RPIdL(}5y7au#RPiF4>o z7rJsT-8hf)xq$BUpeMcPO&|KwkN#Z9050O6@9K>YB&YA{ZBy@G-_;vihQ*faC6wJ3 ztZ=@PpNQ?;udJf%w%{-4Ybi&0)}tb^E!co6Y(#A5Hl-?Mw*^}{uff*DcCPHUV0-7W zo!gPv&NXBw%5Do9JCE($p2T);Z}uU!1^W}*xr2!9+#wuF3l66xM`Anow{H)gWIVP7 zr&4HJaF#5#1?TV|+XCO!`;q^mu`#%S*ckMr(8k~rS!@h0C%-Yc+E{E1uBFh%;C5MT z4DKYqF}UAYYz!Wt(8l0#S!@iRB)>6u(O7H@UZK#&;9Xg44BjWdF_>yBHU^)O+ZdF5 zSmkTkT)tsG-?EUHkxPjg`2)*X!Ai=`$koncM*hwpl%0_koX3o;#QJPN70S-YYR+Ru zZq62zosru*j~Q8;I&4op%Ff6}&SOUI%5IdMkxiY)jBG}s8F`p2X5%ImgM1- zjLVXzQxflDNhYM^tt|O4C6lvcR!Y9ik_9PQlqEl=&Ruq zIx>hW7|c~%%@D5TdT!t*hH?wH5$nh>VjUU5UEIUH#5yvH2N=ymJj__e@ffj=jOR(7 z<{6&jd14)TnOH|&<8>x5k+*nPZ;#(P-i{QvS-)Bp7z!h)(Zz0TCS zYNl72^rwzbKkvls=bfIC_E~anO3u%czA3pdOa9X@r%!f`TwffIH+q)Q+|3kf?rvE$ zcOUuY#u$s{9-&Zk&&r~?7sxmFhOubwO$s&lu`HUKLcY0~#-h0|DAe3SSv0qVd~+*} zMRPxsYp&$uDr;ryC{G0{Qi;k`Ay$%&*@UW8qdJ?jB{itYHf&2RYEzeb>_B}QuoI2g zgA z&ZZNc>B6~m<9xc)gI@HeFa5ZX0bIM|hOSc!Kdf#WOt1^Sr=Iyv(b-#v4rJP2T1m-eVFU@DZ_&e8LnyWg63&!Axco z>&REkVJ`ES&$ldOF-!Q4?^(ujR`L@+^DC?PjWztiU#z7ZWNMboN=e*g zNEW4JX_ow$lDNr`tV>CSEZHz6n`BANlx&+NJEWvRmNZF8Qzu?irn*A*FOJ6pJ;#G+ z&LJE|3yz>AM{+dBa2)yGPB9j}wWCmPon+Bl7xKOJFc!V_rciH}$)dMGMQ_cqE{^fsDYZzUgA883U1r+J3wc%Bz|nOH|&Bi4}#Oyn)z=3OT7J|FTC zllg?HOye_V@Hw-X&6j+|*UaM^7Vs^LSj1vgmCm^1bb0EPC6ETyG^GRXJGJoI{Cq4$gv#9@tnYkoWv=#r5&ep2JPuUN6w}bo$124bmM$3pa;F^O<($PAp^LWONn)4 zAcMG)!4z{fL%5DuM{eXMZsrzl;|_)~oDtm3J>19rjN(B?GlqwGgmFB^6O896p5|Ge z;{{&iWnLxLkvEvYo4mz4yvHOy$gY#=X_n5+=I`7zf8!Rz)vzojE3@Rcl*BEDWc`#> z$&$@d61NzVIw`4_B|E2Nw=CH=B?n|liwBV)!BkAslnF7IV9K^vK!lAU_a9Yxeqd10GM_O|{Z8(vWIhD4YmR%?3q*>ZEo4-BN z{Oyy?-^)|-zx^wZ|GJro_rqREKkxPI=e?hP-bdO0(q}38JWKx5FFk(d8u_j`9)IvG zqq!9nYVLPgH1`+z<|-MB<~E>EbDPVexvj`ISKC-Lw>^cL+f^3LH74I&Gh@-*{uFBN z2w60DB>Cn}Fc!_7M6S7#52|#K6>%14a}J&9N;hI9xq$BUq!)eYOMeD%5tnc&motzn z7|c~%%{5%hb=<&>4CNMX<#z7iPKI+A_Yf<|{fy)R9^@g$FqUz|O7b{Q@FY+14A1dA zFY*$v@G7tK1`~OUw|STMc%KjWh{=4yR6gZ1rt>*7na!8PO7b;x`G)y?%OV!DG`muM zN%MDgHhJjdv55QTcXUKYLGM83CS#-g_o6zXlXEP8vGd~f58 zMQ=}2sJGW-(c1*_y-hL}y?scb-e$<6w^`(Sn`bO~TR^V2lD|h>E?dEm{KPN(%4*gS z>&Tz{#X8DSf%T|FWj0_#HewStr5e@QoGsaknrzLsY)5VCvOPPnBMoRsBX%Lyk=NpJelj|&;V#o2XIoM!2eZ2sPy=5O3!nDh6gB>zi~aqY+ZVK1bAtnt6( z7awQ1N;WKx$BjMD=&mY-y4yw;-PI!BU43KG-A)whZZBDMw-5R54mK9u9ZI3@j+I4s z$CK}_t+D9tbP9FXSr*-$OTN2a#-h8vQyTpOAL0rjTin*F0T!*#9_x8qr&70C= z$@lig2j}8@dw0t|Ko{TJyL;(j{mLvf9c@?rH2QW9v+kq)A#lsls@n0dwc(Pznu5){>i`icl`eI7xpf0_|F&i z`asqV+{iy)*!#~H_HJq(RHYi5QJu}%f-TvK8q{QKwqaYgqZYNPLtXxdevtCt_;>u$ z7xo@rFTSuh7VKLr-?wo)!x+v8?&cou<9!#u(`9>Yuazx8I#yZ-znJ|MPz zA2XRLOl2C=nZZnE@daNphp(B(d={{fMJ!<{-?NP6tR%L5Kl2N#Sj`&#AhvyLiEUqb zDo~O2sZ14O+xK@{hb@e6NeyaJcI!~bd0pxe+rIiVpzPLRH|MeK+k@ElmEAh*>pZr7 z2N2u7gUN3lS{iG`QN*^d?AD=;^Vs&COl5S?pprqdH}$;?~Y%DsD$D>QI-mQ?a4*n2I~I3uUL` zp3Y+`?oI4s_hmoIPQ^oayGq-XZcW@`exhuP)c_`htjO|$V*Y2;H z>0US8)x4h4iP`^La{UXUR7y`8G>dq~xb8`8_3nWyuC9*(ggkPsvtU zvVBT+bmH!RrmJM<;&|NE^Nj8q6U)fob+^Cq=POiI> z&$8Sv8_5Ga$U}@_EaQl^+KRli7SptR-JFmv5NQw=5*qlBL92@&n6Q!AgGO7h)}0&2Rk9AN<8y z%29z>ODeHG8&HLf*o0V1s<9cHvjtmGgRR+?SW9YChwZ7yjx-?Fl1ACJ(lpJ|X4(90 zp5|}7$>nM|E+r>qNxPK9n_QAEDe0Cay;Blza!CfIWN?;TpOTxhWJF5t$&%42c{od+ zPRVmlTqm9N+%+(CxTCV2?Zel36a2vNXj5`^@UEITc+|MW;U^EZ$Fk>0VW5hZ# zo+o*lXLybmc#)U0>*Sp@ODARXcS@SS)3W(HCnfW;aDLVdb^N(Zv%}*Z&y;Nw;N^A+s)*A8*VIm zyPHD2jgdufkC5-}DPz&wv*da!`5em|vI)G&TfD=&OyUD#9r>8aOkpb1_>39MWENlW zC3E*VA#OWS5wLs7a;&d#or?kVY&B^RaS(k!_qCD&!ittq)9OGb8V^sgI=`1{F6Q}#rb zy_EjctJ$A=J0nisSJs&oY{uOQGhzlSOmO$T#<^v1sl$3N^Q$ zESg)Nd~=%`i{>_?P;=YKqPaTcn`>w+n%kK|&Fw9V=JqAu+#$xIxfbM_E4dkMEjykz zoXE+XLR(HFR+2MmPZ1qCn@)75E9Y_^=hK}Y^r8=a>Cc5+#Km07WensBuH-6;xrQNJ z#|^|vGL)OSmD{+3VGQRkVkNnk`xwb69^@g$FqTJnl*f32@jS&dJj?UEz)QT$tGvz| zOyo`8<{jQ+5+Cppv66hk6h37d)A^j4%+9WqZ__MYoXy`AY5v9yhL!%Wl$6Vo4N?*} z7?Q10vUQehpOU!2kTg!oo>{VgN)F1BBU5rrmYkH5Q?ul(l$_(lb@HVvvy@mzeqb3ZSjkWP%&)BGH-6_2{$efV zsK9zuVtqEC3LCL8n^KL<*qklciW+Rqw!}J8n>uVyJ$9r%4QWKIBfGL2joE`eY0BO- zV_){?01o0{4&g9ba0D$mlA}0=<7mwZwBaO9=2Y5p8fOseNC%2Ii?cb0E_9_^cAfM~ zvvfcN+ zQnDyZeoVTL&E^wxlUZ@U|d z-kMOTw*zI-TXXWgwK5jH9ZjL$PLV}#?a24m(OC4>iCk|bpJVAE>q&3=(2xEM;9_DO zxs1yh#1#zYDz0V-*K$2Ka1%qhh1#x5M}FcLRzGe&C<%*{H>biZ}n{c)=Ej;EZHd~yJX2e_E*jPi2eMC z|Kq<8Imb0}eQ`YA=zk)byO~1G-7Sme?jzsa7-P}gBNS@xSy?pq0{Q0NFc!_dNulOG zmPK<@$Tv6BSTy$qg_>I^i{_S)Z*HZrXzpin&6RwTWvy%-<*7hLDp8p##7eR;n^2W% zRA+Oxqy{zFhHa@uZR%2w9jH$OcA^oxuq(T>2Tj#o#;##&ZQgY)14mlqBni%$At{wVlE|Cl7YlZawUT) z<{E}@U3R71k*`C2lb!@1^8}EcrAgaf>0Do09oi z@?A=nWyx%c8m6$TzpQv1o2z zT-1NtTnpoe(~^91t&K%nVwUh7-?NP6tmG$t=2uqn z8*BK3zgSB-VkKFRimXp%s<08Ul59#q&)YRG{W#qrrH6->9v)VD*rN3C@Y2I0vcvdc zElWpRl^z~hdU#an;nAgs$7F}`6OJt%J+AbywZs2EzOMJHvLEyN=j(d^`MTc!QU3;= z7s`LWuJ`}Kuj@UeUiNjpR%&0@8;=KhHEGTv97YR{pe09gG{Hf7>ife>nZf=I!qR?t|Q35x{fjyudbuX zy}Fis-ebJ%NuK5zp5u95sy& z&DoNzsL9rBOD$?sm+jes9ce&A8nFwp+uNPSG+|GgvJcJJkNva#{o(01JX&QtjpNf! z5EZHn2@n(>uPD<)!$<8U+Elc)I$pKl?A|)-eq)kdrcH-Tn`L2-Di{tT3&oO!{ zqEK(=$)dOJg`@x^fr=wZ)1%`Z;w)_x94Ti z+e_qon`kV0dz)NuC7=2DL^g#_nZ|TxFq7HDI`S2Bn9Drovw(#xW(nW%JK3L6pY$fi_fGpe%%TT+9qiFIT=D@z_o$wOK4WJ;dNlK(HixxT;^@?CK}{@^)A zZ!0L&+wZdI?Jx4ZRWcU6Z9t*kHkUzOM0iIpA)Yq-?~CBDUQd>Jjdv55QTcXUKYLGM83CS#-g_o6zXlXEP8vGd~f58 zMQ=}2sJGW-(c1*_y-hL}y?scb-e$<6w^`(Sn`bO~TR^V2l23drm#yGOe&QE?Wi@Mv zb>vU}VjbnEzs>K(Hz5ZwB`g({{6(T@f|1E22)i zBH|Xq>%(p-*&|E#O-bBhNLr@ks4QudlDNf?6s6?sEIBVF-LvGPlw6u6SEb~dEV(r$ zcR2Byvd9&3cX2%4>p4bmBPrC|qq6Aj3C8mjPxCC#^8zpNGOzL)Z!m#3d7F25k9=>R z7>nLMrBH8Q$)dNpl%PKI+=cAY$wX6aZj7LORHGShye##R5lzdN|tRkCw&Jnrgw zMt6-V)ZPBF=)-B}ds?gCkK*OPpA1B^v?mr$s? zt7XyMwdA|I#aMKAJGt&kKJ{_GY$OlxAP+Hyv5X_ulE-<1CwYozc$VjRk(YRdS9zT` zn8;ha&AYtE`+UGhe9R|I*?dW?C0{d_Z4iNtVbo*X9KFR5u30n)!2;9*@CU8!Paa`tR=On!}io;M;g$OM%lH} zG+isrvbDZ>TI=IB!|TIwDLEla+NC6JGbCM7(k)ARrzCDOB!f~iI7_Zi$xT@@A|>}^ z$>@%?{&jy4f9mO!Jty<3vcxs=N^v~C=2=E_6DZW&hq7pHGWq6a7>nj+QK-2EvS@A* z`R0}zi{^f$P;-CEqPcbCo2zUrn%j^<&21@*=4z5}uCB3YZU=JBm3-!7cUfbauqRE~ zn`Z1stRx3=5Y0J+!)U<~wBksP<`|BnH79T)Cvgg=(vH(OgEQ$sN6w-X=g@_&bmM$t zCFwy=deet~^k)DU6D!GOT+Se_U@*m8%@D5TdT!t*hH?wHaXZ7flM&p-J>19rjN$=C z^AHa+mT^2rtR&-klBaow=Xik^c{#gM-bu4`QZ|F9q`5sUo7;0zGA~P(q~!Z7S(TDC zSyDbF6|1~Zw(7ktSazGfct zS-?UTv4o|3&krnTB|q{rzp#qctl_j7WVK;VX51OzSP1%QL?8gDbT5>SWIh4aVoR+lWsO(xfInCj= z*&HrPb2x4^to7Yf(kn|YN=e*kNUllAby;$2O5#RCGP2{p{TqbexkkPyj>oS&%V=&c zg_`?L7R@aq-`uapqPgEF)ZBWqXl{M-&24Hdn%j&*&21-(=IW4duA#ALZf6QLx3?^s z+n0QEhZu|IT99k5kM7{j@XSV`{XK1MQ%2YHAwjO7s? zg-@BrbUtS$v(uGQ;oIWx zCYqJ?%lVvK$yfFsU;6R66G{);WQXxlyAw-CPbxh;x%BXq(!*0r58Gyk@e|sWj-FO} zczWsK8KsA3mL9e*J?xMj`pRBM|FvJ)yQJYiU)lT5SN8r7{g>vfs{edt?+E`5?&5Cl z;a=|Jenv8i2Y8UtJj56tW-N~|jz@W{W6{6yulJ>|>^-X9zrM0JwhW6c*Gnk7Em+}v zB|i}xx?fpE*=@mJ&eu|o@~lTiVq35QRoIBw&}~Xp%5Dp`a$bY2i49%ZZNc`=V?(zi zv7u|oPL$miGv-QMg&Yzy`$HgpFO8@fX{lolLLOOC{b?r+~7Jjr-$3r?lb zw%{yTYzxleKeh$FviE!cMPp-d0kJXYNuiCwC9>EUTuy#taJ8}67+g!Cjlu1**cjYN zeq(UIvDg?qK%tGn#oCS&8-8fGU)ok=2~XjNF_p zC_5v!bsjUaHg(vZdX$}!jhx4f+?CxZJ0qJqj~UsFLNoF(S4t+k{w2%J#OU^XRQ6v6B2+L|}v63_=R+6&4 zwQ?T49nCTPU2mrtkCmhyr%|@Ij?SaEPQ*%5rni!Hq=)gI^rjE}=+6KyCf1S5h;?KT zS1_2XxSAnc%k|vAO$_B0ZX?!_VZ=Hzg1fkfdx>>q6b~?(hj^H=jN>t49U0G)Jk2va z$MeKG@-nfGyvFNHU?Okv4(~FF_xX^In9L_kWg4-L%;0loF`F-$L#!k7_=W|1%OV!D zl<$dkWH~GNk)Qa5Rm3{7Cc925rde7!o4-}l{Ec_9%=ua=shcG`r6k_PlK2&MfB&*y zmK>Urcn3>zd`eEtlG9VtK1g{7$^frZjZ!?WWZ(mTTw}rCkZ3+3_ zRvL@mekRvj$p==}%GOby3RI*Lm8n9kBO9{`RjEdGHfKv}P?K%gmRi)NF7?=f`ZQoC z8nFwzvO9awguQ6WJ~U%L4j|T%gK5s89LC`sK`V|T){$d5j^jCj6FHevXiGaz=M37@ zfsUL_Cpy!GbLqzUbf*Ws=uKbxaUlb^m`jOuWFUjMlED;n4MVstyH4&%vvhbie@CYI z`(QSIpGe75S@Kd!Ud@vCQu0BTe43K!Su!^z^Rwi;lq}1V-%|2NmaLbO^|NHNlx*R| zb+X(QvQ2S3Zs$2hZ*?ft+s?A+Z8!40?QJZ2+m}MUwU9+`Ey?%R+F10~hC;oaDU05U z$oJOOSoC%tg?j5Ri{36G-`f?&qPMHa^;Ys>m7%hmxs}_vgJBHkE@B~@q zV;IXLJj!D{!FZnH8J^{NUf?BO=2c$f4JPs?Z}SfCF^LcOh*(EHVG5rzjp@u_CbNlk z4l0tVc!Gr!rO8h*(E9r7D|I zoh{gk8f=|iCp)HD+Ay2Hjnn+yGn>B$rR0z-IWi^3WXY*1IW0@hO367{(laG}vgDGK zT%IM@rsRe!xjiL!X2}C7dB}$fCCup)9oIo2+;$%*x zEvIn?v5s`0h_g7GbLdQ0x)JNh1$3t;z34+f`ZIuwxP(i&oPk`yV6Nh7uHjm);|6YG zD7SDcw{r(~GMu}(hge7MXCx2sAP?~{V;PrSC(or>`eHVJC#3lsw-@$MlT$J^OJ=1c zZZ9N@QnEBleoRT+UP#uZq(YW#n37Ghq-ILC&5|8b(jZHkq@<}6*U3s($o|Fgc%bJP zy)~y$Z%50bx8un7c8am+tsRAW>m-Zbx{&X!hq36bH-&n;OcuQjBH!B(W6|676zXl5 zEP5M3zPC}vqPNlHdMo*$%6Qq6Jk2va$Md|%%fveJ8m}{fiM++zyvros=R-bXGM_M& zX?(^EK4%uQ`I4{rnt6P~0={Jti&@I|#5%H^75vCg{KBuSW(~29{K;ReqZ}2eNF^$> z0UNRro3JU>sLtkW$yU^4Yqq5pwW-VY?7)sRpdpReg;+;+r!h^~lcwxLGxp1_lf%<2 zZI#X6pJmbA zD)QZ}H5T2Kr%-nr%c8rgs{aT{xF+oX-XHpclRAOFu4T02gy9 zv6c*E5LYsoVymX{@jS)T zJj-*uz>B=htHfIJ1`~Lbw|Iy5n8XL!wK6@;(wW)po||TO++vvb%Tls3OMXj9++s-9 zPf3+5*(@b-iy^6#l6qOPb4qs0l6_NhK$f&fNy{v0laiC2xK4g@g`8d-k7s(0(OVIP zdOJ@Ry>%zwTYqEG+eH-W?J8OHb`AO7h8m0BZlzFf_sXKTk>qS*c#&TBj6F>7S ztN4vI{K22Br5xp1kBY2MWvZ|dv5ss?RW_qKTd*ZH*qT^Jwxbqxs7pO|q&^MViJjSn z-PoNy*pt23n|;`q{WySwIG95?lolLLOImRh#}MmCYmTQ4Cvq~U(w5V*>*Sm?OS@+C zw`ZEaaf@NjU!IaHvgF#7#4U#8&XnAhB@d(|ZZRZJrsSC{c_k&UXUY31`6x?1OUdV1 z@=Z#cet*%k6#aXkLuIYw_QDAe2UvgqwE^1W3u7QJmiq24x^MQ>Y?@2$46=xuun z^|q@ldTUI+w`RtoxBV&9+Yz$p?MU*yonS0_JBeIxB_C4hAS>c5&gL9C)0J+-I&uNs z=}9m8(3k!U;36*JQZ8p8S1_2XxSDIYmg~5I8yU(i+{*3T!JQ1}F76@Lk^33R13bt> zjA1O}h;`&~p5RHI;u)Ufd0yltUg1?<=M5(E7H{(|@9{n#@DY>wgsFVWXH4gFW-^;E ziFM>_=JE~m`Ibd2W@&bv{E}wr>TLe5OY=8wG0gc*Qc^8TYNjM^F(eIA(kM%sq$F-J zB+XNDSe6`}lH;VjcODzgR~(DzF}vsLTdz$VP0!rc|Rko3kZbQIoCNmhGrb zUAAWjcBBCfX~ZtXIDy106YwPMkv*y3&pFiFKq0J?Tv!`f(uxxH!8`iqk9|lFi?n)BKHF40Haz zl#I%fM^fSzBa#qt4g8Xwvk0|waE8Y-&pjv6NP%)OBTKDL%z3zjYV&VQmD6MWzpO5Rz42f3ru10yRlV_n zx%jHyqU?in@m0MYOApT~Jv_Vguv6*bIoV-+c&~HmXqVE%uBC_PmL7I1Jv=WvjGu6R z>F5QehuupLdz2pbOo!>KdVBuYepTjbdgu-BD?(}uhd0essEi1`=zhyJ*-}QRc|cVw^+V!<93EIoDtm3J>19r zjN(B?GlqwGgmFB^`$&dWZFRWrUYxslM@~veZ<*7hL)~7O6h%MjW zZ5_5Sz9luNN!hJK9p`naM{N1((}1#Dhuxg-&K@*jFPaithke21SX%YIewDiieX;&{B*ABm|rl0sAQQCUpIC&*95=Z(cw ze2GF+@oia5#rMch#ZQdIRQ!}eQ}HWVOvSn6r{ZE`F%`d~&{X_Y7E|#z@>8*#v6zbM zQD`b|DvMq0W>lx_RNUHmOvUY}MIGu=b}BY>9#e5=cA@N4+|zkX#l0ys6%UccRBXZF zl%0ylIFG5=n%Kp*AwLyQGZs_vOxja+DxTv!reaqLO~pR4n2P<$PsPiP4de>0sr{m$=mEFxelnWL-CGV#s-rSOWo|4&F z@=Z$O%`M4_l>C$>zo+D{EZHC>8)eDnDcLGZwol29PTb9`c7^O*9FMztj?r6VVhQ=X z-u5>hOUOaQ5>mFeBb-NXM-ofO-}QEq@mNAmC6)ONy`4oYA%EA~1;%3u=}9ah zWqTXoJeH74xRk%^?P}w(gj~yYl$3q>*oaMtb)*`b zu{m3?6*btJZHaZHHg(vZdhAF8VjXFeT_;V`ENzy}-{xul#+zH_{BbEcAxqk&B;MST zbV*6KEa{z+cymiKC?$il7>=VgCvYMs zaSEr>j?*}UGwDD_&Y~0N(1osa<9uQr=|N9=(}#ZaX8;!y>&RtX&LFN}FvVQW5U%BV zZr~<{atpU{JHxn>5!}T++{gWl;sHkU5Dzn!aXdz>Bjb6Jr+J3wc!3vrIlE5YNwaiP zHh-t2`5SL;ne%f}GA~P(q$J+llB`O}nk*@wl6Z4VQZ*&jvt*l;)XI{bQnE{y?3I#z zvgFW|9PY$*vc?s1ba6Z$>p4bm$5W`c(`C_Hd-A-EMY0%^8?FS$&dWZFRWrUYxsj$N7k~A@>HNA zm8eV=VjbC-O{hvWsN-~t2xs}_vgJBHk zE@CCQm-`sWC?4b?#xRygc$CL@g7G}XGd#=lyueGm%&WZ48%*R)-sTh4`xboV~_ z?xq@x?mi>eUCC!yzLw498|L#Z3t7xkVlDZBWvpN&Kk+lavYOxcoj>@CwUna*>rsjI z*?=l+#Kvq&H8x{&wqPr2ur=EfYe{YDus!wIk@_^G5wVu+%5F4f5B8)fd((`4*`EVA zh=VzV!)U<~wB$&R;uwyjH7C%9lQ@}EY0GJxL98VmDB>*6<{Y}vm2TO!(l5=@0onW= zl;&^TWSI9irR0_@8Ih8>$&fspl5tt`bV}kTLoy*HZ)M4cDVdxlvr_V9mMlogqAd9_ zCBHavo&4zv`Mo$E|MVQAw{;ZiZ9`e~wh8&(wlo&K)ud2wJIJE92IPC&-B|S2ghIU? zD2v{jlkcsSvFPn+3iWo1EP884zPFCXqPI@udMo)1OAlF3deet~^k)DU6YI!jT+Se_ zU@%v4HAA?T>$!oO7|Jc&#_bH_PDXGS_i!)wGl~Zo%|krQSjO=fv5t)ANuK5zp5u95 z2A?yF*?h?yzGfcZuz+t_#A256J+Y1~ zX9Yj<6Th&E)vU>`lZt7UR?g;c)ii(OCc~Vsm6EzyvQtXpCPT7MO7_c=LsJqr8It2u za$=U8o|5)ia&Ah_&yv0=xiCwvOi8g5*U4Y5kn4-%@kY-vdb^oIz1=N~-tHsc+Zbcf z+ana}?O9p$_5%6d-Y^!uy-A_oK9)sqQ^@x=(^&NO1%-NBD2v{fkne4!vFPn*a=n#& zhGng69p$M&MJiF5D#SXnF`H18YE)-)wxk9%*@kVYMQ!R*j~%E_19qYjyRa*}vjB;DGxp;EVjVe{<{Zjl9L^E6;wWMrIhNx%o)b8clR1U9wBvNnpgkSv$k}wFGhH~B zZk$hddeDpB^rascGJuP@lvqawGKeb~OflCmgzK{F5;hiCJ5WXJ#hFX~qq#a1YHnv)G`Ac1=Jqxg&FxE}=32<2xt8RcYi%r=YeS*t&Xh%SMdX|7YAl*N zk3!A$mql|Ik#Ft_W6|7I7d_=4ypD=|_nZ|TxFq7HDO7azRn9Dro z^DPTm%o4uidzP`BmHfod{K_hRV-0`s7i%d;dDf#M>r`vgG!Z+?gd0q~swdURBn)N**na$HzU-=5DudSN6?ZZIf`RAme!m=8&2Y6 zPNglUaR#xLbfAc{IGc0mOjo)QYsm$4rzgGWLqGa6fQz_; zZel36a4WZS2X``@ySRr~OYUbR5AYxl@i1clPsy3l5MkOhm>ckiIxH3r&_ANc^S9;jL^zg#c!vWc0{IH8kM=vftyrlH-($d4r zN)Ip34&x^bEFB$GdU%Dy$(7dIY=f2M(x?1pmix*FLg%gfH~(+A@O)vW?zkj}|_n&X-{pXu{U+!4szPHG$XOW#xkyp?nub@Tlql)Z+itK=j+)EYN z1r^x^6}b;C@@iUSCsgDWwa6=Kk^A8yJEH%wpPc+R{z<&n-8Y~Om>H}zVAd{b{c z#>;DS3cb7@EsK}ecDKyk1YCm)BvkczGQ`{^fO)v3PkMP44Bj!`HOXwqXHGFL}fN$LpEX)Hl-TX*_n-q;%~b9x8AHd$g{=1^!5_@-XP2a9u--i z%2Z(^{vY=4JX*){?;AfNR1zf`v{9x85|SpA(ma<&n!f>{r9HFx+bhlA_$0{f?v*JSlqJ`sBt8j}+?0}u zSu!Oh@kx+mW=bB+k|$E~be6o7l2^0j?UcNiC7-9{ODC?A4O}7LmBjG}?=gB?L80D$ zmql-Xk?*aNvFNP|g?igu7QJmrzPIg+MQ`;f)Z5Oo=&d>V-r5+8-u9$WZwJVtw}Z&{ zc9gN`?O1ZXt$*mFi>#P#oKAOo(37)?b>uwGrx(5HLtieUKbLSBmvbcp7{m~+VklR0 z4a2#P>lw*t#&9EJxry;iKmDhQLH(A8nyu*7e<^w(=){#$H!smR!mwe4Pe4AY-tJ5r9o6X;K zY5vA1LFRn5lx&tIby5d?Z|IvCJukaqDw}BMu?OIv%HiCR_p)MIe`;7iBmX@E_9_EXV9H9>B-rgOROUo z(2EP{Lq9I2KbL0LNlBWe!?O81D$U;;viW;kN+xH?T`9RYOCC+h<5@C4CC_Kc!j!y~ zB_F2blPp=5lJB$R=aj6;lJY63=)`rROaIY&95?YEqqpi5>TPRT^j4RAZw-w_Z%ruF zTT5B=wmbRW_B9s0b)ZmhhsmP1BgyyH*;w>;GKG5UA&cJ5BHvqYW6@h*a=op8;A4Pn zAcGk~2}2piaAF;~o)L^Rm>2lPJ{OJ+f%-e)7#dVl0|_j6%&lCyVA@B;VW{#-h1J6l(5cSv0qV zd~@Fzi{`$gP;WJ(y)rr)*x9y_Dv5++w&^-b={`S@L;G;ub@)A|*d% z$?qxoD@&@RWaBK^JSAIZN&S@U;KY?u*)_6bNgQ|fPNKQy6l!iySv0o~`Q{EV7R?<* zq2`X2MRO;RZ?226Xs#QDnmbPx&GjPRTz_NH++`GMZm2ApyM}yoqm4y#H|v66hs5CAHa#ZHSd*JBp}J19qShjcJ-)4Xx5FZIjL4c4_{)#fUW`J~=)- zJsNUUmY$f>xXo}yoSFXI*;#U7O5!#{GB71WvgF#7jL4D+DY+#}?nud#MHbz?PQJT$jYW6wQ>eS8vgqz>^4%>r7Tv9)P`P;)<5#mOxBzh>_#hE(}uRhTCz9$(2n-(hlc;H zdw^5@`7U&&8)wj+GwI3MoJ*`F7to6f=|ev*qCb}sYsnQ{$v_4%gc61_j95#q^Pi3v>P7H;EqCNqUQn8uyV;BM|E){+O9$!s3vVIE};k7w7)^J$hY$mZ|D zG=JkZ!<_#lC7)%zA^IFXY%h11CQ*27rzb{2(t>nn@iE+*gG0AtbH zU<&niy)1egMZUN3#-g{IDb(9^S@d=n`QBz3i{2h0*W3EPOMFH)m-#%)^SruXGHq>Q1>d}DhX-FfQ(3G9ng=XwZ3tG~O-DyKx z_9E7ieQ8Gr_M;;Q(usq!>*TmJOFL)tw>Zt;xXCc*&reD3EV(2lag!mrIwixiWK2rp zCPOkQB~!EHo|N35C6A@#$t-y;B`;>lqLjSj#C5WfE9AqHIDYIsMsG_f)Z2Hm=S$z9VygXYgzQR2l?LG8;jod zC)eBhhrW-H9m&zeI&vJx)0vZqb>vh|qnNIo&KdNeCueaE=W;$5(3?K=B|wNFGrTX998;qboSCW^m>(^=_|KMJk|IA;+a1Gpt8^OEpPmvZ|MEcH}wAJ z8+wbavc*=}V!P~OD{ZkITd~!)*lJsB*H&!BEw-4^`i{BO!po(-r-YzwMTm5qt*+@@5g?6zP_=e602*v^&R7Swkh+qoTx?ObD; zPO0tZGms--Ppg;*chBgYz%r)Xk&1hEH(yLlHV8%H5MC#YbdlaxKS1xgPX{23~o0T z8-pnn+8Ep?i;cld@*9IW#$sde1cf#RFUVqJ@Dllr!JEcnWAHY)jluc{RX&w1;d8#= zOTHpzq5VN=9eNgp|bRSCTtZa(9-@PDy-z zC7GL&XS3v$l)RoL@2BLWELob8ud`%TN>)2@!?KC1nNZ|jJar7|CdEAl8v_#5yv8iQK}i#5yvWDcr#{?qmjcb1$)uJitt5^B@oN2(gYl zPOKwO@icRp$Fn@o3oPIzUg1?<=MCQEEn*#cm-l#|5BP{rh;`&MK4&Rk@-^S^E#DLC z$Z}S&il11`8e$#!ExS%Crde7!o4?i5{Eg4A%=x-0sh1^9QWBqENp??3+brpjlKA{e za%4)5$&!;(a$1(0m6CI_q;EL@SoAiZLcP5vi{2KJ?`^TM=+SFldwxKTDQI7^}PeU5fgr@Ao&NO3J zTF{bK>`oinvKO(A>`Oa3upb>cfKD7ttRshUI7f06$8a3S)0q=FnNv88E_CH|&Y%Zp zau#QEF6VOry}6LS^y6asb19b->&O5GGMFKha5cjio?Rzn(<~jI&EH9B{>JB5=KTFB znUy7vrNrk~aqY)nx4fACy5;38U6j)J49lNelK$KmS@K;<)-v!q5! zws7KF+0<3Cbx9nz^**D!A_{f4qb$1Hg?x9djYW5RP^i28WzpS%x zH!_x+7|%p*A=Z)GnZy*PGL1W#!QI()@^G4^bF%q6H_hL;#qhJ?^_0AsCGV#sZZRZZ zr{vo#S(TEw#gME^Nrf!gC?(ahq)tk<$&&3;(kM$>q@aDx5LTzcD%9Z?L-Roc7`l^JCl5G7Z{7)E~HRzSIDBbf#iD|W-NNUmO{OalSOY6 z$oDqcSoC%Wx!%@4sPcepCbM~vhk1lKJWi}5Pw_N!na8s{#|tdrC0^lGUgr(oO(v0S`U^iN^J8fv2T_+vWEbWxd z-y_rfjav+J{ zZ=1-Xx9a43t8FZL+nPeXHIzkfP007QtFh>yh%n(W# z$}ol#>&W$tU=*Xdfw7EZJQKK?Teywenaot~U^;hl7k6_n_wxX=n9V~x%%jZVah@dB zk!P68e4gcbUSt6;XV=L)X_hX|=I@d;f8+MToc}Q;E3@R+l*H|YWW$tH&5{}^iQ5ZF zQA!$Q$&M-6B}?{5$zEBqe@YI_lA}{{oD5}dyL+SDb(9Jvgqx6^1WST zEPA_yLcLuji{7p#-`hxI(c2gb^>(W)dYeSPw;9Hww|gkm+at2*?J@Gb%`+CgJx8v$ z^$)4MAzR2I-r^nJWicNR>&VA^!V*5?3zqT~->{7D_?{nG&PsmbXMSM~zw#S@@F#y$ zj`D0kMK+`|RoR$WM>eH8HK@rJ)S@<95$njd)TM}eG++lB(wHXf$WH7+Gj?S+TGE=` z*@L$1#XjsydpfW`9XXIr9L%A_I&uU@ax}+q94FA3ld|iidzz&^v-#UA&EL4aFz2sK z$)GH`CM9uuA-O3f6SHJWO5*lHGP7&bf89*Pac?CC6fDgCKevp@BAO5V$o&r|ZH z6IaUSu95Fb;`oDi8O^PrP;<}R!lcer#n69$=Sq8avtZ?i{A91 zFBj3DOSp{7xsm}4VhC3;l&iUh;atb{jAS%pxRJ5k#CRrh3$c>i&LpNVm1#_826q!H z$$i|%766EaGk6;XM}f0Ur@7$)_yg zbH3n9zUCXg&90QyX_l_d=I^>Rf8z$jtgn`m&9bCUO5z4X(kLZOv!q2z;s!&~E+zZ< zaLfg~)bGMRB>gVz!?X0nl%A6PxiiwAJ2OizOi4c{u9YoZC6|@N@e1!Vx*JHL?yi+Z zcO%GmH_ljeH-SRk-64za?j+yc1ID7e*%a#TDOq$kmwa~%j74{^P^i0iWzpUHIS^VIwxC8k@2iHQAh6Y)Kuq zVjH$)JL*xN?b(4wG^Qy#5o<{^n$v>aXhmz<(3V(B_GTa2(VqS2$N_ZXAP(VB4(AAt z;uwzQcuwF%PT~|!qYGW>#u;?yOnP!Q=Mrnl1@z)V`p}Py>CdIvwNjF1>9B16j!N@4 zZZgdK+fp()OYTZZ++;`|P08a~GCw78lOb7{lDD$t!<2lICCgIseU|*3k~LXUJ|z{M zxK3)hLN+Ri<0jr?^j4ihy=^Uv-s+O?t)a2#tqFyCYblG~b|>H4zQ&@r4ixI`Fj@3= zB>CPt8;jmfrciG^WYODM5fKdYQhbcS?HNFMfaD;{PX4`}qfzecEqHh8VYW(MWdjH$Mr+4oL@jbn}bMnOnGx+nLN%?qE81au;`VFZb~PvzX08Jj|oa;c=eiDV||2^Ldu%d4UDIOlDDCU5Z$@3NTp`H+wJgira5FIdV~e9bbx<$Hc)IV)Mk&#Yz*Yx#{o_>;d`M|mnx zkqxO#RbtEcPg{pAjMt(zbtt=aC~{tp2E>-HA&n@zb=bvuGj^o~Eont;9rmCtdl6f{ zeQ8J8t;2!NJ8>|vW{=!oJ66ic#kZm z;{D{O;v>dlDn3S`srZ~Mrs9j_r{WvNVk$18&{X_b7E^Hv`KkDgv6zbAQD`duB8#c` zEBUEd&R9&v4Jb4fHQY2K%1*_`&SNU>$WD}(d4J%NycI-p2}&Ior>L^$5iY|p{dwM7E|#e@>B6j zV*?n(VE$<;UT6GzMluRhasB6^H+emtiP_!Ev~({qBfHC(neH+k%u!`9hu229^@fn9hpO{BTw)oPxB1(c$Qd4ULe+ymw1_1d5u^{-eeJP^A7K^ zm=E}fSVul(37_)?U-A{Pj(kh3BR}vXD_F@-tR~ixwZuB|JAd#Oe^ZVM#5z)m4XHv^ zHl`Y}j%-E^HfIaAq&8cz4Y7`FM-laDzz#Gb){&;!b&=KnxzY}`MWU9-}rFLoc|;xpJmCil*ETyk~Jy$ElbL$BtG1dR8L9GEZI6Gb+e>N zN_NVUmMPgiOFE>aqZ8N3R<4jkO5%8!_ZYn$Nul0OmPK!;k?*aCvFPnA3iZ}k7QJ0e zzPAC!qPM{m>g{@2^frooZ{v+cZ#Pq@x9PIz?Jn}Y%`z6fJw&dz_0O<8Bb&>7p5=L7 zU;!@^>&R=o&O+YgE#Br`7V|zI@)4i#DWCBLOZkegS;n_~&krnTC9C+E)vRGHzwrmL zj{MC!%2R=gRH8CfiFITXs!^TIsL2-8qBeEdnr*1dcGRN*+tZLnG@&Uwu?x-El@_$5 z6}!`hw(Lc$Bm2^h4(vxq4x|$YXV=MbX_j`*=5KMDzo%#O_xzOf&XP+~a(R|qos!{M zGA1Qsvt&|Are?`KDY-vO9!trSU9$(3{aws+>F;7*%+f_EeaDGwWouW-hb3|R*!zs` zmQbj>?_|;4kL0`i#aMLrD}}n-Ko;F?NWQyGjYW4gDAe7yvgodee0PnFMRz+=sJqs( z=xz`4-L*Fs-R)1VyY;uCN63!kXpZ4Hj;Avx5o^h*oJKKSIh`};K~K)&9M0u@E}%Dk z=*vZ1%q3jP1LvJisg-Zc@bF(l1XvRjty*|qM!?jRyNC}oFc z*|F(Qosj*hZYk;R#Fes*Yvi1gIG*QSMsvL=)ZAsVXzoh#%?&jc&0RyG=5CZlb2pK1 z?sj9*+!P8mcb_bpn@PU8ImV*7Cn(h13$keLCGyR^X)Kz1n_P42pJe$|wuI05f-m`s zZ}^s2Nq*o*RBtxLRB_q6Eczoipjl+1ZuSFU`{a+58=t=5O3&nAsyzGCE5pq$F-KBzLCd z?kt&|lDNr`%uUI&S@KFsUeA*EQ}R)kEKSMRS+Xi6tDU$`wsnR4UJ}PYy~pTn9ff+^ zNEW?SBi~ysW6@h33iYTPdX^wy4iZ=H-qZ--E*x8r5e+ll0R z>uM}|JA+(r>z`w}K-P;3=|ev*qCb}s>&O*c$v_4%gsT|JFs|WRu44ov8O;sc$T)6d z0u#A~Te+RdOyLfuaVImln|q0MKU7KH?KT_PDR7|t9ayEaf zr}-N<8RmT5l+??TCMk)V49V^(X`3Y-QW7^Ak|R@cOqQISlGC!}tdyLaC4EzJah42D zNr@BJNnKaSwIy-9-g}JRMp3A@n`P14ZRC5KZY+Aci$c9UB#Yi2CEwdK#-g|R6zc6Y zS@gD$d~b`5MQrvQv5ss)HL9~2 zHQAh6)TRzwvki6Gj(Rj;dm7S+CNyOycBUD-(t?(>Vt3lmmc58|WMA6Rf&J*n0d(SE zVjVe*!#R?pIELdmp3a=e$(+JzbfGJ!a|S&)le0LRb2*<2=*@-nr5_j5pG&!%SVsmh zkiiV0gsU0G@a#Gnn`Y_wZ2nG4^EYlX%=!CMGAm0SONpC|NM20I%UQB0C2@lxS(1`3 zvgEsz{Fo)brsR(-*&rnwW=V~dY~jRpvYjhr>ykKb>pezqMHK37M_Kf?3;Et!8;jod zpipo7%c8df$@g}IvFPn+3iWoXEP5*@-&;>((c3u`>g^&~^mYmP-Ub&P1{WD#%i4)3y<4~TW-V?JRCpYa7>@)h5(jPLlKA6d>ye&T0-VGY0X z8-MT@e^ZX~Y(Paeq%u|6m{>D=4t-M4Td?tPfFTn z$w4WJ+Y8AFDLE-ix}_v;FC@KE(kDwUOUad4a!pFE%aR*Ya#NN}Ny#)Pu9G5H$UP-- zyw7`#-eyv$wSc4aqO(wa8x!Jh2J zKI}_-_G5nz;6M)IU=HOlj^HSc=2(v71UhpPrx5E%7mDe|>2#+DJvp0LN6zDXdeNIc z^y4D>a|xGmIae}(K@8z4hH^F6Fr4cc!AM3kh8r2nO^jzEw-D>d?Mz|{Q<=t{%;4_q zI(azF(mC1uotx%w++LXTuczeAEO|dAaeE>8Iwjv`$*PpZ?S*7rN-AW@Mk%S5C3RA= zO_pq*l15q5A|i-v5ii#jZU!}red3&Vw;^} zH%-MhJjFIV|M`O6-~BuIgFpF;zgb5)%6BdPH-4jE`hwo}4YDujwNm?n-Z;ij*LD>8 z>3WDPe!3n`{-^8l#^R^zi4^+jdWI~1x}Hh?r|SjA;-~9{6#D6Ug)Dx$4kZ86b(pdE z>3S`Ne!7m6#ZT7>t=NXTY)3unvpqY|h{iN!Ct|nPjOMgp zH(IefZD@<#-v6Ip(EG1XaAF@cKkaFrPkWk;>bpi>DT(82-et76kV5T!D2w(!A>ZCt z#-hDt6l(8hS+uu?e0zTzi}uP>sJ%^O(Oz}(?bS9G?QKn=_8Q8fy(Z+_+tpaK*OGr| z@89~I=2-vCGdYX1IhXUefZkk4U;1$|{kfFOiPdBPv6>8K z2qg?<7{iIxL=&!#!W!LM`>GyOUCv~6fhl~GmJ&vb(pV3`0 zg}OUO7TujszPpQzMR%7_sJp9V(cRVLyBldNx*J2G?rxPucaz9>H^W$TcMpZSdqfu9 zJx0E}dB&o<=g4)p{;7{QWU-bk;w|3cT^92Jv6g(yCoJJJzF;X|@eRxPj_>)A<*ei< ze&!d}@GHOZ2Y>Q6<%qRp11hp1m8r_c#9Fc`v6j@JCRurKZD!2WdPKss?ShjJK4a3n`_499T-ojEDHR=TG- z+%ucQz0w?xkAuwnD^oHkORh;td>kaXDJ2uLWJ*fn;~>e*uK)IbxxT$? z^=q?Pzb?)C_&~_4ua=U{vZPK*;sYT`qm(qwk`^h64}>J`QnH^P7cSuc+y8QX2Up2u zC2_pM`;6`eQmDIYWzpRT^4*Oy7TrytPp$*s9!-0u$Fp>PO5R znOI9+<8>DDCU5Z$@3NTp`H+wJgira5FIdV~e9bbx<$Hc)IV*{^4?#PL?|F?yRsq2BJ1MQ``>0JE6Q zLp;KxJjUZZ$x}STT;}r}&+{Vr-rg`4y)B|pZy(E|wk;!4aoJj{>hI`W!0%cO}3yGwb_bTN4BLdMbx7K+tZN7G+{?}Vi%gRE4$H>*2Frp z2W{Dlz1f%cbYOovav+^Jm_vzm4(D<{ z7totN^yMNh<`ORDa;{_mgBZ+JlyEh}7|wOXIx><`jNt~xaueg3m|Z8+(kz{k&EJ`6 z{yvz^-=|YDFH2rZ$*WoNUP?a5lFw7}WtOZ+$xm7GdrJPwk}4_LI7>E9$(C7CKP5Xj zah)`Fh3r@o$DO^$=&d=0dfQVLz3oH3w*!ntZwFDRw_|0|+X>`*>tZZ=>qep8&XYxN zy~y|0-&pi^8HIWqDvRE(A>Z3*W6|4<z0v+JZ)nx$>B`P(kdU$+_Yv*GZR9F-*}rsR|?IWr|^XUT;r>6aw~Q!*q= zu1(2^ESZp!Te9Sil-!vmvs3c06W2)-SI843aeT^qjNax_sJB;S(cA0fdwbVd^!7f5 zdRr=s-o7T^+j3*k+bRn6_NOd*TSvaP%EqF%jVRPxEm`zdhkS4Kj74wTlk08$lON4w z&1u1Iw4ya_XiKakd$SMiXwQD^&jEDeAP(VB4(AAt;uwzQcuwF%PT~|!r3+o@#u;?y zOnP!Q=MwA41@z)V`p}Py=+C9ZI&uY9GLS(Gp@g9f;~K8zIz}*((cHj|jN>LIFp*oh zjoX>b6z*UecQS*!xtCZ+9$+T3d60*BlsP<}T_?|{S-K#bzYEj+joS;?$tNlKEK8Q9 zByKMxYf|!CmXuFP++Ikkr=(_!-zh#Lo6CHj<#}FU0WTBl$ZNdLLf+&p-sW8v^FAN)5uflW zpYa7t`HHVu#_x02`_hgM>_C4AUUp`U#^2zLF{1cuk9euj=C0!cm%gaitN-3F>Rsc8 zDSp#xkBa5Wwe!DIitcl4QFOoK{GtaOk1m?&_<7N+a^;FV{5RW4`Jx9M#}qx}Sik6D z$Js@XICdy1der|cDw^Zit>`hwRYi|G4la7au}0C8U5o8Hi#1)W>0&=IinU#=?P5PQ ziZx!W@nSzYinU&>^_5Ou5{x+U({P{2VZOzFSd#o+YJ_5$&0Pz#dd_nR`X)3`M>j6U;3in z&JF(cMZK|Q_{MU*jI!H;70y@k6S1B9g*BAj7X0P>Z^}`g4X8+L3#w3+jfw5trc|fw zwqQ%=wb_c;&XwI3)OQ}+xgCh@Tw|J0c3aTgd2Hu)Berv`*`3%H>`83r_93=&?dib& zbmTw|!glT-KN39Fcx($!pwPCUn=G~k-TAL=fiLRa$-mLq7@S9J40=&$V{n-)HU?Lc z-xv%v78`?WD6}!SQ5GA6o5*hrZZ{SigDDi+7~Cg|jloRv8-qE~qioskus z$BeARhE$;{WoP7O&SOSy&K8uNk=rp!cb3dfNql}KnVXVlv*eYOyq+cRr{tq7S(=isvt(6DRy%RS zva_q?_mVjN={-ho>xh-)pL*NKc=T3{SV_wER?B(xR)<(g{;9X^jYn^dh?S&lZ_S)X zZ!L(GMM{kF4DF4*k@y25%Igyhn+gn%X(c2lsN>Zk`_3Ow5 z#(QxgedxzU^ygAy9l3&7M+P#8AzZ~!hH(woavdWW$!KmM){$|NY+`_HIIx?9l z+`%;NWCnM0FR_k1z)WWIAP@5hv5q`WtRqkHG;^89vpmlWEZ`+x;ZuTXXDMIuHQ(?p-xKS|a#pa4pIFTrVjcM{yG|;mSz0-pztz+HjnA*l z`MN2omnBV75}#j5c27y$Ea{Mv`20$8WJ-?7l9N+%T9%xZl5?}9Z%QuClEEn{apF4J z#T9aGNgS{D9;3HW6zc6}S@d=r`QD}*i{9>{P;U>(qPIuM_x6mj=xsiQdV5V4y)7i) z+hSwU+lLhD?JHUIwv2plD~&~OKa=Zi{X;5$%hpkz3RI*Lm8nXsBb!i->TE_$Hm4S~ zsl(Q6LtVC`9u3%@hBTrHP1%W^X~wR!pe3!?oi?;(FJc|pmv(euKRR*%oj90SM-Jm~ zj^rqg;W&<`GbeH~r*Ilw=*sDwK@ZO4EY9X!&gTMpb0K}{$HnyLQZ6UfkpT>3FheNe zYKAd9yH3WYSvo$OzmwAZjnA*l`TJ8cD@z_rNql}Kc`+p~XUU?J#OGI%B`NtLOTJ6V zk6H3-O8&@_4N|gUmefee7EW9z&0HZ{m&9>f?=gBSqEK%;%A&Vj$oJOTSoF3Bg?igx z7QG!vzPBTcMQ=w_sJByP(OWV3-g+8~-p-*=Zx_j;w@b+PHpp1?b``na)<2*!QZ|Y) z+`w4IF`kLUI&v$wF^S1c0v$Z}Tl6F>6{YxtGl_=CUr zn{t$A11hp1m8r_c#5%Gm)u};EwqQ$YvsHGT?2u+@<81ymPxE)TZ2s<(lJ;40P)ZKX zk`q#LQkHZ}N%t)2m6ASLa#>2Q%#v$Ta$T0(n39{aWJ*e=IdPpdcZJ+j636?z$LMV) zg?f8J7QH=9zPA^QMQ<-rsJFLe(c63Ed;8Q_^!7Q0diy~Zy{#bM+gfAM+wT^gZk&C)qt zH;x4(9{ru0eoG-h20zbT^QEcf*WDch^#=yK%DUZUXu4CL4?H?jYA)`WbMa@dud6Y#!uc z9$^lT6Kly+Jk4C@@hs2r0t4^jcLkG>`XJ7(}LY-#qPABZFa46OtZ98Hh+&y^EYlW%=yz&(ltxYN=e*eNG?vv zrCBmKC2@-(8I_V7vgGEJ+?FMGrQ}}Mq=Ms`e5h;ff88I%pPZla=lvhMT3WbHUMY#= zYu;(Jw~#{ZeJG3eJ|W-USH_~fWfW@fXIZqjhJ1T}8;kbJQ>eX7WYJ!Aa_wzcJNmOFxSJsXW>_&&XF9&F&xYBbml}( z<`hn&3tc&#Gw8vYoW&Y|BWj@dHJTJ0< zm$U2ToitAuXES_Bn&EM)VFv%0l9gHVYf9o)L$YB?s%A-zl*Fxuq$ni~vSi1U?2;vW zq-3uw**_%*X35bhInIeIWjEKz$t7_-)q9NIiYe6FIkM>OeDb|rWGs5SghIVtC5zs! zCg0mgW6|3f3iWoYEP9(nzPB01qPKe})Y~Jn=+xAhOJydhi2BHrR1 z-eoZ#5G%>Ye8Lhw;|rGZ72mLo@A#e{Sh%n%k2?%^e_%<_;p?+)>7&xns#SxBhXJF0x{}aXQ`U zK~K&mR+95LpI-E)4}H0a{#?RkT+WpYU=TyNilJQ1H4NuEu4g2p8N-c?zp5p~xZXkuayH*z6jUeCMIAhV>1PXO` zhb+3glYDm%7>n*^Q>eSAWYOJR^4%>k7TvuB8P78LU6|HGQTVgHQn|)|Ud-kIv2hfRwID|tvoFh1jV>p)MIe`;7iBmX@ zE_9_EXV9H9>B-rgOROaq(2EP{Lq9I2KbL0LN=cgC!?JllD$V=2*)Z>KOUdLcxho}Z zHX?a6C68yx{FKCPhGbz%-pY~>Q}RicEKAAvS@LsA)?`WflvH$L_tn}JvQbGKH}M{$ zx9Sw?ZEIQdR+oHl4UI)_O(@h`OIh@`JNe%BH5R>fpipmz$)dL-$@kXTSoC%>g?j5D zi{8#6-&=2E(OX|~y{-Qn#R0N`3}y%=3}qO@v5xq{-uSmUA|2O%VQ)M>7hl->TC0D3U%poQ^7Yb}Z)7jypRllW^v%+ji%MU< zRr>Pn^fG;6@7w9AzyG!`?ER*3ncwJFbb^0{i;6lsb}Ks3aaGYtj)RL%cC1l!O8hd> zDMhC`wkSHyaeh%3$D@n zSy#SXxsDCu3wvY19&P!)fg2gecqVW&w{RP`GnuK}!F2BAF7D=D?&ASwF`I{Ym`9nz z<2*@h`JQ1e^Ldu%d4UDIOlDDCU5Z$@3NTp`H+wJgira5FIdV~e9bbx<$Hc) zIV)Mk&#Yz*Yx#{oh%MjWtfM>?sK|y?rYf=J`=_nL7RGB)n>v);IutptM+0KZ*N{e( z-8$^zycxUFf|j%*whnvHmc58A-@de??AGBx=bbp1*z%R#IvnjhwtUACTfWYmNZGAJ zvGdsSolb1|dT=JObvTFE@|{m?`Fe99ed))=T!Jm%KYn<4mGRg*Tuqs+!~gQ(;h3^t z*t@$4dUHt}Z}mrFDo&!%RJ=zPQ}KTCQ}GdFF%=)9&{TX*7E|#>@>B5*V=)yMQD`cD zEQ_hQg#1+e##l_n?_aRfWt%&|c{Fzrv55Rr zbH^HwMdSox5h>eT7w6GjH)0X_r{>Nx9*amXVi76ZTz}`Wh+M|y{8Mv7jmIK#4Z|th z+-T>~+>MN-Ompj>Xt~|^B&INxX-sDZcM~hgeZ)#KlUY2-L&QolhgeCT;7Ok58RqdU zv68$%tRye-GOzL)v68&WBHrd5-eWNz@DZ_+e996&=L^2%D`F-2mRL!C;73-llAl;j ztR!oRmE?E+;4l8B92JO_q!Jrag{o{!HDV>%j2djt7HmmvwqhG%CE1Q5>eGN7Xhf_e zO|vVdRhp%3viaLC&EJ20#O0S79iD!v(NS4?VoFcRX7`!t&z+qm7pA0NmJCeEkSw`2 zB_pzALP~DQk~>mzXO_%P$-_=uD|@&~o+ydqQ{HEEHOX(nq< z3wEOwt!YDBVlCO5eP~B}_G5nzpc4mi2#0bwM{pF!a4g4j0w;13r*JA==t?)vpgU*M zle0OOSW7OT7Z=ipeq2OU+`?_# z&Sa)=2h+Hd8Qjgi#9HzIGnvhUJj|oa;qmNRc|Oh31=;*vnC5SM#N}toCn@?n1uv~`sn zQWD3*ywB+FND6g#vMjngjeK`Kj74{6QK-AVvgqz&^4$$E7Tpb|PN~|TDP>t$r zMoqS$7PYCv)@(yvwxb>m*q(+oq6tmeiCt*MuC$;ft=OG5v}G@1E!mfLbYMR^av+^J zIJ;JkOLMq$HiwJT96mjp-RGyIca~g|lFPH?>XZ!6k})Y6noiq z%#!C)@?w@OO36D;Tqk?FLOv{sB{MxK@WOz7Uys-=W_wQ=|f*G;$kl0QZDBT1~7=hTtx|2GmPO}N30_w z8O0cGU@YSp&qQJ!xs}_P#AK#2jp@wbF7Dx8?*ISTyYp}z%YSeDh){`0G#E2BP*R#n zMYBrMXsMKDWk@rzMDs+_q*)qho(C1ts6^64A{q=yq=Db-b6(GPt+lUT_aD#x?&r7n z?>XAX@w$)uI^Wyc_wIdO=Y3wcYq^=g6FkM!Jj-*uz>B=htGvb}-ry}J^EOj?hxdqe z7xh$!YlDN!}Y?hMEv!qc<;xa?h zIwftgWcQTpl_dwHHmd)iG=Cgo>EaH1&9r=kREMqw<_=R6t&2Rk9AN<8y%29#!sKokIp(-1)5gSv3 zO{m4DY(^b6XG>xosZRqM(U>MQr8zB$b!0oXr!_m!hPLcXJ9cGv_FymerUM<>m;LF) z0UXG|9LixF&JlFwD2}E($8tQej`X02lQ@}PoJMcX$j+1gX_gMm=I@|1f8!FvoWCw5 zH)hFgDTzxA$wMg_pCwPGBrY)|uczeAEO|F2A7sgADfuExzD>z@S@LsARyuH=?CA{o zy*M8K^cthLbrkAt16lM|jeKvljYV&DDb(B6vgoZD`QBO?i{5smP;Yz6qPO*jCZ^w}Dt*5c*?G$pomE6m6uB;E|)0h4XU?3L}>&T^C#vleWgrN*$I3u{4 zYq*Zg;CtfZH(a#?&NOn;a=|N0Ulx;<9U==MDgHM>nr+mg7zThjqW**=0EerULMSRbX#5%H+W&F$vRmql;W$@ezfSoHQeg?d{ki{2KK?`^rU=HNA zm8eWrVjbCtYE-8NHK|2y>QI+0*phnGry-5mnx-_P1ufZ@?P$dg?8r{EWf$798+#Dz z$lkPPA3Cxh`_q{NiFM=<4y6l+b0kO6jqV)7aU9Qy^q?mva|)+&8mDsxXK@baavtZ? zkN#Z1KrZ4EVja1hL0rKQin)^ET$PX2nzLff-HI~BHvqYW6|506zXk&EPA_; zd~bt|MQ=mN^_JHEYmHye4cx@djARs}8AGficXAhFxrh6>p9dMo!#u*HJkA83;3=Nw zS)StsUgTw7;WZ}l25&K$x0%X2yvO@|$aFqt1~Zw(Y~~Q_$d`P@T;?&K1uSF{i}`^c zS;A75vw~mvl~w%48vfuf)>4l0tVc!Gr!rO9kd4@w>TE(yHf1yFuz7Z#G)c3xc{YDr zr}-O~7v}t4Dd~_U2c#q}FC<5&yhGke9UW%-X>D0x0htm+pFYzn_?__n?|ADK9NOlpOWwGYh%&dHx%ma zCt38ijC^majYV(2Q>eE}vgoY}`QB<6i{5IH>#gK(66?zv(1^w~p()L2NvtE=u|2KX zfi~>K&a`7!c4rUvVsARok$u^pP8`629Lymc#^D@6SB~Onx^pbY6YEG1ia3dr>BXt^ z<_uyTIh%9n!+G?jKLZ%Zg;uc17E2A019mG0v zH)FY%`*?td7{~bRJb5O~(&v3l`+|Z03BMUxp+ng(?)@bD;@+9rJ#=xu-K^5v={_xe zI=l4gXQfZ)ls^5u^ywGb)A(UumX3Z^`t}`Jkd~xrtJ&SA}ik$dGPW&Ppzal4pk(0m3=C8;CP-FvGWDzK`2>h4cI%R?Q z=U<}x=hn$0o1`L}q#_qvMK(%BHcCY{6Mc#=2%Ws|hH*WJwU);NQhd# zj*`VY>}}-VVec^(@38lidxtH#<70wsB2V%Z&+shI^CGe1dxckdok_gOTTEdp(|DKn z_<#@jh#7psEI#Ek=I{ky@-_4LhHqKGcP!$2ek68$OIgOxtY9U-vYIu-j_*(YVjbnE zKt(E1nJR3+hE!u?YEY9})Mhj4vN>B)kNPyE5nI!QW;CZI+Y&pzR_QDuRMkN+ejAuRVUwH9b?ho78L5QsVw?yLB78oj75Jt@i+bb zTc6f+^)tKConttT<2jKY^yFkt;Z#oJbk5)`&gNXs<9zzjp9>hsMO;FxCzliJ$rTKt zm|+a(Dq=mkmg~5I8@ZX0jAAsmaXWW#7k6_H_i;ZD@(>R*o=16{2|U4*Jk2va$Md|% z%fx!}8nK?d!JACxZKm>0b_Fmq&C=OsY4!L(sGj*79|*bXU6PXJS@K&-;sYVc`YEZJ zC7YxqJ`j>LNJ--?*(N31XUVQ9*&|E#O-ZLLIU*%TIdG-i(HU}FaXg;jHAZhm6zc6v zS@d=e`Q8Q?i{37zP;WzJ(c6{ed%NCP^mY@4db?8=y^ST`+c;y<+ana}?O9p$_5%6d zCK-#~-Xhmq$sHdb%3>Y)m>JAu7PFZ{tRr9Y6?2)#e7e4*n^K!PY)-5rTTzb&G^8<2Xi9ThunpU? zJ+0V*HtfXC?82_>#vbg&-gICe_GLdh(U}7|m_s;>E*!y;9L3Re=h*B#>6K<_?`;0| zN%J>85Hja4OUd9Y8Ih9sKuB_HO2%Z#y(x(ggd`JF@>G_*l#*AoWLiqz%aTu0@@bZQ zlad7voG1G_Lw+cZ$Dh2$=xrH=diz}#z5PYLw@SvMw<;9st(Gi$+l+i~^^HYujVRRH zcCzTLHTmA!8H?U_r%-SE%c8df$oF=*vFNQUx!y|d_~;=k;v`O{7pKyjGl+HMY|fz% z=h2sb3}7G^axs^18J9DdAq-_0S2BXDxQ1)Fo*TJ|TNuf$jAjgX5bMa@jOAYL;{hII z9OH>~q`w2_$$rj|i;Ls&Qm-+38$_Yru9ih_*OBjSl(FdT zHVXB2zbtxtho8xhG2= zPRXNL@?1(@%#t@!5|Z5H#-g`TE(yHl;Ro z*qm5LwxS*lXh>tWrYX&7!8UBm_OxOL+OQKlvkSYj8+))Pd((k^*q8n2L}w1BXt^=5)^FEY9Iv&Z95=7{CQw$VFVjWn9i+u3#v| zT*+{*;u>Ndxt<%iiJKY8t&C<&cAh+tX6d+W{!Z-q-~YRVot-1w6vyLsUS%}bnnKO( zE{o>&BH!Hp#-h0cDAZh6Su}Sv`Q~~Ui{?(EP;+O?qPafgn;U2>n!A`n%?*=9b0f$% zccZar?iO;*mE7}jw`?r;avu-yAmbQMtR#=|I1_n-r+AuYd7c+|iI;hm*O{LUZz z#ahZyf%T}w`c$DR8&Zvpslg`HVpBGw4x6(jv69rM0gY%(6PnSSmf4xoHk~Q$vNNT9 zTIpS2#2OKw9sjrd?%)B=l9!6(@fEK#x_g~M-MuS|?mi&j-7I6#-Dec)?ps-O_Z|7} zmKuxhex^`&f6Ah}b>zFNY%IFlfI{8XmPL1U$#>V#Sai2Fx$a8t`)DO=&5pF8Ej!bW z-H5ehPxhic9q5RL|E(*46a4ue^yDN?p%$l2s{LlO^R-5*Hbg>M5z2C0nGV zUY4{-$+lUtQ%ZJmPHOc3^}B-yI!g{Jj>kj1&O_?s7NI$Q|aG|c;RQgU9F zT$qx$(2!i2lB=@hrj*2mhGc9??#q%#Qu26~ypWQYv*fLmyqzTNdxt<%iiJKY8C`L1eSV!*UF2-^X z_wfJ^GLDCNghzRt2|U45Jk7H_#|ym3%e=~KOyUjRVlrC>M|pDxLs z#!pyUI=Za%>GIO2KbJmTQTp_k(x)r4r@pq=v-A)6mD^}`xi8DjTla7NPpe85{GbZu z%UAS|?D=m~zT6+p|M}Y9{}DG!c}M!^-{P`*v>Vl1!cDdtsTd9t_`uB+nHU6ZNcuuc5W|XJJ*4I*q8n2!~xjO{q2W? zU5&@K;AjeM3r>>7wxAdPYg^!Jdk^+sG&Tlj6B~m*6xtYEEQ^i7W#l&o!;HnoU<8FW z2Div!V{j|^jltcYz#gj zw=pQWRpo2hT)tsG3s^|Z$nS|6`4dZ6#&XKe$kmQxM*hwpl%0_k9LJ2T#QIdBDrIM6 z4aYGfYq2S1XXKWSV@B4e0gY%(*%{f=am>i=*q*X8vaREok?klnBRk4sM($51%Ff6` z9UsQw9Kqks$m5NlKo5#2J0nkX+?zA93zq)ra$#V0Au%XjNDRp?B(6)zjahPAO76&# zhf*>=OP);0GgkW}#^`Mw zv6B2*+XpJB3(D%Jf#Uj+|?}59iaD{tRFs7ZK~o zrNlZih`|hDD8m@e2(IQDuH$-c zktcbIXLy!aM_we>kym(?*O|ndOlAsGnZ~=k#|M1KN6aABky(7oXUyRXz9QC&Q};@iQw}$tq$US(BY771J!OoXy|rY5vA%ST54*rKDk&v`9&Oh9%i0 zCA($GJ}KEROS+`w$SgT7B`0Rd=_xrYOZuhcf-JcrCB+V$Cx<#it}c$pYrV$k?FI_< zcDpQkyNi5p4;qW!9;Q%lPs^gW=g9Z=nz88Z4GQ)4zASp1PQJI<#-g{+Db(9SS@gD; zd~eH*MQ^{5>#gKgm9?^Ul&1m}sYGR}66?rDRHHgIs7WnqQ-`{2!IspcJ`HKi)-R?oIE!;Qm-9HEe)Q)8267RX5bMb04B`rgP|TGK=c?>H8JTA3=xqLu zP4hQCzj8J_o{}fB zlay@gzkVS77lJ9M>vFL3mx!y`{Rk>bv12=IqBN@eL#t`eso!rG( z?%_V}=RwBtFpuykk28TMc#5ZamgjhZ7kQaic#TQC!COq`ZKm=L?-A?BhfL>VW-yak z%w`U;j(o{i%w-<)S-?UTv6vtDktHl;IV<>uUs=U(tlm68rwazIKB&XS{3a%`5Il#*Uq(kCT- zv*hBGT$UvxQgTg}+>(-8v*g~CJmA22(#09_NO3$q<~2rd6Dic&OS0(gRr0+}F&4c| zqfl?3$fCDT$@liPvFPm^3ibArEP7i;zPHuJqPO2E)LSK4^j3v@Z#9fXZ?(wvR&q63 zU)F#|G^PnnX--RG9odfUY0VC_VJCK`9lNqSd$1RK(}9lc%l>rY01o6}4&g8k=LouT z6i3sYV>zB!M|x1iNt{eCPNg?z5bMam+*z`F zaXjwnbw+pXDb(FTvgqzm^4)ba7Tp~~q3%wRMR%u>@9tb<(cSqJ>h4lmbT^26cf*ZE zcUM!WyHT>}?l$t>-D51eyPsTlB{!=~kWJ)Cp5hsv<#}Et){<9vmDicXo4myorZSCp zd5;hHkdK(bC(PngK4T7F@Fia}k8k*v1$@UMzUN0`Em_Jker5$L`IXhIA=Z*V`HOXw zqXHGFL}jY50UJ_{jj2IRYEhfbsLSSTNj>V*kVb4x6PnSSmTXI`C9P=9jo^ zvU6p>bgp#H*7`1Kt&d9#`=}FB(lbj=Pf1*2NG?dpMOku1O5zejazjdP&XU_xa#xl- zoRUYKlaFQRq_6EA`uD%`c!aa$-QswB-|LL-rc=WLr3;w ze>!s@v6dXdp>*MJj-)Hy=*}@5$MKv<4|;Mkr*JB#aXM#k7H4xV=W#y$=+6ZVR*o=16{2|U4* zJk2va$Md|%%fwpp8n5#PZ!(#;naVraxiT}&;n~^jo||TOTxOW}OH#5tOMXj9TxLkt zPf67**(4=#nIUPAlEzuGO-i=Ul3i1>N0#iHl1^E2L`sfwVE=WbGvv79cs#*tjNXbU z)Z3Y|=0F+cDlOIXTsR`3hI zvWnkW!yo*~TFOzL^{B}DRHiB$66?suRA&=vvMIHx!{)>~vK94cKtmeSgr+p71>3MK z+tZ32Xv0qI%r5N8ZtTHc>`e#uVPE#66P-DbgNb$IFuHIAM{*QL)170p^Q2drrMq@S-k{eZe$ci|Llj+5&^yUm=9XXqG=)-yR zr5^(r$c0?YC0xek3}y&J8OD{2;3}@+TCV3tZsHb3ax0@5!yUvrayMhSm-~2t2N}nB zVjX#m$C=0zJjF9S%k#XzOT5ghyv8Kn)X0*$DcLehnx&*=mh704wpr3XB^~Xs zoWB3rFYG zj&f9BJt|R|Dr~@pRAXamP?K8JW;5!tIa{(7^=U{Wwx$WqXiiJECDxKwv}Q-z(3YKP z$8N-0vL}1do(^XNDq2)5~t9M)9B3^oJFi9 z=hBDs=}UhuU?3M|=Sp#!-NUnae?yx0ahc)$;jWb2lO+$Q(+{o*U?y6I$yDenVT|M&M zH8mF9wV+UUJISKEUC4L0x3TDM9}0DMh%CD6LcY81#-h99DAe7lvgqz~^4*a`3ye>VKd}(joJQrWu`)ld_bgN3At}cE0Tj|p^ zrB8p)p2m%Qf0T~?S^D&^(x+=npROx?S}uDUKcRf-Xob?J>yPaI#VLb-C)%HH}nxA{Nc-Rqvaf4;l7$Ok7yHY-IoD@87P zifmYlY*>n1_!QZ+6xp;Cx%esa=2v9nQsfQr{}=xNoj1>a?y3AQzNhlvxc@JGckiB! z3qZtW-^P}e8%T|!B>3EJm&K)3;B-4e9w<8VJXY`nO|7R zDps?GKZxDmTGmmX3RGl$DpQr%{r%kzVpHR_sY6}L?jRaCZb)Nd_t%tWl-)sW@3<8^ zup>Lsme@h;%5LmI?EdzqJ!N+gog8=OKw|e-b_a2Uh#kb4#P07LV)u6*=hKh=T)>6c{r&Byh(nFX4&qA6>>&Qbr-(O|{qElQD*M}u z^B&On63QfgFWHA*VCqEURH5OCx1qw~Yw`4ID-zGm5KQtCo@nZ^2#V=(s73Y$l zii?cJRQ!QLQ}I_>OvT^GPsMV^Vk)jjp{cmBEH<;7P?NG#adXEp6}O@u4QNQ&so30c zOvP>3maWyVl#UL`KfrUv6zY{a3W==VlT%r z6?;=?D)yDdR2)ElDqd#nat3n+e>WAcF@7!Aa|5Pg$p@pidOn&l*@evm>0)DCcCj%r zU2Mc>T`p{1P06GznU<3HtV{A~O6FwAHz`?=CCgH>B1?Wx$zNGgB_$hXNv)J@mL-i+ z(!_xemAgAbwkeLs?Yze5tu?WP{9SLm8;>PqFJcKP+uQz*qqhTyCFJjV>uNlfkfVtu zq-<|J97k^_5lhJ5^>()LSVH;`OGw$?20D%<I-NH!9 z^j30H%iYGuaxeGs01q;b@x(gv7_p8_)g-;$>dtH74;Uv5rh3){$wv z!+X3>tRo-sF`qD#Pnpdez980-ubIm?%x3`$iFM?AVjcO3B`jk(D_BXaBddvZeGNmG^Pp7h;^i8cAm6Nv$S0{ zf7_?|`>)Ts{=0tr{upP;OU3c{iq{$4y-uO--jzjnACT{Ema*vWGYWP0tt`6xj(m4Z zjYW4qQ>eQ?WzpR_^4(Q77Ts+?q3&wSqPx1}yK87Hy4#vucO^Hrw34-EN7~SqooUBz z#9Fc^d(oZ_bYx%lr!xm|5C?N8hjBPZ(v@x;%`qIy@ti;pdU6t{(2LXP%^93UtR?5t zhx6%6e+Dp+i-@)4QZ8cq+~w_-cycsh8$EJkB4}T(OVY^^>&;r zdOMMPZ>JiI-cF}bZ~bJ^+Xdu%yWCjxb_IocyH*yx-9Wy#(Z-^;+bPuBgRHre8%T|!B>3EJm&K)3;B-4 ze9w>k#8Q^?GrzEsRjg(We-P`)TGmmX3RI*Lm8nXsBO6hT>eQenn^K!P)MX2{q#pHY zNMp99Da~j>OSWZuTCoE=vJ-9Dg?8-59>hAbH|^Pnj_gM#I&)xlo*b2CY4>dY7Nz-n zayEa@Ny&Lxa$!m?$&xEma#fbxl#-EIGBzdmWyyc$H}8*ghJ0Qek6(I?(c4@K_4b1- zdRs!iw_lA#Z@*EfxAkPv+xp~t+t^t2wh4uL+e#L_H6Y(xb7RrlHWcb@XIb>NEBW3! z7>nNaCD&WYrRZU@E*!y;97Q*}b1boroWO|`(UX%og;VLx>72<~oWr@CM_>9efD5>g zi@1bKxtzgV!BC31lHpv%HN-k{JvVR@H#3q^jAjh6j@-#zjO8Bg;{hII91rsdkMcMZ zc!H;RnrC^A7kH7Ed6n0g#2dWDWZq^f@9-Y6j(o^;K4u0p`IOnr$E*yX%JI&TGmGQ#Y_Bq!>qDXDE|x`emyvI7n6YSX1cjQrMHbE7O1`4iNtVbo*rwUcskd4@w8f-!>Hf1yFusK^2D@lDC(1^w~ zp()L2NvtH>u|2KXfi|>dXWFqVyR!#-u{Ry)$iD1PCl2614(3n}<8Y3kD@SoO-8q)y ziIt=WMV!RR^x`ynb4GTi^iQ*NU^agTrTIG~o4?nkBfNsE-k1%_molg{$}^mZ5d z-X1g-y**5!-kz34Z_knM?KNZ3+Zz<>?R{DFHl2KLvyDY>pHrx}g|g^vG5Ow>8;jn4 zA=g{U?JR3$>nKkJDpHBcR3+Atji^R-YEY9})TRz~*@7*pM|~R7n5}6_Gg{D+ZP|`i z?7)ufL|b;D9lNmyv5xFbd-kCt`>{WrIgnUK4&hL`a5zVD6y4~~F&xM7oJbFPax$lI zDyMNeXK)tha4zR@KKHFTws{< zkEi5`EO{X%FK5ZyDS0PLK2FKZESZ~<`C0Ox`PIlC&X6sN<8dpmF?wr2q29KUMQ_`a z?`>ye(c7*R>TO?H^wx=dZ-*I+-j1M9Zzss2w<7Yr^)?p0ok^kI2FRkf3(5C3*jV&7 zlw5Bmx3ga_yMddynURcQG-HT$B=h zE4;=e-ry}J^EOj?hxdqe^y0bW_I&zX17jrJ}xkv zCwrx&LzWznlDNE(9G#M5v*e_d#N~ygPfGe`$;BzTEK5eD5bf6>qvOk?TfCD+0LpY4XIfAYn#nE)wcwaxeGs z01q*a@!5IuOq!+7XY=>*mcx|CGNk_}Q) zElcXAWXmksIwj4rWXF`Wbx>(`g`Q=~*pi*)$Y6;ftK`|9offMgALH%q3jPWn9i626F{N7)mk2xRT+F;3}@> zpIavXuf1jR-?+W+KYVAemD+dq#$&v@wx`g$>p`-3cRiH+yK6UN@$PyIh2C9Hk;S{~ zY2@Es&ovhBuIE$e-Stvgyt@t}|L!{6SiHMlO`&(!QL=bpjNe-SvKQ@2(~H zdQ6Z_wz5kZ)?2Yd`ecInzM6b_fyQ(+R zo@R2|(`;~(bL8FPczoagMzlAbLhXGni}t=E-`+xF(cWSTwfBoG+FM1wy|u=oz48=l zZzEZ>SDk!&b&N%OTTrOIrm|?S1^M=NFc$6Y#NV{{Z+%YF)z9okcaGsWj^{*r(36un zg;P0=(>a5)IGb}hkMrqAe=cAk7jX%(np{q-CRZ?oVumrCtBBR)TCU>;ZscZ0GK$gM z#_im}UEIw*+{gVq$U{8Lcpl|(Ch!DL@-)w2)|IR#FMA%V$!o-F@&<1*nYWqBJJ~Fq znP%ziY)?Kn?aAY#AbbBMDOsK+zoq1lELlG#RkLK1lx&(M4N}rLOSVbL|K6{!pX>}d zt~ee~@EW7HA`10(rYw3phkS1Xj74u3QmD6~vgqwf^1WSeEPA_%LcQH7i{8eP?`@p1 z=4jGN7kbv>rd}CPG^PnnX-*5aVOzGR6+6&|o!FUO*p=PbgT2_B4(!9e>_;a$b07zE2#3*yBRG9efPq}d#azN=T+U#IFqC0j$q26E8m{GfZsaCzVI;RQ znlao#tRr_bmV3F62Y8Tij3?HS$9SBHJi${u!?Qfk3%tb3yvl1#;!WOS3U4!wcX*Ev z_>hnIm`|9=r_5#!Ul8la*UaS`=CgqBSj6|)d9pIi($(4gU6d=zBPS4&BaEUBB4 z_$WxyEF~?oWXF`mM?sSIDe2hr-}?3SUe1z>i{tT9uQR$EM4|4kmPL2hk?(GlvFPqL z3UznCEV_G$e0LL!MR!k9sJmBW(cSChyPIk(x_g&G-OZ9kcb}2(Zl1B|?pt!*mE7;K zRJM$tS;0zvWi@Mvwd7C!VjbnEzp)M zIguXpXGlRsj=v;1%|S1^QPhB2J0 zh_&QeuHy!7ncP!?6eq;$tSx&4azp#>3tY!^=5NpX=Vl6381uC*W zm8qJYE1RY>qE2>3G)QMeTxNKW*ghpYWXY~6*&|CjrR2aYIU*%TWl2#=PR^1uQ*ut0 zT$qwevSesVuFR5~QZmwk{nu&EklTyn@lLNXdK*ij-X4)fZ;vy9CwP*ld6wsRffsq1 zS9p!rd4so@%-iI9`_NeQ_A!Ne`%)IY%_ZO4B4g3p4;1R{S6TG-8~NVK8H?W5BiCEW zJs%s(s~*@}8JpdpRfnx-_T1>3MK+tZ32Xv0qIOspfjvKxD_CwtR@ zeb|@%=tO4@&#azj7uHqVE9l4$xxQUw?$*qiLOm?0;kY?#PZx#<5@Xwr1O#h@} zTxvL1UQOwwY<5pevpX&|B%h{aPL_O=lDO26EKA9XEcrbpe`QIPlx&zKwNkQKmNZI9 z69>+f-p-P3isNxRuQR%9O`+~~mqmAbk?(GQW6|9K6zZ<4EV?_Ie0M#JMRzArsJpXe z(On<%-3>Gr-Cazf?uN;tyAkBOyU|#5cMG}hO78o(TQ-(^xsL~Uka3JB){@6~oQXWa zQ#{SHJkJZf#LK+OYfR!z-eL-GGmUq6kN5eIkNB8Rn8~NiW)5EvYsuHlETht1iNSWD{D zfJQW?3C(Cu%j{ffn`UXdZ2q=S^Vg+D%=tr8a(I>;lak}JeFhWzpLQg`Wi^tO(CZg z;xu}524@lL$hq|4eEQO#0Sx3KVja1Z%NWF9hEU8fhBJbzxrXbwo*TKDTNuTy+{PI0 z;4bdw9`5CS9^fIyF`h?>bz}k)d6K7ihUa*m7qjzZa+;-6v-vwc&EFZ>{QW8=^Ri@d zN`B0eRVi7MCFN66F-xkaq-K_Ek&=2@(jq0>X30(|*(FQ%Ny&Z=oF`{ELk=pA$3wiv z=&cKddOJ=Qy`4zDw^NNpZ>LkJw|=td?E><>U2ZITyMjW!T`P;;ZXn;=Xk*da?G)3qZtK4BKK`Hau`g0J|R zdCccq7V;g7`JNy7iKQ&(XMSNNt60q%{vg(owXCB&6{tuhDpQqMM>e7w)u};EHl;Ro zsLK{?Nj>V*kj89HQ<~9&mTb%Rv|pAJ&1K=Z`!jD9odggbmqY9JUJ@O z((c*(ElTq@E-}2HoRgCCvgE>)#3hF0%9LD{B{!ucE-@ryQ*vLHJd%>fv*d-8yqqO( zrR41_`8Xvr9XLYzZXK@baavpu@#{e$iLN4MGF6D9ta|J^w=1PWh71t2!$o1U7 zP29{#MlqT(#5!^(cQKZGxQ_>Tka0ZBBRtCEOyCKg;%T1cIbPsJUglL^V-j!h7L$3K zsl3B`#5(dJ)A^Vg%;ZyMGbf!V6&4hqImxVSTFzZ^CEwXwr}XBzx}{Gy&z{DOc3YH= zZdv+ttJ0_SN}tv*ecB*<8b6_7>1dPkm>vXW4i5`nUN0 zYreDB4=Vc)_bqMy&v*9z^PRnodKP&HEAkFjWG7tYU98BvSdpukA}eB%cd{a@Vv$v` z$kla`ceEn!Xhn9$Mc&nlysH)29T$0LEAq}(WQY9E&5{@RZ!nMx`M>C9$$#UfzVw~F zT^j%EJ9}fxu*h<~n6le~WsaA#g4oXe$|}lk3;uGvmU5J5Jt`90f+|#HLt;C(G1V!% zE!fO)9X2Pnb7i*$jU2~zt_iW7YfcNwZVOsFj_q6_6j63Yp60kWXJi*F{nO>b!0bX|P`Z$a&#zp3 zT$hp?v*fmv#OGI%hf*>=OP)+ge10W)Jtc2u$-61}AWJ?=$roAjZA!k&lAlwu(t!(> zvz;Zs7sunDUSssOj#x?luD1=0M{m`Lm85KMwH-%qb%~Ya?|R$Xc=XncSV_wE*2;18 zwj;5U{9SK*8jqEvJ+YFM?X9!p=p(wz4de)y`4g=BxQOlSx3$_ z-iPz)OMeD1kc)_Q&Pp-%Ii$xO(rvisZ8Tt-s1y4&PrV zC$UMH`TNdygi};=&iFITt%lMfUtYj6jj;zVflZt7UR?g;c^)!Fu^DA?{ zUP>BfNsE-k=U0+ldba%6X9#g~@IEPvPq1WN(w{mq`%}lII^i{=)SZ*IAHNAm8eWrVkOy#YE-8N zHK|2y>QI+0*phnGry-5mnx-_P1ufZ@?P$dg?8r{EWf$798+#Bd$=TdfP@8y=_mvx1Ehe zZ@W^cw|!;NTPO0p9cC+f3yh-XqqL51G!#%wQ(7n9Uqw9r=>4n9Drovw(#xVlhAPBTHDya#rvQzp{$o zSi>Lu#ahZyp7p56`c$SW8xrft##Cn$YO*PtQHRa5^Q1|drOmVX+d9qPHrf2$D!#RSk9L3Re=U9#>){!0* zaS|ufi&N>%8N@nrHs{cX^XN-|1~8BdxtL41jLR9!5QZ|0D;dF6T*Gx-&yC!~EsW$= zMl*&xh;`&{#&R$B@c<7oj`7)f@=TiZ&u3@D>*;KW3k>fKAEe}?Ecq-Yae*QEE+yY* z$M_%DoUS|?-@)lE=$~4~PJwD(=K4J!+FpE$5 zj5&P4mwe4UzTsOI@Ewczo*#*IWGTz|nH8+$S5~uzSV#WkFV<0x3RI*Lm8rr8Y)CaW zrUo^sMQt{tE}OF@^{7un8nHD^Xhw5dvMsTWw4yaT(uTI|LOXWL&XfJpEbW}l-!5tX z#^r@Me_~2{X36O(iOUPg1u3~GORh*sTwX|SNXgAva(hbd%94ju@@SSk-Lvp7Jf80y zdAB$o-}fq`x#<*Y?sHi*_Z9i(78;A@7E`FXUu4nTD)P;(H5Sd4r%-bn$)dUHa420koFnNJ3ZQRZs+{NA8!+qS(gFM8;jOS4vX97>~Bv11U&+$Aj@-nfKyvFOi!JACx zZKm>0cBafsGkA73gXgBX9Tym8{gRX{&ywF#5*HYf^;1$cOEyVKTwq8Vq@;0{Y?G4h zvt-wl?2#q=rleDr9FdZv9C%0R>kK)rI37>%8l$%&3iWoTEP6YKd~XAcMQ;~UsJEfA z=_?GXy~_N**=dx3m!lZ-`gZ;|V*aaPnj%-Ce8qko&G@&WYX~8yZ%l5Ql2imX`JF^SBvKxD_7kkrz zeb|@%=tO4@^$j}W@+zi{`N`pw{JFoFH6bbEE$oKYqI3l zl#I!edsFg2mQ3_%Se(F5`QbhddnskFX4$m#r{2r{)F&zVyI*bKA+Hxz^;HYiBH)+nqwq?JtYw z4j|v$;l`r5uH>34xlN^qtca61nO>YqZ_XfAlCwF7KAcBi`Z0ikT*$>-!ev~}V1_W1 zVO+@wuHqW5<$7-9CT?LQw=$YB+(E1)cQclIxsL~Uka3JBR+7hfoQXVv3G^TKkuNxY ziI;hm*O5qUj3 zCbg)|X4GYKwqz^n(~w4NO%s~YoR(}$tR<~z&5pF8Ej!bW-H5ehPxhic9q7n@>`!M7 z;2;j>P!8j8j-)HyIGSTPmg6~*9`xiSPN5g4(VH_ki&#s}r4Q%Rm;PM9KrYJ8mEttJ zhiCKthBWWvGQ+&TD<$`2$-^m$%M8hLDS0tV-bl&hESa8?8CmjqO1{dH#VPqQOMXeo zsw^p=l8O%Oz6Ll$HYkqAjl9O_tvZEz+d>w-)g#|qQ)AIv3kvnNlPr4Mg?w*&8;jod zp-^v!$fCC{&5W6|4b6zi>{EP6Yad~e;1MQ=UH^;Y^fiG5}LxQYRkFo?kn#X92KdgH(5_35$n z+j`?;bMbAx^~*jDzjnq)+?#w%(%Oy}Rar{(l;*Q!)27y^HJr^EJIbj`h#K zIrm@o-<LRDhR_jg-|&5UnO4Qf(; z>rmTy9qJNWz8$GY`K`n5&Ks}?jo6FE#MWV7_TvCz%XctMDZh1S?z{y@5nI0UTZdN8 zW6O6kvE^$`8_I1RDi_kMh)v(w#HOz!orulDdBmpgLSoa`jqdcM7Z-C0Hhq8l_TfO| zv3aNj%=>kHlmgN3qFxw=5>(edH(OL&jnz z$pSWL#XDK;55lEprD zV>Y4uWZcquOvY`f#otZF`o?22?!vB=pNxAtkIA?<#U|q+vY3p`IGpm6@fhbZ8Cw$j z*jD5xV_Rb}8PDKM3bZGt;yJ`r>`bw#*h3amu{Zgtc%`wv^ye!6ZYo}D{5pm)98omby_9^AC7-8cQI;%C z$xm7GdrJPwl66zEewJ*Sk}b02Km4+NAJ@n(CGohMXA;ddB$koCYi@tzv5XuELM`o zd4i{SnmIg6tRycGE6Gc|%&WXctR!zTpSO93_gKIOd_=4ypR$n8`GQ3(CRUPfiIwCB zmavp%{KN`kC0RwRB){_qf3cbhR3uiCb*Rj`RAqfOBvz8@Y|N%?#un6IOSUFfl5MHY zcGP7D>Jcl+&e_$_IL+TC+5Byq=I_7W3IlYDm_jYW6oQmnh4vgqz&^4;|{ z7TsM%vF@&uMR&u=cQ?jZbaxBIx|=ME?xvFOZl&)X#-r{ZEWdZN=As_JxpYj=B@Fk1+if{Oq@A-irS;lgHW(6x*#c%vUtR<^i z!&+3N66;Wfs>E8d0UJ_{>TJShY)%bovK3oXi*2bxUAAXO>ai0$vn#vPfIVo$UNmMO zny?=S5NpZ7H04kZ<8YeOf}^r)<>WMnTW52)kmm5&+3dbBCEc>*l9XJYC0D0pXqJpj z$*3$Dmy!uta(7DZ%aTV^@&O|LNrCp9O$R#CnR7Xh^SO|V=td8E(wmF9giE=c zE9gsqu3{i1T+LvHaxJlr3}ZMWxq(rPW(;GAb>uc~XB^|1z$7L!g{j=lJ>181W^z9d z@DLC4D39?3Pw_N!c!uYAo)?+R%e+dgBX2N|`MkwDyvG7Q$gYz`X_kJS&EKVI{>E*F z*N4ASQXxy$O-bBlNVZ7HmRYi0N_NPShAG)IOZHF6L0NKSN{-2rlTvbOmYkK6bDX$N zE_a2TR}zmGc#hFqSBmv^nJjv{l6-H2j74ulDAwDJvgqw5^1a<*EPA_>V!hofi{56C z@9hy|(c9w`>+J`bg9 zyRkbB*@Hc4%-%F%U-st!4&q=A;V_zU1kE{;qd11+Xvqn*;v`PyR9e%P(}{JY9R<$f zY|fz*o#~QYC%w`v?UT*lerf&=$mZ|$DH)L^H>c#*EV(Ns)3Rh%N*>IT*(rH8OI}II z>sj)CNPwjw>IQ^Yi}%i>p-rz(oeHoB$>wjhG=Jk3!>dNEl+?+Rol+9F z7?OQbvR{@Qnv%G^kQ|?q6SL&Bl$@C*=ceTREa{n&i?ifE{6^%Ju8?a=;_*7qF?t(L zvEFWxMQ^v0?`^WN=xr*+dV4??y**66x2KIoZ_iMyx7TFR+dT5UEie|neMqt17R#cy zZ^-wy%vkjHGr8VMKgqIMwuZH+NF~;x3RQ`9WCJ#&8r9i^P1&3p)MP8RrWV^$hq`Rf zj?`l(c4k+0qXB!+h`ngcJ~UxJ4j|T%gK5g49LC`sK?{x|){$d5j^jCj6FHevXiXbV z<8;oX9ql=r4s@gw=hB7qxsZ$KMt6GBi;L;QrCd&|BYo+|RSckns~ODD>^d2h=I@wn z{*FuYH*PP?`TJ5bGfN&#N!(sYUQEf$Su#H*aeE=+uPbD$l6c(4bBx|?dLWYOF1Qdi{6@(@2#b==&cpS zdOJfFy%os!*4bF}b{@rg>n)4kE+OAre`C?xKytm6ewKZhY&avifl-WR3}cCPru_Rau`{ zM>e7w8?yj+blUNC2@Np z>6(%rS#nuQuFR4lDY-UFZcNEdS#oDeCOL7P^mB#WT@sJ?dXCZC42t#kxGZ{mihOS` z7>nLsqF8Tl%c8gU$oKZCvFPn{iuLw`EP7i?zPDAzqPO2E*4sL==xtr{y;V0By=_Xa zx6;2!+*Veb?WoHR>_~lfCf1SN*qw&#!Jh2J-ZWuf_U8Z&;$RNpFq&}$%{h{zIEG_s z$qBULBu?g3TGN)(iFKqM1fn=|Zd{7jPk6=|&HF(VIS8!ev~}mGq@Q0~p94 zu4V{Bxt8l0#t24oBcr&9F^uI_Vja1IaoouSCUF;2n3i2952jiANH%|Gr}-PV7v}uy zDS0zX-cL!~UP!)5$+uauJSA~^Az71>idnK=N;b@rnkm^jOSVr*y)0>zlEzMaS#SUH zU)I|s`?B7Bvro{)r|tGD`!L=9Wrqip9UfSAcu?8l!DWX{v%~mdhm?&TT6TC?*oxV&~%k5|=R&*R3m*RSn=-C27BkBw??=<%7_8+mM1yPC(( zYgeyOVQv2}Hf~?A;1*oG1s89@=B;4iEm(L9HgE-tZ^1=eu!$?!5EN_(3N~^D7jwa; zpx}c3=ii?@+kb-&oI^)C(V27U!g-v}1zgBQbfp{J=|N9=(VPFG|Nfj8%7Pclf(=f= zi)F!!Wx-8u!A7TGqf>ChRIu48*z6SCG!<-k3N}0iH@pQeo&}qpf)~(&7tn$msDjN; z!RDvnCaPcqRImXmxB)JBF)i2x6}+Gpyr34`2p4RI{>y%F^56J({nD59?q9b;h28zu zdVlM}f$1?`U7J$u)%9puyt*Do{?+vqWAWqW-m)wMgtUR|${ z#j9&S@~^Igjm4|$H57Yw9W9Gj*PF?|x{fy%udWlxy}Fiu+GDzG2D7-I2YHA`c#PQX zJ;_tdW)9Et94|1Jmw1I&d7U?Slec)AcX^NZ`GAl3m{0kP&-s!?e8ty%%lE`???;xh zoS#_1FRbD>Vz>7vf3b!NRHPE?P=$3_kM-G*ji}BhY|7?rK~1(~YihAAb=Z#W*@1f0 zXJ>XLc6$wINF(;7G5gSj{jl5nzxido1LIdq(k|$kw4-@G?P%7!%60NeNj$#hIYxK$ zDAwJFvgqy;^4%>q7TtYAvF?7BMRzO7cemPDbhj48y4ye&-BlysT@7Q=-BuLqZbw;k zw-fp9_AnOR?Zw}8_iw#RbCREV3ax3wX`IfPw4*&|(}9k3;#|6LJ{NEi-RMqFdT}v* zxRlF@wWKexmR!XEN*Kgoh7xPZbzIMIMsNe87|j@N<`!<{cJ5$26PUG|!S&DLL7RJLCbbkkd-y z@eI!~dMi+@xASDt+lAzN>uoH0yM$uB4U|Q1SCj8;n6c<>B*l8WO%}b4Bj4K;W6|5) z6zlCFS@iZO`QGLji{73i*IVf)J>HPTIx?TPc!zgczz4)S@-d&Vkk9ynFImjje8YEq z&k}xQ89(tezp#>D`HesLlhsrp){(WTL}jW_mGy~rWFul7*_ch(jLoURmc%-;4YjCE z9qO_JJ5ryW*o9r$od)c|p6tcm?8Cn7#{nF~!5qS&G~;lZ(}JTohGS{T@wDPZPUciv z(>A+K&PlVhb2fjwruiFh1exWn6;}4!=^tO~@z5OnW-u@!r+d9Ugw{nLcBG+5#Cq3H93Y^8+ zoI^)C(}h?^F5p7C(v2SUq&I!Igv+>`E9pyr1~8C8T+I-MaxK>}j1i3FMn-WHV;IY= z#5!^ZeK`3+N2Jxgk)B;E*; z)Jw_ES<)ya@kWrOX-W>ulA}{{T$Z#+$>~|rAtjx%qB&lP%erZP=DNY{&NOKt1ZSGrJP&NCO(uh&^e{-ZWu9VjVeauTO-Ds5=X>6}SB+H)2iIEPMjrVHm2>&QiPr8_<7#l`gD((F1ZNwait zHh+hw`Fle)e{WC8_$-;4l6$h`;gmdup( zXE-Ccfg2gk7;fekZsm6FU_29;$YkzfD$}@!dzsEmX7KohDt_Y+ zVjWq{8rGsBm8eV=s%F>8W@(nz$mVbDG=Jmv!kphdC3|GazA1^@3rX{o9F--lQWCcp zl0r((&XV&|a$%NSl9J1_WME3J&XSQS8Rf)vaj74wrDc0M^vgmCg`QE-Z7QKB(vEF`>MQ^{7@2!Hd=xuFs zy_J5_VY-C9#fdLoI4khq`Rfj?`x-c41d`rvZDgCws9s`>-$jaR3K$ zFo$p`%{ZLqwBRU?A=Z(W98W7wlzZfl=JV7{+GT$)q$(r?fBs6}|u3BgV{s zD&=#s`TbIw-*J;6c`qd&WXb0#iJJ_`(vKmDhNKH<{1dyu*7e-~&D)R+CRz$me{)A{O&C-x90I z4=iCR%lL^E{K6`J<#+zzFIH25imc5#RAybOvOXKK5!Kn4P1%essKJ(OO{^x{Qk(6l z%MR3|K09YuOXD<4n`HC1X_~)rlVQ#umy#2*q)kfVCPUIGC0(+ldrIOaL((rL1G40r zlw6-BH>c#*ESZ>+yRu|fN*;9LIvL^$dAuYZpY$A~x7ify?G;({_B#3A-Zd7zy-%^; zzLZ68Uy<+aM`O|3a*Fl#r!0C~L%z2v#-g|NDAwENvgoZQ`QGXni{7>;*IVi5JsQXw z(uh52%-%F%KVls@kb`K-Asj|Cj-Ulcax}+q94$G46FG@fIF&ZE<#f)V9ql=b4xB?L zI@5*oiFM>6y3(B<^rAO?xRh8&uHZ`g(Vqd7Fo?kn;To>xdWJEA8@Q3t+{Dd{;olCLqddi}^i^+G_*I0CS6~(%{P8Qt_C*R!|W6|9$6zguX zEV`RYzPp*mqPqvkbyxbgiBHRB^9;}OJTEYpmx;CHHC|^PZ}Jvz^DYZ`pAY$nPxzG2 z_<}E4%vXHFw|vhJ{Kztv^D`@0$tr&14`MA@%^KFCB9&N&DpV!bk`369YE)+vHe+*Y zP?N3Lnp$j29qO_@J5rCG*qL3~od)bdBle;(`_P2_IDlA74yGxGau|oxoE99FT`MQ2 zIovv%!-X`5<3_{0zc3};vgDGK#EpjJ>XZ!4l94Hi8x6_0luXEyyHj#smOPr0C$i+Z zl)RWF^HcJU6R#=PxI#WGiN}vU$LMV##d`Zr7QHPY-`g+7qPJfu*4x^$=&dsO-ZnB8 zy=_df-nNlNZ?(zyR^M3kwhP62+gldB?MuG5LySdl&B*mu`g!k`vg2vRiJZ(Sw5Bbw zj-0`n6ll-cbf6=hIhXS|p9{H&ZuFohy}6i6xRlGeg1+?UDh5)*)eL4R*AnZ6i+jUXLye1d6Bui z%&WvY@&@ym&s)61do19Cbe&XORC3K6vvS7@J|S27MZHIreQ@sRvcqGt!}yThv1Oyj zl^wP$J3PMZ@Px9%R@q_vgcHj~Pbxb+x$N+ivcpr$4qKNUw#g2CQLm%)Pxr+?-1ndI zMZGKQ|MNw?|9nyJKOdAVSY`kDpyWjV4JI*}yO_dMrg1m-v@iS{ALvV8)Z4o5zrLt9 zwhUieuD_xDwqU9AW&A{J=YC-&<+lZYIbTf$)?#fc5!-@wsml7qc5Wl8QGQ#nh4UJ0 zNo?oJZwt0_9^1Jci0xc`cB1^YprP~F&h1HT=k{hFVq363v7I}J*v=iop)})gnsX$! zbAS8h;7P_~TW~7HwgqR&Vq0(y|9xBFi+Zp1Uon z#$X7=HU>A!VqTk7jI8cFX5^-9M)?`Jwey&f+ftkDs7v`7xwG?_k-M=wur1E(OW%YB`M!q1Lx6OBVr}_ zyWS2o9xF*xVkIfxTMOsW+tD1u-}QEi@mNXP(3bMOwRax9bs$!fa=n$VBNrL(N_TqD zi{A9%Qeqvsf>=lT(VqbfWDtWH!ZlpW^$cSKHxTQ{Xks0?nX%l;ZNxepaV7ZaBr7yG0hw-A=x_$;P6&sT6DO0a-NnF!|=5 zHWtl2L$T&wlSOm$$TzpZSTy$`#hP0zi{`!|-`p}|(cI7Enk)UV%4*pf)}kVnScfW9 zC03FR*pO;eXA?GMb81kNt=O7cY)c*LvOPOekDb_=UD=HW>_H>;qA~l>g#9>xSV<11 zDTi_xhjRoiIEq+Fj^#Lx=LAmVWKN+qZ8(k7Ig@s@=WIIAkxraT7tZHGE}|RV=}9jx zrVp2LIkA%Tr5{%@fD*1|FhjE|WmLKz#$?ySxO6?lJ6NuV`%*G9OCC*0yn`iqF(of& z$^4YWJ6Mv1DfuExzDvoHEcrDhe`LwpDXE+#8>eJ5Ctgv8xk9!oiN|d`$LOs##d_OC z7QO9GzPG)NMQ{63thZ*e=&d>V-dY-q-da(tw=-nXTY-FUosC6r=TWS;-m>WJ67s$E zHx|7OB-dN%hgF8jhBJ~I7{zGDFqT+HZsT^wF`fxbWHM8j%H7<6~SjcC5!6Fv(HQ(?Z-?M}t zS;kNN%rC6uSAOFU{$e#1Sc|o(L}jW_mGy~rWFxAvF`KX%TTp{7v+HDsG)wDe^S5D| zzkB-Y4l6|bb;m*JpGZ6;OOH(HG1=@sHT}7^S#nlN&dHLlDd~|Vm!;&&EE$rLYqR9W zl-!ghccx^L6W7XcSIONa@p!N28QsmGSa*-hqPwTaclUy^=)+OIvbz{-prsTRSy(isPR-5gp%MR>FeRd|+ zlHJ&yhU~$fX!zf{131>7Z^;R?;v`PyR9e%P(}}gD9R<$fY|f!0o#{fXB^PiZUFk*- zdeNIc#9DG0mvbe3>CXUSEg8hs3}GnOay`Qs!ANdo6gM%3vD`|mC3i56JDI>F?qUkl zvTNnRG)o`J=I`t@f8!>@>%;3Qc{5AiPf6TlNWMzRw^_10C2^A>S(B2AS+ZVAHq4Tm zDcL$pwoggDENPUI#!g%(BU~Z-m&D_Np5s9@w z4zlR26ZzgQG8Vmcr&w=S$fCD?)< zS=`TqJj5eBMyw-G66?rp=I|`f@d9&siC1`)*Lj0Cd5gDsm-l#|5BP|W`IOK2oG)3# zSA5O4d{3++KeCkN{KN`=VHLj->&Tz{#TqJ5kxHyX71m`v)@MUDqB@(fDVwteHQAD_ zsl~R`VLP^G2kKFuo!OOGM;g$OM(jyr_Mr*;W!K5!X_mIg=I`-o{+^i4-!oIvK1C`L1eo4JKsxt%*0&jcninY)nNSrdV$e$)dMM$@ezLSoHQBx!y`Ytn!9z z9`kvNcX*ctd_b%tAM*(d`HV04lEr+@H+;wUEa69%@e@Du3oH4R-}r++Sxp7jVr?o> znJQFeePSKih-z%iCTzy$)L=_u9odFj)TRz~*?}FY&ra;ZuIx?&_Fzx;VsG|gU-shw z4&q=A;ZT}!IL&FnQ5-|8BP}_eR-DMmoJwojX4lC%X_j`*=5N@oWC+9{j+39 zO5!F%a#KpiX33o?iJJ_`4DX7?1$@6B{=fN!y*Ii>zAK5xAN-(bZYjl@`&|~z{YAdH zb&N%G>r$+_O=Z#C7UY}T)>t&R9mSg4O%}~HB;Q;UW6|9H6l?AXSu}Sf`Q}bA7R{YR zuDQ~WtF)69IE%A6hmLfn3$c=1z=d?B8$IYrZ~AZvmvK2)(wF`WU?79InjsA3TCQUl zBN)kzjN&H7FqT`1mE;b_aVHa)#AK#0jaW(U?I#{{y0zY6i+jUXL*hn zc#)TQnOAw8H+Ylzyv;kj#{xd!BVr}_l!biG7cAl{zUJHPN?DO+{iJ> z8jIdup;&M4%A&XT$@lh|vFPnfa=n%QZQ_rzr7Y(sR`3g}_>EXc{^T##P=Si9%{o+J zUDjiLHe@5Jvk9BBIa^SZE!moF*p@nM$M)<%J?gVFyAtb20~*qZJ!#C|G+{qt9XXJL zXv!fR#^D@63y$Pyj^Q|3asnrE5~pw~ZD`BsoJl*{a~2&qhfZ{+3+EH-$VGIeJ3Z*d z#q{CQ>^dn)vvhDae}|{}8@Cze{Ou_jpCwaM@~_(r?}|N~-W7W+OP@(;++_H3^U|Mt zD@#61$tPLzO-jDclH$MoINDXRUP(M|;CV)O)hO28R7WwXWG#1_MM6vGnl0|p> zkniqbW6|BA6zlF-S#)?`ZXRSckn zK@4Un))HUX8~-)0PmiTv*c%_8i!bbLTlR^%)5;D{FFQP=?C{L8!*HEZ8F~-#2h0qZz}^+`_Hg&K-3OckmU+rGcsI&5Zqb81kN z@>_@6&g)Q@*!Jy6J<4w#c6Z)@J!r&UG$ytV`?4Pg5Zk_kX-fI6Lv!aXIEvWzmEStF zavs~hlZkC#YuZqL>rikW+rG1jZC^(^5nG4zh;846#I~;+-RVg$F6I(!`~LRL!-2+Q z>u@#YwhsT{&BKx9zpnQt6ZDpnc)ZOYiK#e_VpH*MSxm+I$WO(GjKx%ZlwwoyIay4_ z7s*e>H;lzpoKLZ-_^~Xe;zIIM@oQr-6~Cj{RQyF2Q}I{wQ?Y`vn2KvtY$|Rfi(Tx- zY(n{|xTW)$irY|&+SH-^RIKkjrs6K_O8Kd{r}LPKdsA#G9wLjW*o?y|KNXL09#gR; zv5Rd*ek!E|#I&ZPWQJjZ!V#m*F)ialg86?>DPidP!zOMkB7@229l#;;=-!!Z?0 z-w(aX@fgNtcQljIUB(oDBQn*1zY&?yzQ(`>?se1M$x|tvlih>7lWy$X;`72A-P09LMQv4V1$GA#%DT&A3JkRK^A+e17U3dE%k7eW_Vi_sl z-4V{CyCaEZ{|kM7PQmXW{f?gHbnjC3WIk@DU3aURRaWn9kR zbvMX(EF(i0O8M?aIFIgbWEADPEB!>v9mdCTCli>&WTr5USWE6D){+^_(U=fRnwd7l3 zE%|{ZEM*x#v4U7jRuOB-@BG1Etfm4LiM3=MDzh$CS)UDwwWK;5vniXg1vS``t%#9Fd*cC9o{^S4Phf19S69dB~Ei$5+UCuB*Rl*F4{l1?e$BwMl-!yn6H{_mmdr}YgHF6lcC#zw@sfCa(sPX7W>c)US7g!K>*RZT z*I4xSKE-67RX&`GzBle^*d((vdh;`&Z4x%ZCa2U-vf)*Uf(Hz5ZwB!U%a57 zwC5~3a1Nd5Oc%~4){%?oN_TqDi{A9%Qeqvsf-C7qe+E#(AOd0BulnRNv$l|DJ8pRN$D@%$4@&nC5KB~Cu3b9 zN0-Fov7Te}c09#;J53h7ok_m8j>e+5b1BwaPg(SKG5Oy58jIepqF8U&$)dO6Bd_cqg5^!5O`-bz2s^0aI=&+shI^8#~unOH|&<8|ioCU5aJ@3MgR z`H+wJgira5FZhzhe8o3>%lG`ik1S(3KeK|Btl~HRAl8x9tYIxGQi*k_LRDfN*?}+OVn38T;a!E=q&yuTCGBis@resu>j7!OcEV(-+_hreW zDS0AGo=eG#Su#H*?>KRt+~NxPup}No_8gnM1rC4uk%c8f+ zuqmY^tLbg-VQMqy)`4(Tj{N6OWE?^L^pcSlipm+C0xqoTtQ#@a}@(A;c5mmlxvA~ zWEjI4$qkHRG-DV`tRuH^JL4G71ST<=DNN;V?%_VBGn4yyfQNXPM|q4Vc#5Z)!!ta` z^SsDhUglL|9eIO!%;zoM;XM}cL3W)iO0)FqZ2m4y^EYlUtn+`Rq(YXgo07P_kZh5X zEwg01lDO0pZf(~v#blg8{# z6ZU0)4&WdT<`52}8As5ZBRPs=IF6Q_Kr2q-WKN|uZ8@D-N!n51EY9W}I?F`GF&%k#XzTwdZ8UgdS(;7#7*ZQkWQ-sb~8;uAjQGd|}_7V#Bd z^DW;K>&TBRWjQ~wf|ab|x9mEplxAs_Z2neD^EYlV%=ua=sgor;r6g`JB>S}gPyDUO z+g&5ql*Hq8o@F#QoMO%0B8%p3C*Ry;W6|7HiZ%CuESh_md~;75i{_r8SaYw*qPcnG zn_FNkn){Gq%`KKibKj6}Zke%Y?q_n%m41?CwQLP*QISflLlvqLE6E0INHwan37fJx zHK@r}Y)vh;r4Du3o*k*jPVCIC>_!9jpb>k~n0;u%ejGroBnQ)!LphAYIf51(MXV&p zavaBV0w;1Zr_h=8X)JncMX}z_kVS6=^1XF77QLNEvEF*iqPI)P_txK7^fr)OZ>67OA0`{l zNN!*hqZz|kVja1S+Zo4rCNPo7OkpZ_a}W11otfOv13bjTJj!D{!IM1A9G>Ahp65m8 z@-nXy>&P3-V?J;34)3yn4~TW-V?JRapYa8YSj^Xa!*_ho5`JVEKk+lau##W-jX(H{ z)l^_D)}|7bsX|rOC)SaTsK&-@!e(qi4Ytg#lO575t)I=`hH3uBErvONP)ZKTk|R?R zHyDyrQ_?m|&Pqw#U`V>Aq(_!qmXa&8WJpS`&5|2a@^`-xInEVwcS$_n>p4bmGbq;E z5Nr5ioyMQ{3W372s> zSJIdM3}7IGxSAmh{`s=recKmo911S_f{VUj z(^qie7hL!S8^3~!zhLuMumBV+00kR~f<>TU5h&P96f6V<3qip~uwXGLSPTj_g9Qsh z!N#IsQ7Bjx3N{x73q!%eP_Qv9SR4u#hl0&v!2(gRK`dA#3Kh=aOxjVPJ!kRHzd={9 zq51#)H|V@j{_|zMOZ+$Zk)a#Pu61%+yG^7!G(wKc{!hYE8{Xh6+y?44oUMY#k*F4AQZXU(D`%o6$eL}vw z#m1t$Zz$H?&$8%lCHd}F8;kDNqF8qu$fCPyNy+Y6vTsTb$dYC$X`UsmQgX5r?<7rdg`8Frk7sy}(OZFHy`3kE z-Yz8HTW@30+a(n1ZJ;cAyPAA&!;D35BPrI~ZL;WX9Qod+7>nNSrdV$e$)dMM$@ezL zSoHQBx!y`Y?eT^z){*(V#XG#q0zM$tk&pR=g?z>re92QI***pd3|#4ha0 z?lfQz_GB;iW*_!tKMvp^4(1RJr5T6QoE99#F&s-vj;9qTax$mVnzq?>a!#71owNDd zHO=36BgmY;G9~@9WJpTljUdTQDH)q3ccx@gmdx&A`w{ENfgD6r4&g8k=LlMGBu8@$$I+4#IFXY$g;QxmTTbUp+R>h~=)gI2 zqBC7MpIApOqAT6$K`$<*50_@wNlBWegR}WNJk8%5viW;^O2%i&)Rf$lB@d_Mu`GEe zCC_Kcyp+6^B_F2bf9*HcC%Zz{D~ZPqJjdv*8pV3sN*2AA(c5Vh>#d_KdOMeVZ{3VVZ#~KNR{Cj=zOsH?#Q;he z#9)RJ>&SIn&u~U?12;07G2F~8+{*3T!FVPxk;&Y}RHktc_cEQC%;EtaGd#=lyue&uCf1SHc%6B?$y>a`yDZ>+KI9`l;Zr{23%+DAU-1p!@;yuVk!39B zXI8M1Rs6;u#5%H?HLOKNDp8p#RL!oF&C<-Sk?}DiB^PGNB`LW)O9rOo>MR+Vl2J~)p4{aMxuql?Z}S|Zw{aBf z?QU80b|3lP9x@iaJxa0Oo|8pyFOu)=4P(*Ue2Vq6FHevXiZyU9XW$DDbSv?IfssP=3LI> zd@kf7y3vE4^yXqN;ZiQ=O8U~Ds~AWLS2LKQTuZDY!x+v;ZeSEQF@~|(buuZ<(kboB z|IXmQ_J}d_pGx_hY<|C#=J%`F{C+PbA7shrDOr>yOH=Ywmi(TQ|Fz#5oZ>p!r6eAA z^Gu_?h7@aWe_6D55c&3wFc$3{NwM}$l0|!`l5ek_v1sosinVuvEZXZzzP&!iqP@!~ z*4`jlv^Ru&dn1fRdpDA6uk;ficgV(ZCli>&WTr5USWWKbK4vhJ`+0zec!WoJoF{mS zrji}DXY|3VAK@GNKYhpFomfCDbU3Q=z_1QVQ zS{kRB-6WgYP1DSdn+(^*{G9XK?Ny+tDa&t;< z&60`jYyIo)ApX>>lszc(x-!)@@_0!+KIvITbF(Sd+$*wZ?sf9by=yF*d!J&>eJP9P zz9Qe;kH(_8uDR0Bd^C_Xq!D}4 zn7wJje#A<0AP3QuLpY3P96<|?Q^|KX(^z!(0J-i; z|3>j?*=(NSS)S(w=JGPJmb}L6%;Qbo;%(k#0q^r6AMpvF@)=+7C5!orZ}^t)`GFr< z#&UjU1uI#_Z~Q^5C97G(T2!PG>rjQN#9Fce8&ZwxY{F)2P7P|Z6SGA<<(vgGcR+?OSfrsRn%c`hX{X36}NyyL`c%H6Jz z4@=_lW6v>qTS&3qzLQ07OUU>3i?QhKSBmwvwk&$9Oun~`j74u7Q>?dbWYJq~^1anJ z7QO94vEKHUMQ{6(@9hv{(OWZey_J6EyQS=ST5%#La|*3#OROVja3%%Xb2c65NN3LF zJkIArE}|Pf=t*xb<`ORDa;~5+{ke*PlyEhJ8OpW9Ix>vmjN}GJF`6-qCDxJKxSer~ zX9AO$%oL__H}`NK)0xTrJitRd%%eQU6FkM!%;6cH<9S|WE-&*cv5vgKJm&Kj@9-WA z_#j;;6&IDzOdKPf9@CdE~@{}7xw=1g}wiLVeda5mYmT3 zf9k`M|Lzy|9#QvSU)UR4hOaHx-%x&Au+;f7ej>JWzp#?>+k(HGuciWPu{M>6ZNa)! zWqo2hw-MDSzb)9pc@4HCwsYmT1=~4~?c5H;cCJ1by4 zifs%olf}m1O7a_nLB?WZFoa?ogBxYBF}R8R#^4TPu`#%lVjF{dWw9}sL4ITKh_Toh zJWjEV!3(n37`#M&WALW2*ciM`ZevjTag|SH3;CQcSj1vtMt)1o$RAk3QkGGEMy_%m zGxB%-p!|%i=safRI#gy|s#1PNR(BpVa#J>={EXb%dCbUdsm*rOrTmQC*?G*!-PoP- zGqSPsn2}8=HX{#{#f&_H=9Hh2$2o7w3AEzxX5{I{&!8Oz%FoD7&O6g3yJ6{-ZWsDw zHxm8QjYPbQ<>ur1l#Ixdn^O|+VoB~w$+Rq)m6CW5OENnp&t}OhDS16h-cQL#S@LB{ zzRHs2DOusf4a>c*lHW_>@lVe&dRs%RB!Ac2dd8!-4T+Vcd~cgOkKSq$E6LyWw!QJ_ ztsb$Gl<%#9^XRP+v6B2SW1IDcLnk_DRWpS#oGf4$qR~Q*vUKoR*R^v*g^AoS!8Z zT$Pd%C$5wGTp`z##N&0IWArwhV!hoWi{5T0-`iwk(c4st_4a@)dV83BZ%-SG-kzaY zZ?DOsw|V4yTVO1D`;cP2EtW-Z-;nQZnX%~YXL7xjepqF-Yz=EskxHyX6{-^J$Oddk zHL9}-o3c4IsL57rO)a*i4t3d{9jV7o?98t0Mg#Vs5qr^?eQ3gd96+oi2h)^8IgGy51&C)U1{2iC(Z@hoyI=L?;GqdE;l*Id2k{46*a+b_bNxXk0 zS(uV9vgEszEXk5zQ}Rcateuj|S+a3THgn=SneGbNsw5t_@f@SK+7#<;7g_YSJNe%B zHWt0@OR?UX$)dOB&Jgy`4v~-g?WTw@b+P*56q4HjrFz zr5{!qCL7L3ZeSFn8N*m&9l4F$8OL}gFpuX?8>?C z7k)wsjif?@P%@=N=FFMNRHm*p%G6-USk#q-D58uZBvT^FRFNb!DwUy9Dj6zc=HAcm zf9|`h=iJsl-uJ!Vd++yn4*NJh>sjaj`{-Hw{{GM3I?rWwuW`J>YrM{QCh{hec$;^a z!c^WT=E!trFpJsDVJ`DnK+KU(`HV#@W(i-gj1_#zSA5O4tYQt{^8-KeGr#aFzw;-5 zQHqV&m`x}{S<0~mF-Iy;k;+tIYqp^pHL~+$r?gA!XZyEd+P{sn{d;gq4$YEdQgVEj zoSBldvgG`fw9k?*De0ai*QDh7Ecw6r7t=>ML!K&*$7j68=xr2*dV5tCy}d!cx5?I` zw|6Pj+lR8~?IZHNEwmQBeNLg?zL7<5tI7Aa)>`!T8-;q?R2IE$M!vU7)}ptq$o01V zZnT!HHak$4o!FWBG$7{4?(9KB_M$O+vky(#j{`W6gE@plIh-RnilaG(V>zA^IEhne z!D*b%nY83A&LQSVYl=9ZwzQ`Mo#;%=k&C&6E_9_kmvaR@xQc7Imh0)s4fLiDeYuI7 z>CdeU;C2Qwh`SifJq+Pq?kDERLk!~)9_2BfU<4zx^JGlg*<-VvJt6JvxV`Y+FfAoB zvt)is;`TzaJS8i$d+8Od*aXfzDHAZhUDAe1>vgqwI^1Usy7QKB*q27LwMQ=Zo@9i&Z z(c4B8>TOF|^j494Z`G_tZ`)F+x1D9t+b-mL+sj(?wl}%n)_+Om5Lq)0<8Y4TD4KID zF-K0|L{8=uPUSStpe3z1n{zmi*0iB5?dU*9E}%0PaWR+Dm2Ov3ibAYEP5M8zPAz9qPM3g)Z0t4=xrSN-X>a$ z-X@XjZT%Nirpsn9i`mR!F7sGG%#ly|j72PF2}@bV3clnkzUEt2v4-#YfuH!9U-*^Z z`GdbG#YSw*CX}Hp<=BFlBNeDfWvZ|>RjEb|VvcN2O=?qzy6nWx)MpoVWjFRartoRys??b9ypl$61NwUW+^#5OO8v) ziCNMrCFf*GyOeayl5Q!v+=26Cj5Fk#;&{ByYmDA{QK+|DWYOCI^1a<{EqWVDq27ke zqPHi=_x7B%=xsEGdV5_Ky-gtB+Z1cj+j|u1ZLTbOn@_&C#nz&?rQ~{B|L+f1$yW0n z-}56sv6f$nIr0a8vW`-eW@9#`ESs@8TTq?~RH6!7QI&0|P7StWdumaK9oUhbs7HMo zup2Q)_M{<=XiO9Kp(*Q}OX~kKb!+Er( z4d>I2_H?8Zow=-a5#l zw+qPk*40|{b{VG5b{>LM= z&-K`-_IV!PtlipUi`xEMy-IyvyG^N5wf*=1o$p|y+HE}!s@=}x4z=5R99_GE$HU6j z?&yEas@=&KiY}lt7jh97a|xHyg|2j?JC|`eS8ydgxQeT}hHJTw>*+}^Zs10G(}!aE zaufZynf~0uKR?g=&(HI2X&;oQ0u`x5WvZ|hTT_*7s77^aur1rMJvFICZR+sf^vjd~ z#uxo|S+`NCQb*T~pXZH%J<#~Rle@T^Aq?d{?&m=s;$a?TIFIuLPco9Hd4}hBp3%I> z7+z*9ukadi$v2(}yvbX<&19x9mAK^lfN9KNCbOBtT;?&KkNJepSjb|Qu#{yi=Sx=d zHQ%y|HGIbp{K(I&5SI@7u|EeAmwboNj14axj&|IfV~I;2(*tIE+GD@hMqs#b?QH#h0wbRvbs6tvE>*Tk#$8TXDLz z*ow0#v=u*<#a3KIek-o97F+Qv3T?%oWU&>0A-@$%S&OZ>F@?5b1zB9hR;CIYZp9jo zV=Hb?O=?qz4Yy)_$FUW6Wj8k5ij5t|R@{d|Tk%j?Y{eruk`1@w@s49Fo!ofacsp-6xxd2Ww8~nAiou_x7L#zxRJlxinm(7joY~cTXFp-q4#(` zgnP5Qna9$-#E9%JV^o`(|N3+y?poeR*~Bb+H{F56$6Jz*QnDaRK2J$}yd_zklJB$R zx0L*uC7Y#Wi!9kHCEH}l4k_8mf%}=U&XHY<<8gPdGMZ~h43WQU?f~mCL=Gl~$cD`w zB=I&xJ8#K56`zsGwAI2j*%40mv2u2c<(+3Su!*w_h-p)XJmBxMD`j+r)-SOnKI5f@@jEBzV3e`nwvnO=H8P()<_r#+8J@*JZW%?pg-WybPKwoBhmyL3vngJ-0@9UpMn^`E6= zah80UlK6m2@^eal&615$5+86$DyF1LmTa4nnpv_-N_NYVy;HJpn=PWz|LL#Uzv3)8 zt~ee~@H(TrlPT2Q*|O;FJo4Rjuom52K%wp~lSOw|lJBmkwdn3f3UzmzEV{dce0M{v zMR)g6sJq8y(cP1bWW(;BcO2clNUpo}-(ndro4}jA#oJ6~3R8($@&VJB!AxfJA#<6> zd_LwAK4T$^S;A75v79ei$=7_tD%S8FKky?zvzA}^otPzmv5t)>%_eM0S;`T!WJ}6Z zkxEoyYpPO>>TJt))T9=5sLPJ*Og(m?0lTpWd$Jde*qbKoOH=meKw_30LNgBIaE|0? znsaP+uAH9saLa5D7o|PiHrw5oq@-(>T$Pe*v*f0f^v{w(DH)t4!&35SmOPb`XR~Bn zN?yy7Nhx_JOJ=2Hjsx#0uR23ME{?}fy~gNm5rumDN*29+OTM?CtVM6XP^h{pb8CP&6S8+AhaveRnfg9;VF*nhV{@hB; zk=wb0LEOn;?q&%05_9AM9%L8~^C*w;I3swHr+AuYd5-6Kffsp+ml?+^yv7@hXCiMh ziMM%&DNN;kVvbB_2D6yW96n+m3$pX%i?mBuWczn@+P`s=;r-#yl$6Sn%~BFK8Io;M zQX@-tNJ-peNE)W3u{R5+@6vMfy!%({6ThUD(qmG3eD=?smj1ajv*i4gw0GcKdCggJ zVR1ZO>~%(WT`1JuHL~dLdh*@%wHDpoOrh@Xl0|p-knipxYth{!6zc97S#&pwe0O85 zMR%`KsJqFs=Stwh?=Pcn1ma&4B#4P!SZ&}S6zUK#iVlBV$ z8^7}>e^H9kY|N&VVKd6H1zS>qN>pYmwq_fuQG@M>SyGGI>_A<1VrS~pfS4t_vj+{? zi^eozADXfs2XG(R?oX~|ifL(G!a6mdRnX-`Kw z(K$O;E>F9(N49@^rTrT>8utBwlnl(0p(%+Q4apNJ8JQ)cQxdlsk_jn!D@)!>$+Rq) zpOR0qWNAv4XUTUd`O$&%g^0!^wx@eZ*8naZ|%tSw*H$em&&@(jqY5| z74+b0VvbzL_4MKfdeeu#^y6l3;Z_E4I|I3sySSTs7|Ole&jUQf!#u)p9^(l{Fp{T< zIr1E%7|jce;U&iM3Nc4s=M5$>k+*o8$xPv0-s63yF`b#r=0oQ45%XEVCw#_27V|kv z`GV!FU?pD@b7U2(`Ht`Tk)K)1ui1IBN!q1lv;A8!?ccb~u;**0q)wLXl9IU1knEe1 z{j=n-lpL8QC#U4pEIB(R=Vi$SDY+<1E=$RkS#o1aiXAvl-f)K8QXG%Bd5zKA9Te*A zK3VkkAo<=Nw-&uUNul0eltphZlkaW3wdn0l3ibAZEP9(kzPEYSqPLGJ)Y~#y^!6qB z-qu))-hLq0+xl;^{3Tn*MwDg~Hl-}(h&i$)<*7&|s<0JRsYZ3SWjkt8i#pV0M|P$j zyU>8$*quGui$?5C6ZWMk`*R>MM-HJGhjBPZaum%umY5?aa3Uvj3a4^9XV8*XoXt6$ zM{C;9mUeWYBNxz_i@1bK=}I>)<8rQ~2Ul|~F-LmRiyP@pF*nhV{@HmlIPKCQ+5R1t z_HW!`I2)c#$@5tvgE6je48b|q~!N3**GO-vZQiK zwszn=8Sf0)wm2TQ_Zp+O+7#+-S6TG72l?Liu@=4UN1@)1kVS7tlke>$YtdT^3iWob zEP5*<-&-eZ(c6U->g@_y^mY~b-fplKz4am2Tblp3S-+h-7{r|n=5B^?FEK|R;6aA* zFpn~v#~HzsJjK&I%X2)>3%tlnyv#UW;Wb`oJQI17NxaQFOkpbT^8wSD!7OGohq=sS z0Wn8D&-}u#{LY{JMJYC7V>Y1-WhuuNY)J(w zQkg1j%{Ek{Ms}X;ly+(TZ2vY)`}beB7**ruVn??ahi2(9DUDkUXT+K5pF1l{&QD3) zVo18AqnmOND)kI#6W(cLHtb@!?)x_g6s zcayC}ckfcDyANg2-AClRTWBr1`Za}Ptfm-~rX@({y#ghzRdCm6xV>|7a>cInt`|4vBzH*PWP z`DrPcnI-d661NzV4c!c&cRe>5`|2HNXL{#v_(i{eex`T7Hvjxg?>}FjEOOIZGrcCYpXrUqcz10^p?BBgWby8LBKddMGpxnCYby%9yS9_XyK6`C z@2;0xi+9&<6nb~PP8RR3y~w}2_Oll6uD4L=-Suu+yt@u1|L*#*wRm?OPVU`x{dYc| zlZ|3DFEECe7|Scf)!ys8!2~Aq7L%CF6yD`M-e(%qnaONEWG){up9Orvrz~VKpR<%N zSk4Mo@-=a_w~Ez#$M^imPpsuv;%e^?{$w4cD9t8pN?A5zbGD#76{tiNwxTNAP@NiV zM@?!`haK3Fov25B8n7F2wYMh?X+&e1urE#7A6I+-Km3{AH=QBx702TTUSo7OgF@YX zEQ{_wBj4RJYth}86zc8=S#{pZ8JBYl%%cqFah~8wM)EYz@*K}I znimb5J4|6}cI7`OU3bsRuE-aqEAsd-$W{EeDOr;xzoaBS z43d;dNx3YkoRau3NK!i`b+csGl9@m#Ml zdMlz(Zx_mIWmjc%waC`SU}8?Px*{REM^HyS;h*!Xz)74;3r^*9&ZH%0W#>uz zv`af>`?pKlzwtqkJ%4>lZpf0GQxYEpN$yF>y;<@|O5%ec$*7dPkR`9CuvovK3dC)IG?t(rvshn zOw5ssxr8osr8}2#1wFWmYq*x{>B$ZBrVo9&iJR%qt=z`#3}g^@F_?Q8!oA#2%#nu} z#v?q+V?53XMiO)68J=Yn&+`Ifc!{x$<5gbc4aPH(x0u9a-r-%Q@;=j;&P-D-6D(L z29WRVZfnuoPzv=nTo%1OLB6-=tVM65Db(BRvgmCB`QD~ji{9R&P;YZ((c66Ty)CvD zy)7lz+xl;NtdgzfJHF>feqt@Z5_9Aa{$w4cD9y%fN?A5zbGD#76{tiNwxTNAP@NiV z$M)2s4m+?TJ5i7NG+;Mkj_gT88qt_0>_b!bC+5gO985C~<#3MVD4KH&$8kI-auTO- zDyMM%_RdOMkX zZ!N7wZ)a1ew+^!C?E><>b+s0~T}H0A_22gBDeJ|J^ro1;^rJs9M{Z*PcQBAUxr@6Q z!cgwxejel@9_CSo^EglNBqMp6XLye18O@7~;bq413a=4!WIPjilec)A$xLA?F-JaN z8Z(&5Z00bRdCccyKH)PKvX~_-Wf{x)l9hbTx2$3f-|+)K@-u7smEVau@)zsah|+9A z8Ol;FJ5RPwyR=%ie`}}x8@CtU8}>-aURknVO5*lHa&$_L&5{-=iQ5ZFQA*lo$%QGo zBulPJ$+cP1CnYy!$)J=BcHlgD#~E^8aXdcYHAZj4DAe0ivgqwu^1Z!eEqWVAq24CR zqPKU*_cq;H^frq^y?rW+-WHMXZH2Yy?JElP_LD4n`-OaOrL0A78VZca?XYA+Hw47Z}6KjOCT=OnE!)(<#{wo{@HN+-BJIpQU7RmVB9#xXqCKoRVL& zWTTYCZHA;`N~&bZwkfHZCA*|#w=CH^CHs0a)9C;7w+E*>PmU{&#}mBH=uD{zyOBcO-6o6f?jYaY5NpxheH7~MaanZtB>C>1 zw-(*KNUpo}|2}cNYyxlc7H>0|DNH4%$p=hh1~Zw>hs6@tW(iAK#&W)7 zC13Lmt60N#{J@X=%vyfscVe3S#X2^kG@Gy~WhqBYlPxJvMJiE+t*J^is1BqF32+cT*!#R?pY0k0PxpI2i!!5HtT$J{3 z+-lhOm!za?mRyyRxYdx{l#>2gGAJc+t05Vdl1H=TsgyjMCF4@^T9!;o$vasxD!ZQfxDQ+c15Bh#6| zEM_x@kC?}Tbe@#{qWHaucID2cd`E8mkMurU^3}QLN}i6&p2pYgo-bJ)UGnsWlBX}0 zJRMW=^rh@+{0T3Ytd1>tIR9Pse{zijCNqO^C~a z%_zqf#N}KCDzf2a!8VSoQG>Xg+wihr2gh+aw-a$WSD#(j@Uozx3!dSqH$qx zF>ztgg+dnw*T~|+;Ck{G27Rr?g~81fx-hs)78eHhkiRf^$XZ+&JVK!hgJ)!MVK9pP zg~3>BabfT(g)R&x%i_Y|UGf(OGp)si!H4874Ay^HWua^lpR*vU&*1OP+?p)3l^x$e@j$B8~kzU+DZ~D-ee%#D0+{yrMXCQYH zbL4Jfjtu2q?&kqwjy%jG4CgVPU<4z1nwTTcF^bW=z!+X4=Ey6=9C@8Ln7~Bd;%z1~ zg?D+6_nF3YW-^obI<73X=Mso7_qcbW80ot*x>Q?ozs?3A3BB^RXR zqAa;AC0Az2fBDPl)0`u>6vyLjUS%|Q2ZfruPZrHRNWQtptwnQBQmDBXWzpQrE;L{_c4traq7i%3gnens{v1e5l0#_5 zVI0np97S`EB__!UoXE+X!l|6j8MLGoXLAnc(V8~2r5zpU$OUxfA}--ly3&oyxST8L z!PQ(#Op>1T;zoK?%uV#8e|Dw}PWyLAwtt7E{TrWPIa8iZ$@5tv+f^35 z?Loe`eXK=q`%$R3BV^Ir(d2tO$y)T*fv4EH(pYj=tSj-Z>U>Pg;lCSuhZ&}3} zzUK#i;%9#0SAOSD{-P8cu`!!ahO(4n3u2B`pdyv2!q#jki-q|NKduLJLmgbk3wDXK@ZOOIlOJ`Lv}y9q2@7VwPOYC3K-H z-MO4A=t0bqYq*x{>B$ZBCT2-rZsKP8b1MV5oq-JEE(UWCL%5gwiCOXx!+3;8d5k9* z!N}}f8I#VHvDvvYA)PC6li~egT1sYS$^4YWO@?H7N>*mccPWXR49U8bl+KdPQ&K)l zs;6YTEZH$7^|GWaCqDdh1BOw@a->Z`~-=+jX+&trz*;`dN$KZlO?bcgv!;q2zme*jn^9oLq0~zpV0{ zY!st;fib+qSY9FK$m_%$nZQKeViJ>?!n?f3`%GgxGnvhY%;h8Ivw%npUrHX#k|$I0be6oBl9#jO&6K?DzjF6L6Y(v8cwoGaByKSzwNp|zOLk4k9$B(qN)F7D zBT{m7mb6I8=?VLZ{x`KHql!2Hi=ws>%XQlT{eSR%w`UAna2WR zj(o~zEMhTBSjsY1@FidIHQ%y|HGIzx{KU`v!ms?!AN)lrHezEop$ugy#}>pKsX#?4 zQ-!UmN;PT_b7XsJQky!|WhZu~KD)3hyRip*vKNinn|;`q{n(!aIhaE@l*2fJBRQJp z9Lw><965=TX~C(S&Y862tn55#pLS`dZ2xvi`?q_xf3Hu;|Kq>%_^+FZ_&n^@^yj^i z{dw=EKkxnQ|I&vk`6x^N%U^o@&^hu|aXfzGRYr5GDb(C=vS{v4^382(Et=bmLd|U@ zi{`c=-&`$g(cBIcYHoK~G}n-Pb4{&9a|ckUxuay!+%e>vJH=WwcN)3o)_+l@wXBHq zX-j)L(235(B)OPN=t5Vza~W6AgR8iPYq_4D+(2*o(3hLInf~0$ZQRa425}dIxrZU# z%l*V8d5B>=!lOLKH*PSzJCsjJr7WqQlDNT; z)JsW&ENPUIxWSM#OUdC`a$HJI%#v0qIVVfnrKDq)bW6$Q4!onxafVz|9FNy|jnP{# z3iWo2EP5M2zPG!rMQ=kX)Z1`b^!5b#-k!4-y^W?&Z?DUuw+ZBXn_?|`dyhiB&6P!O z^U3$N*jn_qlw5D?|2^U=*=oMydw%36*77ScNB-bX)=`SmY|N&VWivKs3(8Z0N>pJh zs^vz>yR=`n zfA2{9H*PTO`3F;y|4WZ??#Jh0FQ@;q#{bA)e4Ohn*}OO&xAZ!ryNVR*Zd+M&SCf2q zJ6ntHcA-#rd&{D`eaUxsh_&eMFbZ{df-Jf_nS6IGtwncdQ>eQRvgqyt^4)c{7TsM& zuDkXBF0rSq7dO(IV*1jL{+K0xus8nG9FQK@|6p%?aV~za_l=V8(v2^9I-%t0#FD3P zmOOncdm3Njn^dy;cFEJpB~RZec{-)!>ATs}_!FjSNWi!gLIa~105B3(B@l%%cqFah~8wM)EY?vj43QYbN{Wr!bYc?E8Re%wQ(7nZsP>F`tk5gwI&WVwSL! zWi01QR`NC9vWhjtW#13{$j_|hSAHih`~D&>`!=F9n^1|;GH z9d2TSONamR;o+bSf3Wu>8}z>7cznP=5?gT?g|^~Tve=5x@*K}IniqMAml?+^yvFN{ zX990BiMM%&{8pT9Ew83dti@LRib7lQCs}O8U&wF8Qr2QCZcL%A zSV0z7v6ZR9hFh_QU8ThdMrt zBRG-`x8m`RV=JCST*bB^zZK827F+RL&ZCGn#8zxiY{gC#+KSy}mvIGGvf);|-f>TE z;70y#E8c4THg4w*25~2YxrZU#o88eomhM|dwAt{l-S?U2UN_y3%0Z z%BgB1=}M8(vt-xec--CV zjP4o|W909;JHUE$cQ7$VHtg;w$I;y}#2ERz?oP8FW8_R?jBMClYsb;u`4sBzVp)ul zF2oqwu)7|Pqq}RkmcQ$+uk{!sH`AXDyBp{@y1R>9ck92)@{nv8kMJmu@i-$GNz9UG zh*>gpYm zwq_fuQG@M>SyGGI>_A<1q8>3z8f526le9~lX8X5U+Q0E3m$Tu-l$??!tx^&na!ER- zq;r;ZOG$jlCFzxt-dS=>N(N-f(3IStCBswlM3#(B$ruODlljh&SBvBEb+0jcn?Rx7 z-jhXd)5!NW*IM*8pF+JYl|^sM$@jL(TJ-iEg?jr#7QL+_-&aD6QdaF*p zw>s9Mw;jp#w*Gr8d&(Nph{iNwADXg1F-H#KV486#hjRo+(VSyAj^jCzlQ@M_IgK+o zlUAI?Ih;#t+HgMYXirBv(V2^gIdUmo=tg%g=L&joH8Dr7<9d2=1HCDxFa5ZgTey`0 z+|EGm!9)@x+_wyhR@i31toX2>A5sc(%Vvan=C`R)FV|badypo+KZ>L>4CELF< z(*B*D?cdK*vN%irLx1Hy&aK1J|D7YV^JIZD-cF`aZ)eM*xAVyN*1=lz zb^(QYyG$0nT}i&Tp4Otb8!6P=ZL;X?4)VPXu@=4EN1@&xmql++lJD(#Yth?_%w#qnGM9PG=VLzMGZwO#B`jqb%lVR(e9bqkVh!K% z13&UJYx$Mmi8=BY>)43WY{I6Lr5rIwwxm22sYDgFrYhB_&bDkvO=?kxy6ni#)MFPK zup4`@CwtL|y=lU}G-ZDdB<9E=G~+N1=SYsGImc$_$?0jAw#?3kqI90L&CZicQqna` zu1d+ZS#ncK`e(_Ylnl<2VQm`x>xLr!{p3?Adp66)rGM(R?4O#Hl6SIXR!ZhL@Q(7a zbL8XVc>L6>jOG?msJX9X(cHJ>oBPRHH1`XIn%h_w&6OeFTm@^Of?RX!Z$?j&olFZ(<#f)VC1(+n2`QG|ki{5UgP;Yn1 zqPKg<_x6yr=d07l*A2& zbgp|CMCGVwVT9(XD$tPK|G$qTkvVWnJh-cP{4&dT=!{N3P>~dT|52=|f-oaWl7YD+9Qlf!xVm z+|4}<^#{d?b5Q@ z{;inyZ;b)?nPNN_cHnB##@W#-lR}-AIPG)8RVOrXDyohm_p4hlSOl1l5cK} zwP@}Ka?P#(Cd*&4b!`XIr+TCbg(TU3O$=>ahzA z*p1!Ulf7uf-ZWuf{>Rq%Uta$o<$td^#}bp|1Wx2+PT^Ee=L}lXinBR~^Jq;Q+R}~= zbmRg$a}k$tDP8HtWn9jc^x$f)B_>HvVv^iQZ;H8ze)P}Il)>qI7?Pb2!`jsR*A-&C zKRlbV=d)~FI#=QrL-I~are?{kl*BEDWKl|%WXV@4`8G>_Ny+b7vT;hvWJ%?eZ0*2% z%0lPJw#D(dy;m8{)uvE$yUL=uJ;*n=kF{uSKb+Km+uRY>kK}0b&7EW|nrlI!=FXKx zb4BEv>trpOyO2W7T_KC+t|H&u4c4N$KIEEP|4sJWWp^-$I~mN~4B=j4l03kJ4C7%Q zWjK#Bf+u;3r+Jp=c%Bz{k(YRxalFE7yv}$g5|d;SZ}SdQn9BRaB$>_(W-*&N%w--6 zh)MD(pRtI=Ea3~5v4Su8im&;WRjlEAe&8p5<`;hDcmCurN)eM}V>Y1-WhuuN#3ZRe zMJiK;t=WcZ)X2`1ozgC?pY7j~BOaa+W+*9FNa)C^Cxi%EjW$SIg^&0#W}<* zX-yI5)0Xyhpc9>mS#mL#(1osa=W?!~2Qf>o;aaYzCpXZWm?eF=iJR%qtqkCH1~Q1d z7|cBk;a=`1X30Yg;}IU^F`i%qBeQd5OgdM_X6MR;bgsm0hWCeQDVdoi^HUPH8It8G zS(zo@r6g`MBBl8cKgpiPpYUnP z>SrZS7kc`?#KMM{z3 zQe?Okxlk!GV2TWwBA1y(hD?zmQ{;l>pRZ2-^K-rH{^tLspX)ueZuWD%CbggIjmLw% zn>6E44(AAt;%JWHIF9E;^6#!^Sc`YpRup=7Z6}L&*N)`hT`#p3@2=e_^zM3{EZ$vv zk$-pXXD!}cZ=uk;>)o<=cO6Rp-SuH>@$NdD+`H@g?|VEa8^vf|U<@xYmRE?Yz1NAW zy$MX@EhaIUDZI;jyw5bIGn3hT$Xq^RJ`4DSPg%%fK4&Rku$&dF)A zpIFPU#MRy({K+~>QJPKIl(KBb=4?TEDo}|kY(-VJp*l6#j+)e>4m+?TJ5i7NG+;O4 zYHv>((ul@1VPBfEKL=#j_eZ9`;n6(1(l|L?X`Gr}X`Gj?G}>gz1u3~GOa6m@cl~o` z$a}@{_<`3L-OZp-cOT25yU)mXx6E2}_a%k8`#~1n{Y<{QzpO=f8&RmcEoISNMe^NM zvliWLOQG&|mPL2Fkne6UYth}_{7rWS|6T1-{`Z@6EHO(?;6zU56i($d&Y&f&IGb}g zkJhxIE$!$)M=qc<7jZF{(v@yp#^qc|53c4~VwUtIX3358rkK9;qdzfAZesv`ehWIeZRs6RpS(7Edq$EBJl9WkFxh$!ilK3!4QadGevt-wl?2#q= zrR2aYIU*%TXGx2cobJGR`4ZX@ipJFiZy)C5B$W>{KBvN&L8|mDPoRn%qEnfEaljO zm?IUaNM)+9HC3rb4PuULPfco5hq~;<&eUfYc4asAU{Cg}$OhI~~VkKcHW(c5YY_4b=Adi#@nZ<|_+-ZrC9Z(GTt zw{6JxR?AxSwgZKF+g%pDH6-6#Q)|)N0Tk-(C|UG&4Ef$pu@=3ZMy|K@-}q=PE8=|G z(w+`XKeuulw=qf zKQTuhVi=F`D39?tBN$1{k!N_8Q9REJjNv85GLBbyjW-z2MBZW&lX-`CnacZ2V>&aL z#fQw{Bj&MyPl!3PkVSmX624$LD_EJGCqJg0y*As~>(ZW&4}!cmlut>eEUBK7_#jA9 zFC`7Kq)|%ZgCI$>lpLNV$ED=NENPXJbF!peN;+mqx0GD&!28J;&X8-0;aA?@_3?xw7bO zKKb4jTZ`V7lIv~#H$GO$R`VU-^CLg8mS2fE@&|vij#89nV>YEMo3S}tP@W1@q6%A4 zm2Id_4Yp%@YEg$B*pZ#6M|~Qw8!<=rq#=!HOcVB@Df<(1M{*R+Ifmmn zo)bBVQ#h5=ID<23#aW!gd9*8cV_$d!IV6lB~Pa0={8%$x&I&jo9oM*C7Tz={9D)m zll}8km`co&517UbW-^;O%w-<)`It}mjD;*_2}@bVa=v6GU-K=iSVPQ`ANY}iYQ#^`Mrg?f8R7QH>o zb3D&zUgRZSW*o2Z8m}{+3B1W9-sT9yYq_4D+`x_Wp_rTKM}KZ5=E&{b!65ErF!wNod$aT8v9wD^ zc(ZuYLb-XaH>&mdKl^tFS2#;{Esn?Cz0T;aA%(g-Ko;E{OuoCLtVMUnP^i1pWYOK3 z6Mb+S#nEC24u<5l-!>s!`syS*Zo2KQ=?NhM&@1ROXtX|#qs#MR~gMs zpipz~$)dSwoWiM` z#u=PRE6(EoV(&hqrKs9=Q3DcHvIqvEk_;e%Vg_^00gMYp!Hg)15fB$*&WITl6fuC9 z5EFl+t+i{|KlXUf-usL*{BiZDn)ipJzxSRsdsb80Cvys? z(T&sT&YAR}C+Bb;v65U!FM4w^eYljqTtTcPS91;h8Nfh_8N^_2;3jV7R&L`C?&5BS za4+|9KM(RSk1(7^8No=NU=*WynpjE3FqUyV&x^dwcqU|5%9J!qr)4vEMw;87WOMtw zl+4MJg(>+ZOID|3ZI+ZzNyRLwnUXqLvUy4xW=X4*Y?~$RQ?j#y1 z`J7pN$=7_tcYMzreq=85S-?UT@e9AQgk>yeC97D?8rJeBv6if3J>{uDMJiE+YQ$Qy zG1aL_E$Xld^{7t+HfIYO(ugKBV=J1|f>yL79x!&-kqN-oNh%Tp3J8j|Z%a$}aqnPU%%#GYktR%N_J9lyy_b`N^+)u0| z5AiU=7|vsi5y|YUnpPN&9_(OIWkJ|lMIy$%Xa9-)*{L;e( zrH4Odhw)EXSUS3>^zi4>!(U1de=R*+Tza@9JM@#ij{f)lWbeY3|Ndm}zdzag?@#vr z`;)zobt|%uFS3dkS;dR&2aBxa|F8dK@4?Of^OL=?W%$W*y^ylof~C%vv4Ysp{l;p_ zZVUc)zK(K~X9Fq{+k&c8Vygr)|8@jUFf~L-6L$@`tp=(Ji%5DqV zI*$$Aj>Lv;Cw3;b1-lU&x;=>vU1#=YU-shw4#I}+AHNoyOvW&7ba*gwtk$>Pk^6H1WoP8!&X3?Ij^>|c?p7{jaW)KZ@h^FYhsWTTiSc|J2)t#-q3D#7a`O zw|dT_w+6&Y@=v{OWjuOoL98TYdu!u7dTU3lB>&Xg9>!xO=|rp~WqUi&dGvM&hw@Lo z9dA5VlCGRc+1|Q2kKVcyD@mE&O4gAJjrXEA7t@DJ>B|+wI&w9!j`U{$1G$bt4CV%I z;%08;HtygqVjUSmtRwevKM(Q{v5pMqQARM5Cm6+Oo+j3jF^pv#&+{TL5$nhVVjX#% zH<-vICNqVpOygbN=L4qm5i|LOSVunR3%=wlzTrD!9ht)q%w--6_=!dQLaZZ8Sjuu% zu!_~hIcs-X4%eZx562?Qvt# z+mjUP?FCu%_A>e2-ZU1yy+xtkK9ogoGsyQg%UJaGHHCVcFN@w5lJ9MqvFL3jx!y`1 zSXn1qPkAa(kxEpd8nKRSOm%8fi#pV$9`$L!=4?Sj8qtJiY(;Zg(2CY<%XYM32inn| z4(v=vc4c>B9odUc?9D#x$Nn71!NfXp7>9EtM{x|taXek<%1NBeshmbP&Y(MI(Sx%& zhx53A3%Q8iTtXi%qc2x*6|s)=qd(U&kYcW9FgIq`$vtV74$bE8urz-k%jWO1DS0kS zUP;MoS@KRw-pi7YQ}S7s%udOVS@LsA7H7%tDfu%?Hb_b3EUBH6O`Nz+mbgMTFOJtO zy~pUSF@<{DMi#woPrkREj74v|P^h(Q&db^x_Zv%`)Z`YCQt>j^q+hn(MCwFlVLm0~a#5(d24>OG6JjMtfXB1EJ6i@Rk zV|b3|d4ZRBnOB&=YrM{zOyVuxW(w~xjraI~SVul$1|RbYpYb`f_=;FZzU4b+Glw6U z$9#TbAwTmAi&?@lRnn|rvI zq1?}d#5(c_!+4a(7|9ciVsv($yqIR`_-y`8O!GHxFYKYFr(|Z9e3_ECy^t(O$)YS- zo|3q|kgQKhg)G@HCDpT}K}xpBlC4tGB1_t(q=OUJ$ud{SZpHDshxZu0b)ryjhsdJ0 z!^!t{ys_x5D}{RNE{ooJknin6W6@i03iWoiEPCrtzPG`~qPLqU)Y}kQ^mZTl-i8~C z-bRq?t>i(KF|x6Y<9S}>CB`#>SVvyx4JI;)$-K=}rtvQC^8wTOh?#uCr+m&Ae92dQ z!?%3T9DZOf^H{)7EaDep9a+Lsma~FY{KgvA66?rc{LOmGQGtq7q6$^nkd3HL4Qf$` zy3}J+8n78#(2zznp($IjH7#gKYqllUkv6oY9Xry2o$1J~*>$pCnxzM3^Y_R!f8+MT zoIf=s-LmBDl*H|Y4mK6W7XeSIPUu@%o|n8QsmGPzBKbmb&Y=2T9j8)wj+v*^LuoWpsX&xKq>Z!Vz^m(iCixQbXy`q7_j8AvgM z7|e~tT5=1wayxf$7xyrPq1?vG-dA~R%%d+J6l*BEDq;g8CWl8On#4U!T zaY~wH$u=q3K1+5<$?jRQZ%PixlA}{{oDVLZ?BN=ZIZF*?QL?s zl{}{Mk!%JZ^9i5vIkWhRSVz9)J7zP7ADPR1eqtd%^9zev!ZKE{lHXX(@2urd{$d^F zD9;8|q%u{g#zw?CQiGb*rVg7>kNRvztRq{}kj6Bj8C%nwmb79Uwq<+Tumd~No}Jj4 zUD%b~*^|BK%--zFejLDo9L%A_I&uU@ax}+q94F9)6SM2&%rr}TX7jgKn!j<2Va{KZ zk^xzALrUTnLvn9Q?$44(QxdlrlCddyK1*Ir$s1YnZc0ALl223eMV9=Kl6g*CCo5ec zKNrXAuij(ywv{UM9q{wCjBC1cTBRSNZ1R~Ef(O1`&7#-g{T6zXj|S@hPHd~Y3% zMQ^)NsJH!P(c3}fdppWl^mZ({-bx-)IZal?>72otoJCL0A=Z)exqx0=#Kl~~rS#=; zuH-7Np&tVn$aM_jdT!uGZsrzl;|}iRZtme;hH^g-66?q#4C7HAVCyuz!z#v8oJBqsAVQ+bDXd5;g6&PUATV?O0GzF-z#@eQ$#e9vrt;78`M zfS*{DT_>y3EM1e$-}Pz!#w~_9Up*zYvZO&u;ub^FA|1*Q>q9=&e76db>#$z1>Q_w;{%&xBDp6+Xz|o z_5}If#u$s<#!;xZ*JaV$MDo2&GZwwQPodsEmql-1lJ9MfvFL3sx!y|t4snTWDa%>G zDt==PYl(H_FaBmd<*2|0RH6!1*^rH>P7P{Nhq}~bQyQ=tTd*aKXhKuAVryE^lGbcX ztRrn`OFMR?13S@?U5RyM5B8)Jo!N)|*q;MAh(kD(!#RSZIEG_6o)hTGiJZ)-oJKcJ zr#olTgPxqjdBi$$A-(9$#q{Aa`f^2fofM~8IyjrZx2O3Vw;1O9!zme_B~PZrEk-0S zr({Bwyp@u;y^zdE$tPLzbxOX=l7%VxB}-POWObI5Pf0~5u9M$fAsZIQ>&D(=^j4EX zy=^Xw-Wrnct+}!2trdlOYcGr5b|&B3UdE!gy(!e&VY2A$Nb!W6|3sizepdjI{Y-v47i)w@r#_^IAluzi_?%gM$=7_tcYMzreq=85S-?UT@e7Mt!ZMb#l2xo`4Qu(6*z&DoJ>{uDMJiK; zYQ&cBpSBL07_Uct8c=rY(Aaqsnh{&R=Cq*f)?s_+ZPnXE!_@BNuytC|2^{zHSA1IF3hy0P4io+;06`zvDRD72F zRD8);OvP6yG!@^L#Z-KU{8aqNSWLx_DKr(omBmz?O@1o=WGtrQ&lH-9zsX`M{!V@> zmNOPpaRUlX#Tv5M#nz?{WvAk1&SNTWNkbabgtAkyrSq7I+psNVr{a#zV=C@Mp{dwe z7E^Iw_M_}nJk)ti#UqGa?9t?>;)%v$DxSirl%0xaI*+N?lR{JRVp&YZOUX~gYmD_{ z0N3(QQ}Jfww{RP`V=9(>6MC=Xq1>O{&5TU<5~H%ajIrr1Bfi{nXYxi$CS}RHDTyz) zBwwWDt1S5;CGq8!WNAuPWXT^X`8!Lhrevcmshg5bv!rQCwszufW{oRko8oxg&U=jB z+7e61KlQeo@mNCkB$kk}z3uNjdOL_%LjI|@V~xiWassi0lmFezRqI_xst2+r``q`k0s;=Zlr8)cQ}vU?&coK^j7i&%Ol2z@hFcmlE)dv zXks0ChFC|&@*L0e0@c|za>&Q$#=2Jf73uf^Z z-w^A__sr%8eqI ztRuCkO{NX7%DoeVi zB);5|^hn7$S<*Wt@#U7Je@X^s$xSJ_HB0VG$%9!kA|+2`$+(of=)`sMyDQ|?;&^@C zdyL*DQmD80WzpMo^1Xd-EPDHrLcPtEMQ;np_qN1X^tPNrz5OMN-qw@vt%|YeZ9@w6 zR!ltS#->kq+!cM|LIFkv-UxPIP7;_GNz#0`QC;ai{2ifP;ZaRqPHi>_x7Bz=>aYp*s80hnXA2tAh$b{+E1J`SRp! zPnHbp_Fw-?_J6oWzAlc}Z@tTCZZ?IQ`&kyvEhgXGZ^ojz-zn7G2C`_bGWq6e7>nj= zQ>eKuWzk$?^3An07R_x#q2_jyMRU85Z?3bkXl`F}&6V7U9w9rDqdA7-IG!$?NUS8M za4JP~;|#iU7Ckweb2*O-xR8sum`k{n%eb5?xQeUk#{jP7I*PfT!Q9Br#7c4-w{s_V zaSuZn%KgMj@(>R*jNv@SNFHYtPx2H`^DJX{j^}xSmw1_1n80hi!JACtE#77d?=X$` z_<&eRK4JzR^9i5v1+(}nyHe(*S^rZu>zAfkA2%329sW*9xh$!glDNT;Y?_kIvZQHB z;s!&~HYGcD%YH-4lV7`~C%^X0(t}cZX!hrhO@Ho$EIBLWi|$^fP_00(g}hjKVaa1=*#EXQ#IT{w}GiM8Z3ia4D!IFlaq62z@ z-)#Q&PxCi!GR*s1Q*uX^+?SHL$&fselF?Z*E+ui3A(@zx$yxG#N~UMYmnr!sOXj9z zL6$5}$towVlfPUce-y{-U*2Q%ww^-0Z77T0s*~@np0Vhy0fl&Ady>%zoTgfvl7s`6kn~UkgrS#&O_!GLGkY zk(U_H1Y#X|oi~`sBqlS3sZ8Tt-sc0R^AR)ogiraLFZhzL_=fNJo;m!$T;{QWpIF2% z#5%Hsr7UL!t60q%)@Ij9#WYK+Wb?OXn!jHNAm8e2BVjbC->eQqbb*M`{>eGPD*@A{Nq6y8|isrPS6|LEp?P$Xe zw4*&8*qM&(%I?HEvKO7$n|;`i{W*|>iFM>K4(CXY;uwzOc)HM)lQ@}EIgM_dL3hrg z2WN8*=WziSauL0`gg#tGU#{RPVjby6f39U9#az!|Zp^Ned(tc&n$6!~-Tv?YQ13d| z$mYfIx}|p+%{8V_bKA(Gx$Vg}x0A7GZWjtQx34UkJAiz1M;MFdj;2s^r^uqYBJ$1k zG#1UBOQGg2l|^%xlW%T-v1sl(a?O=I!G4?UcJAaZ?qLW+xt~}`9^zq!F`UO3!Q+hL zNuJ_qo@ETr@jNf^5-;-#6L^i+d6P-J#oJ8b9j5Uf9}p|aN6g@3KH)PyXBJ-(E6KNf z$86^CBlDQgPb}nTeqk|7SjGxg@*Au9owfYQ->jn?<=KFWRHh2m*oat3YEYBf)L|1g zr9PWwSIXAuN@I(zwa+*>OVpb0=oW=_!eu3`wt) zT%09WrsSF|xgjMtXUW|uxi?E5P02_nK2_GcN}ei?*Jr%X=x!{9x_ea?-MvA+yQ#*a zyLTzn-KVnX?hEqWeQzwf`+-8;{VI#@mXhyojj`zN4+?cxNfzBzCEr~wW6@n*a^02u zU1B3yW17;8t!Yk6S`%x@c5F{uc3?-^vlAWJh27YlJ=u%S?8Cn7&jB37!5qqA9Klf> z&9NNE33TB^PA1lp(7ByKV!>r+x8OEyeN^(<+Sk}a}itCY0Jl6EQS;KUF0x2R=ID>m8+ip|59UCxjFyv6PW)C zLswR+;Gb8aeEEvy%9ZzzFJJDnvJd5h`*^Rb(?%WHVIccDTrgYLN|5kq_1)AFM@gi;HZG{(t)b<^2DJH7ECf zntrf%muA@y_F97cU~jy}r)(z*eaappi%;3Z$$!coZ!A7#yHe;=w!17oWqXkSl)cbc ze9HEw(5LLxviOwkPySPOu(9}*y@^7fvO{F?DSIFJPubzd;!}16xlh@WCqKr>#xjoQ zd6AbG&je!E_d0Jdkx5MEZKg7fcX^)=n9fJc-&qpSx-4CP?1Vhp(-1)5!Ig^#}^fruqZ=;MwZ%tRppub)+_R*o1o2XES0Q*^-7drU}j1n&z~m72B{a z+tY>}*pc?^#Ln!(uI$d9>_unxW?%N>01o6}4&^Y8;7E?<7>?rvx^QB4ot&9wY0qr_ z_Db_Nz7TTPdre9PWXTOFi7$jC_on3jEO|5~@r96NY)YQbl2=pmMwYyrk`J=v)0BLX zB|oHOo)g!}2Ck5wi{tfI?=gB?N}=BVkVS8QlkcsPvFNQTg?g(ii{3UR-&-SN(OXjr z^|qZXdTUF*w~of5x7{ez+y1iX?I7~K9c3(fJC&W?B zKrb%hVlLrQ`f@o}auwImj{ywiItFn)H*h02a|^d|2X}He_i!&mxt|A#b>tC-@hFcm zlE)dvXks0ChG!Yeb3D(Byu^53;Z`b7-P}fI12Ulx-5E|NWQme#-g|PDb(BNvgqwg^1aP57QM|S z*IUVRA4_CQS=?mhHON2YEX+h)TJJq(typ_f-Px8 z6PmIWThoG;v}Rjk9ce>b+OZ=Y*oltpN~|M$uqU19%s%YL{v60b9KxX-&Ji5NF&xYB zoIqDj{vibXP zN``02lPP&ROI}XNge-Y0B~!9wMoK=(lCM+pU6w3N$uC*5G9|0Cq~++Iiy=+^o_TfDh7XW1Pzyl$4gLCT8KpE@J^ zQ|G4Sf-Jc_C0Aw1bt$<%OYTg`Jx*LHm0cqb6vyjB-eoj5j6%&lC5z^sCEwgj#-h1b zDAe5BvS{ud^38o@ESme6Ld|_Ei{@sNZ|)~!(cI4zYVJ2#H1|9C=E@n1<~AVLT*;Fk zHDooZO&vC&9`)IbSV^{|A&qH5Gq$2REosFzY|HkvVFz}kJv*^8yRa*}vj=<8nZ4PU z{WyRFIhaFQ1nkdnB?kStBfiY)mfC4Xm0)s$?MC3RD>X_hoi$<|I>DOFq} z+Z4y^cHT)e*Oo%f?Iw%n_9Wlj{>GxYgDBM8v9f6H1oF+DW-OXJokGo>FN@}Sk#DZA zv1sl}3N<%K7R}v2zPUS$MRRwPYp&$Uk4I#~c$CK&$>WS-G_jIA!?TR#f3#cj&rd8OR+3*? z%u<%If>r#+8h+;w{^W1gQH~01KqV?um1=B6b!t$H+SFweHl;qBu?4Y`G@>z0X~x#H zpe3!dtD!@hr5&^R+bPXow-~WT#5c!>rzb>?%F?bWjoS=YM3406&dHMADT&(*N&l1# z%#xc@a%+~{my!pwWJF4y$dYj>dC`e$rK+ps)#7-4-TRF0CQ_)o_hr%Dbn@MOZY;X{ zl0x0hl|^?8$alBISai3XLf!o(i|*Ew@2-lm=x##_byrUo-8CTJT@z!`-B#qfD|zyx zjjS#0*pUwGL`QZd){;HglTLJIA2j@5-2+pQW|;HerDRT)EKEty`4>=-Y$_vZz?OF=;c8e@}yPbS*Lybjm4^XJL$7RvmljM7Q z&RF#J0=eEw{x0!N*+kxAGEFcQOxxW=04n8jD=I;k+Pc*99%W%F{i;t4rV!!53~LAlzstHpD1A33a3dRR9*j7RM@ zDIKj>dbnxnVg1s>2Bn9aWry)k*t~Rfi_*g_OAi~C9yTgHY+QQSBs=s&y^gXU>h(O| zxBu-Eee2h^sZ_x~sO&R+OIrT>L%sk0Q18D#)cfxb_5L6Fq242#{pW{zW6SW9<$57y zw*^a`FJlF2{%Sb|cY0-AKguS8hITP01Zua$ic~`zy&4 zDH)w5<5CjeUr8pWWOA0gpOWcW@?}cC$&$G#S&${mQ?km58tEhu^tPT@ zN&cy~4UI={)rpm)Y;X0PM{f;?mE@m#+sb(K)`D0`%J$aAdGywfSV{h=w>^xiSA4^F#5yvEADGKL z7Vr~`_=Q+UmavrNtY8(ZiFIUccAZpAv$RS!e`}`s8{c1<^9@tdBuiSQB)-3r?3|Ka zvt;j-#P?T{BU5rrmYkH5Q?umkl$@6(m!#yfEV(u%#ZFu&)m-edH3JB50C zKo-3{Ouo0rjYV%yQmD5VWYOEpM5SoHQ5g?jr?7QM|N-`gx>(c9M)>TSL(dRs`o zw`Innx0U32D|t|5ooqejsX#?4QH5&6I-GTb07y3>&Rgo&XF9&F&xM7bfGIJaWbcJ z8r?X9?wmyr&gLA>;{q<^B6@QPeYlLiT)|buI?|8+T+2X;xt_t?m|Z9Lq**#No4>=- z{EhFg%=u?i@?4g@k`muv#kC)Q-SSTQ>z4Pj^y8GqcUb=1?DXe;%#xo|vN%hAPsyKI zvO!8JXG!gpY~sYVQo~iUd2zgM>3v3bjVaXKHnQk$d-C1wWGuSdg+kr!D~s+9Am7~) z#-h8UDb(F5vgodee0M#KMR(^?sJlyL(cR_byBlCEy1R~CcO?(2+$Ou7JGqN{7{XBQ zC)SdOc$i@f=P^d`IHP!yr+AuY8N+it&kMZ7%e=w_Uc)^2ufAaV+@GJtSHxQKE#EPl zIsC{x=JOK^`I%o>%o3Kdf|dNnYJO)efATl$C`YU%8&HwTRG}Ih5o<{eVlAmn9X4T8 z>a$sPt!$lUY0GT>woUVQ$87%YnUc;~a!^VR&5{#Ra$=U8o{}@Oq*qEV&XOxra!r=p zkdm9Tg?g(bi{7e|@2!@x=&dff-b(IB z8_62elxA#Ab6V1xSVy*Fd)l%CJJOz==*TYY#_sINUUX(3_GN!!9XW`DIh4aVf}=Q^ zV>yl!=)#GdOspfPQN-z-!I_*zPtGCMk@LBLUR=b*^x;zaayeIW71z*@0Sx3i25~(% za3eQ!E4Oh6cXBuPa4$o-p9hI`^gZd&C>DN{GFKQZ`@+|Y?z*s znOX8>O5zqnvLGdkvSfKm;ub@)J|z{hWW$tH&yofy*&<7}N=b_>X_t}?PFyFoTp_y^ z$Lk*6WAxUELcJX#i{1_=-`nxVqPMOT>aDvhdh0>Hw+oF$Z@nqh+tsq@tv~tR1{;gs zZlX|cLuAq0edK!^ZY+8mL9Vxw2UW(%#xjoQd6AbG&jex}d7U?y$RsB7HdC3#yS&c_ zOy?tJ@(G{vIbZN4U-1p!@;!6-fw|0M0Y9;bUx;;N2}@bd3RdwOYgkLHBY*KX>nTSC zDpH9mRAoapqB=FGMIGu=k4T$_@(#gN>dlDo3xfs{O)B~Pa0 z=`496B`;^mTPd01#C1~J74m*@yng6CMsG7H)Z5px=vg^#}^fruqZ=;MwZ%&TWgq%los#?~~aC9T+oZP}hS z?7)t+XD4=M7j|WL_GB+Qvp4&)9|v$C2XiQ~jvT>}9L+Ht#|d=d#OyjbGtJVT+5GL5 z=5O3ynDf`9WI&eOkdnB)kldS+`?KWHl*H|YWNf$A|GAln$MIiH*&A8*Zu(OnWPj?@ zlzfpTKcr-y6IV)I*T~Ps@%pQG8O<%FP;-CCqPf4xH&@A6G*^{E&DE7fbDNTHu92~5 zt|^6@+fEkEwI$zNM`O|4ZWL;6e_1ql5c%egG8WApORl++$5c*}6>&Oea3*KblXHlb zxRIN=h137G+n;sx(X2Wb=1@n!j;_Vb)hqNv$ktkdnB;khDli>nv%P zlDNT;bV|uSz8rG_&-I_gJ|z7ou@BGEt|>h^`*YpXpX-q&y;IW1iECvOSIL#d@p`rQ z8Qt}#PQI+@Y)S(*V+*#V5lv{yR%}fRTGE2&8zdeD<|IFDFME~FQ|xtKm&MqjSTu9f06 zO9yB3_x3b@<0iwre>f$>v*gK?#7&0e<&;dwlDAS4HyM%{DfuKzzD~(^S+X!CzhueE zl&sE@@+qn4#C1~76|!M*yl(70MsGDK)Z6B==&d37-kKYW-da(pxAwB=ZD;bm?PV-_ z+nYkY9VUz3jwIh(7h}=eNfhesELrq+Hu>HzG8VmELaw)xze(&T>(8|eq?kbr=0>a| zey%tE*Ss~omi%0AJT@0U*W0x8NxEjGhg+2%Ze4oVy!5a|b{LQFwJaTNReIRE^l+Qf z!);3sx62OWpRj%DXq(c*wxx$VlpeNAhw0~f+x_R~djI?XeqVapuUxqrWuNw&-SXd` z>+SaM&-MQQ_`f#i6SK%CW|8}*BA=Q?-|6WbG>^si=XR_1^W)m z_g&o05QcIe5AYxl^9aLvj1fG}6FkXip5__G@EqfKffsq1@l4<~Ugu3F@)nbs!c?a5 z9L|j_;YnkIZF03s}e^eqk|7SjKWzvWnHLVJ(017wcG0 zc`8tm%2c5mvE}=xt-~h9>rtNul-)WscHV?$#Fno)EhxKn*xq>?cAy>Y=|F59c41d` zC$@Zh(TTEKhXb4+$ic*xuk6<0Xy>uzJC4}$b)hR|w+=mt+Tw=?2 z0kP$~h~8X6A1>o^Z2A82%fsu8$JXI`%4{9}r!NohEc;wu!Iif_weD!xO0Dt=@vrsBsGnu_1bVk*uiKNWv67E|$O3Qfh| zWHA+gCqEU-8H=g70fnYw4O#4BYg31^Q*krrF%`F@A&qH5*{Rslc}&G^*p{+WaYyGd z6?dZ0RO~E^skkruQFbaG>O7|65yUR`X!29>L}M`(PvKO`PQ^2w$5iY|p{aPWET-b6 zxV+q-lSVGG7w!ib}?I2km))4E+AN+ zho|JIEa{q(_;O3qBPHi#N$-@zms^tlDH)h0H>Kp(EV(Zw4`#`Tlsu6o<5KdX6W2)t zSIDcy@%p;=7`;uTP;c+cqPOYfd;8p2^!6o%dYdbY-WHJWZHckyZ8?Q{`%4zRtta1G z6=Tuch7{_po-BH6K)$yo#-g{a$n{q83`-kXTiUTB9oUJE>`JU7d$1>+=*&Ls%l;h5 zK^(%N9L^CO#W5Vq@tiwQU zp+5r{NHK#L%njVc&D_du+`(Pk%@FS8KJMp19_A5-^C%-2$rFrXG*1)j$QZ^lj^}xi zml@B5>^hl}X6dwS{?17AH@@65=f6wIoGe+GlK65#^b&6L#1lFd`n zFiTpcWZNuhpOT%kWbc&h=frignJeUw;&?sGdyL+Wq)=}s$)dMY$@g}avFPn=3iWo0 zEPA_)d~f}XMQ_(qsJB~W(cA6hdmCyjdV7FEy*)0A-kv1i+jGXEw-?CuR`LwXo3e?# z#bl;1m1(?3tRo*Xof*vJ6F%j0X7MFo^9|qeJ#+Yxxy)w)3t7Z3{K^uRv7D8xVl``6 z%b&zLvX1qXrveqJL=~zL>&V7brzW+i!zR?DJ`LEMEoew1n$V1`Xif`S(VA`9o;K`2 zJKEEMo$1J~>`tsBd(nx#*@yi&fCD)=yH1Wvv$RV#e~Z%mJtLdH7o_B(EV(=-S7pid zDY-FA?o7!&Su!jok7dbIDS0+aUP;Mo-LeOj{awu4>F;9R$pj7 zlE)dvlRU-KJj)oK<9S}-C0^zgCh!_>@FtUZi?^A=J51v}J|NbTkC?&7e8OjZ!7RSY zu9bOdmj0B@?xksV$1R4>hrd%&E=#JWByKSzo2F#5ENPmOxW$mPP05Z~vRk)?|G9&R z?4Xn#nq|kPKXpR(r%q4FnND0OTewEfEsodoy~}8>7loR;QWni!L%z8|#-h0!DAe5D zvS{vJ^36SBESh_iLd`uRi{{3XZ*IJ?Xzo=CH8)ij&Am&$xtYeIxlhS8SMnsw_p;gi zz>myhK0mRDSV?|mF-uv-3RdzPYxtc%_>;d`M>#660hOpsRjRQO8&iW?)TS<*uqpM~ zj4g69qGVMbYvHHV|VssFFLai`?5a=a1aM`D2HN!h|@WPGwDH3&dIKnK53Tr&E{|aG=JkJ!_2-lC3j@WeJP2X z49OEI8J#8LQW7^Al8GsqoF(t4WO|l-nUZg^WNu0pWXbZBta9Qy+0qsAM{&IVnYURhO+3bI{Dt}8H?T;P^h=9WYJp-^1Zb&7QMBjP;Yz4qPI@udppor^mYh^dOKbg zy>%tuTQ_6TTX%B3l|09Cp{y6ZxtKm&N?)!Z){(2ZhW-p-AlEU7!Q8-2+{~@q#vRh$~4~P zeLi41A2E|p_>|B2f-m`sZ}^VynZpmvWgZLoiADTEtRqWU%5qk)iq))PZFZehOtZ8~ zHh*iT`5QMG=6u7HG|7@yDT$j5$<8U+HB0tRN!(;ej!elhS#nZJPR)|DQ*vIGT#}N@ zvgF#76gzR9G<1dBR2;9jc#qNB?G)kIsJHpD=xrhS-j*4Q-d2+9t>hV&b+Yx8rveqJL=~zL>&V7b zrzW+iLtW}op9XBs7Br+0O=!keG^YivXw9~4M;msa9qsAB&U9o~b|==6z39Z=?8AQS z&w(6FtRshUI7f06$8a3S(}k{_#L1k>X>{WZx^osiIGb}gj|;evi|EZI^x-o4as^ir z>qtNPb1efY=6VKmV|Ja~lV<7AZ2k^Q^EYlX%=u?i@?4g@k`gx=k-U?V_p;>Ul*A2& zWOhn^%#xo|vN%hAPsyKIvO!8JXG!gpY~sXq(#RFEd2zgM={-hojVaXIHnQk#d-A>Q zWGs5yg+jgUD~sL^Am7^&#-g{QDb(93vgoadd~ZFCMQ`U)sJBaH(c9(ZdmCUZdb^HX zZzWH$-zK}AJGqN{7{XBQC)SaNc$i@f=P^d`IHP!yr+AuY8N+it&kMZ7%e=w_UgLG% zWD;-jHdA9k)#aF~S@-5#nn>qZ*Jm&Kg3;CH}Sj-ZZv4WNS z#%g|NEr0Si>nKNgHlQMvsX{e2BG!=_)TB0b*n~}~&t}D zkf(~{^%?ImdK*ij-d>eOZ*P$AZK|>8?Oh7>_Ngp-`+|IL-y4hGexOiqzsjPwrQ~~C zV=Q|6gF?Mkl0|P-$@f;vSoBtxTyG_Ri`Yokn5HyiYns!N*2Frp9oy5E9oUif>_kU) zVK;VXPxhiS`>-$ja{vc%Fo$v&M{pEJb1cVk0$n(flZkcYG>SN#GdPp8=*c<6I&wZ2 z(2I+>m_A%eUoPiLuHqW{F@S+w#~`lf25#hLZsj)a;7;!59`0o*_wyjJjy%FJ9_2Ac z@&uz8on0p{rdc{Zo4*s&{EgcSbAEbCW@gEkDT&()$%2$D%97DkUwlq+LonIPpWhP0E#<<|6T&o*(LMm;Jcyj@h$w@tj@z(#PmJlpgL> zdbo4xVaL+LT}lsk%?{%qwp;1w?xlx&lpgL`dbn5VVW;dc{t2B+NB1s0+{fWZl`8oE zAU)ofey6v_fBEyg+2eix^AGfX+p^5#egFPI@4r9L`|l6*{`&*HMLw8|d@vXJf~Ux) zs>r6Q$hur)V^w5hRrKHfKyT+}*$?zusr^82yvC<%CklPK9wLiR*Tczwx*l&VK3%&~ z=+m{kEIwU(kpFbO&{%xB_NLIM>(#RObnQ?6({-@1_;kIALZ7ZfWbx^GANfz$;l|?A zbp*Lj*OF&F#>mDpj^}ximl)3kVz>7?Z!nQbOy+H-GL3h6pAVSMN6h3CKIL=1;7h*Z z8@}ax=I{e^na2WtViCU(yS*hWWjQNY#c!-(EwS7Ci@#YrgL8?q7AsX;C3 zP?vgaN&_}y3mVdhCNyO$wx$IwY0b98Zm$h(X~&LqU}rkAD|UPTH-Dh_Ki}ZQKIpBq zrx@NveNS_&f9COYp(`hGGN*DH-8h5p zoJ9}L<{ZxBd@kf7dUFYVxQxDB!Bxa+(vMh8u4N#_3}P@h606BA+{*3T!ClQoW+coFk==IpdyHgetxt1viGgeHO4pY>F(R3$NA%_yK26zWA%Aw%{6OTusUVe zYnSx*bR8vipX|fMpIndQ3EpRPSD;vTr^=$c{^YwGYAm`NPODeOKPwUv6gI4O-iUm9qLk#1~gv%opP!OZS#n`Y;_D#E6)BmKC9_fz zUk6F%^!%6qtLmw1KOc%3&`$XmS4yDZ{; zJ|tF>Pgu-ne9o7A%{P3TT`4QltY4MQ`Za0R#}`6o{l+QTG)uNlNqiwBsh^TYS<*Bm z@r972ZA$j_ap3~~ul~vPx~`IQO5=E*_Zi)dq*!+s$)dYU$#*x+Saf$K#k#vu7Tw)K zzPr1PMR)g5th>i#(cP2eyPIz;x_g;o-Mu4=?%pHc-KWN)yD!LfxAv)zrLtxG#LukY zS5~o_SWEuoFV;|jO02`WRAGHKU_&-$6E>wfo3kZbu{GPU9ow@5wW!UG)TKTRXvEIM zTGE&%G^H6WXi00@5NpX^>`hzRu`eCipH3XWK^)AX9LC`s!I2!zF&s-bj;A|4=t(bn z(}$Dj$0?jftR-hKfHN7y5YA#4XJ^++X`0>Rvw1%$&HKx;d4Fw6uFsNNQ*wuk!1W*Z zI1i>h)5BT%bV}o9!}YQt{c~?-$p#j2 zpV3`4igmZGEV`>nzPozHqPvC^>#n&hx@$$gyM2sBckLh5mXe_!LOs>1NPkxM$jbt_+1-!wVyv;i-;ypg#Lq6scKIL=1;48jn z3E%QPKeCkN#9HzTD_F@YR`Un3mi$euB^9YeW!9q#RkLeli!@7XWb?Nq&EL4$Fz0to z$!=M)drIPFL((xN2WCl^l*EmOq>z#mv*gs2^v{yvDLFSw#-wCimP|>>R41;J`mT_x zO5=Eq_ZYp+q*!ma%c8ftxSP4$!+ku!gUsV$9_2Bf;7Ok5S)Sts^1Z!oEP7i=vEDwC zMQ@AA_x6pk=Evdma#5%G)H7TJM zb=Z-5G@v0nu`|2UnB8bbb6OJX$nLaZPxfLT+R>i<=s-t0aUcg1>&RhrrVB@K6i3sQ zZp1or0^KRllN0GfU;1$}r*azoIfH==VlYEFi{YHjxtz}kMlqT(lroO-OyFW-9ht}^ zrf?Zkxq|7;$gY!{(kz{w&EGj`{@$C--^Ww(RF=G$l2@|i-ITnaC7-3_%Pd)zlAp8W z_mupVCF`eT!z|f6C0k`l?UdAY;yP*I3fZYNj=Ok|(OVOW^|psBdfS_PZ~GgI-VUHx zZ%4|aw`0in*27rz){A1joi2;s29WPX9E$ZeRu;WoNWQnp#-g{&$@RAO$&c$~ zGnvH=+{Dey<~Cv-xs$t?!(8s=J|5s9=J5!R@;FcM6wmN1&+`H=@)ED`DzEbf3wevT zd6z}J&xgc1@(GLijL-R!ulR;2cmCin{-y$zSci34kM*g_ zhHT6xY|3VA&K7J%4YpxBVjbCm5^7V2y40rujk4>cMVh6pv-#UL&0n_}@!4=_N)FGG zV^eZ`mYkH5Q?lgDlnlv|ktw+#OD;;urCD-iO0Le58&h&imfVw)`<=K>8oELrDUIV} z-edIkB*l7rSr)y$M!vUqj74wnQLMKwWYOE#9ENM{+dBa4g+8o)hRnPkPatKAc29PT@3S9XW#moXH@DFqC1OO{^p5aXup%#RZfy zmhoK3MO@6KOk^^baXHhtf-9N9)m+PUT+b|S?&m=s;^FK% zc`nV;`PuwkkmhgPUbs#^PRXZPvLq#Odm&kwlGRyKF(q+(A*q&<>RGaFN@`|F!<6it zCCyXPDofg@q=OUJNh4RtL8Wm##Cwe1I#aB-<7ClWck;dUH5R>{OtIbu%c8fl$oDqF zSoAiUV!d4=i{2)Y?`^uV=(u?db^c;Z*z@BZ}*YwZS8+kd_wjlPxB1V@jUZ+ ziC9Nost=X3CsL2l0q7FM!kNPyE5j(RhjoFQ+G^Yiv zXiXdTB-W9AXiIzcr2`%5#DUp$a#Wh7U9%V3YRgJX-sDZv5s8BwanytZr~xX(*x?zgHX|-?V3KiP=zq3m2bZlC3m*dkVcRO|| zndA6b$=nJRN<92`kCTce_c~4~xzDk7$^DM^lsw?rzNF+q|7T&zLyo;l<~jaU^04FR zl1CgjD|xhM!LGBQ>4K&UJ~0Z~E@->pQ=_2qg2oFzISN`YXuaU>p`iJKPmqH43)(OE z6e*Yi1v8-FlcZo46wHExPm_X~Q1FRTFdGVHL&2v?!Hg*QWGVP$DOjZnR;hwdmx7h5 zV5R!^5B2{0hkF10L%sipeyF!=o&Wfu-qr<5tiS67bRHOX1U@PY}*oN57mERWBb{^Zgy2N&_0Szg?EokCAwsXyh z?OaP*5!-@2i0$0o#CEP7?b(kGbmRbR=l=04!6S{ww%{0wZ3}wIVq4IM|G6#jL%lou zUoRw?V~E6$QJV%pYtVO5i{~zVn+VJ zk1S(3w|N3LWBS91-qj$F?yZsaCzVK%pM2eFRa%^dFGUhd}sVjX#ySVtb?ah~KU zp5Zy3XFf0TGOzF&uk!|P66?r2yvuvM&xd?WtRtWD8DH=vU-J#$@;$MREM*x#@iQw} zNvtEQv+JaCnx$2;`CBc`-}wH@oUfUZT3OOCCGq{0q*Y4VWJ&v!#P?T{&M7$}OO8uP z_bfR%C8uS{;FO$|C8JYP>cn-jt1IN9(l}n?Jw|VnDAwCmvgqwv^1a<`EPA_@V!hob zi{2h2-`f+$qPM3h*4wMH=xqV{-WC~)-aepMZ(qrxwVY3NImM)kVfpxE;MF0n$nyWw4ya_ z*ppaC_Mt89*_RINPbUr}){#Rvl+GN^5gf(Qbmdr%<9NE$gPxp7Z~Af)Cvys?(VsII z$e9dg2xl>jvpJVoM@BG`(Of_&;~39`>^hm6X6f{7{?1JEH@?3z=kH3%+$@=wlKB2g z@mVB3zAG74Ql>Ct;>!f78EZHn2TR3r@GLhMx1qA= zZ8-VfMj4CV#*pi6?E@+kWs{h~WlUun)0si6BiC>(Gr67{xRINg&8^(d9o)s;%;jG0 z;{hII9uM;0v$WoT`Gr#aFEBTGp{J~%RO$92l4wYGtDpX}dVjbCpYHUVzwqPr2uuXQI)J?Or zK{kJzr1{${o460Y`QZgt@&PmDnS#n`YF3yt6Q*uR? z%u2~kPFyEVTp_oY#_>+?F?yRrvECk$MQ@Lj@9lYG(c6m@>+LOB^!6_K-aauFy?sWp z-hPlpZ_CK{w#r!a_B+LTTUQpntxvwUO^rowo0IEp?cL}OvJz@jhq~0G0gZ@tWEXa& z3A@pZ=Cq_WyR!#-vN!wCj(ypW{prX79LT{O!eJav7mnm8j-e~vIG$KXdQhMjC(?($ z^y3s_9XXx;3}7IG7{XA7F`RQam-89HC@x?OV;RSVOyFWJWg?TA!sSfm3Z^rItBG~w zI%YD98@P#En9Xh3b#i~2r4RMoFcyq>^!Lg17bV6mhR=uBQu;y1TsH84j-r^nJ ztcAyru*^#=`rvZ)FnOI92(}bopqXn&KO`Ggm>5yh=r)>Uq zPV+ZzG0geyDe0LdC#NKCF(hZD*VFqIKJwgMtch=*4_uQXzye4?R{k|+FL@g_I{B?dn?Je z_qVZVuOh|T+ejAeRU_BldNtDjFQUI~Db`;-S@hSCe1E$ci~gFE>u>GDD*MRV(w=?k z!2WdNKw>>PghT1f;T*w{98Fh_h!3^OnhH*CM z66?tbMlzZUC}k|;nLw;3mvAYQn9OBNWg64DlB>9yYq^f=xq%zGnOnG(+qi?fxSP4$ z!+qS(gFM8;JW8x5Pw*s9^9;}N0`qw(yI$T-^K?-*!xyI+9=951@Q*23o+ZDfByKe% z>!qY>mTZ=ixYdx9q@+%k?39vSvt;*_?3pF|rKDq)bVWZ)0T9+c@&QO*9t0O`%wC*T|x`ndEz$Z7h1bonpN`AdBAS zk?-v(W6|5Qb9 zudL)ZR`Umc@;4Qz$U0PJJ*rTZ4T+Ux6RNQp)!BkAslhhHI(2$+j znO$kjZZxAgEosH>v|&&7W*^$op8e=RM>=sJ2NUbaVRWVoM{pF!(3Ni4b z-`wHGqPZi)^k)DA8N^_QGK}Gz!?~Q#2u5)MV;IXg zE@T21a|sif%oHwXDpxR_8C*@QB-b&MS=_))+{|olBUX|-xr;f>L5QdXo{zbc#c zYtpQb8x6C5b<9Xg^ zbT^V>-CZP$?k*+Y-85s--IWyU?nYU3cMJLM?luEZ8jJ3}AlKd6|Dw25wv34}wb_xn)TaTB*qK;M8qW9i26bf*VB=|yk)a1#AEh0}<&{=;J zvwM6t?#j2kI`E-iuJawEPAU+zPEbDqPK<=>#eygdTT|#w|$I7 zZ|y18+aa>(tuy)Fx*Ch#j-yy_ePz+x$>e(*Xe@dgOs==J|3z_xY$T((fKtXXo(Wh- z{9teVuX$-YuKmH@cziB?u=mKaC+dzWdwF!(%VWx3b}f5(Z1yr9_9{8n@u!k*j-yMCbKI=t`1s32XO*1b*tDd(*xt>}4|9qUvj-z15QE<;$@X1xM>nQm2D){s&xc4mBeH83I3YMjU z9Z10rq~KnrU>8!b3;Flslz;l);4l7W4Hc+JCI0<`y#=4h1)s^A3x6j}Q2ekNJd8`J6BK zimzG1w|vi!EM+-A@e3AFV5|vqxDpV!5eE+m{*uwah)L?7MZyidU z*P;%w<*P@1%5NQZb>5iWXi9Th5L<`cX~UkxmTw>0Qhw{u(Rn8hB({9zw+>yL$CmFX zV$0W+V=2FNC^(NT--*PQuP-MNTZdDLEnk0P%QuiS8O#vQVmP*Z|M=zM7~`>Z7)QCS z!+-kna7y_f>}_R&UR4^$Yy2ZI6=zaxD&8)OsdyLpsrZ1gn2Pf#HWis#Z=sn4wRpY2Ro0cco?yZ?LvMk zb~6@J@dUb4ek%5H9#gR&#irsQSxm*D?Pfr?TwDbO-WEb_eoqO5V?s&r5 z@mNGIWCG=zo9sNAyPT<%Yi{imE!P>J$t-T*CT?anw-GDJoy1Bqhq>I#eZ)%g5V4Xx z!lOLS6FkK;#7go!v68&VOT5CX#7go83wevTd6z}J&xgcH@(GLijL-R!uZWf8TVf^o zfgf4Ma(-q7v68GJR+8WOgTMHj3REIil66^+^{L8+Y)q^qo3a_3vjtmGgKgN3SV?xE zgxb`hF7=6(q)~RIv`DkGbvA$7ruq9HUvc?MjSfwJsnOwCdTdIM&t~^Y>7P3#OU_Km zkSrOQk_)orqLf^kC0C~8>MXf2CAVbBJt?{0iECwdSIHx#aeU1CjP9PKSa&bWqPy3~ zclVC5=o-5T=URWTObZ9uW^wvPmUisRe(X;t4&WdT=1>mfaE{8N?8VGK{l{wd6d`XC$MzfKtXXo(s8%i@B7EOy)8! zXBt;1LvJjg>loLwu=rCB;Zo4*Uv{Ee@; ze5QPyl25Z_NlM}?F3HN2tj>~(DT%MRB-K(hnJgiraLFZhbDS;Dt`&krnRIY03WD_F@YR`Umc@;7U!NF^$> zE>);XtR)+TJQ5)L?72WjkuJ1GT8bj?|+*4Qa&A>`G&Hqbbd4K`UC*hCSJf zeP~O2_N4BNEAwQ^LN!(FpETu5{H#B6r=PszY68J?1Jvt(RKCS=K!luXT%nJKv; zOKwleU0E_OC68vwvnhEYOBSZ&Z6~giJzOCll*aKR?=gB?OtId+lSOYolJD(TW6|4h z6zgprS@gCZ`QA1$7QJmovEH_qMQV zOxBq$9Klf>O;@@R>&OXor$A3mq&I!($H|<^Y4qm|1~Q1j4CO3_b2jI49wQjVXvR>= zIL0%9i-~n)B9oZHWlUun)0si6BiC>(Gr67{xQUyY&8^(d9o)s;%;jG0;{hII9uM;< zkMjgi@ifo!94|1Rmw1I(M_y+E3we{bd6z}JpIs+krdj$;Hh-6;`5U(xJ|F%{Nrfy~ zKP7RSA=xS=+hj@Yl*Da@q)AGeWyu~X**i-PNXfxja%4)5$&y|v>Epz8vZpKL)Y3Sf z?mb3t11Q$pIkM>OeDb}GH5R>HNU`27mql+^knim}W6|3ziuHDrMQ@K# ztheW7(c6pUdwauJ^!66H-qt?N@`-FQpYb_g@)h6kEwPULz>h3rIY09Yzp{$o_?37fJRo3jO5QG;#Rj#x)_poH4gp)U1kKqF!u*@azc!frI9 z1ubdK?(D&y?9D#3V_)`Te>!pi2XZinau|oxg(EqNW9UjZjwjZU9u(-siS*$l`f*Bj zoeW8{bXYcjN2d83w;1O9r74-5C0C{-ZZRacq~x|NxhExYiy?V3CC_BZ%PDy+OWsS# zhgtGPO1{pLpHi~IiR)x9SIF%766yu~}b%X_@fhkVQ@e9C8h z!Iyl^H+;+Y#5%H+W&Fg?tY9UpSe;!bmD4P(lFi?0Y5vA7hB;p|CAG4oVM^i_L((cG zZL*|&O5*lH(m5qZWXW+U>7FGgr{uIO8Jv={vSf5hN}ae)_I8C_R2s)iyvOKm62*GE zN*29cOTM?8jYV&_QmnW8WYOD$L>H7QHPX-`gT%(c1?U>+LI9^tOb2 zZ_ABEZ@-Z1ZS8X`f6LZTkxEo%U8+!(SVuNuW2&(!)!CdaslnE4%XZXc2WnA=9jQls z8q$cJ*@edJMpK&8f>yMq4SN#n$Ud~CJ^Rvu{prMk#5!^ahtiqDIfA1&nywtnaU4%~ zdeD;-=}liw;$%+YH2QM}138ny4B;$>aW>}?>&OU3GMWo0WgO#~kXJTvIe%A5=4Q#fl*H|YLhMx1qA=Z8-VfMj4CV#*phRt^b!8pU5Ppa2ZpX#&l*7>&P`+%S^84 z25#hLW^*gIa|d^EH*>j{`*?r{na9IC%40miQ#{SHJjV;n=Otd@RbFQS3we{bd51;3 zPpl&!@iB|}l+XE+ulR-~e8>0v$WoT`Gr#aFEBTGp{J~%RO$92l4wYGtDpX}dHewU1 zu^H9bf~}~*HraJjH_g%p+5Byi=5O3ynDcw5q+OOAkdnB)kQ|eeZduYRC2@Np8IY1e zS#nNF&d-tyQ*v>ZT%M9EvSe0DZgS!}iNAR3_R=`s={-hob12r^BeLl2aq_)AZ!CIy zkz&2QC5ztPCEwd8#-g{+DAwB#vgmCY`QBC;i{5^xSa0jfqPO+Q_qM6A=xuXyy{-K( zi95(js7)Q}QjZ2SBG!>z*p(*iMl+hzlGg0b9_-29>_a>DWk2?(BL{FG2XhFAaX4K# zlA}0=u5{ygVjby0fnJBI4K-tTI zWiQXnUdF#+P}%67!E?h=L7b!6H$xNi0|>3KdSEJ3T1SlU|%iZ~D-ellb?elLecb zf`zGIgHy1E4F4EwxcFHP>b5^NL}jF zfJW?0?D!hfgr+p31+8dJ8|?W0TYk7Vw)L@l@@Lq`#2+w8cMVUcz14Hs9YA|m$;+j2 zeAWAm{uWTIzYk>5-^b+p`^s4Kw}fK-{UVG0R+8`UZ)4G4MT+&eku3VFM!vrq#-hJ% zDb`;-S@hSCe1E$ci~gGP5B>d1-_{)I-+44$IhNx%p6>LZCnwUIzMRC#oWg0G&KV5k zOa?QAvlzzNoJ*`HBZ&26G#60HSjIDfSWhnDQYJB(%b3bErgJ4%aW&U+9oKUMH*zz# za4WZQ2X}EdbGe86xSt1kh=+NUSWliH)|01shUa*J`Mi|f0lb}N=_0eVT6`f?)BKGu zgxvN1n3CmL@>@#c3n9sRDXE$zo24Ya5R#Onq)wLXl#*SuWcQTpnI-$Bq+^zJNy$-8 z+$rzt3OTMcjwg7J(OZFHy`3tH-ujd8ZK$#6Z8*hx8zYO}#*y!BqOs_03dMT6Mi#xz zB;VU?W6|5~6zlB)S@brKd~Z(~i{73k*W21BK3i<=s-t0aUchC2#3*` zE*!y8979*SW!FicG)wzs^LId+zww2TIe&giMrFx`DTyzHBv+(lMwZMB(+7{)S=3z@*hT*5>qGlk2U z$`wp!23Hg7$aTzQ7B_GcH#3{th;`&n?qUvextIHSfQOjJBRtCEJi${u!?Qfk3%tln zyuxd|&KoS`E#Br`7V$nG66?q(Eao#l=S#lk8@|o1lND)}uFB@`nlyhaW%GCAlx&(M zTc>2ZEUBN8Mp@D{B`va~ZA$jdl7mumXqFtClH;?acS=smk~32>#EI*qgDd2m(m0;y zJw|UMDc0LXvgqwn^1V$n7QJ0bvEFW!MQ^u|@9l15(c3)~>+LaF^!6nA-sT&N-d?6y zZ|}&WxA(~R_NlSx?F(|ft$pHSscacP@iQy%oRal=5*pQ9c zgiWc==4{DUY|S=o$M)<%Eo!qPb*WDS8nH96jx?qTO=(68TGE;}#5%GUd()P7>`Mps zrxOQo5C?N8hjBPZa3n`_49C)qaSEpq>&O`l;7kTFgtHjN+1Yhc znr7+vZ2nG4^Y^lB{$87s>$Bw6l-!Xe52obdEO|O5aeLwOWI;;a%#sgM@^O|dNy+zF z@=HoqW=X}ARCeMz+20kiL1`Q}@*bnNY82~jTUqp0lYDRWj74t^Db`zaS@hP5d~f?0 zi{9E(thYmC(OYNoy>&Gfy&Xrf-ulX-x0A{DHqcn~Hke#*YoGWSAsfkPE})dLjAsI| zj$Fc}Oky&ZaXHhN&Xrun)m+PUT+a>M$j#ist=z^P+{xX{sclRu;XzK)$!vjYV$@Dc0LZvgmCw`QE-U7QKB(vEF`_MQ^{6@2!Hd z=xrTxy{&!XV-s05HlsRQuq8FvhFC|orzR!Tq7FM!j|MbkCw6968nYYCXiiI7u{&+p zlfBr7cC=?dI?$0$9LT}MI&v7D>B12l#nE)78?la@Kz9oCu3$Pdvg_ogG)re^^LI|q|NcKa z*vU1rQ)wJ`@h+peCKPLK4_P#~H~HrFHx|tuK(XeIltpvLkZ-Psv1qOr#hN=^7R?PH z-`p@`(cC!{Yi_J8n!AvEbCZolbC;8AZtXK4*U4rwiyOF!o0-jR#7c4}cQJ>#+{=AD zz(dUA5gz4np5Q5-;aQ&N1zzMOUg1?<=M5I}7H{(|i+G<8iIwCN7V{aO^Ce&L4c`(g z$q)R#`o}Qn-SqgZ!e$fCQi$#=KZSakOj#k%`b7Tv8O-(3}B(cK0V>uyU~bhkD6?rIr} z?sg>C-P-3q8q1o{lxDP`C9P>gtR;J~H*IOhzG(Pgx&t`DKi`9%^rAO?IEj9o!fC`> zas~r9lR*q&D8o3LSWC|1d`2>g3n*nQaE6=5CWqx+8EJ)W%++^5EeVmd{vt&t1;wD3~ zG9|0Cq+&|qCPPv!CDpTJ+mzJIl7=bSIZK+Sq?Kz@qyL{jJ9wb0n9#Yb?6Ek6d?a|BK=ivL|_(XLye1na@kaTJkEfmMq{6-sCObVG-}~0Uz=) zpYSQ4^95h=HB0!G@A-kHEaxYFVFfE$#cKW_){?(jLq#f4nRTf`RbnmKh>fYnrc`GO zwxkAIvn|_ElO3o<9d@K1^=U{Wc4k)^vl~rmP77Mmnl|i7tR?%LU zXH)V*mMl!k+fLl69OMf5pfrvjd5_WCVv6#e0MdfT0RZ|#glZ~Kw!ZS8a4hsiqAg;+<9;%K_k zjaWxcpgRS6aw5IyOFvHLR8FHmXE2aK3}z^2F`TnGm-86GC`L1eQpPc!30zF9BNLg# z6fR>b)0oZ-Vja1LYnjRQ+`vuT%xrGucJAOV?q)9cavu-yAoF;bM|qqlc#5ZamgjhZ z`Mks{#5(di3s}gTyv@5T;{9};RQj^?pr_2rdKEk+xAtdyhn77&cUIZUVcE-g&~A9y z=-Fj2&nbI(ZrRK8%3hwIy^Mduh_caC%-WmYb-Vf7gB6vaJeit23L^Z7+hy8HU_gOwlTO<78`>(hJTHrl!HeWK25%UPjlo;wHU?`SRry4=n9ul}FZqg?k>3(C@&|ro8Otd@ zBUd?(8TmVZP<}>Mavn2sUDjiLs#1PNZt6T{avn2s z7j~umjBMdNW@KxM&B%RaF(db0{TLt#(c8wvN>aYJEuBYiTN5kEKlQew z@#w8Sv67VUt+DgyttqjR{8Mjx8IP5uEwPf6@2!*b=>8ObOvU<_j!&xKsX#azlnCUY6Fj!Yxg zkt>%766#5(d0@A4k+^C2G->&T~k#ut3a*L=gbd{3++OIgNG{LBhg66?t7>^iBO zW@(jd{#Hx#H@?GilU_3=wX&pPO5!^#Nvo8!$&&Ud>5wIzQ*uO>9G8;rS#okpPRo+P zDLE@kMyI6IiR{+y*)_2wdeo;Ojo6u8Xv}Ujr8zBVMQhryC$Wy~LtEOj zFCEyQP8>+ABZqJ(ojIH%IEtg`%CQ{B@pPvLJvouy^yMT@<`hn&KW8wIGa1Yf&SDs6 zb1t!tj9?_Axqwo}F`fz8buu;0(&^d!otfrue1GL?xGN=dvt(XM;`=Mf3n_UiOBSXi zzQ2+zPRZw4@?A=P%#z%qt+hN9{w=NXx?F3o$Rv_P7KV#9`sTAvNs4RLLPQJHM#-g_| z&QoZ%wj&}bH3y&zF`U9@jXAXl;!-) zFZ{|%eq%L%@E3nmfr_j{W!9q#RoReOM>e4vn^B!D*oqo#lU*lu(=2U}&EF|_9Df4drKC*y-U8gPmD!xpHZy0A7s(nGV;Bx zG8Vo4PO;wBl|^sslkaU)W6|5@Cn^BRPs==t?(^C)SZ36zIi?^r0{PIE7e8PNzQu z7|0-oFqB~o=N!)Ed`2*e3mC&##&IDNxR^_s$YiE)Ia9fU>CE72Vja1Tnatt_ZsHbZ zb6a+u+@EIYL;gG9JOlqX{NeNs4tJI8Q5wg+ywB*aEycP!NEY24O1`_JjYW6IQmnh) zvgqz4^4*A?PU;y_|8IfO&$%;6ltksM7|j^#Lxr#n69$%*u) zFDG#_r*Imla|Q!Blfew(EQWD5=Mrnl2u3oR3n*nQ$!m&xtUwImD{+3ySSUV+{1m`&x1U~!#ql?B~S1qPxB1V@dERCDZ5tQPIGus zHoF(6*&VkT=KYT;S)L`or6g`MBR~p9?yvOLRK(XFVl|^s;$@ezYSoAiWV!e%#MQ`KC_cqa3^frZJ zy%NDSZH+h?PSj79pI`R=8 zvzSl$oG2e9w<8WjR0d3%|0G-&oBb{K?-`pd#x~nf0hbRW>Bnkxi(^W>jYj zwxkBz5bMbH)TD%3)S)i*Xh1`DVrO=xF}u->=Cq_0yVHg}*_(Z6M|<|810CtafgDV% zBZtwME*!y8979*SW!FicG)wzs^LId+zj2#k&Yz!>QCV_fO5!#{az#pJWXY_Q#BGLT zPD<|0l1EbVc$U1Fl2@|it(3f*CI9J4`-^;U>l%yR z)~8r+o6DlNt;qMbgR$tXHpP0|MHam^A>UhTW6|3l6zgq&S@d=Q`Q8pU7QG!wuD7)h zs`QW*=*5Zjp)dV7g;+;Ur#}N2$RGwYlwl0#9M0u@MlgyC7{ge`aUm19m`j+*WTtRA zQ@Mia%;0Kb9l4H~%;E-a;$~)Z8?lbu$z9B0F86Xj5AYE4c!WoJoF{mSXLy$9d4U&s ziC1`y*Lj15yv5tR%Oc+ALt-8IgvETu=X}Z6e8acdb+RJO-&NWCU6bZ-rELCgoRUqm zWb2e{mnHR6(kM%srldudv`xvrc33Xo|LPC+9_1=Ir!QmnfhWzpR&`x~S;2;j>P!8j8j^Id+ z<`|Bp8^_a~9`vLaz3IbA^y3sxBi52L7{HkfVhCq3jI*bLyC3RTo&E6BH!IU#-h9S6zlE~S#;N# ze0N=qMR&(hth>Il=Gr-3=z!-P-@2I6^j((Of_&V;Ro`tR;T5H~!bWG#%Ie zXm30`7eCrNw(NPjab+*Zm%Y5O?B#^AmltI(<3YZQ%SJCLdwFTu%ZX(#CzZXNoV|>H z!<4eo%gSC}UiNZo*~@9^W%|+HY5#kFw0CiXfB$H&2h;xjqrC;+m=x?%3U(<4cRK|; zm4cm0!5vS*Zlz$iQgGK(@Tpg@V=4ILEBNFqxce#CxfJYN3hsakb}t3Hmx8;Xe?Lt5 z|LDV%|H6ZR4L$f*VXr#zqrI_UPqutt#^p?7I#+TPS92}baXmM1BR6vkw{jbIa3^;& zmwUL6`+1Ouc$i0tZQm0-$;I&KZtGL->jh`m8i^mRG})d?fa*#!xqN3qy}44e(O-; zycTtcZC^d=Q-15PtMkU}MpK&8g4jCjP8;?lwtf51mhxMNj?O!AAhGQ$zjf&1JhpvD z5!=45983AFL&14$`%WabeSJBJ*gBj_Z2S5X+rELE$zXj#~6>T!#K)q z9sbkThf~V`Xm9+6{Z*xLyv9EgQ*kE6rsD0gn2L9ipNbC{i>Ww|VpH*1Sxm(j$WO)B zjm1=4NU^E-ku0X-V)9e*8)GpQzoXbx{8biH@i+2Qv4XLfitA8pDsCc+UF>F5r~Fjh z#(7M|?Wsu#wJ1Lo8#s@txDz{5ekwL|9#gR;#in9ASxm+K=s@|Yc(C)BiiZ)q*e>L! zVmD(k6;Gf$<)>mF=P?!gQEVy>lEqXUN`5MyZ)^mk7|lOT#fyz!!bB!vDz5!T^a`)1 zGb6jBxhdUc%+BsI=A^rf_>#*V&EqL~Dob8WNqosAc{e5RXUS(N`7%qErR3)<`8_3n zWy$&}*)U5sPsvtUQadGeo%lAnt1D!u(m3woJw|U$h$ZBodfUTzEFpUnOGx?N_IDn= z9Y8E0|J2)&#$yRNhFC(%_twLC^wx`5LjI|@(~ZXxGJse@%J(+Rc`PC4a4!GU+gRhV zgj~o3%J(+edGvNUQz_Tm+NW8rGd`19+`vuT%xrEW){#4jbz}~6xtIHhb>typ9eIRD zd7LMBif4#*&UmnI`RWQ zvW(^Y%nD*1Sw*ZPzw-xw@i!HyM64t0vL5SGl?~aLSVuNxGd5=nwxR~xupP0E>_7>% zsY6}r6YEH$>^fu&86EsbSOXi76$(2~})A=Z+;*qgSrV_)`Te>!mh2XQcmau|nm1V?f-$8ap&IGz*e zK~H+on?9UGKThE^Vl6p?0i4MohA@<2oK37H=W#wG8N~&ZGM4dN$VFVtrA%ZpmvK4M zxPmL0!PQ*LbzILZZsaCzVK%pM2eFpi%^dFGUhd~X9^&EbT6r#AEAz8!WkI@D;tMXH z93Q9T(=1t%lK6s4vN9#Bv!r55DrZTxlvK}>ZBtS+OB$wR=PYTSl2%#LJ|!KT_)O{M z3OT4Wj)!=U(OYMV^>&;rdh1TUx4y=rx05N>+hAGrb{6^GMi`6UMpLY}OJvd8B=WsY zHx|8JMX}y)mPK#3lJ9M)RH8ELQiZC-IVY3NImM)kVfpxt~6#hn$nyWw4ya_*ppaC_Mt89*_RG< zq!R~b*U3?7mUhkNZz0X!6SMi-KP3aRWOz!>&605`nUEz@QZhA5W~StZEcvhek^AFZ zAs>{+@gwgsdRt7f-oBGXZ$Fan?N?*b+iw)>Z5>(kwjTN3HZc~xZAP))wwFb3CFFZ+ zU@UsuiDJFAltpj5lkcsavFL3-a=op+6+KMWnJyf`Q5;QIx)JNh33R7GPfnyaed))^ zoXTnR=L`lih`|iyEQWJ7=W-q+7{zGDP|7&QGl7eVbz~xwn8IaDWg63&L98R!a4j>r zo*TG{o0-k6+|C``#of&1Uhd-o9%LR5^C*w=1pkA*`+%0JTH6Ip4k|$q!2k#%hyg@V z3`a5NoG`L6AZ838W)L=l2~k1BgkV4nAm$7z2&hB}Vj?F4AS#&Y=bP)Ez4=#LV|4## z{C&Ged&hX{)|&5?TjRWQeYI9uSYvsQ4;aUXOkffp6LVw=Q<=^TW-^;O%+1b|#c5|R z%XapvwCCd%!@I_xDJhdBRZK}2?V%8tsi zlhQwRYW7c^lalitct<(YIdXAvJYMQmMsuAh)ZF#5XznKR&Gohx&Gn^FbN9)jx%`DGL)k{6{$oOsJ=vRm*q8m-p949FLpYSfIfA1&hGRLN6F7;J zIhA&t&Y7G=dx|)Rb2*<2=)^_YnbIZg(r(%Q?Vk2;&ustRm6HBh@<2)+%95v2G9pVx zr{v`<8IzLtv*f??cOuVnhWu6>kAHZL(c5|o^|p~LdaFjhw>s9Mw|W%nZChFN)|7m2 zEv!Xvttiynzhu!{Tk^dfW-WR`3H*yo*=|N9=(VIT><#z7iF8a}*d%2H++|L6H<{=*DQ66I`kMjgiF`N-ROU#jx zjAAq|@-naR8gCGD4HxA!R2+b6Qkzg`O01D}A^vJ5L6rT{g_C9^j1W^w@%igw~Hy%TUS~1b}jkd zdRU9zdXej`WOs89_cDNi3}P@bM;_)8hVU4}c$_C0&eJ@@vpmm8Uf@Mu;uT)y zb>84D-sWA#@*W>Bjt`l@Bt9nQ$P}hBof*tz7ITIS^Wn*HFRHp`;Qj5*loVsk0ohMDw&Tf|N?3QWI z#|?(_WZ#tRpCyN5leEIB77aeE=@oRX`u7QIcRP;Z~gqPN-Pd;8j2^tOOPy?rN( z-d2(CZJo8~?KcYbR#6tcRUzM7O>5CxZF0Sp{9D8ZvW7IGF-_QxW;7?}$WH7`OLk#b zc4K$iuqS)75Bsto`*R=%aR`TUI7e_4M{_L4a{?!EGN;mx(>arvBkd{T9M0u@I?{=Y zh&gg8m(iIkxQZ@xr5o3BJvVR@H`9Zj^rAPn(wEz~gS+TQf9~Nv25>)v7|cV&9C?%> z3}qNk@D#%tk)0Cn=r!%Ck%o;{5};fd1KCrh6`<>@~^*6V_x z$OS=>3%MdEbCHXJA}91e|NXgteyq311x=A*ROF(j$UrJGkpB6x-sS!`Siv`Z%Xj?0 z_{VxpYCqN+kMZu>mO}5YN6O;e^%(N+uBTXwch}P>^zM3|EZ$u&Aph=qxwUwAy^=!j zt~bi!-L*UUch^4F;@$Oj3cb4yl*PO21LWUbAF~$ku8)&@cP;s@$4J>IM)M*s^9rx= z2646bHt#TovAoX*jAsH9`G}91%oL_EgHM^oY(8TyU+^VgGoJ-4Vlhiu#tObAuJ%^4 ziXT|RPyEa}ekHE<{@_p6Q-*R>pdyv2!bWUNHL6pSTGXZvn^TW1*oyizU>h2-Elp@j zGn%s_akbZimb7A5TC)dj*b7&C|66{nH-6~!r4IG~{RvK7JH3~#X~v~%nvKqJj(k)c zkDqvz(cV-FwfDI!+WU%pdrPcEd&?=*-jA|qZ!P)u{<0SBm8DR7o5-TQ8syunYc1N_ zl0xlmCyVyBC*R&K)}p=L_?!0rqt9th@@JkxJ5J*a&g5*`(}8n2kB(fxgQQp$u0DxnBMf^He#CG$z9w{f9_=f0~y2vJjg>l!lOLKFdpYg zp5kdn@GQ?Wk{1}wOT5ghyv7^6MNE@-iD~j4?=y}MnZTs%+J9!+rE{`t@_Ff+JU$At zf4@)3>MZ#sCBJ7$rIb|7l1)>xS(Y?RN#iWpAtnF!{@(hz&X6;TN-fkt|TR&^j+dUNO?O|E;HiUd{!>vVc&rqnhS7g!K>*RYI zYb|>FfLw1S-}aayi#al#8O&rBbC^rakuUj*dCX@ai&(-kmh%nY@;xhA%^H5>XV&ry zzw$eO@E2u>IZ~bqRH8Cf*_fCk)rmQ>DYe*)I@DzgVvcN0eHyY2jcLMmG-G>qU`KYQ z1-q~-yRkcauqS)55Bsto`*Q#XaWIE+7)NjvM{_L4aRMiDGN;mx)3fvB{Is(>Wjnib z+S&0@kn`lGl=R4wzA1^1f+Y8+WN?-YO-XzdBpH>G7qjHel)RHA6I1eWmVBC$*;%q6 zC5s*SEa^OF$T!9D_?_1ny{)29Z@n)s{tXo0IRYfwkzZ5rul& zNfy1eB;Q*bYth@@6zc5|S@d=|`QDDV7QLNBuD6nJd$gAoaSrElJ{{@AMZ_Grl*{PM z6V26F1X?p7f$Ox6+r}xPv?CM}O|&J_c|%7TZyu-VU<$XS2JRdTVNqo#?rZA1^e9BB_Gl#i+LCle_ zna2VavY4eTV?}nJ{FHX-x@`ZhPy07M3bN;`rKDz-)JsWx6eMYylIB^`Dkbqzkfd!& z4(#wB{k`?`oh8>7$K#D&XLQ${Lfzdii|+0s-`zlK(cJ?S>h5t_boUhb?nYXR?nYCn zySHW0-5B!SO|TZ-eMF(|X33(v&&YQ--&%CHh+KCi-}hK4Tg4Bo;U|7(9lsK@oWiM`#_62N*|etv=Wrh9a{-;Wh)alB zaygy3lB?*#HFV>;>|7~MySq=e@9$3g{@!fgKa!HivgGNMJnJNI{>Re*UV=j zi&(-^ma~Fy`JR=mCT7Wx{KQ(;@hiU*v*a&gmXxI&6{tjIs%GcPX6cNmo1GC2(-{%B z8QvpyPRTA=vS&*6$&y1;azvJ#kdl+Lq$nllX351VxhzYrP00;e(kmsmX30G%8Q{S6 z*9Fdy2aDtJVXrZI8$zMpo{>dw&oh!27|lz(!mGT_8@$EayvrEg;{(R=A^F~>Sc~4K zQ>eEuWzpL_^1Usy7QKB#q27L$MQ^{5@2!lr=&d}t-b%jnQC(JpO{v9Z)S)h05OZW} z>eG;IXw0^3M>Do(2X;ZsKNoa0|UC=2rS} z8+Q^ylQ?b6}iES|RDzi&FKL)~6;Ty3Ot<(-s{&35<1w7cV0 z!&x*tC3CZ6K}zCQL$WF*YqI3Gl>C_`RZ_BXmefwk=2_AxB~2VSS2{UMb|{X=oxINI zt|f)K+gldh?MuG9L##!2hf}D#lVs7|spPwBZ!NkzheF+5DvRzqlkcvZwdn483U$|8 z7TxtF-(7!e(cOLIx-0qK$D^_#3}qNk@Fc?-LClipc%D(bz>B=ZE4;?*yvbX- zeLi43A2N|ie9R|IVH(rvaHEZ~ZpIOH* z{KoJ6$zPPA9ObD5?VgQ_?d_ZcoWwS@J+i9?Fu(Q}R@n zj84hR4xA?!Iz!$pj>osX#^`Mfg?jr)7QIa--`gx}(c5Pf>TQuMdRt1qx0TkSw;w3f z+aI#%Z9VzkDqD-*Hlk2(b!5?7J@UP6V=a2ymRxTo-}`7GYe_41r8T?LhP{Y6@-OzK zE&FpI2XP38aX3eE6vuEZ$8#blaSEq$8mDt6XVIPxoWpsX&jobiA}%53$mMkAO0J>{ zUFpVk#2mSio9IptdQwbp`p}o#xr4jtM}O|+J_d3>4=|XAc!WoJjG;Wv6FkLmM(`{# zM@BM=(Y(mZyvl35k)0>w(k`8l?cb?s|IWzv?^h|ApC!vv@@eyM}ykH(QI|ZlO?bcgmu-yUF)9$XfLFAccB+QWm{EO}@7mtVM4xk?XDG z-zdH-8^e3N&p5_2fl0(1`Gm<#Wg0X1lv&K-Gd|}FzT#`=j& zKk^f6S;w#ZPRxP04Lpa!*R)7DF;5CBw4hnUp-AC9kLCtt|Nd)Y~_*=- z!ev~}6maR)I+`f)e+a4!QG$RGw2bL3$jVF-^g zj3;=K;XKVVJj?TpjC0^lGUgr(o;vL>)Ebs9FC@*+pN=ejIx2e_ zf5Ho;tD{SwzF7M7rP8M_mp*-^^y#bFQ$N=0+5ha1^{#C8&yV%~^WT^I=gX2srfiWZ zTjVOc$fPZD#a3k67MZq1uG)%B+#(aV$Q5^ysas^~7P;y!GI@(!c^8?!MW%0&tGFT) zxX1)9awS(}3jgzCz3bEeNk7(mT;qTLSZ`c1EHkc`v*BgID#xo?LtM`N%vv_QEcny$ zUzDLN<*7hi7F3}s8xxmv)v3XTmj#x_fmj!zhmvj3Pmvj4b00(g}hjKVB=l=F%!IP}VWx=Twx-2+H7MBI*^S>?& z{8;ZL{uhl4gG-4EgU%GXFt}b87X~+xzcA=+EiMfDQs~0qK3QBC+)w_(;8AOFVK9_J z7Y5JC;=*7Q`3r;Bti^@Fn-sb*7%z(pgNfuX45nF&3xiL|T^N*nS>f)@Cy{+>u*3jvd*6 zhBTrv8}7*Fj$=pe#LjHEBU?L;9odFLJMutT?8rknlnr;}F^-SrcuwH&cI27X&!Rm= zY`7yYaNLQDvKy8z>2{%8b|cX}-AKgeS8hJ;N=g4Lc_1b6`IY3Ul#Ixd(J6_~uOwqq z@_v?ll#xp0$dW}VS(+t3q~s?DZdfjLmi$&6kAHZL(c5}rlKfq78(ELusu7c9 z!`|vRj^64KljQGu+tzyY)|8ke8}`=1arD-Tm?VGK+rO;GBxy@bk_~%1%yIN~BuDXg zy`5q`Cdp}>&W62pa2&mzM@*6pdMlYDms{`5m0U#^y3&p7h&gg2F-N-7gP!!FH+|^K z?cBj#^rJub5_4oAF-IO?Fc0xCF-IO_D39|5PcfVkJWI@xk&I$AFY+?45Od@WVvfAc zJB(p0?=z0^Okg4(@iCK`!ZcI;i{_S-Z*H}T~p12~X_IfTPFf|w*na}39EJSTE8r_hemID<1eoAz|zT+X8- z7jPjLaS4}kIahEcSJQ=S=*D&2KunUG>CP?mq?lXj!)@7_G9c~JLD~KtlJ;+Wf@RM? zpOP1{jXQ!*(_rl%x6!II2N$-*r8CMDlz$uBASJxj``q*9h_nv%^NI8QEj zhHP0Jk6U|<(OW|b^|pg7dfSG~Q-e*Z#b#_y zUAD;1lO}1GHp}*J%d~%Y&GzrUDcL_u4o}HZS#oMh{zv|5dS_?IGsW@voYxq=jiOL* zZ_1*#cgXiP-dglFkwU$FDvRD`lke?oYth>R3ibA#EP7i-zPEMOqPO2D)LTVa^j3v@ zZ#At&Z?(zwR&qbuK-Q2(G^PpL(TwKA9NCGTX~{0^%5LmV8}?*x_F-T4V}B0hAP(VB z4(AAt;%JWLcuwFXPUckFaXM!bbEG{*oWr@CPe(d&5iv(DR1y|99u5{yCuIC1B z;%0i#lV0@ZR{C-qcW@W|=+8ae#{lkU5QBM$m?MuegrN-M37%p&BeL`4<+SHt%g%-| z>1>D_4DSt-Q!*_}K1)g5U`UpxWJQ+zkdnB;kgQKhxh&ZzCDpQ|UP`vgl5JDcG)r2g zq_qR@Cs#N__AZXce|e43TU!eCcBCwNJBEC3r&x>LPNPt7=gFeC3&{6&xwYu+N(%LM zqbz#sPQJH3)}puDDb(9QS@iY*`Q9G07QH=AuD6n}sEm}2Vl*%EGOzF&ZxD0jZQfxF zV|kws7|#SI@(~|1nJG+T2A?vE*?h)azTiu~W;s@676F;+# zUx_*L2Y<4jGL)kN6{$=WHezF{QJtF9qBeEdoO*1*R@A2f+t7$@X+l$)(VQKLInsib zv|?9Uvj=V1D?3jPPP_E5Z2umY_HW!?*z;$nq(hcmn3A}?kX)0J>$2pQl*H|YROBDwxm#V+sUH2?a4Q{i?wKOH*(FDd`V?LSz8X^ zKn~^*4&w-7k{r!39LMpT$Vr?+J5J*a&g5*`(}8n2kB(fxg<26C9AXKmz2Z} zhNMzTs%FWiDTx~lNyC&h&XOHcvU8T~nUa07nNyI2;zT}HmQuGXTrYbn%QFIn_e%p3wB{wc4K$;U{Cg9ANFNG_U8Z&;$RNtFpl6TVvZckah$-3oXn}T6VpHS zarRGrnv%c!E00$@N4_bJ$M5_>(cCHuHTRn=n){P{a}}*cb5$tRTy0r2w>kOd8d!_w z8d0dZon+BmOY+UNu@=qkO`+xvkwtTdlW*>LYth_E(l;?TMX|G)lyP3OX{U0ZZRZHQ_?(3TBRgz zF(hqMa$tx5=&w9>ah6DRZl&2z( z$+#?;nvxk=@_9_Ov&0TDVvfC4qSb8b%tzI9FLoLjnP{T3iY<7EPAU? zzPIhHMQ__vsJGo@(c2#6d)v=i^mYJ+dOKPcy&Xrsw|3T|w=*czTSr;+b|LxRuCNxp zT}`gHl7EwUv#dL}(34_%(}&wINBm50{I7XedMx>w-uT*F{7mm_rQf7`z4YlDrBB~1 zefn1E)3>vy@fE&zN>|@4eLAM}>Dbbz@0C7%KYJQ~!Uv_R<4T{7FMax9>C*}6Y5JMo z3IDS{)4QbEKR?s!>sbH%Oz%HG)4Pd%P>t%;peCDAi`r~P9X6*f_1Jc%N~MX9AOmOTJH-%v7c^gPF`?4xjNkU+@)QGoOVlVhKxG&I-QedsecV zANY}rj_^Y_c4g?MGWSymUC!@nIZ6T=H#r>2QMMxa2#Txa4ccX>4%m zP^l>Gin!=Im$>Nb$OXj3!^Ol!-(|!_-xXZR)pX$+uEj;)-+p}9%X(Zq+{y+Q5C7%k z!+SRTq26n3(Fcp;@nQc+Y{nrJ+KkW0VlzHZelxyeEjHup6xxg*$YL{oNPaU;u@;+g zI)yglm$KN5^T=<;W!7RdenX+n__HiF<1gelV;O6)8Ou{>Ggg%;jOa|3_ZTyN_!MEY_Y8#dS9 zaWr=y1K6Osl5es+YJCVp8O9Sl$#6yxljJ#Kl8oYiwOjH{me;JsBzcp!c!zfx%lpJ6 z8Ba`-iA>^SJ|QN_G^X<@GnvgC=JEwGNxo(t3s}fvmJpL<1u;p!<9k-Inl=1HOp9cs`IEmWLpfrSRHPDBsLIAvBPK~rHl;S3u{m|wf~|;2(tw6EqA^WqN=%aG+1b!K z?cX-p{%xD~@4r9f`rq|az1KQR-YkyCx4q8jZVZLG`$!huO(x&nENju-XB6sgku17f zO1`_5)}p%~DAe5_vgmF-`R*!Pi|#g}P?z5P9$c@DV)k_oX(k?MSD7M4(D+`7to1| zxP+J`m(!Umxr#1yr5o1~v*bo@qB}k4Nin_YLtk#^4(_5K{kfNzB?Gyi2N=vlJi?0FtRohwt*xe_08dFS{lCG)dnc}n6# zF3H-I{F)_YQxYF?Nou5|R+em;lKNS)eM)xBlHF3WhYy}L8vmKG13GNmYmPVY^hw!u z&XOaGh5Y;baxH;?rydg-Q7Z=?(URDcXyNT zZjiO;?m-H5_oOVkdzyTAFIbE2ULx0B$v0Zwm5t#&-e(-+nZP7smVClwrZSBge9A25 z@EM=;1z+(s^I6CumavrNtl(R|Vd6zXkvS@gCi`QG-o7QG!ruD6m~(qm=EaRMiD zGN;gv(}_897H3mL2hQa@I?{;?xtL41jLW%#tGJr3T*I|o#|_-b&Gg_FdQr@+^x-z{ zAm&Iv?&couWdH*i#9(5MJj^2u;W38s1Wz)Yr+J2Fd7hEHz>B=ZE4<3- zJw9L@A2NYSd`!%dDNJQLGnmP2<}f!qPZp^wya| zy0KeLWs_>JHBlfNiKIm%O! zN>rgL8?y=3smZ3)W-~UYE?ck_F-IEEkVZ773ER<(=ENM?iJfW5F6>HccBc({vN!v% zFZ;1S2XYXHa43g!1V?cU$8tO;a1tkTD(yI(Gl@CUo+8fST+ZhLI&o2Uo^(mOv|F}+ zyQlrzGuyv+rKEqBJdl!yvgE0hjL4GFDS0_d#-!x^EcqxUle6TrlzfpTi&C;QOMXbn zPY#?XH#$RpD~`uMyvFElJ%xJPNEW?SBi~ycYtdUh3iYg`{$ z=&ddJ-VU=Cy&XxR-cFH4Z>N#(t%J4b?L2b5m3*7!a#?4tNY&jJ>)n58UZ1>X{LWF@Qk zfi?WZTGsJvcAiv7yR>q)e`}=u8@CwVHR`8in=IKrC2@-(*&`);Wyt|4iQ5awaVa@5 zOU_8i*;#U7N-oKgt5b4Kmi(8$5qXm{B@Gglg2FCbg(d9qLk#E!m3tG+-MVvn|`vlNu?~=G$ork@P2Z$Gi1x+c--1+ zjNTejsJ9(t(c8}Cd)wVw^tLC3dOJuKy&X!vw_~kEZzoWwx3gr?TM_x*I$4X}E~Zd# zU1ibRwd8y2VJ&*=MXtA!@3Qxk-OWAR%K!#4h{41hd6-8S!eb2Mah_y2PxB1V@;oDX zffsp+S9q1zd4sojn|B$@dwjq+K4b!u_?Va@Q<%zhW-yak%waAuN514M<}sgzEM^JI zSk5RPEjD9w>as<4o-|3j zv{|-)Tc-URw-@&OzA4#1OAb#-++IjdP08t5a!yL(_CnG*C0Aw1^(nb2OZukdjx4z^ zCHH5^(3CvkzUg~YtdV6a=n%Oo5TjPhBTrvP1ufRG$-cB zPV7uec41d`V|UuHCwsFG`?4SVb07zC2#0bwM{pEJb1cVm0w-}Yr_zqoIg^+p?J43M z&gFbM(us?RIdUnN(U~i_iY|1e8`p9@H*ga-(}SM$qBpnFm)p35yXZ%M?%_TLa6f|> z%tOQ+d6XdxWf)KJ6vG*johL7+UHV$Kf5)W#8@Cts{N$8O%aYGh61NwUr72mFB|oGj zZZ9P3Q&KKVHcCmgEUA~0t+Hg>lr+tfRw-%iz>oFz*zm`CCuBd?J2CqPU3}YaQt6lJ zJ}Q0sap}`fN}oD26L{9)5dSErXgol*Mq)6%CiOP|ilp2nXryL5F<>C?|V z{eR-edS`F=CBHIPHY{tplxn>O6Iq5o@W!%aN4YFN$Vdkw35JfUF?j|&>sEK{bu|1bXe;#84~iz0)e z$Y3aPVOV516d4XhE)I(fh$0t=MTSICnX@>X_7u^9bNJ`KKljg%^)9jx7PEwmO}5YN6O;e^%(N+uBTXwch}P>^zM3|EZ$u&Aph=q zxwUwAy^=!jt~bi!-L*UUch^4F;@$Oj3cb4yl*PO21LWUbAF~$ku8)&@cP;t0$4J>I zM)M*s^9rx=2646bHt#TovAoX*jAsH9`G}91%oL_EgHM^oY(8TyU+^VgGoJ-4Vlhiu z#tObAuJ%^4iXT|RPyEa}ekHE<{@_p6Q-*R>pdyv2!bWUNHL6pSTGXZvn^TW1*oyiz zU>h2-Elp@jGn%s_akbZimb7A5TC)dj*b7&C|2u!Iw^#htl5`dHUb>Der%-o4%A&iq!SnQ52Ku}l38+Ii`U zJU$3=75{xoR%gjCDTxn)B$ZN9HA^;4Nqi6_X_%76S+YY)cFvMLQ?gH%9F&qnv*d)7 zob13Ia!+T-8O8B@CGQ=DyPX#JbnW}6|%#rHE9NCmwY(^dG zvIQ|mwx&J}*@nh6VLO_!Jv*=?JJW()*p=Pbojur-z1W9+*^m7>fP*-gLph8iIEte= zmg6{q6FHevX~*f=d2)W*rJb_<+d1vu_#nuhzbPd>vZQZH;)5W`{V5roB|}pZ9|TE8 zrR2pdc{3&NWXZ&oe4Hhpret=OEJ(>>2hNjT&X8}4 z=&cHcdaEsq-Zm%STLWv+TO$hfwv#M+Ye~MhHrArIy(!e&A+qT0aPqw!Z!LN|iCk|b z-}GoNE8-l^<$OBQiHnFiaw(V5nJc)8tLaKNuH|}e;3jUS2R-RUZ*HY8w{Zt|(vSY! z!+i|keg-j^hln}yC_@;^FrMH^hBJbgBhT?Xqj-TAd6`#ujn{dTw|Iwl8O!^8z<54n zB9r)-$xLAy)A^K{%w`UA`GS}uUo(#dEMzfDS;mU&Jozc@(skMXU7z-Ed=O;MS4&CF zEUA~0_#jBqG$qZmq*Y4dgCI%UlpL5PN2cVMEIBPDXJ*NHDY+m^u1rZ62hNjXXUO%% z@pz-x7`=6;P;a-(qPM%q_cqX4^!5OSdV5?Jy*)*~w~^MOx6u^p?QL1~Himp}6RbsV zA5o~cS+eNuGxEL7w-&uEBG+5VH$7I$R`COC_=%rc$FIa3`GY@MPZ`Qlo{Cha3LCL8 z)u>KQYEhdyY)(D4U@Nw!0o%}sZD~SNn$esci8<1Omb7A5TC+QC*o&AW|6*U-vOfoM zFo$p$hjS!HaSX?DJSTDzr*JB#aXM#mHtp%aIh@D&TtFu-;u2zxTux`MKb(BSK7n1Mo3Tx5Z)#Q3B`KHIsvhLhMPm1YH zA8sS&$erB9-Sp>P?qeW>cz_3ah(~yo#~8-rJjqi$%?O_5IY#mVqj`y!d6n0AgSUt| z@-Aa|kM|kJcqTB3m?NJsnW;=;1~Zw(96sZ7zThjqWiQ5awp(!~c zOHN2h++IkEQgUvVT%3~2vgF#7+>j-`QgUmS+>??44xA^qIzt{Tj>m_+#^`Mbg?f8N z7QH=BzPDGbMQ^WDsJ9Pf(c6dQdz)e{dYew6-oBJYZ}Z6aw#-`e_6>!4`&kyf{X)LC zGS;HE^5l9e`KCv8Sq(O&7MoFrx@#Wm_s>?BRGnfBgb+aCvYMsa|-P^otPtMaW+MC;9Sn9Bb~UAi@Ai$ zxST7vimU0$HC)Se+`vuTOb>3M7scF4A8z9gVvh9VZtme;25>)v7@VCaPo!Nsyu*e+ z(fjXf#Mt@oqO% z?^0Q`*O`2K-K<4>*Hfsy-m++~FZuTRTZ{JYBiCNZ_dOn!4Phw5c!DPx&In?fJje5l z;ssvhC0^k*Ugu5T;vL>)EbsFH|HglNE7sNFAnt3c>A&XhU zGFA}NYjON+d(mL(ZHrf7doAz(qWZ3h^q~!Q4IV~k|lOeevB^PDMl_`mv3`zHt z^vsgmQ*u|9Jdl!yvgGlUJe4J*Q}VI{=Sg2@$eYFS__o&=y^WzzZy(8`x5?ytn`JF} z`;0=pEs{lVOUd`P(pvQP1BH6~Ll(WQC*NCTYth?A6zZ*xEPAU)zPD|xMQ_`Z>#gMb z9xY@oX~nLzW_Q}K7cocv#lEy?z5PUIv`;Z#oJbk5`~+S7q^ zIFIwWfKFV*CBz)LoX%XyRdk^%-MEgJBR6sr-RVJ3is?-s`f@vWa2NgP&%NBoKsc zELonCxXF;LP06oWQZ^-VlOd^*l3H1^WlHL2$@VGPF-vw!$sXRyH2Qz~JA=15OO7m# z$D_T@=rGj%Q}ALcVd?O#d^w8jtW$yGF6FLvI*6wK}~A0 z8Fi>jJ+@>k>eGO2Xw0^3M^m<^IXkj5E!c%t>_%($pbdMm4>3#jqb&z;AO~|OhjB!9 zuAH3qaJy^|7o|NMHyZZ+WhuELORh~x+-OK{P04Lpa!*R)Mnf_rCBw4hnUp-AC9kLC ztt|N%-DT0+p5%Mm-&*u`5V_t;zVCgk>^M%~L{8=u z+HpEDN6zAGis-<(oJU7GaUmCT372s>S8x?q)0Jzumg~5I8@ZVt+(Ivkxs^WL#vQ~Q z>BrsN!@Ue(AcGi8%#nwAgdse}FrMH^hVwMf@GQ?Wk{5W9mw1I&d7U?Si+6aJvAo9z zjN?NlFo}AL^Z3`o+1=OP_v`J&iBf zeObEtRq4~OOP|gweLBDN>4NNO{0R$7R~MB&U0nKfN$Jz2rB9cYK3$$Y^+Ua$rT=ta znd)=Od|76G_Jf!I{l^v+%lU)Kl`UJrclZ2nQ?|_7X8-(9?>|4(`_B*c{_{o2VIBT2 zeNpm1_^+`h!+-2NQEK^)AX9FEJmzx{CVBCO3xmECx-hs;78eHhlfN){)LL8^45iS8!E>^>Fc?Mt z!r(P)abfT#g)R)n%i_XdBKZr0Y1ZPx;8SuJ1|?rr`C2xQ1uSGSONbr0g4mJY@ja_p z&4xR2o#WV%zwtX8?#ObEV@Fn`5>=?mhC8yRe1#jcCkas z*pWN2GaK&6){bLGwxQ6DJWv)p@(>PX!yS2y<6}9V6ZpFwd8YNVXipIv?#K%qcjBV# zhNVloUFeqGNOVs(67d<9n~%Fv(mzWcNJ)H#C3z|(BeG<4O5!st$(WS9pCuoqWO9~# zmXa^BWKl|%X2}mJ`N@GBmOGs#zZJ*hA6{ehww{plaw|c}R z`Mch>wI01SB__#+y|r*0y|p4H$=~(%FY7T$+7gpw!`=>a9K9XMQT$zRr&y0kavGeL@WYOHK_Hp$Vjp6X>_=M;;6M)M5Dw!AVv-!qF&xM7oXE+XLOV|549?_i+S7q^ zIggH9z=d4IC0xekT)~xGO&6}A8`p6IF-dNwJGao2Vs51mw`FI_fOI|#%Fc%&>3oP! zu$&Lir{sk!c|9fZ36|u;luXK!=_!d%uq5+RvM@`&Ny+zF@=Hp7&yw;fsgxy~rere* z-ckBFL$)lA$F04_=&d1zdfP!3z3oiCx81EpZ+lXxw}WKS+o9xpJJwqCb^?WZJ4+V5 z6_M|)leOsWVhZ)vRTjNnOTM=r)}pswp zhNt3ion`QgAW!k^H`qv#MMEvWHebav;asMnmJf%lvyZhAi&z+tn=cMHP zEa{w*tFq+!l-!gheN%ErmfV+;`?F+dN}h1wT)Ep>@=S3&KIe5tccUoO-J7!L?j7>o zjkgxvO{7qFpUR@U+2p(X+FEqCfI{7UCyVY@k?(Guwdn3Q3Uyae7Tr}L-(5{>(OqqF z-Id&vHjp)>5shiWb~K|oF-vx0XIiogyQ1O$=nmj$|NOBW&k3Bw$(%|%PUlQwmb9mc zb2yjt=}0FoB4){@Tt;WE;3~S%m2Si=xt<%iiJR#`Phytz=2rT08+ULQ{pim<+{Xa! zXApyVh?pghGK8TF;|ZQ(I3u!i<>jF$QZ7q2N=daWsh5(ivSizoG|iG$DQWG%dD7n*vUhPj{>y9Jm$vNBfgHpk z9LnJw$x$3bzPD4XMQ^83sJHWE(c1;&d%N6P^mZkMdb?2;y>%zwTOVuD+wBzUZJ;cA zdw_gzk6DY}9w*mZ$yZfI%0@Ao7kQaic#SuRIr26!N5(Lg_xXVFOkg4(@iCK`!Zc>^ zDYKZ(XUydbzT|7>vw%e`W+}^9!MDU5S;;DXU=2Uzur%-!8%A&os zudE}fI@?|Er|$Bl;l`+Z7QXUQ)qi5m?` zrIb|7l1)<*HyVogS#^|kx zLcLuqi{36H-&aCY7db^c;Z~d%AZ}(8Bw})lX+Ys`-4YwA(Jwu`1UXev_ zuaoa>thMOv19H8Ud|73RY%0^4!Axc`hq=TY`I4`g$9xvDh$SpzIp6Rt-?Ng{tl>v~ zW-Y()E5Gvxe^G|Al&1ofs7zHhCgwVWeZ}CY)yR{vJH)C!ge%cdv;() zcBTcpuq(TsPa z=MReJR#B+A-(=C;pX8gXXf2wnLZRkr%c8l>$v4-)S~S;)Le1?Yi{@IAZ?28CXl`!` zHFtC0{0!JYJ@KlgAS1Gt|-4CWzXl03=~hBAyNc#`3aASTIkJkKazzy|s+ z*OIS0ev`L&hj$sv`+UH7K4c=3_?XE|VH(rRqW3uG5l$@C* z=cVL=EV(izT^x8v8Q=`LzBnFl^cthL?iA|nc3Jdx7x~@>T8rKupipm*%c8fZ$oDqV zTJ$!WLcP5$i{8eN?`?v$=zA^If?&+y*m%vsr>uJj|^#2N;HU+ zsezQztWooz2@NhKq)AF?)^L|*8c~Ug&?HULfJB;PXrNJrq6sC^zsjl3?;O|uzRz`D=ek!c*j(!SaJ?U&|n+-8{bgHtjzOGc*TU$+^)6?-v#D>g1mUr%Y= zWccT%r+;o%mVBC$FS2A|N`A(}MR&WA?`~gX(cS(O>+VQdbaxE-?%ElP?oOsycU@%B-C5+jyTDj z9_3qHHoBzjaB11$FJ*_nmK`q34&zVwt!#98+2M+^!{5seSEj@CgS{)$Q-7aVi0A(P zPdxSK4=VrE-@?ZK{9v!go&Nd3-hY0u_n#l^Em-9XKA{U%`hu0dV7I@<|L)|!@#tTZ z)gCwAw?X`1Z!FmTE#G%>H}^7tf!xmn4CWz*@(7PIoW~f+D4yU+p5__G@Ep(cB4ZiH zE5x>MJQH}GNla!6Q+b=%_Pxh6rZayxw?h9d4xD*5N;Vd3a~}pX{9G1O zaW46(_?@wsir-UgD*h&msrWnjsaU~SOvSY*HWfFJ#V&RuYEphGZst6u;+E8<9`z|d z6&pK`skj~6Q+_J$>^!F8t`wV!`^jP|9>_tIpNdB~kEwVxv5Rd>ekyh_7E|$5PNV!( zJkxnh#cmXviWkaaDqc)}DqdymYOdj0)-)AwHGUg+&<|6w^!w2J91mbnc1JTT-DQmM zZ$w5K@NYy$cdC8;Z1=k9?&P(UPR#B>-b!~T?`FxzDfuKzzD~*fELohAU$W$nl>D6~ zYo}!0EGhmM?+3U_wkwI(9lX!zt~s%ctf{*_jmI*w53!7t@9tpd(cPiMGP0)bjyE35 z$VtR9Qog&6&ZE22iDhI>-JNSZmXRLBGE%;~-p*qgxtuFlQ+GEQk7eX0Zl-*9{hdd5 zcXJQrx+{I6W;UPj8L^gp$y~nX8|JfsSW6ZWYsruN#A2543(JVLWCgL7 z{K236%_=HTiC9ajP?fc*&bq8mtR)+=5gW4!n^K$2*n(I~wxS+e(|~PhM64xEvumYg zn!l~G`P(|p?D&$)UHnlgIW|k$rzF1Ql5|Z;_blm|lK7HK(kCU?Wyvimxjjn;rsRPv zc{C-DWyzS7JnzJ}WCL9xFPFsYtKMVuHi2Tjy(5d>rjhUMBV*Cq9E$ZePZqs>OTM?C zjYV%uDc0LxvgmC!`QEA-i{93uSZ}pt(OVtzz124sy=_CTx6-Fsn#r2ef}Lr}uC!tg zVjbC=eQ3>o9KeAb%pn}g;T*wH9L=#D$MKxVNwlW}r*JAA>BQ-D=1jWMjqaR7tRv^s zgPvSSFD|Axml5m8m0U$1uHiaLxPiXh#4X&)?c70s?&5Cl7hzA(VLk#6%9%UGh zF@jM%L98QBGnz3x%k#X*SjJ`7$>cOkr)KkadYZrS1(!MhMM}QPl7%UWFSsPjQ?fEk zDyF1zmefc|%`DkGC3Ul;NlLcQlG49;AAj2ZDLF{uIvL~&IlLrZkMtg+w__;Q+sU%% z?KJYebukvbokg+UE|NuWmyqx6YGcvcwG`{^Hd*x6k9=?e)vgmCj`QDx} z7QH=3uD8;sS;osI@H&&2%oL{bHnEPp$26uhgIRpQN6cmppYj=B@FidI4f9yQw=854 zKky?zvxKGm$}*O-f|dM9tRt&fO+_kEnJQGHI z-xcy{NxXjUJw|VHDc0Nfvgqw6^1c0LEPDH$V!f>;i{7e|?`;EP(c4B8>upO}^j432 zZ;g#bZ`)Cvh|qd+Ilpfg?Q z##x-rIh@D&T)>4~#Kl~~rCi1pT*=j3!?j#b2{+Q0o4J)(NA92>cXAi^a4!QGM64qZ zGMFI@V9LT}6 z;ZP3a2#(@tj-@Tfa{?#Pjt-nctRx*Na5`sjCSB=9_v}jPm1b%0Z2tC1^EYlV%(kD4rnJk*68W7@p;MUSKTah;`&uUSk3i znZ#tKFqOA>hj*FA`^;b#AMg<$Glx(3j4$|-ulSmI%;#IaV-Y_P>&VY6W+}h0jODCg zWp60w0WUHx2B`Q;eYE&mylJ!`h8f-{SHl`M}sl(=ML0z_@J`LE0hBTrH zP1&9uXvR*oU>91l8?D%by@-`$Us|(22XGJva|nkKE6I@@#W5Vqah$-3w4*&Ia|)-? zkxraJXS&dpv*^w_oX7cGKu<2B7njhR%eaDANv@_3*K!>t+(=(;&aRYu()BPPyB>z5 z>mhD2Tn|sBA$c<;Z)eHOl*BEDWNu2n$&&9=@>7=lo{~SaWUZ7`&615$ zvWXL)C=a#d@nEi{92I-`j@9qPLC7^;Y`t5x0`n zV{00)Ee&Z*Q(_(2fgNeiPVCGs>`E(kXHWKGANFNG4&XozrVWR37)NjK#*>&=Kn!jVS`8y%a-?+gr=clD)MwZM; zN!(yazD>!ZELob8xWSODPD!OKStljyXGxuuY>_3~q@+=nv`9%yCw{DVX!#%OU77t@ z?;qLIbMd6zpJfly{Z)4OciG{pvcuJ7hZV{WD`toBhgB*YU90S{a@k>(vcsxnht;yf z_!HJH8?9b;xQ@f@$9hk6y*G^is9%K*iay{s)t}?9FBHvl{~^E*RVw-O%0K7#Y2$Lw z`Tg^oy}NfR*gq6p`vup2!QQXn`Y*Wt3-*5nYe2ysuwWf1SO*IB69sEQ!CFwTrzltt z3f6;y{b0eGP_QQar=Oj&M*Q=ey#xJkFo^qkfCm}OLkwXk5A&b=Ptp0jEcm=E*yj{{ zW)^&A7ToO?>~{+GI|cVm1$&-?Jx{^CQ^CHcVBb@4=Uea@TCn#i_#7?x94)wyD%b-R z?12jIr3&^z1^b|aJK=)Q)PlWG!RKnh=W4+nalxMG|Ji3J|BdJT8m+Ea?}>QMFMhMv zg6%hZ<262HTT|>q_HbEz$R0)hL-s^t@gduuVjr@dW$_`~mHdb7`NrZywkO3tWUrLP zhio76AF_Ro#fR)I6#J09R~8?#1Id5L4mB1ZvX7GckS%@S<7wGw#_%l9^8#ZTM{N3D z$3qHQj?9T#irC@Gq#{ETT!2_*@kUtL}Qw= zJ+bL)Msr%QGcDPTR_uXI-+#m3?0wi3@=i&-zUMtgchf1>-KVnX?hEqWEie|{Eu>g? zzsjP!<>b3tWh}a@NU`qLlSOwm$ah!USai2J#ky-Ki|(3`?`|h!(cLbrp}T+U%bMf; znJ3bY_MFTqoJL1FaR!~~LRZeBJLhmN=W_u)xrkm|LT@hP3Sup}npjJ&}+E`H*L)0`yiY7pHi|UOMXvDd>6=&QmbWD{ypo6U%IWJ~H&kNPxVTN=`sCTz#{>_{_qVrO>@a zUzL(;vgD?e#P>mx`%*F}OCCEJjqjx<{3<&|FDyM$@$B? z!fT9YB9nN7DZI&Byv@5z<9%i@lMncikD1LUd`7G!Uow}k`G)y?%Xciwu9RhI*00EB z{pvL9;~OE@!}=-NFiYyBB)$=nG)hU+ENPLF_(n+5Iwc2W$>AwEDofg@0`QGj|7QGFmSZ|NYqPNG$_x7~0=xq$e zdV5tCy-gtB+f-xG+dCBN?IT(AHivv~Um1(u=8@~I^r4TRWs6zLFD&CXR7u{P_lF6*-a8&Z>vsl}$$VKcU1OSYmuTeA(@(ul@1WqV>BX-0Eeurn>$ zl~(LQtRs7~53Sjc12~9-IfO$woFh1jqdAu2IGz(ZiS~5h6i%Zfoj9G&oJm)@(VcUM zb>w_{(31=4#U=FSvg|r3Nwc(XHh=r2`FmG3e+Q>zXqJpj$rD-fVoJtk$?GYZoF&s! zGAm0yP04@lFRl-Fg{)H&uj_e_(OV6Q^|rYzdaFymw}!@|wow4ZcWQz6HMHaoCMZUKSj74u3k?XDWp^vL&eYlqEDB%YBax<}x z+{W$nqd#|XH}^7tf!xmn4CWz*@(7PIoW~f+D4yU+p5__G@Ep(cB4ZiHE5tf7o(a6p zBqlS3sk}|BBkwVd>C9jjAMz2inZu`i#ut3aSA4@f7Vs?#S;PrvQ)u^6bC!3_1T|1lE_0r6a8w{TfJEml(EZIFJaf2aglaj--q-{## z218Ov$r)L4c1q66l1o!^MV4Hjk{h$+&XnBa#OKLlu8{jn;`KrAF?t(9vECk+MQ=}$ z@9hO+(c4QD>+KC$^!6tC-rhGBz0IUpZ=cJex4Gnd`_5SO_C3XV`%MCw68Rc4aqqXAkyb zZ}w$B_UAwjq78>|7)KE6$k7}_TaM!dPNW?jh;`&tPNP64&frYC(2cV=n{zmi^SOWv zxrmFogiE=ME4YfQxrS@Go)T`PFE?{5v5wq9Kkno%?%_TLFetlDhNW3LqEq?5I{2?W zV$A&4Qa&-8-*2V){cbkDKTgRfS@LyC=4Z*`l>CwQ6tEfOF)}jhkS)1yt%ld4>64P{vgDSO+@2)^Q}RHTJld)5 zzwQp=pBj_0=Vd-uM!H5`E{WGyy~}8B0>zqpM;6UZBj4Od#-h176l-ptESme4d~-h= zi{_S6thv8r(cEhC%~dlN&8d z1fzI@SV^8{G-G&{=XsH_jLWW+$!V5O&1UfQG`Hh6!~FdsC0}L9!j$}wCCgK?GD|9^ zq;i(jNJ-5s**qox+rK^-js7pc+dIlta(GF+9_f8XcgIkyyOU+n-D%{z>tZarJBwo7 zT_lU{E+OCD)yAT`Ybn;n-ir&xExWzpS8^4&dSEV_G+Tz94aMsd7s z0@dH2dGfP;?uPkFZ zD_F^ Fe7)l{Ssm8n8CsuOF;daO?kHl!w-P>b5sVRN>iE?ZHb25dt^8qtKNY|oA~ zV<%d$3oY4=R_wuE#9Fd1t=XRgIEXeJ!eQC9azdKj?XuZjNV7X`HC!v_rR0Jvxilql zt0B2DB{yfuohgZ14aty{Jd!1kr{t+Dc_}5YWXT&Tc{599rsP8>K2sidg?w5Pub+F5 z(c4^#_4d6idi#ldZ@(Ff-hQW8Z)?e-x2oiO+rU`#wh_g8+fo+2)g#|qV`I_Vb`~@15r#3G z5sc(>0ZC$`04h4&!;d4a!DqlpStZcDPa5Va>9`jkCk}6E-Ou ztyOloY1v`zvco!MhntlhZk`?b-Cjr8@Amo^IR9sUx7Qz3{>i@ijsN-G-hY0#_n+VG z{pWXk|C0|({u>YVrQhv6xWT`Ew>P#7-&w8~Qhr;o*!dEEA+~eBv7GYTg1?=wq5>6J zi^{~dU~Q_iF0q~4fEtwF7HsOgHk%RKx$@hBt)0hqZd+nI*O(@h-xf4?9^1K{iS693 z>_%)0_9V7*`w-i?{n(!aIfynKitXGQzaBi^cx($!qS&_JbXjZ*&g6e?3;b^H6aE*C zjlsFZ#-InqHU^i=Vq`HjI1#$sb|6U8}1Vq-9x z{KjCcvDg^AOtFo@6j^Kx-Xgy-m|-k71|N{y7?eJ)@}+DpU-J#~SwPIlMZ}E!k)K%1 z63WlW70zQu{=uJ=pOKZE$Be8(Ro13DohnWyzS7#CKSd2`QPBCGVtUT9(X7 z$!A$IFD2h*$$Z-+RK-VWyo*3{dH#$zREPY25P z*2#JF)|psI%Jo*dj+}422R*rvUR+FXE+f{FD~WZa57%%V*K-4Xxrtl2mD{<4{@g{Z zBli;P$Up}101p!D$WR{UQHJptBN)XK#5(dcqZz}qJkJZnIx>z}M_%PMCNPmnOlAsG zd5d>=mubAu3}zAQ$VYt496sSQz980-ulSmI%;#IaV-Y_P>&VY6W+}h0jOD~SvNF3) zDyLamEt|hJ()^9@u*~_oDXE_&O;WObmh6_2J+fr~lpK^L$E4)AEIBzPr)9}mDLE%g zE=tKIS#oVkN}RY(o^plUQWCGXd5_UsKZ^BszbtwiOuo0_#-g{86zlCdS@iZI`QFAG zi{4(RSa0viqPOYfdz)=6di#`Oy)BSMZwtxyw!~QU_A9yGN*`8PC0k8JDp8p#RHHhv zj;zP})L=tuvN5%&O&vC83+l2J^=ZI1G^7ztXv+5NKr?ot1-sCa-Dt%g>_x02`_h{I zIe>#Wm_s;>SVxZJD30M+j^hMQq#f-!nNv88j&$M-I@5)&oJDue;XKag0(x>0y|{$l zT*ei|I&w9AxR&cE;YRv$b9SBFlV<6FZ2k^O^EbY~a-BStl4r8yrIf_?SCThV@^+TY zOi6ryC7GL&Z?fe3l>C$>zo+ESELkfhRkLKHlx*U}b@H?;Wb=}E-O_uE-s(}Tx9w!n z+m7UW+tpa~wmZdoJ5UzAwIScz(Z-^;wiN5_R9W;^Am3XzW6|5$6zlC`S@d=(`QENE z7QJ0huD8;MRql}W<4*449`0oTgNSwHK?XB~p*+H)3}*x*d7LMBil=#oXL*hnc#)SF z$1A+bcqZ~XZ!noRnabO|OROXBGo6{t;zK@SHlGmd$me{)T)yHP=CgqBSjhMMz)$?l z5`N)Veq%Ymvywmgn^jbxB5P5Zs#K#o>k{k82Gn39YO)ENQk%`P>tx$BOB-kNw|Sbs zJ7@EEpOoyEC5NWuh%7lNB^|Ql^pu>LB|TDdVU}E;lB=@hKl%65N4r8EFNxPDy~pTn zG{t&*Sr)y$M!vTx#-g{kDAwBtvgqw&^1Xd&EPDHzV!iz+i{2KK?`?&#=>2g&g{ajv|@MmWH0t%U-shw z4&-3ka43gy1V?f-$I_PLIf0XCM+Z(J){%}BIGr;%lP+|lJF$+O%X#$R0xqN%7t@$sj9xRINq@<-2pC`|_LiQ|)*S)>R=&d!ydOKVey&Xlqw-b#;Z|y18TW4AH)|Gs3=NpUO zdQz;nD`nAJAM(BRH5R?yLb2ZNl|^p@$@ezYSoHQNx!y`2Q+Zl8nlU`f^Sr=V#u4kt ztGvbpCNhaPn8H-v;vL>)8t*fMS$x1pe9Rm^;WIwxOTOZ3<}sgd`Hn^WK&&G_vzVp) z!ZLni1uKbl?I&a`AVTCqoVog9>A=^@$tJtocHxVuo(*^j3p>Z?%m@Z<|xBw}!IltqJ+wb}|;d?Lw}% z(uY*`m9=Jn4&WdT<`51e){!GQieosI<2arZX-9ib<`hn&Bb_*d&UB$GXVIN=IG6Le zfSz1LFD{`smvIHLj$BP2uH`yPxPiXhOspffaXbC!&t2TZy$oO=_wxXQd5EDr!lMl5 zF-9_qCwPjdd4@4O$Md|%SjO=Rv5t&q0#Bl+I$Fc!VtNwMA@ltpht$oDqF zSoHQd#d>=|7QMYhzPE|SqPI85^;Y_T%KNhE%w!fH@)5K7gjh#D=L_cY72hzA1$@Us zzUK#i;%Aod3%~Lk%lVy^{K;Req5>6Ji^^1`8r4~sSVuOX1{+b6O{hg}HY3)NEvZXA z>eGO2X-H$5upQg8BhA=}o!Nz5*^S-VgT2^?ec6xwIgo>B!yz2T5yU!jG{?}E<2Zqn zXh($ok&C(Uw{9T>qZ`@wEPS#J!hFMZ4C2@Np zX_S(tS<)gUaeE6wyVPFyF?yFxB6iPtN=$LOsO z#d^C%7QNk0zPEdgMQ;Ns*4v}9=eOZxhJ(Hq}`4_725*`$!hO z%^~00SH_~ZdE|O4{r87I%NDbgUs%R(tY9Uvj{L>ntfm5$Sc@uDV{O)9UDjs&W@^peGm7i%aOuW!ZI7l4fb& zZ2tC3^EYlU%=y778JZ;{Qxdlqk{44lE=yid$>c1Vo|0Kv@@Y!G$dZLA`5{YwP08{s zshE<=PFyE1xI)$`iP!bK$LOsF#d_OZ7QNLa-&;dt(OVOW^|p&FdfSbBZ~GdH-u9mrNZ&LZF21;(Pci^%m>`tJ{~mi6IUuA_t-=*!JmNBl}} z{I7X?dM*8x-gsCpex-MdvghZvEIX`QcDPm9VZE}$`q^PTxVLrLXoIrDZORU}Ejw&j zcGxI8j6b1q*=Uop!=`12+m#(|pAOTn^ltyJU+MiX{73q7|INO#(`HpFRj5#-{4;)w z8khU8_tiVe|AaH^wexyz(SgMZMF!zFx1R*S7Tv zUcau_sX~Q%{`-HYJE>Uj46k?A>+E&wdS`kaQ?HBH{j1gM>i^8F*R4~*Y$%uw1-pTQ zE4koGF4z$iT+IbnbHT2lU}h9t(FL=kV0IMj4hpX9f-AdVhfr{J7tE4^T|&VpPQfQm z!A_xIwiJBo6wH`{8B?%hD3~<`v!-CzP%v`}b`Axzr(pK{^DDjo5C50ud}0_=;oi}4ATCfW(iLJx#?7?2dmTzBLQ-15v#`z%}Mr`@Y zZynk?k1gK`#Fnoe?J2)?C^(NT-xy5|O;YP}B9sa}DhIf|#mEIRk(ECf`^+EqgOvND-n~IOiVk$mGek#6TET-a16q|}~ z$YLtKNq#E6Z!D(bOo~m#&t)+c=aQd_-x-Uk_&vp@;%~B;iocVeiWQ8-R9uT|!^fCgrE%X3k?OZb@D0QJ?Ztv9a@*ircY0<)`A#&SNU>O0lW9pDd>0fgD8nsd$9* zn2JXeyV$nmr(y?VF%?hcG|ErKGo8m&>_)Mvc%dw&;>F~r;#J13<{GYLO;hn!Si$sZ~CJ4@D1$+}sxaY{DLlC4v+trPb%V_hTLmBi}~-eok`oLEHG)ZCuN zV-eYhSVYPFn@{+RSV_KQE?@Hv z^I1TwB#VfZ=rZ;7|T$6&0vNtRz*a%Gy+CUDhX7k`392joE}v zsm*3=L98TOQID-@z_v6ZR+6UKmC`cJ(pK60ZJp+Ce7$APAC;10v!s1W;_EF**OYY6 zlAbAvueT(9QgU6E+>(;pvt(dO9>|hMU6Il0W7&Hcld|V!u9TNtBQKZ4>#P1>L~|1; z*4#U?Xl@$$<~}kO&CQ`$bMs`;+_&VL``K7Dx0GVd{UwX$R+De8nz3kZ9f~zqOBT)5 zA>UkmW6|6;?8gBd$iW=Kp&ZT;9L3Qb%W)jf ziJU}xI&cc7(veP_PG`=fE8XbMImAkGK0WBkh4kWLdUF}El3dAE^x+z=ql6pi%T3(E zt=!HX^ye<_=3ee&AcJ^-!92uJ9_CSoVb+zdBu_YwmE>tgGlpk*o);O*xNMeAPP24s zHiM_9xgB3{ne|_!3xO5zJHNsW}$%#zJhQa4MQq-6Un*(D{r zby_zX{a^l?{Ww?2;U)2Ur1u%!9YeA1PL@S?r;+cji?QhLEQ)n^ku18qgnV~b8;kC) zrC4{j$)dY{qx4xjQFU+^Vg@eT7>z_%=95kK%FKeL3T{K_(xvx1fUNvtKSSWQJL zQJE@KqdKvctjGG)U_)xM3ALzA9X4kR>arE}X}~r#q!CSM%J%F?Gj^f{yU>!|XvH4v zMXV+J(whA_fP-kmAsm)nD<`Bm+%B8Lg*1oH$Y%F>DY+m^E=|c5S#o1aZqAZBQ*uw1 z3`xl&S@L*Fp30J!Qu0ccypfVOvt(vUK6K(UoUvB1BVja1Ie%#4j+{3*LU=Xp6Jjh^%FqB6a#&AY3lE-<1r+AuYc$VjQ zffsp+alFE7jAtUR^9GZ7lc~JTyTm&3KGT`WEI#C8X7fpQoy80|ZZdp6 z{GE~tS+aIY;wD3~X-YQBlC4t`HyM)VDcRYFh0Awd_1QlCYhNEfsFl(~Q+h=9&mEus zxs$Tw^pu?G#I^E@tK{sGcsu)(cM+#ySu?ybaxZQy1QEz-Q7pNyN8TL zcMnsnyC-GQ-DvXNjWrhCy-cz0rpThZx5#%l!&r3p0lDr>2g&a`A#TCqEOvKRZXFZ*!-2XZiNIF!RUf}=Q^V`SZ~|NqPIrmduwJadTT+k-u9M7Z>`Dqc8Ia)?Qn|qcA_kLYfrwnPR63Q z&g6P4eUjyTSr2-0A-%Ym-dskkBUf@2eYl3}xSkv6%T3(Et=!HX^ye<_=3ee&AcJ^- z2YHC0Jj|mE<1t1siYJJ5v5WnO!H9(=4r)&EFbn{>E*F zIbSy=^|Pc&O5!#{vRg{_$ddh2a!{5Wlak}INxa_XJw|W+DAwEkvgmCv`QC;bi{3_3theW6(c6pUdmC>odV8H>y}c)k-lmi9 zZML!K?Nf^Nwm=rWEhOLD5@XTZujG0weUfFBY&8|BL}jW_jq1cYvL5SGgAJ+4#?+!V zb=aIOsLNK=rvcm0kVZ72DciFH&De<+>_SU+qZNCw7qO1)OKbM$01o0{4&g9j9XXPt zIEG_6juSYMcC_bYPT@2<(up(ZOc%Ox7Tr0A^EjUi=*dO&;u3mu8CMYN$kp`WTCSsn z8|ll<*>!SHnxzA>`8y=d-?+taH9VD)XR_p_l*BED#+ef*oc~J!lu+_v+O$AHqFw;+5Byu=I_65F>1xl#Wrp+_RG>k zQyRAzu85P;Ki45kPESePVn}+VkC;3V47fm4XJq$34R=M2uI3*G2WtR?4i9zD2# z3+cth^yX47=L)XkYOdiruIC1BCO5zqnvN|P|vSgi@nK*#o^) z>{R$S9`s8;)4O+r>}Ptd)PANnUgOiXHN`$%50}NK>rv!CT~9O?pRVmG_UYPL7N4$N z$$z?@Z!A7tds6Jv^-5WMy7nRe>Dt#=e7fF3u}{}~W%21cko>3XP-F4w`Y5?i*V1P` zo|cVf4A1gBFEEyI#BT3ZUSk3inZz4RVJdI&4(~FJ_nE;gKHwuhW)7e58K3hdU-32b zn9sL-$0B|pc6&dwn5F!}GJazPD~a9SU;NE#Do}~aRG}JcvkvRBJ{zzhHQAV2Y)TzA zV+-oC74_MgZP=DZG^Q!r6T7`;G^YhS(~{k2#U9x0{WtuX-q&3r@07&rd){MoH=SbL zeJYFYz98S-0%OtLLW*_wt1P-(PQJTU#-h856zgt1S#(!}e0Q~tMR%K1th_C$XV950bmc6%a}MWnJ{QoFi|EBA^yV_I zAl8zriM8ZfuA_t-=*!K-T5=n=(~th##Xa2100weD4=|XA7|J6&%5WZIB%^qOr+AuY z7{hZs&x?#@9Ip^-$#`Ndd7Vj2=1r#ZcDD2XFzvf%XFKw_X-6Jk2HC~`l#(S`@_S0+ z%OFYBlvK}>jZzX{21)9rq(PQ!my#W`WcQTpl_dwJq)nEzP00yP+#yeLg`8XxucvyC z(OZFHy`3$K-p(W6+r`GBw@WG3+x4>O?MCvw-C-a0twBO4Iw$VSv;6KYYL&4_hmOX^aO`ZQo$ z8q%01Y{&NONHca~XLey%c4K$;U@!JzU-n~v4&)%(a0rKS1V?f-$IzDJIDwOBM~Cb> zIWx`DZrS|pk>+oF5oFF^m6B_+U3PjNTSgthYa8(c9nTd#hqBdRv=fy=^Ru-ZmxQ z+g8S+x2-AG+YYkmtvUJLS{aMp_M}*E2g{&TbPFQviZ9@&ENPU$edq4B^zc*os`5EL6Sx( zX__T1QW9STNm{4mfGjyYB}ZjR`;?rLC7n~!HA{M?q?Z%d$z)f^3Lr{486{Qhs3>zp;Xq#5(d9f3unjRAMcvP>r=&hjm$>4cL&HY)maS zr4F011zWNe_1T(j*p@~#rYYMK>qs-2(}JC8$*#0w4`LnJn|)}_ejLC-9Lymc%HbTr zQ5?;&9LMpT$Vs%P1E+8r9qGjBbmmOD(v9w%L#!j`(}SK|NG~p-Hc1Vo|0Kv@@Y!G$dZLA`5{YwP08{sshE<= zPFyEbTp{a}#Or$AWAs*oV!drHi{9#z@2#P+=&cFGdfP=7z3oQ6w|$L8Z~IfMw&SadV>&aK#fN;vZ07JOpYa7>@)h4Oj|F_oLKg7@Kk+k5Sjw*~V>v5W$)ChJvWnGI zq!N{>N;Rry*U2Vnme$VZZ@n~s$8rB8co zAgjSf)MOKCQJc+(b!1EGQjhvHU>h3Jm?mt;_UuSAc4B9CVOMrzclKZ}_GVx9V}B0h zAlh&Uhj9e4jvUP~wBnY(z`f@Y366?qv^y5zM;vVi}0E4pYWLTP|BRc)J`jOtLu9NLb;&lh_ zG}>!UvG(?qMSJ^@Z|`7Z(cYmHYwvhjw09Eu_BtAi_D-i*d*{lcy&mM->uoIByPRU} z-5`thZX(}ae`C?!-Q?OUedgmK*$^J)5r#3G5sV^MlP7tK(LBSmJjV-+F*qGx>lI`Iy;!!e_*4@+EWmns1oT0={Drv6}qIPb_8$zp#wo zSi$f7!JquiDk@NkwWvZ>)}}h^vOXKIAsewVo3JUh*^DiS)nqH`u{90YmPRzDX?C@= zOjk>*Y_)HlR{OZg@Ck8LN{-Ev_9=;*3`y6NbkCBWDT$j5NuQKlmnFBPm2I>E2<(sP|jppi*Z?3+vXl@&F&6Pg!(M;By z7VJz*cBK`25G%>v>_cnz;{XoiU=HC>4(AAt;%JWLIF9E;PNF>>IE7Q`NGDFGGiTD3 zZgl4yVkJ4B9`xiwdT}wmxr|szuH-8Ea1GZ{!VUE0CT`(YZs!jAa~F4WFZVH!K|H`< z9%3jD^C-i3j1i3D31TIAn$e8mS)S)b#xgFuQYNQ)IyIZY)6)!&+YGb*iIlLrZkMusH zyJINU-N~}(?lkhCnj74|%Q>?q; zvgmFk`R<-E7TrBZuDjBIpEzDNf!CSDWTr5cw~5u{J*F|88O-7XK4La=_>|B1f-m`s zZVl`RCYARBR%2c5m)rr+)J=UiN8&Z=^s6}n+ zusK^$m#wHz1Gb?djc7tswr5A0u@f!Wg_i6_EB0V7VlCO1*6hy#97G!q;jrvlIU&vA zcG(;*q&Xb78s`0ZDY+m^E=@_?YDjKO$<0}EXG-E$Loy^Kk7UW?DS0YOUP{R;S@K3o z-prDjDf!TePnCCEA)l7S>*wBM^fs4by?rl>-hLwA+i%9Ax8Et&+gh^dtt$E6HZT^w zZA7u&wv&Sx)W(Y%hgkcP41S5HzCwPjdd4^|sju&{5ml($@yvBGY@;YxYnKzlr z+q_GxBkwbvnatutK4vzbr0b;8{E~Mjnw1SJct)=DM|$@udvtE!vcuNdVLWEHU)kvX zWrqip9UfSAcu?8l!P#N_32n+o4=Fo5wCwP(vctp64v#21JTg1M*fM-)xn4;5ZNXyaOZbJ@&i%%6%5Mw) zcD{-VRAenG6WfBdsm{8@c5VY|P<~smsq@-wMr`NGZwt0|9^1KXiS1ltnoxdQ(A;@! z=XNHxbGxz|u`Sq>*v{=kZ0Gi4e-7jz+Hfegb8Gy1@Oa~~EjWo{+k(?&u`M{0|G6#j zBfanXUok;XG#KR@7r_ z8c=>lHgz5|atC&#{ETerJZ5Aoip|IaWHBQTrVZt1bR!YpV!8RaJth6KWME3-TP(?ADH)X|V^R{|Vo4^XWKx#A zlags!GAAXUWy!phe48apQ?ks78tEhu^tPHw{7^@)|Fd~da! zM{jkAm1Ir5ZDTxoYecLh<$G)9JbG(EtR!pdZExeTlC&mPlJdPB;yijgoFiCMZzmd$ zm83l#DBoKr=h0hdVkIfpTj@G-zVROPrTNUS46d6-8T#$$|N6i*QA$kU8w4A1gBFA(d^iBOW@)u-{?aaOmP?xQ!PXo50A&qE4Q?_RZnz0it*oBttMl1GUFJdLx zm)7jh0UX4^9KvD5N^&GeaSX?D94Bxh?P$-*oWf~zq!VY*nJ#qYEV^?J=W#w4(36Yk z#U=FSf3SBK&{1q{y2m?4aCd9m-Q@tmU4nZB4eqW18kgYi?(P~ixI^&Z?(W?GyZ6wY z)TQoS_nz;YnKkRoTE9A7`}q=jcy{fsDvHn>eIYB!01U(+42A>4FdQTGkuq7$-)VaO z&QtSO?qG4GtWn8&oorW$+`%F_qLO1eIj0i2gGCamlDj&2s*)Ev`K*#}I`L3R1f9fE zNnCdLL^;h7lGq{Jq`XJz%?_*HGLcDdSz+!iFJsbM0a*1`l1zFl19NXx7?a+7Vbxnh zGU?5Lxi^2tq_;M(>a9DO^wtaJ-U1kt-iE-`oAYs%ab$s*fQgulDVT;Ckac7>=3pM? zV<8q{36^0wR$>*_U@g{TBQ{|RwqiSWU>9~{FM_Zi2XP2T5R79u0a-^*;|$K>JTBrA zLU0wbj@-aagyJ^t;yxbW5gy|yp5X;v;x*pl9X{YAKI03%;Rk-g1~+)X6A|EzNQeSi zN1`JJVj(u-B0drzkv>k+s9Bm`&)@87{^sJ3J6Iv)k30TL{&M;mj*^uQ*{243q-5q93x;qN1?kzWK6n?15xOu}SL#Wc*oEXZ0i7xS6JNf@1JN36w->ltDREKt)uBxwl%3NpE#w)mt+%>8&Nqy>(zrdg~0U-ujVAZv$cO zZ8&4n+bCG|Hib-jn+|ht^BI%g7Qxh;^I?^>Wb3d28?hN%unjvP>&R}%I17bJi-$^gRCPj@d|J77Vq%^pYR2; zj(o=t{DKYc@Prq<5fPCQ14F%cVa5D)Q@5Q&fk$&ef=kP@kp2I-I<8Ic*Xj$}i2 ztv8h96AY9$wZyZ zRLLBjELX`YoorIcR-Nou$su+)PR?Y_dxpb;9w4^7bwEzlCJ&>C&g4js@5ozWHD z&;vcu8+{?`$N&t)APj~BLopm9A?wH(j71>EVqvCOKrF;YT*N~HB!a9XNs$b8q(Ca9 zL0Y6o24q5JWJNaQKrZA)UgSdo6hvVZK~eaiI7*@v%AhRDqatJ-se-ETMRn9fZPY8B;M0GcXIXlFY?CEWko6#u6;U3dl;b z8f&l)>#-4=u?5?(9XqiLd$1QlIDmsVj3YRTV>p3RIE}M7hYPrf%Lu_$T!*YAw-Aau zxQqLEh(~y$kCgXn{(jQ)_m`T#a)H68Lo}7d)JZ~>$OQ&TI+bM9Nlul>1qMkmm6Xs) z1(j6RNnMpR&`C3uwA4vwm2_i=Pn3%sA$=UO?ZYGSWYXIen0vd;nDllJrrw-?j`))7 z72e=2-s1y4;R|FP`Hmm>1smMq0WWwXA|fLSq9HnBA~xb69^xY*5+MnaA~{kZB~l{| z(jh%EA~R$i$%gF6iCoBoyvUD&kagrQ6hSfgpae>xG|HkJDxe}NqYA2_I%=R6YNIad zp#d79G5pX3&Cnbz;g8m63t2}xpd&h?3%a2PdZM>JP8@2M4%hQHP|aVtz+leLQHl94 zJ<72!_rtcTAJ+J9`HPR2I7%WrWE++DDc!|@RdFat~UB8rv_-eRDYr0<6 za=onWdRfQyvaWt9-=Ussw7%~-QeHZ4YB=8evY3lM$PJ_ zOS{<$+L9zp%5Ry?*6c}E_20|s)qmI&{QW}x*ZW{<_1}-bbD!|}&8Iql{5uZ_pI?Zb zMt%LY-+55@d{-fz-~XN43WfXir!>{M{Nuvv|9rydy+`R>ekP&%zku+0(~UZpXB*Z3 z1%=NGUDNra-?^>ufBX;if9gk0t6tpro%@8(i|5k0>;M1od9wOCfB5_BgTm)tgLQuK zcW(PD+^_#^h0b68&V9n?`_Ab+^miT*K40@i=a(}7$F~g%pN~nIP6cOwf4!|pxL?0X zWt|`Vo%@8(b9UGH-rspZ`278BouB`m2Zhf!9@6>x-?^=5xL@D#-1Pl@!smJ7>MuO` z`|AV3=Wh$^VCU~VD15%RnJKpw3-{~y8m)6@KR)5}T$^;h@%Q%+2%kr|t^?=qPf+-L zyL$%p>mB(0^){byzkZKQI(L4(Px!n*b)E12{q+Ii^N+oCe)@MF6h7a$!1VoX#l!vj z0mpP6{QK*D!skWb=-m1K1H$KCi88A1x9j)U2ZhgreRRJ4cWx^Y?$;mOO6Sh`=@ULL zG+yV<`4bR6kGx&yLBGF$Q22ajsOkILN{0LO`*>$k`_A8ApYVBw>^gV$6A(U+P)Fyk z`5!(%G(hLh_irl|?$@8X)b#y*!slg9>)bW}!{?qKb?%z~;q$Y}^un`%RpKUCA13Uj&U~kAar@ax|{r1LeeeDL@J9a;t&CX8_ zZo-b6y(!xX_GWBT+MBc8U~j>;xVOC}|2b#(_cJ(qG&p-SxPxGD_HS_ZZ*UjE;0nOt z3c%n_g29=j!Bv34*`&eQq`@5pgR239s{w<%3jh3!+JAmV?f>;>)N++=*gW6~FGPSh zA|etZBMPD-8locxVj>n|BM#ys9^xYb5+V^2BMFis8Ir?}6!;(d7cc(C&;8Bti-o6D zD*oPVATeyw8xQ7RLh{t$>XLx~^cn!Ht^bYUw5ufk{-ypY%enM^& zxxpQthyZUyg4`zh)2)WMjK@O)Bt+O-4R-cZAQj{`QCg%!*jo)**_YcyIUu)*!rp2q zz`oojDh#~V`K+4h zknL=~M5f|ASWU&1WHJ@kU@g{T12$m`wqiSWU>9~{FZN+S4&o4wz&sUCGbU5<9IU3| z4KkUEp)gOyM~ul-dBO;%AtrqKz?`iXO0#C>Mtv7_k4l5|{n4Z9{;2$+823jntK_Oq?x^IxPF|_xtxmqG zaHD`EF&Eu%ShPnda^Iw^+8|!sk@ zyv2LSTJi~>@fF|j13zJdJ7g{KLIgxaBt$_p$XXH;u@DDw5g!SV2uUDoNpjec5~+{| z=^$%KMt!X0QL{9^p1;M^{FOfx<7lX?l4?4ss}lJ`F_M-lX|0paDv>`FBN?cY!8#eG zlCe6Ou98_gS)`JsI@zF-&FpZTT;T}W>5%Pi-lO!k4_3V$CzIYz!Q9&=#-z6^uDil7*LPy!`U8f8%q6;KhCQ3cgd9W_u3wNV%K&;Sk57=CDiW@wI< z@JDO3g{&hT&=H-{1>MjcJ<%Joj`Tx+3`771!-1g~ju9Az(HM(y7>|jVgejPc>6n38 zn1i{Pj|EtS#aN1ESbOnPettKPbjNpC%1?ri{L z(%T?d^)`k~dJBZPw`q(?Z!=-l+Y&PAZ8^-nt!GSn+XPc@&gWkDlI_EO9KazQMlg;+ z){&Dqg)=yd^SFRZ2*DLx!*$%mE!@Uk+`|Jr#A7_cGd#yjyv7^6!+U(hCw##-$U5>9 zzu*RUc)|fti^h4#3pRPR&2)(?7|-GMG*GmAP(UOf^iHdAS=mfoWVJq$3qA`8VG+{Jx7z#}|?tRv6y z0Q40fJ8_FSx1t?j+97+ zG)Rl|$Ou_SvLGw6BL{LJ5Aq^E3ZM`QqX>$^2PIGvrBMduP#zUg8C6gXzNmqksEs

    two0*StF9_r+cO5U@>adLwrx47MVdPCNce&~;Z2*6+r!B7mx2#msLjKw&N$3#rR6imf*%)l(n z#$3$D0xZH}EX6Xcz$(Z(vKH&G0UNOyTd)l~AnV9(?7=<+;Q$WdFoJOu$8iFua2jWE z9v5&4mvIGGaUC~t3%79x_i!H%@d!`w46=^A#4EhPTfE0de8LxfoOr5P>aFK*3^jja z>-n2ZB`I{0K_!`Wl8^JN{Ctaoe2ahi&ri6?F*3>_+cEqS(p(^{nwv=`&CP+ixh0HA zbIW1X+$J(5EHQx2l0>q36U5{kPOL@0;!N1X^{>YkP(@Y1=)}T zIguNAkPrVcHU5w1|E2lgl|^~TN>T}xQ5Drt9W_x4bx;@e(EyFm7=CDqW@v$yXoc2j zi}vV%PUwuT=!PEXiQec7SxE*!R+2#&3Y_Hw32UoPYCe99bYHU?L`C3Z`KOWF?u6Ihcp}ScpYff@N5a zl~{!}Sc~=8h)vjnt=Nto*oEELiy+8KauA1b1i?6l6OfhUG|u20&f_93Ap}<;E6EMq zL?~|KF7D$29^o;b;u&7xC0^q#-r)m2;xoSB8-Cy?Y><`21D=QgZ$v^A$Vw6&F%S!} z5f|~10EzUGl19za^m_hgSM!%k3|S-o%YK4FC`ZXkhiq5#KBc>LuFy4!x_eG0-MxajyHAWscVA)EofnyO7ZK*}VlpP(#eu0i z=bt7fC$l3ZQXvh}B0Vxf){-p9itNaNT%h5UA z37VogTEHKzA!|uHv`0sDLKk#Hcl3m;C4JBr{V@Om7z|lUhGG~-U?fIkEXH9xCSVdK zV=AU$24+FllDU|N1z3p1Sc+v>p^uf#>R8#PkClDuSdq&NJ|9l0 ziB8_AL@qN(eyPM=Cy`YWO(zLel0+w|Rgz98IaQK}9j_4g+csM;2T5A_>A$u{w|_15 z@~}15&)m!N`G)K9dq3C9Ca#xFT`!xtUN(2VY@uJuH*4t{^>@8&<$BrL^|FoYWn2AH zzC%0LXnWVo4!k_=<<9>H>aPWa*tqP9@h|yn0XJ-6AM=w(-JOs5@sOSyzj1DMceWGk z9&A(EJ=t!sv##2T+wBqf&pEp{+a~siY~R=;u^nWO%r=%iihd3-Dmyvt(b(>{M`!D6 zkHPkiJ*Lg(!7uU8qf-Va7zPW2!NOp0dT6jX7%UD3r-%j%gu!W|!6ISU8ln*z!+;-} zpedT6Ia;74{&{rL;N-?&Q8GBeF*w07_@FfSpfotiG5D}F_^>p%*fluWF*w;VxNI^w z;W0SjF}QRxIO#Ds=`pzQHTdu}IPo#~05$jkHMop2H~}&^0W!FhGB^n`I0-Vi5H|QQ zH8>G6_&_!IKsC4^HaH#{LJdV@t9w_UvBnQKB;WBto)Rl+pG(J zscjKHO^U$>B~TKjQ3mBu0Todh=1eIC! znS8nqg!$8TIAikZIto^wu2ab5({(z`pRV&6lTX)0FnzkJGxgPsuf;lSz(#Dw7Hq=~ z$l2a*$l2aL1mOS<;xK}76vuG_r*Il)aUK_N372sNS8*LTa0|C_2lsFv5Ag_3@C?uK z60h(EZ}A=<@CjcaXM5lA1HWK{J3Qe9Z$w07L_st}M@+;<9K=I>Bt#-4K{6yq3Zz78 zq(M5QM@D2u7Gy(qiyWIFgcb0!vTbloUS(JyYC6!PaRZ$JqQ3JJ5 z2X#>&4bTXU;fJPZh8AdvR%ng3Xon8ygwE)SZs>uY=#9RRwPXNfEg6Kta9}8gVk^$l(6b83z_tm9p>KhGbX(if>m#&$)vY(F!xrCG3l)aOuadu z_-IUKpb46yIaFZ4lQ^v3`MU@(SYD28DKMq)I^U>wF{ z0w!THreYdqU>0N@nTvT?fQ49$C0K?Pkac7=)?gjhVdpDY$4jzTc!Rfij}Q2SFOYTQJAU97Y;cDMyx@(9 zh>R$RhUkci*ocF8h>wIwgd|9c6Z255xF@Iw7OU7U<0x=#FF$q&J4bw3bvoHs9F&_)D z2urXO%drBhz&!X{=l_TK`d}P`tR*LL3TJQ@=W!925P~bXhU>VATeyw8xQ7RLh{t$> zXLx~^cnw)g-r+qy;uF5$8)Pl{30X_r;0{kjfHxxPVBFV=wk$KMvv$j=NDUb@O zkrwHZ0hy2)S&#-4=um#(&9XqiLd$1QlIDmsVj3YRT zV>p46IE}M7hYPrf%Lu_$T!*YBw-AauxQqLEfJb-&SxcVd1zzDb-r_wz;1fRME56|e ze!>QKc)$x05D}3O14F%b)K5Et>00Ev(UvX&%=9Vw9tX^;--kx?HjdDO9zU$6DW z)LJi>8GJreR!KFT)K!UGW{|X0No$>SR*76@kPKAGV4aLo$yl9CSII1$Eb>eC`}#n> zYJltnpIKt)tW6;wlY)IcrNMqSiH12jZq_@N1!p*dQ@AFa_AvXXQ_M|4IP zbVGOaL~qDS(hvPH5CIqr2ZmxeMqm_1V=TsDJSJiireG?jV+Lkn4(4J$7GMz;V=0zl z1y(^;lC@Ze4cLgy*otk~p^ub9YL*7;8GJ^~?eltW-&DzMojg{_Go5@?$rqitsl-z! zF;o&;Cy7;(OeYysl36FYRg#a7G8&cZp5p4yb4rnNtUTc;so;=pCEllWR~1&>)hCng z8o}IM3&y0oRE17iH1Lp1qFecp%f>n28$fUbKn7fT){>}*h8T#6*ocdGNPvV$j3h{g z5jXcPQ{3wXRkhP>JilI14pcKlWEXwO+rKXz0b@UuI z)Et%z4c7YhD(R$?UMi6b4U%Ch8L5*ADv=8fl6iiA`)?3FJsDBt}D4l5q&c1Wd$aOu;nFfUG35F$eQ79}BSHNrUrsgVow#wKh0sH(0R^&ixElZG%(3$n8>Cv>?WCvgW@QOQYeFR;Dqjv zw+Cx5E~f>xVRc&2giKBgn&UrC3nH{~JmdFOP7K;XP7FH2>cpTAnVcB(hxx={C}VPB zFalO529wC-#9%7SCkAsFlM{mlusShVO(rJ>>tH@H*v6Qg80>`AiNRqqIWag2^NGP( z#^l7{0!$|c&IeX*k%i(8?&3ZkKxX6<$c%iB7kGu&2sNf@G70-KBa_39lt_iJGcqIlG9$AfE5gpmJnYMi%nz#>S%OSv zWNDN^*cn-w{VJ#iU;JrCHekFV8pA-?8QGG3f3((@EZx-QM^AnEF;HE8$bBp>KgOzL zyiTU8MDAmeELF)0oorBv+{GfpHool7~8ZqmuXRaB2UXqvWeY zw%>V=(%Ub{O7f@PA~P<%MT4v)VS9_mzVwz5vXcC%x73VFZ|NW_N!Z@9u`j*lgsdcg z>g_MaWhE&FSxLh7R+fF~tpY0IPrcP*Tvn30sE4q<`LQp(HG`}qVS00}BOMs;h|cJO zZs?Al=nYv%`a#x_fe65048c$g#|VtVXpF@;jK@UCIx+>aj!ef4%))HQIx-&%un3E> z6w9yzt03#hTCBqcY{X`4fvh7tAnV9(?7=<+;Q$WdFoJOu$8iFua2jWE9{%=u(0 zNuiSrDv`TbB>WY1{`{q&PKv8U?qHErRY`T7)K^I(owQO(Tb*=ONe`V2Qi+2dj*}N0 zA)_3!9m9K+-U4CO+e|X)Z4S)6En!T0TMnzaE#Q)$z(N4r|J1SPtD(jdj76a$$Fh^SII7&98t+Jot#t2MV*AI{%6pXF?6B%B6Pfgu73SXZGA6whfK_iL$)vY3 zF!xr4G3m`0R=qVOlim!Nd-G>ZdTRr#-nx@XZ@pmdEr2oUZ3s-gIUiOTM;3?)n25=k zf@zomSx07L4(4G#7Ge>WU>TNUC01b#)?z(2ViUGtE4E_?c40U6A_)6&5QlIC!8nE! zkagrV&fpx*<03901Xm&J$PL^?C~o5}?&AR-;W3`#8D8KeUgIs^;R8P6Grr&(e&8o; zaDxXt5dq$ageZ`8BsyXs7GfhV;v)eP>Ek4gnx*OW{LQZBZ!SH5i>Sm$C*@R9Q75%k zQcovMRMK209aYjrCw)}XUne6}GFm5-R5Dd33skb09gdS%93d+mvR%!4l-}0Cs<)kF z(%T-GdppdS^mY_hyz-v?KzqB_6p|SJ~1Y}eT7wTUS!f+M3{Sv z$(ZyO2d3VfSEI?v>_~}JNQ1OUkBpFYBnz@4J8~cwaw9MDqW}t_Fp8ikd{6=xOu}SL#Wc*oEXX=C7xS(rtSF z?o;zuE-yHTI;E1cI=P|}xx65GsFEi-d7~1!yde3d5_g?MR!KCSBveTfoupPtI-TTH zNgj4MPWTJy{Iy5f{>6Kg-ipDhw+dv^TVm!*$fURFF!wf}G3jj)OuacDR9Q>54jZr$o3RDkumiG=?8YAK zLl6$&APyrKM{yh{a0;h!7UyvRmv9+Za23~a1GjJ+cW@8)@eq&j1kWJr$Vh2nu zbaxZx?jA5E-93g?ckjrgyN@t;_meT{&J9-GMJ1E&V!+&80>-4f#IWivEtzze0p{*< zFecsQhN(N}qbfzoilI14pcG1@EXqUHl1iwIs;GwQsDWCjgSx1X255xF@IzBHLkqM- zE3`&iv_l7ULT7YEH}pVH^hRIES~36wF$jaI?~h>Lhg zfJBgWBq@@?juc3RG)Rl|$bd}9jI79p9LR;-$cua^fPyHDA}9(U6h}#vLK&1rc~pd~ zBUMlpzNn6xsEsk^$l(6b83z_tm9p>KhGbX(if>m#&$)vY(F!xrCG3l)aOuacD zQfW+Ppb46yIaFZ4lQ^v3`MU@(SYD28DKMq)I^U>wF{ z0w!THreYdqU>0N@nTvT?fQ49$C0K?Pkac7=)?gjhVDMJBzCg}JvWj7e|PVb$9rGU;t8%)PB;OnTb@tKN2#NpJgL?k$)x z>FqeIdb>m>y)r!5tp(f;S=} zGNK?Fq9Z0^BM#yrJ`y4kk{~IPBLz|-HPRp*(jy}>L)MXO$c~)Ig*?cM{3r-nNB%+) z6oU^+pcG1@EXtt*Dxxx~pc<;925O-;>Y^SRpb;9w4^7Yv&CwG6XpOdzb)*A2qBFXn z8+xE8dh6rFp=RlDJ%0n${FO@#=KLI$%-6|sm2in6$ySx@(8+$4$mIpe8I_#Z$u*VS z)X8I&Jk!ZLm3-8Rn@T*{;W+ug5fa%U+o-%p=`9AVdP__uy(NRWx3r8&Zy8|KTW&Jx zEg#Ii6=h6%D-NsPDv?QVRblR}4r9_=eOUF@f=qgA1#@qm7?a+*!ql7d&kzTY4a6V} zh66(}93#Ox5_OFF-5jg7fk*j`P0O7Ud0?)dmxpbj>lwNL*ULe!mxEm|hqzuk^hVc@nCs7oJC+BV#vu^nF#!|tpYQ+`XB+?gytx!+z?Y>MfB<{;6L1 z_#4miJrR>I1=BDcGcgNuFcJaX>;e@dNRPRS7 z=uC%fXY(a873aZfDy}4xskjE_skntPnTp$CH5Ct%$y7W7^He;|m`ufUu$qcD$Yd&p z!aNlpF(y;-DXgaA2Qrz8pJASgHpXNsdcbNbMkkZA*jR{-uv0M+`!W@iA{p#Rfv{6C zJ^L~hGa)m=PQ_g8%T&w@tEuQiCR4E_N+Il2tjNAh#VU}qSYMc@Vm-!WDmFwTgq@1b z*_Wy4538xzg-oVmcbKPQf5rwN0E6(SsW_VPF&KwHFcqD5LZ|Y28fNILnZ@c_Vwt|m zSf{Qs~`_fxE$P)6W-fA!|OGs_V z5)!tz#_UUPO(09ipL%P@xGW(ZAxlWu-g>exOGqE|#h-c`%D5~cBQO$SdmGQb^fn2T z5vDii6D)HXpN9olh{affWmo}OM^;1Dk#$&)jo1WPN47!Mk)7CuJ=lvN9DuANhav08 zQ5?ewoP?|+XK@Y}a1oagf~&X=Sx0Um6nAhJ_wfL-jy!>^BhT>yukadg@gA~{e1fba zU-1n;@Dnz;L)H;5L_kDDLKH-UtRpcI3vmz^@sR+DkOZ=hB!?X-kqT*$4ziA9)W=C4 zHB0mB`CClQU%9!(oUg2sYC5T_61llW(o!X@b<$ZSa&wDhph^boWRyzA>SVe~X6a;+ zN|x$mgGx5D!*TMNBV?yTw!3+c(%U{*^>&<0dOHPkZu;ltgKiMLASJMN~!=R6}*tKrPfpUDQJZG(=JihGIBIU=&7UEXH9xCSnq%U@E3#24-On=3+h;U=bE$ zDVAXcRzcR0wOEG@*oe*8if!1TkCQ`cmImwjdq&M)xw*xhzp0YjI(e)Txw%F1Q6*n= z;-(V0xkVB~C9!pqSS87Hl0hYzb&^{p`E*iTC8gNmIQha6Qo$kHO1wwuttzZ~t4}7q zHG;Xf7K}-6tzgw#S2F3X2h6<dpBC%U-g5*pCA^gu@8NF~~Y{5~pwmXK@}Ea0wx}f@`>ro4AGBxQlyufQNXD zCwPYEc!}3|gLin3kNAWy_y$==e&QG0;0{lC!5fhv>qt~YLkz@3Y{W%8BtSwWMiL}L za-={iq()k#Lk46-W@JS+tvqa-~LPXUpYpuIb?f-cPY(E7F zO2X8f^Fp)=SylL=I%=X8>YyHEC25F8FyM!#XoeQ>M=P{JTeL?9bV3(&MR)W-FZ4!V z^uquIU=W7DfngYqkr)kGNyZ@%6EG2zF$L2w1G19L#vIJUd@RIbEWt7?$4aci8mz^7 zY{VvP!B%X?4(!4n>_rgv;~);<2!e48Cm<`yX`I11oX166MhLFzBjvuD^^f$df2C%< zTww6&@IxgwokUcLTwsvIS4kqBq*RGqV31^2NiIMAZ)ox4S0VM}R}r0-Q)xy0bv4x2 z)z(Q9l{9CEPnB;RC2btCZO8kR?mEJ%yFO&nU4NLn8_Jk;Hv(4OO(K)-ro!CaT*jok z1+eOFHJNm`4(9H*F(%#ZgjIKk$)vlZFn4#BG3o9COx-!3VYx*XiaWTA`*?sycmi2V zp5p~x;Wggk9X{X_KI1FC;Rk-g26uSC3lR_zkq`w@5gjoR3vmz^@sR+DkOZ=pB!?X- zkqT*$7U_`@vX*2)R%AyGW~$v%}F(8+O?oYKh^m0Z`!J(WDv$s3itXNTkDJ4eV@hit#|9;LTmu<9)`ne-M7 z=HB8lCcPzuRd1=uq_=c1_m+(@=`AO$di#q^dMgHVZ)F*i-YUSVw_0S*Gbh0z#`aTt$@n1m^qis_hv zS(uHvn2!ZmgvD5jWmth#kac7&)?ouSVl%d28+Jg}k=@vXeF(w<9KvA)<0y{f1Ww^J z&f+{S;1Vw53a;WhZsHbh;|}iOJ|5x`p5Pf|9eIgYc!RfikB|6-FZwv~RI}7u&)*no z{>nuLb3U0$Qs^XuO5`GgB%ewO>ZG_z7)JFp}LSy)$DVm`LTA~$Nqb=H_13IBIx}qC;peK5xFJv7V zfPol-rX8+#Fi z{Wyq2ID%jt!wJYravEoF4(D+Zmk@%hkd@>HZXy)7aToXT0FUq(Pw@;d@Di`_7Vq!@ zAMqJq@C`rk6E?WP1D=QgZ$v^A$Vw6&F%S!}5f|~10EzUGl13dV>GhG4U9I%LFEaSS zk44lEe)Q34IhD#q2A>_Z)z{V2NfVXGMFvSnm2}ZbAC>gi$q1E<*2yH5Ox4K(l`Lk5 zPnBOBB`Y1WUCsNH?$*JoyPagx-5!{`JIt7LcNA9LT_BV0F2mg2Eykp~JFx2RIhl0# z3g+%UF(%!8g;jT6WYS$kn7fO~m~$^2PIGvrBMduP#zUg2~|)HzNmqksEsToyr~K4E)ElV(q2BTO2|9V&Zi4G!x{0or zlUy$+yIxLly`1WLIZeNmZ#LaEI>YsHrt9S_*UQ`3`ekqw`!Z=kxNEmq#rA zYNvY2@89+>^>%!{ewHcXw zytah-!w&3%tR;IPYsr2bz#$w#FplX{|BLFxJ4Bz7hpJQZ zyZV&;g-TxQdpDs$7wQIN6z6qF5(hGa22wS+`vtQ;x_K$9v}L|F9} zhfI2l4|8wH8I#^p!m76{WYSx9n0w35nDkZ%R=t%blitd~+*>uqq_-L{_2zu&qcNF* zCTNQ0XaRq;hO8s)&>kJp30=??-O&@h&R0G){)g%gLPPsjo6GW*oN)ciCx%(y$Heq9K>N9!BHH; z37o=doW(g@z(rg}2(IEfWF5JMP~5>?+{Z&a!V`U*yjQdIlb*l7)cln@LCpDRDv7C+ zges9cK_ux^l2Io)RU&tSNQ$YXgib1`q_R%xs-%HVnyI9vPCBck8#^2)o*W^49J1}l zdz9V=!m77XWYXJMn0uSTnDjOsR=q7ElirrX+}m2lq_+*Q>TNff^tKP?-hvsE-j2hn zw@YNw+ZC95yUm#Nb`PfBoDY1wBzuK7c#HS=fKT`WSx3I(2Y$f@cX+@H-iV0Eh=OQ{ zj+lszIEaV%NQgv8f}}`}6iA8GNP~1pkBrC+Sx2%VJ8~iy@*pqrqab7*`3pr*3_d7< zQYekGD2EEDh{~vfYN(DHsD;|7i+X5)MraH_G(j^oM@#slHQGYfkq+pH&gg<}=z*T- zt&bCjnx(__{0&s|ccPxZb5t^4C(Bi`N+(-YvO_2PRdPrtXH;@tC)ZSRQzwsA@=Pc1 zRPs?LZYuF)hvS4U`Ah4vjmmqJ-eSP2x5Q-9TQZn?`(Nzc2ar`&yDn;SFaZLB0UA(} zfh?F2b4E-UX;2X}0xD)i+Z@1%88Klbm=Kkyh>@To7!VLaOaxKPa-VO^{nNc?xxBZ| zU%Sq^r~X~_%)RD#f!*78jKP@8Wp`6!(OU}&_10b%y>%qt+iu39w=NXw?I2n7)|Gs3 z-HkWJRPw!@V=Q_bK(4oSANaUTHi*GoK?zrJ4c8I-$c@~@5QcIqw{Zu< zxQlzZm-~5u2YHBxd6dU^oF{mSry0c<#_}A`^CIII&nv_}GLcD4VJfdPjp@8a>?7~; z9y6K6`+Ue8<}!~@_>}p4&O*LmF-us=GQQ?pzGpcrS;cC8WDP&_E58%_$X~3bJQb)! z6{=D_TPJnWEUlN#-=Z{st&Aldz=H4LR+zeyU+-wRp_n9o3TR^_KuZ%@=-%zNzpJdV8FXWpmXDphlNUphc zANklwR)bn>OdaY{k4=f4WD6QlL?fE8B~58g3$|t(wxbnoXh(atrz1PCBRjJTyRkc6 z*pt23hkeCQ34PI5daP)tuwC5z^+CEwgoW6|7g6{zwtYN@)zZ(Kt(E3g=$o1eKuqxYEp~Z)L|3qu_>DqJ4r)|XiO8f zq8ZI;nXQHnX_j`%=5OaTe?4Nv9ue;xADliKa%h$wozi&Bup&-Lzwfjx>6emt%#aL9 z$rV|0eM)Z1lDkrJZ#M%b=x!2)x_es|-MvS?yE(?9 zyLlAqZm}%7TS~sW<;J4BRTS#(4_S1#mV9?rjYW6sQK-ARvgocp`R*DSi|)20*WJ30 ze6*6arY-I0!1i=vM`AD8gEd5IX^!o3$tWdO5!m?vL+?JW=Z*! z#AAk}MoKo$lFd@mAWK@LWScB$pOTJQ(j_H(xv@^FTOkLO#PuLwg`ck^!7OU z-o_Y<-ku}Z+q!?3I8io<}jCee8Q*9=W`bF1&dk2 zQkL;G-|`*HS;;C^^CN5cnP2&x*hl_iE#;{|B`Q;u>cl>>0UJ_-nruuR>QayTY{up^ zpdpQD!j?3p87*kZHf%>L+R&Evbf6=h*pZ!yePlN}(}g|Qi+$La{j+s)M4F}Dv-w+` z=5IV?nDb|)s$$D1ECna(H%-0ybEuc_u-^il3@5%S}ldZ3Z#-g`c6zXjY zS@c#!zPIMaqPMLn)Z6y5=xqn`z3px+dfSs+Z|gqveTb|p-H3hU2#%yX#}NC-@ti<0 zJvot+=uIC^<#f*AEY9W}`ZIv@IG+o+kc+v5%eb7uTuBL6a}C#V1F?_X%n)wjR&M7G zhH*EskKD)o4Cg@};t?KYB#-kXPw@<+7{jwX#|ym3ON{3gCNPo7OyM#UkC@BHX`NK~vShuza{0UE%jy_fT^6Ao-&y>C#Rr+#t>B}+MOFyC4tMr+^ z|FNIYyS({-enM}tr;K8M%M|-trr2q<*xxk8{-!B*VlDQ!O|idiial`@+qsJUjZ)=YC=hWse1ay8nxEl&2z=$+W5G_uac)=QIJY}p*pt23hy8Gz z``b4L4>umif}<#OEa)YRW5LP%*RjA)=w07G(Kr~KNgNFNQs`iCkt_}dmy$miTxBc{ z2G>&PU~ro(4hDCUKNvhF$%1Iq?h$!{fb z{lnK7y{#p7lE3S1J>${ahQv-%wzs?D8J z+b+gqC+SS=BxQTs*M0PM00;7Sy&Y*hc9NqxhO)i&bRWH)MC>GGdRw=ToNc@>{pioR zoX0>eB=(U@h<#)bmvaSIauwHbE!T4cH*qsVxs}*Q?jZJ&ySSTsxsTXK9%KX$^9YYI zk|%hI*hfY&nz1~~^SnUpBjbsE?c$$*rcpCyA+QsTxs+0Y8Pz9gTQWEdRs=mx0S}Cx7Fl&TlYbgzhrAEPX#JbnW|JL_K^+PkQ&rvV`@{Edemn#Hm3m% zX+#sYq$$m4K})t_TUybEwzQ`M9qGi5>`d$>~$pFkLy6!#IK? z>CVv{%W<4Q4|;MUC()ZzIF-{lgR?lBbLhta&gFatav>KJ`^aSsVlY=w!qr^Eb=f+( zJC5Kb;}#+U$?xKrL$8S?_v3U3)1iVB1^tW z$@f|EOGC@O4IaMHK38Ygu%+9r^CIHx}LPK%wsT zltp*@knipgW6@nV3Uzn9EV?Tu-(4SL(cS43>h3&Qbaw&y?k+bL-CaqpyYzR!jmB?g z2)A%6w{r)>xSQBZ?&E%j^B@oLFpn~l$9a;cc!p7o;aQ&J1zzMO#`6m1!N2te(;UBl zE*}$n$!E-G0SozpFImD@EaMx#<$IR1k{?*jPpsh=e&u)mhPZxfivWTx;M z(|Ch7d5d>=ml@1tHXraIACd3vb7RrlA`12Poh*7=LB6-2jYV(2QK+}dvgoZE`QB<8 zi{5IJ>uuc=X+v2NjcLMGG^IH$iG5^Swxcy|Xh(atrxQD{6FajjyRkcauqS&H`^bLm z&w(7oAsk9K4(AAtqC3ZM9I=n|pqO5q$jS7k52q3P$eEl)U(TUF=W-qcxqyqfm`k~g z%ejIpxr(c~mg~5Io4A>w+`?_#&YcY7Ztf-akp~#g2p-}Q9%Cd=Wb5SlG)u>2^LJ93 zzwwCS?}qnMGAm2wr6e9PBui8Bb(XA3Njzdm)~2LFmaLbO4YQg^I) z^frimZ`T-$-ma%mZ+FO|x4X#q_Moxo?O}4gt^1(LDA{Pn@+{Bu0^=A@>?5x-fk{ke zDz7n(>AcC?yu*9UU>5K50dx3>d3?;Le8%T2WD$$`lBImb*L+LtBg;AiqXLzvOjW9}9_zCq8&Q*usZCurp+1|kISpt?BO0?MThWZ>v}7A%A8AEv z+R}~=bfgnIX6t0HG)wo*=5N?At|{v zOYTX@{aNyON}kG+=Th=wmP|>>>u#)*T2{#0C2@V%*BHIcq)>04$fCFT)>Ia~wIJVH8)MO1dvd+4`;f|R zvd(m25B6ej_GN!!A32DF>B^xT#^D@EcaG**j^hM+(32B6iQb&Tshq|coXOdoLq7&^ zF6T3l3%QusM=oO!gSmnduHqW5BleLSxrreR5D)VxkMTH9 z@D$H5iZP7kIiBZ5#xb5(h<#)tlbFI(Ugr&_^H#P_K1{Q8ZZ>}xr1=|<7v}u;DOs5% zzoaA{FC$^=&sg+!0fl2WYODj^1Y2T7QH=5 zq26AQMQ<;W?`^WN=$Fgs)h}H+;+Y zEN3M@u$rG(!!P{G@BG1El%qTqsYDg3Ql0gQePknQP>YSJLtW~zDY1`iK?90tL=(26 zDa~oY)@;Lew4x2|XwUX^WCwO+XLe;bcBcz_vKRZXFZ**Kv5y==SGsW+M{pF~IVM{t zC#PB3C!4>0)BKIc3v>R`lw6)A*QO*MFC=%Shm7%g205>?EJFfJJ=4mn`KgzRp(4k7<_voXy|0Y5v9ohFQO1N@`|F{glK5 zhNM|aT4qVxl*9vuq;pF4@aC8Wyx8}`J|KNB?1QuP=#(Cp{l1gZ?>i++`laMtH`YoW ztK_1RxL)GxjP3?esJrWB(cMktySu|!baxkpx_ej_-91LWyHUoXyRj7N?p0ZIH;H_A z(~U)UZ&RqdIkM<(9{KJT8jJ20lk0BXze!v!Tfr)R;75MqXMQF2l0W#9wUna*6{$>B zs<9sHvmqN%lZ~lOT{fXUo3c4u(2zznW=poB8O>?QHpE`iiq^EH9Ua)7PV7kRCA+XI zo!Ol|*o(c{m;E?^138#OIF!RUoFh4kqdA7-IDsDYq!%Y~GN;go(>R0JOU|Y*{pioR zoX z-a3-+Z8u}lTNeuTc91N3>q@@2?#80GV=2^IZ&~zqD*4{dF&4cIAlKWvf0KBbY!HLF zf)cLc8m_}W;^*|nKh2xcb=}YDjgQU6&*>do`boNHOJ6=$`tteGmoJpQd@*|&AK@EU zI{H%S%kiZzUoL(5O6kj2vzPHFOeh_lSo(5O>C4HbFQ=rJ>F4xLNuT!nANx7I3!4Au z=k)sM)c*k=qjKW-&v%>q&&Mc>{f+y7{KqK&jgS1LpVPZbllVEkv0)Fjec#G$+`%yJ z;vVkhejeaK9^zphzUEuLXE`fb#cF7j(q3P zj{%&^`CNb_-`~D@c%|_;I$TYeqr-oD^YE6kKc{yS6ZD>vxZdZt#8ezkp{e+!ET-Z! zvA-;);(6q!;-$te z<8lV`cT@2O<2Q0MLogNBy&rm~*Tc9wdzyJ9Jxh$to-#(Kr;KN_r;G_HnVcnWrX=3r z^33v4NnWjwZ!Yq^fHy$y9Az1_y`l<95VXILIEKAaIe#3MY) zNS+|}k*A4$WHe)Vmgk6lWE`=Nyv!?1U?P*5O6()kh<)Tu-r^nJCH9e7%;p0=i~dyjl?bBslA^C;BYVp;UIlzeZ?jYV&(DAd~@vgmCs`QEA;i{93w zP;Yf*(OZ4;y)`lxy=_UZw{@RkX(ek-TiVfq?dimh#6GeMyV9B6*@HdVn|;}j12~X_ zIfO$wjKevSqd1ylIF94#K~H*d5+`#CeK?IXh<)U2`qGd7oXdF(#?kS|!w5|*-zulbhmSk6jTv6>%Q!_WN6@5Dax z7i%d`1u9XQs#GWTkqy|88q{QC>QI+@)Mqm`rvVLVL=(28Da~j>OSWMBNrgOzb1O(U~sn!CvgczU-f^lOxhB?Viow;xvCx%;xV|DLE%gE=bA6S#otsuFH~J zQgVBi3{S~JS@L8`p2?DzQu2y_7xSwB!~R{&Yw6#`ypg4|Q~IGBYh^R5Ti9Q#`{c#_%l9@d7XM661M=2~1=%Q+SQnd4uV^#XH1aGJ~1S=6ycoBj)mPwpPAO zv-GQMcCSdYJ03Co{qSc>%4JEll*A*3WRsL^nk9`>5|0>?)+uS1B|G(O@UJI`$o5Ow zfmwEV`dvq5zpGbDPIhCZY;KL5UJ}7R`+&-`qH3(cH@vYHpe=ntPLcbF+*^b03gvZrvwYK9?BCGTa)yp(*JC5uzCG)q>c&c?G4axUb*I4vcpF+KDDU05kk?*aQvFNQWg?igX7QJ;Q-`l>%qPGJm)Z3A==ZF(OC30g+jf( zD~sM{lJ9MUP_6Fj7Z){$y-@6J0nMzQK+}$WzkzP`QG{%i{4JBP;ckSqPGjk_jb9l=6MarypZ%wN&hUlC?%I>$+aoDAxmyc$(>m;A|;Qwu}+GtkS9yx z`n0bxdK*ol-d>hPZxhJ(HqBV{_9lgT`#=`GeMG*u&y7WIizw9Fce3bh1^M27HWt18 zMxov+%c8exs2S*4@1OJBb4<&4S|{>Ohn z@0@M2kN5TU-JLuC=Lhuu=R42+U-g~m{6+bnk4*j#d}Q)(KHj(g+H$i8t}WM#RSc%q zz_k@d6m8u9%5t@-LtQqZ9`*Y#F1IP0u{m4xUtA@gm3tL6bXPtatu|G)b- zvlZiefBntw;QJ?+{h#S;%NIS>p-J{rx*ga2lval{@({)l~zu{dI1PoX3B9kMuL-$nk2{h+Zp zVn0moh`sIuU!!EB8OyUg&kKxWJn_i)DifH*WTx^O)0obiyv;kj#|&oiJ|8fLkC?~D ze9C8h&O#Qkm@iq%SA5O4#3SEwRrIZnO})VzCZYrwUna*m8eWrs<9sHvmqN% zlZ~lOT{fXUo3c3#Xho9nQK-GuvS@D&`S$)Y7VVX% zPWC~Mxoi~`yTiF`` zAEsG4H+wK&kRHtA{b2L=`;@HAl3!91?*~h&q@;S5)JjRbA1o$qp&m zIZO6T$v!>mpD~{WEaVFovxKi$#y5P+_bg{6Kd_pgSi>*;%J2NaUz8*El8RKK z3RS7j`ovzc5wVxlVq@x1mwIeU>?K>!fFc^vgso^wb6T)9+prz2XhS>NvppTzfgRbI zUD=J@>B64u#XjuI{v60b970#RaTrH%6x}%{TPr80Iov0k!+p~nj`xJk`%6=Dd6rz8 zl6X&8a%W2J&XN%+iT8vhqkI0_-@al?Yvh}fxPIrWjOJEQsJY){(cGWpo2zUrnyW^k z=4#8LxlPD7*U(rr*O)@hZ7YlBT9a?Cld))SCki#Uw=A05k9>278jI!*C)eD%4}SHK z71N6oIho${;WT0=Ig_*K%Q^IC0Ov7~3%H1jxs=PeoGZAJtGJqLxsDsSk((LHE!@WK z+{rNR=3Zhad4S=J;2|F2QAY9vv6DQ_GmK^o&+e9WiBPVzYmSi~26$x^=J>uja`m}dRY*{oliW_`RXY}Rj>lA2jk zKPB<5u%uZ^T4qVxl*GHjlFljF!(SH`@c-(!uh_~exu_(rm-srPyFnD{?s{2tm+$0Z zW6{ZDWHGr;)_tUFxoici z_<Ml@ziwxSu$ zX~{Ok9?^={w51&#*q%=8NbC{2uq&O}ojur#z1f%jIDi8=m_s;}!#JEHIf|n>hT}Ma z9`vLaCvh^T(1+7FgV-a^rZ4^I&$*n>KrW0maIZr}%Pa47q*#A(^?RKH>;1P=VC(^R za3^q@@6?#80KV=2^KZ&`GAD*5itF&5noAlKcxk9A!p8^mC)poFWqhU*)3#{;S*nPbYk3|63>gX@387-XivrcX^MQ%;J4MWDawg$0vNs zd_HF(Ul4oA5|*-zulbhmS~%2R<#RG})>iM?b4HlzkM z*_b-irJl*}`+F6o85++P=KFSO?zYM1?hYx5XA8+bDcL_ux}_wZDA(FYqESF`id=m5EGZ z3a{}xZ;>$*?L{6qReTY5ebk5)`&gLBYGl26rp9{E@#&%#vy;SwBl^r(}~XX`GU+vSizow02{SG`Bi-Dv4`! zwk!G0_BIxs?MI=`4wpq|N0INWhq36a7lk@IQx=`|CEwXVW6{|~6zc3MS#)+S`ObzK zi_UJNP-hRwqO%d?I{U{n{d0c(3yk9>Ugi}hFp9;6pxQ zE+6wLv4?!l0v7QFU$TU+_?p;5zT)U7oGoZb5shiWRy3nIEr~s3TehP$ZD>aawx?6JMmnb%>S-eWPB=Kt z-9xjvdvr>U%aT)4a$1)3OUb!eGAJciWXbg@xhYHTO3A%h@^DHX%aXAvc|J>CP01uT z)<_GhpiE z=|N9=aS|tU3Vk?@Gl)IpZ2HoV{+!Es4CF#$54nU(8N}sWK?zrJ4cBr#H*ga-Gn8Ao zjXSuLySSTsxt|AkkP$r0BRs}Pp5Q5-W)!0t%dClu5PT6mR85HC2@_;P9Wb|Z)4HfsTAsLfGj#YpL}PR8H>&aQ>e2WWzpFX z@|_Jc7MMQjhv< z#^yAjA&qFlmNcaqEojL$Y)32F(3bXepd+2wk)7Fv-RMjg_FymeVPEzq_K<@(n64bk zVI0AcbkEjEahjp=IAOk@mFDg_+1$M#CGj{RxjH4+WyvimiN^`a@RU51B~Pa0nJjrJ zC9h=3YbkjnOJ=9!!z}qMB@5hGBU@V?-;~5PI{Th{XFnN>&VHd#XBB19Srzh~ZDcGu zt3{#Cwva_U#-g)5Db(2^vgoWExz7IatbdYU-W-yc4yw8Vx z#9Tfm_K?q*&jJ?m1z)m+ud+3=B4%i{AJVj3U9$CLv$JVAACO)56S_Yr{oL$_r7!1X zFXJ<|AC->IEqysJdwHv0@NwzrC#5ex&0fZz@LB2T{L+`7m%dz3`f_3E%SELxzsO$R zUAcl+|Fb`#dzOc#_!i53D#eF-%a!X=^t9{jqGw!t6^(LTRW#alaM74@<%-JHDjMr% zMA5UZZHu0Bol^9?Yqz2oTo)A;z36}SD;noozUU>_TZ+cJHZFSEb!^cqKBn|46PU;( zCNqVpyvFNH;|-?sCU5aJ@9-|~F@u@RVm9yd0Ut7lkC@9m{xAFJRF(MXRIj3q{C`%1 zn$%)rYEy^0Y(hQivniXgIa| z`Mv-4I(|m?qSj5apV9qO+NIanyvrUC{&fErJFhRaX>hnIMf}5L*3sV5PBIuk(0?E5Y99f2ZX--%>kjx1ttCyjsNAhmWKFK+V{t?nL7^kU)3P`sj3$3X7-uYw2rpCUh%ikSM}#-Y9}#95izC7Z6gnb& zE{h|=B63HBe|&3cwO{`eKNB+41zCn6fkS z6!(2NjX1LY-Hbff_<0P>j%0(xwk

    }Qns^w-N!C+0I`ewU1vuck6q+w zj-hO4J>5rVClR~I-*tAj@xJt@m$G4WQ^lPu;8m{GfZr~zr%-3l$)d9t$#*u< zSaddpLY=)Ui_T_}?`*EI=8Z*-B&4*=h=P_LnR=D^ISoe|&4HwqIYD zdemn#Hm3m%X+#sYq$$m4K})t_TUybEwzQ`M9qGi5>`d$-yV030?7?2_&A#kU>>&pc zdq`IfNwn#~l8*8MM)v`DrCcC#!x zyME}Of%rn$R&Hh1?+$$?pN zR7#G?l3pn}IZOJcq<@xNl#)xca4OXI;%#$vzo@D zv)UButf4GAYfP@Q|8{PSJ)|{lXh(atrxQD{6FajjyRkcauqS)75Bsq{2XYXHa46k4 zoFh1j?i|B$#2(UvVtR2RC)1lgoJQ;+XL1&OIfwq7%Xtjs0xsfWF6A;V=L)XmDz4^Q zuHy!7;%0_&3%7AQcQTB-xtIHRfZ>ebAs*o|M)Cx)hdj+QjAjha@;omvj+d+x-vV_~ z`WC41Na62=_tN~GmCfIIY5v9|g=A?;zRr?WDTzl4$=Z}u$ddI^vSF6gPs!$4vSmt| zWl7tVbjXrjQqtLtb<)NPIiMu2(c8h~dpput^ma6bdOJxLy`4h7x3i5!Z~Z9L+a9=2v1L`GY@M zOF1e~iON)^8tbt>8?q5K*_hhYWfSVNDVx)PhBTrvTe20+XiiJEVOv_!nzpo~10Cta zj>JB)3%k;p-Pwb^*qeQ`ze~EN85)li=KBe0?)J>)?x`t>M+(XLDY-C92B#z*DI`Nu za%+~{lal+h|&wBrQREXa>CFQSYzw_PnJ7>DFQrcQ0pOnNknww9) zxh2M;xn&e;ZnZ3$TSLCNzl=q5{)JtDobhjCWx@#(n?pl!Vu8pzi zu04gi+f5eTbs^W?Kc4#!_v??OJ4bUY$8iEZ=*fwkL~l;vR8Hdz&g5*)p&tV{m-89O zg?JpH6GIrvt=!HX4C5~D;a=|N0UqQb9_CRV<8hwgDV|{z zV;IYGJkN`aV?3|$DifK+6sGbzZ!n#=h`r=p-eV@Sc%KiM!`y7GEJ$-S9xcq?@6+sE zna$o`QWB3Ak}4^wo+Y(X5|0*=qLehrlC4v+U6$;SlAW_;&y?(wCEZeTM3x+%l43Vj zNjvM~^pdznXJ?V`>^x)9*##8p>`Ga5b~X9VZZ;O3-9n+x?vq7l!^w9x(pYr%B!xPA zK^C38M831h#-g*=DAd^uS#&m=Txb7y?qBTJFX1be@eSYdJQI+@Y)b4QThM?a8qtKUXi9Thur=GT9j$0XJKD27 z9oc~$*_mD0josB)(lOmF&RYou?Q zq48*8zF(T=?&aCsy*4HBXd$^XC3k1Zh?K;mg=BQkfA7Cv^pXDW(x11&pJ$b{w?2L= ziEFg>C;8SY8;jPeQK+@rvS@7+@~t&A7Ogd=P;1-DqP5oKTkB*jTHA?2t?ey~*7hUc z+M&jxwZkdYS`S&Y){9(g|9J8r;MbqWKrY}SF6L4$<8rRxO0ME+uH`yz;6`p{D7SDM zw{s`MxSM;4UE~3VGlGYBghv_46T~j^G|w=aF+9ujyudhK;$>c80u!0cR9<5mZ}28> z@ec1XgIUbx13u&<=JGM0@)@7AfJJ=4mn`Kgz9x2&@A#e-tmFrN|5lL*v21 zjNdS=g__w~sGpK}u#hxMNy{v0o0532kaSMT9?n+Q^#9U-yJ($UlK#X&{zU7fgB5ap zNnE41o5=Tehq37GE(-Pbuq=9ejC^mSj74u_Db(AmvgmCR`QD}*i{9R*P;YZ&(c3)o zy)85ry)C9tZ_8!T+bVLs{o~2MqF-N`s#Ie=)@MUDq9z+to4Ra5eKuutwxA)6Xv~&u zMKhYyl5L26q!q1cOFKHSJ)PK**hh9@S30vhd$1RKvoHH`00(j~hj1u|aX3eE6i0Im z$8iEZ=t(b5;$%*t52tYkXL2@u=|_Lg<$MNmA+e8K!lex2a;~6+tGFgxCqvQHL|_cu~|u6qq7F&J8NnzI%`3p&f3eOvyS9D+s#;X)`dcy9VCm+x{~j#yRqo( zSPFI4TNa(2O1`smj74VyDAd_yvgm9uxz7Ia#DAM#e+R?3i+lVG?t9Ze&HK~!fj9kw zZR$I8#s}jXRIXTVap`B}zASyYr1a&|(wASAzFd~Qj1TF3T{`+r>C10RUw&8m^83=4 z%d?m9C#)zPU0M2aRq4wgN?)!{FAu9+pGOR5V?TrYwg37&-0^>AHPRO~vqsAu+pzg})e6rJeW zw&*0+DMcr{b}Q=bx~OW=DgIZ#qW^qMs@U^PvC~Mg(@3#rnqnuC|9nHq|AB8P>0O!P zauumWWvWn>YE)-E)@OsB#Z~_ELCJ&tlQ@K~97;D1<8Y4PNRH}R{BL}yFZ~qmO`60{ z;f}umAGCo##KSzwV?53iJjK(DVhm$>j^}xiag65`US%San8H+EXByLai#YJT%X`dZ z7Vq;RbC}CKKH*d5^EnIog2gOhDa-hpZ~30(tYj6d`H?mJ%&+{;AN<8y%2R<#RG})> zi38sTY)B1ivN3h2OFind8JiP_hawu$ggEdur5R-p58JtKMH|}Eo({y}VFz|(XLeyX zI#c%Wu#fwF*`GM@l|4Lka~}u3BZvcEcaEm);i1@lPfjEbe7!k^I6RzA9Qe*64t(d( zj{%&^`CLF8_%7xWE@KdJc({@{@Li1q-`^e{ZZUoM(6;&j&0w0yE8t}y|h zAwL0MFcuT=B??Wz*JLpP-ylB$XBdkKIGaKf@H1IVzy;(d;8(_C0)9iG3HXyNCg3mR zCtx{aF##)5Xaa5|iwRhZ`~=+8SWLhzC^P|^%VGj<%{G*sfbHDJ1l*oN6L5D~Ou#+a zi?S2&K=&~L51}jFIE=Cr@EG?o0gvYd%1*$O-Nyv%L!k-SUltSaJn|FpQe!azFQ?E1 zyg_y&H#3B?6Yx&=!?>G!xR3i8&VxL}BRtB;>WtOZ+$q!lbTT1@Sl4>bgKTB$-WRomuoRY1wWZRUqc4L)vvOaby ziEC^dyAs<*+1B=UAFb_2Y#V>q+Tq5dwWEk_qikzE+(&D@h;8HVT07HtY#V)vZKG^! z1Kr2AaS^d?{9S8T8INt_TCSsPYeU^fYqt^G#^1H}fbnQ;1i9A!@x7+!{QB5M#u2;7 z%e=w_CNi0+#4a+8*hSvtE#Bc>Vi%dkY(C&aK4LB(^C_{5e9i(E@daP9gxE#CCU%kU z_?{K44{^y~L#U-shw4&-1C;ZP3aaE|0Cj^-GS<9K?|lU|&}$(%wT zPU8$>A32-8^rJuLavlS@kl05qA@-3$T+S7ga23~ZE!T4cH*qsVxs}_vgFCs4ySbP9 zd4LBQ!NWYlV~pepp5kdnF`BVF%k#X*IK~tE$g50X5|f$A>r7*MwoYcI85(bA`MYF( zn!5|Lxw|YS@phJEO-g>vlJY5ux3eTQQnGQDY?hJ+S<)gU+hj@mlyvO5ep;Z`W0$lZ z_sY_PQrgvxb+V%sa%@Rlqqh^t_tx83^mZzRdK(~%-p(iA+hxY0x4{(Z?M7MjHiUd{ z!;D35_fV*}M`h95diZJU-!5=JPoV`GUnP zVJXY_ns51z<*Z~CtND>N{LHWXPV6Ipv6k{wpc0j-N_Ao%*?VrsdpgjOPVC6e?80tzrVD$p7yGa;`xE=fK^#n14&^Y8 z;7Gb>>!di%&=a$neO8*;=VWvDf|OjGC0D29x-7XRCAVkE@RU51B~Pa0nJjrJC9h=3 zYbkjnOJ=9!!z}qMB@5hGBRg3g-;~5PI{Th{XFnN>&VHd#XBB19Srzh~ZDcGut3{#C zwva_U#-g)5Db(2^vgoWExz7IE`7!p8VtR5SC()Ze zoXY8(!C9QmIrL`$=W#w4a3L3S372s>gSnCtuI3u9;|5|6xtSr{!mZrS9Sq}cVh_2G z`x(xIJj5eB%19pPNuJ^vMlptGd5#x&k(U_HD@vFQxcCA zlGZ6{mnA!;WY;X&FC_AwEDoc8$=xhXqI(u3cosA~n**Ig-*~=8_Y?>@Ody{-;vy4S&A5f^X&t=is zB66MmBiw4!BKSQ z7>?t3dQeO+PUK`xp%14Kd&rrbMPJULKj(5D1G6y@kk+=l#;1g@^(tz%aVC1`7}!wr(|iCtV+p`S@K6p*1EAqcCk9vD~W4# zwjue>>KcpA>Qkt*EoISJGxD9aG8Ub+rBG+P$fC2(P&i?Vdf2Ci471wYr*K-3maWg}?mD{+3JGqOyxtIHRfCm}D!#u)c zjN}QPBKD9`jAks)@;omvj`74E@+uRU#AK%OI@6fWo4n0CyvGb?@jf3ghmV-Y$9&3s zK4&3|Sj?9!0d6y7TSmUKmBym8)fDRNFIjX}o?K`Dc-F7&*Vm;U_1TQgX+T37(S$8&N;6u}l5NAI97Is3@2*!NP!;6Cy@LS!P9ym{1TAOo%xnM$C$e zm_ZT60HP>nxbJV&?!8vmrr75`z0Z02-0L6j>{;WR(Ead@8Z~P6Tx+Ho8n+YX`#ovy zPRr)*qbZ5o3CW8oc{xjFr6g`ABy&^pd6s;Wl0{kaYfApelFBKmmL>I5(kM&TNl6O_ z&XFCR9UGR$V|2C&`Oda97M*QPQD@y`(OFOOo%J;qo$WzUX9vlmvl8;14KWs-9Ys-R z!(`FfspLBwX)HP$MNwy?WzpFc-7eYO?VXaiosjIClKr#f;FQGegyi^?oRlS}r{t_GxiBS{WXV-2xi(8C zq~x|NxjQBIde=*Z^Xt!9Eq+zjZ!b~Q+dH!8?LG3neQGRv`;4OA zzLQ07-;?j{7h}=e?-cb`RTjO~Am3X(W6@hfih64ur_${f=J0C7sxsZP|_; z*pV*mL^pcSliuu1A9kfLyR!#-u{ZnDpZz(ISVsm@!oeKEVGL#nM-uDEF&xYBoWMz( z%rJ&?DyMS>XEB12oXaTA<9sgUA}--FMl*&hxQeT}ma$yVjoie|+`6l(Eh>5J(4w-(XIcn+0M8m6zj3dc|2VNlb&nNW)bMyki<%x=wOGyL zeJyHbpYdDW!K@bl@o6Z}e`rzH<2fzrRj5$ef5qRwJ#M8Rin5se{nfjFfA#MF6~907 zU-$rD+xUvz)~(|!c6TZ5VqNHy9(Qw9$zNsnF&0kgljKx*~WqX zc70DXgJ*e;7nsRQyuz!z&KtbN+q}bU-s62f;3GcfQ|9p*^Z9}=`HHXkme}Y)S_PR^kx@3o67NKQ2kMJ+%l#e{eZMPAC&FR zk4U@ocr(ae|CE%RmL=z;B;E{?T%M9Ev*d=9jLVWKDY?t`-YzS4QGOoXf7ioV`bCe6Cz}<3J=gNnr@fiJmLcYHR#-hK46!o`M7X2+F-`{d$(O*T1`deKV{naJk zUlU`|-&z#)*G3lotxvwc&5T8V9VzN>M_KgOm3)6Y8`IxP->uop_&)S!KMvqP1~8C8 z9KxXt=5UVSNRH+hj^hMQWGE+d3d1>#GdPnGoXt6$ODX4Z0T*#GmvR}GGlnajN@j;b1M^>#O+Ms4({R}rZSEDcz_3am`8YwCwP+SJk2va%k#X*OkU;{UgLG% z#2_DTz0PB-K(DcLSdx}~INmh6#|y|d(?l$1Ddj_l;@II1)rqqAelcQ(vebapC5osE)3XXlge zY_zfH>mxHqo}htWYO6ya-FU6-J1Dc zzksh;$Txh;A{MiRA6d%JEaO*x;}8C1ITff#WvWn(>eQqbv4+&4F7;_ZBO23$HHkH3 z9h%XCmb7L)+R%>m*^rIcg!XL4=5%CBwqk3xVLNtUN4n6JZgi(7z1W#P>`Gtyu?Ksy zH~Z3`{fRZ?AO=vvAP(U$1~Vi(M~+W3G~N(0-_J^OcVsqqFHA|iAtbprCD&)kgp|Y^ zLXvw^a(|XQo|31sa2$>I_piov)zqFXM0i9*+5xz zb}+flR{2iNP_G}xa8BiP&fqLYFp_f_#d)01g$#CwLvH34 zCU7gaF^S3CL98Kna}W12jr)0!hj@fXd7LMBis{VYS)StsUgRZS=2c$j4c=lF@9-|~ zF^3QMh>!V{xqQawe8HD|#n;3d@*Ru#o+bRqPyEa;**UTz&Cp8O+^wDFZoO>oHciRe zS<*Ho8)Qj`lx&eDol~+?mh6&}-LhoAlpL5PhoTIGcI=h{GXH$(uXZKOm*^{#9>}m3y%`_IBy+ToEvt`lQ`{X;D zXDm9KPf=%!WzpFW>Rl~&Cs}|a7`GO z=I;1x?oLUGTZ%{?PRV0g@=QwNc0%%IO5V%ckE!`ZP`X*@<}&B%Aw##nT=K1H2%ltpJ-k?(9rW6@bxiaOg>7M=AY-&ucS(b)kM zbv9TQogG2GvlEO(XG1CKY=kU2JBM6ntK92f<@MJvmg~5I8yUyVjOSJ+GKt%n!X4bj z-ArW~_wfJ^@-UC^7*7yu$aJ3O8J^{NUSK9K6Klw8yw01v#oN5gZ07JjAMz2O@G0~7 zocS!^OBV7q-?E6sEa3;1@)OJWh2QvtKUvNSDpH9mRHHgIi8W+(YEzebG@ucUX_B2I zEz%5)+X?f1lQefX%jWLZDT&(&Nzat*oF#jtByJ}pB`G;1OO8s(u~~9zO3uiVQ7Jh; zORh-C)md^=N^WuB9O>!oxU)1KqqBR+clMC6=|x1k;DvmqO?3GLa8 z&FRRNY{k}W!**=Xj&z|b-RMqFda*Noh&7}y{n&#&*_(an&;G<3au5S3VGxIK7=szY z5gf(Q9LsT>z)1{c7^iS5r*Q^nF@lkt!zfBQp9{E%OSqKLT+S6-$<@*1XstIzt?ea?*7hae+CXE`+QAgH zc8n}qJDz-N!;M92r&HA0d9rBjLh`MRF&3>|MNw-v%c8XjNIRpdJs@jXlU zk)QaPU-*^Z`Gdb$P6aAanW|Ky1~sWgZR${u`ZS~wYtV!>S(|leP77Mmn)PT)J2oIz zk&W4eP1%gi*@7+Ul$|A=(>m^kB9@K${}w+B*xMQMN@+YsZ?BW@ZML!K?R|=Rn=gyr zz9iqaD#jdh0;0w^i== z)%Y*%_z&{u2Qr9*Ih4aVoFN>^(Zo7(9LIAaCvh^v7|vDy9l2}Ks;aaZadTwMKH#43IOyo9hXEJwi7k4w2d%2JMd60*BgvW?=Z3HW6|3K6!kV;7QH<~uD4b0`rq;TSV!g% z>&SQaveG$PiKCd4|j7HiXt=Cq_W>(Yj{tj`8)#3r<7Gdj?bE!c`qY{PbJ&yIAaD?8Dh zp7dg8c41d`qaVAoCwsFG{j>9=B+cKru`uV4O*8w1Y-XRDlDM&uoS%}5vgC@C#EpgI zmXzF@C3mLeo-BDZB~N6@b18W-OJ=3y-7NVyC379PGug%2@l9zwMrVu2clNWf=#2PZ1%ejIp zxtePj%k{(>auegYh4I|VZA@Y^Q@E46xQD4s<9;6CAs*&Y9^(m~VmdQ;hUa*m7n#Y+ zyvl35!JEwDZQkWQ=I{ZrhJ4H?%w-;*^92j|DmzEMj~VIfTqia)qj8AC#+B_OyETG(J>UqinQh+0)g^p4KXRx_a5u+S$|i8|sve)-8Kluk304 zvZoEoo;ECd+9-SK3w1q9zkn}3i}&$={WRWx|2e*YentDLmHb8JKfU*MyT89sx5V8@ ziP==*id14om6%Z_u1Y0lRf#K8i7QiyJChPCU5TqxiPf&eYFA>XTjDBJ;wn{Qw_D;$ zRpLrj;!dT+%2(oQRburkvHF#`V<~afDsj~+vHLA?f6#?Lchmy3mzwbf+i1iA`M}VpG?be#8c0FJe=-FE({6-5?xn{16T!zd<<0SZolE zXC)g1U#Q#1zv$`G*dm-oev5FPvDhM9NYNJIDp_n1t|h-kxY<~25hhTyMYvlQTZDVb zZxJ3b7F&eJDcT~uAd4-+OXRl*ZyAd%!aEdg5k8g07U45;TZC1<#kADxf94lrM*hwp zl%J869LJ2TN;PVbpON*9)u$niC_f|Db{sRZIW1^KYs$~a4IIaobz?T6{EXbfam>h0 zY|YAMj$=mdPSK1!P<9XlDWUv~JlydRjwH6ME1Qug8z08-Y)f`_ zx@kB!yPdc!-A;_jZYQow$&FcZdrI!elKWEfV3s_cl4rBzm6W`mCGV%?qb!-9k}tF5 zhm`!3C4Z)5g#))OyE;QwD~-okMQRhPNcqkhJC4qp6069{I$PIxbk>$wMap;9-f?u+ zfmlUW*4g&PV-@L4tRm$*>*Y8)+l5#~R@T`*#$y%PkNqj%*&xT!*`dTLva-&OGajqR ziJU~a&Q|&M(kQQuHRJ*=KU7KH?K% z4VlMh%;yWfm}%km}hvQa8=ecn8aE zdb2clTV`{2{glKzSdy($vQ3tBO-c7G>6el{v*du349JorQgU>b3{A-?S#nNFO0(qB zlw9t>IkKCx=xho_ojo9n&K@S;*>q#k*)tS%_L?j@dy{-;bBsl2 zA5zrW0$FsnkbGxLj74WlDe7#wEIO-5uCrCXwban-8`FfQti{?iqd6^U&APOqE$g!Z z8?iC%*^CZ!WDB;U6Wg#Iv4-qOXS%Wz-RVIub|%)4U5PcMAG@Cf zvDrCtOPZna?v*p)o-}u-Wpnq@l*GGNk{46*a+b_WNxXX{nVXW&v*eqUEXtB!Q}Rca zR8C2?EUBN8Mp?2>N?JH@j>K*GhNbZsoozzCvn`E9XIoR$SvOg9){}f^eT_wDdr;Kb zL9*zqgnVa1j74WhQPkNmS#)+P`OZcfi_S(-)Y)iRban-~&Q|%>(nPPH#AK#$CwFlV zQ<=v7JitRd%%eQU6FkXuX7CKp@jNdwlb3mwSVP|6O=j^n?=qV?d_b%rAM*)wnaAgR z!2-TwA>Z&Vi&)GOeq<>>vy5N)jX(H{|m8n8Cs#B9%tWF*3QlADiVhx(GCb5RB zLo-^?lGdz88`@>($fjwAZl2BE9n#$GlFi-TDe03X`=(_7EIBwOhh@p}DLE-iPEW~M zS#n`YF3FOsQgUsUOi0OXS#ozu?)87Ns4#x?yq`1V@zQvV-ku`g+Y82`x0fjD?HyV4 z_8$4(J~bA-eMV7l-^rr4@5%S}i?QhKcZzzeDvRD~kngRYvFNQKMZGnbMQ^Rh_4ZGD z$5=-;WivLXBU{pmt=X3C*nu7C!cKIf2R-S{&h%kd`m#HFuoru?Fa6n{1BrEHASE2k zAsohFhHxaYjvT|W9M1`y#K{a}IHz(tXK)rH7|FSe;yljhLN4MGE@L!fxPq&=nrj)$ z_1wr!+{`UZ;8t$qb|!NNv5wr$J>1JQ?&m=s;t?J-G5_s;^nA75ogurF#$)uh8~NV$ zF&4e;M^SHw%A&W!$@g}gvFPnYih4U!7QLNKzPAgEMQ;~V)Y~<(=B2{ z!Iyl+*L+K?Ba8W-ANY}<_?ch$jaWziq@S-Rqp%u^7?(~&wd=hfec_EgE)jk8O-4v!I2!zF&xJUoXAj4 z<`jl=8fS1OBRHFLIG0k+;{q-s){#rOjLR9rm0Zm=jO99R;6}!AGvm3HiA>^lrf>&$ zaSv0O#(g}%gFMV5JjN3|$#kCP8J^{NUSuXO6YI!pyw01v#oN5gZ02O=$=o!vDcLSdx}~INmh6#|y|d(? zl$1DdZ?dPe?T=sb_@B=CL4>+ z?xd)*hh)*&qvSiAVJtd(j-t-qkVR*+$aS{LegAx~U%*!^UUn|>trlC z+m@ovddQ-)-sC&m-B@(C7e$>7ltpI;lk04id;XzbKaAm=%ITcJS&U#L=Q4`(IG+o- zh)cMX(Tw2=uHtI0Wh~coBe90u%q>jdR&HYwlevRfL+<7t?qwSH^B@oL2#@kOPw*7e znZdI>#|ym3OT5ghyv`fE#Vp?8UEX63AMg<$^C@%rjL-RkFZqhEi8bUq7V$kx_>rIZ znP0MVWJQ|0m9n{8JI(5P*{p7wlC`s>ZAv!Ck`5`^B1<}_WGA~SXLRhUc1gb)Vz(^a zFQo@&f9}xq=MK-36H{_>mYkWAvmLmW?ClJ>xHKN4x68=)c8#&VMkjAV*Q`Tf{)}c8qX~nv%M_byl0UHtPNP9M=1Dmr2ThfVbh;?Lp zcAzs|*op4+pclQ_g+A;?Uv_6t_F^CQWk2@kKn5_7K^)AX9LC`c;Yg0=7>?t3PUIv` z<`jl=8nKR?$yuDuNY15{^SB^8PcBb0G;S+g7sjQzJ3gDcQ&RGe+X^4@eK>vL$75Og zOiG{6{@k1C&%K=`AExA!ELoV6Z?j}+N|t3w@eeb`?^;`{G#;b3X5@QoV=Q`GpQ7G6 z%A&We$oICRvFNQUMZN7Ri{AQ?@2$VF=^x1kjEHbNG?okOm- zRqpw(^7?BS%XQq4zEU^-HOHlY)BpTR-S}u+e5G#VvQNvcQTDV++0&+FPuDDax>oiy zKBl*J+2}fDPn(rJZC>`YMcLDq+0*zNT9u8qE_=Fe+0*sPp0-I((^u-Y`Nvo4uI%^g z{qyJb{`(`J=&MknUinY-O>38ZqVMmo)V0efam6Ze#VT>PQewAJVz*J^j-|woqr{G* z#H=fE^(ry*O3b_xSFjSZuf*=7#O|ZS>QZ6{Qep>EQeiw3xRr_A#w2cMGJk)i?%yAk zEU~tixF0KVT`qB5F0sEaacwSfZ7#9LFL8Y?aeXdvZ&qThFL8}7vEG+h?@R3WOI)i< zT&qj$`Ab}{OI)u@+^dyX`%7H2ORWDT*8l(Uk4pXvAL~nBsk>V1_)6Vavd3G%Z)GBr zxSc87!Cl*2H~!#Hma~G2RH6#is7_5{)3-XcsY^W? z(1^w~p($&zHnDkVK}%W_o4z)*rTpe$6UXh@j1F{U3u5!IHQTTqvFY29&XnIg^mN>d zorz6f`OU)~j$_leH?isK&wiBOJd`*d#396{Z!m`wn}?%_P2aJ^rtbt!WGE+d3a1jA zzB7nT-w4hoHV>nSP2c(0^sRLBaE0+JxtiSOA>BBRGk%K=qq~^+X8Aiy<1r@SJ>)0g zL&jnPK1$I9d`=b<@I~?y@C{=z0cTM(0Y8?-1e{BL0)AyICg3*|O~9XJF#&%iKLINk ziwRhnq6t_>789^O`3bnDv6z7CP&5JC$zlR-$VQZ(fSWsx3AiOi6R?XcCSW(ZQ+@*W zaU2t{Fa6kqJt;o{_jeo<@E`_IegYomI40l_iYDMmvY3Fw$WOqtjKu^TNznwnM0P2o zxt#J7@LI=Xxt<%iiE)^K|G6OE=J_NhXLm98raOyxL(5&vQ|X>#Mt0BfQcB_tEy;T+ z`5;R^OUV~m@_kBv%#ziDBNJ*_MX_%5VvZPf?*2|KOQ?jW8=SY8N$F`;M7>mXZ z#G+BYvmTD4v);s_v9iwgG9I1nODr1YI~(XYIy;zHG*;HxF~(!jIG$KE%6B&0aV#3A z6N|>mIy=vJEE*Sb5#>7@<2X9IidZyO*4fR*qq7OzO1aKf`EJt#UK?x3Bg7i=I8X2t z)0x4u#2WGfv4*_F%e=~K#2WGzvv`Mhd5<}Kz(>Ry@+ouqjL-Rk1;iTiHL-?#$0ELG z2|w}^v4;FYtRcVi2Y<1g3REK2kg8Op1~sWgZDI|nM|~R7h&5=!nygK%AzLWivKs3t|oFl$|4;(+vH`8(RMsKSaNuGvt-hc#Pg&C*Rv_W6|6D z6!kV=7QKB*zPH83qPHI?>g`Wi^tOV0Z`F-OZ>v$%TVq-D)|7m2EsaHQ>r&KPds+0> zfn0B^e7C8a*Y}_oz1f96>_%U9XHWKGANFNG_UAwjVjzP!m_s>?!x_Sn98Ihv$8kI- zauO#qjNzO{tRrU<>&V%RU#xG1s zyqP6gmXhDHq+&{{WJ%qWG{};*Qqn9-)=$YsS<*2jTV+YtlyuLMT~pG}fosYB&W;00 z<1soLK)$oV#-g($DC%seEIK=dd}kw!MQ7(w)Y+x7=n*%b1f zO*0mqJwQ=s(`C`wGvqp3<;}}GUO$^Tyw8Vx#3y{pJU(YW3;2?Se9gCf$6}W7155dd zW&FZ#{6VZC%UMB1Dp7^1RHr7fhOAC)>QaveG@>z0Xv$iwO*5L)lGdzC8``oy8?X_Z z(4NicKu5M|_a+p#@6(wVO8M0a}9i=BxzWLI{hAG@ZWMLaR~DV^L9VlZ z+B?P?Qo%2gDlkF`qD(d3??nEa0o`9Qi)Y_qe5SwfHN|_X^p3uaT0t zrI4(Vk~OoWRZ8NPLb7Q}HqVl62Q~Z0%|v9qQ`RTT_DX+h-|SBvoRY(`(#p{TQcWYO7vui;~{ZU?j9v5&S7jp@hF`6-4$yHp#wOq&b+{jJb%y=d+k=wYP$=tzR#2PY{ zd%2JMd60*AgvW?ArGj#xMLvtRa8$7b~bhC8|)B>e)F`H_i9Bp|J8dOY^;DHs9Az zN!(CKwo1u1S<*EnaYG^Lmy$iR1NY?86)Yzjr4Js^wD9wy(}bYs!kGZc09nk+hdlYD1$j74W3QqTJ0zI;%*ovsLc)8+v_Xn$VQBSes@vrzNdfmo~IzeKuetHl{tB(SeR^ z!B%u)8@40XkR9nvS9YR1J?O>G#2T_IyU~x`*^|B5hyLux0UXEx1~Q04IF!L0&Ji5R z(HzThoWO|;l*_oBFa3eAI_pWkv%bcnvpp#4>>ycmRzkkBA;zM!qbTZZm@GOwm3(I-jYVgpDC%sqEIPY_ zTxYA??N9XjNla!6cXAi^FqLWC&jUQf!#v7kJi(JpX9myk9MAJ2GkKX;i8bU6-eeYU z^DeWQ!w19~@-d$vWUej;YXJ8Gt2mu-}r;SSWX2hQkg1LqdGOI z#p=|dF7;_ZBi5h^YZ7b7Iy9pNEoseqw4q&gj%=Fdd)!bsM|Mc_y-POVd#5CBCnWo( zWdAHVI3;mAAvr!JCuPa$DLE@kE=B3HQqX#|d&Cc{;SNgI$d$1RKvoHPG zp96_CWFRFR%pn}cV1{rcv4$MOu^i6{oW#itV>qXBI%jYeBN)lKjN&}b=Rz*x5-wvj zW4MB=xSDGj%k|vIP29{aOyE{-<8~%<2eF3S%{|=9H16j?9^#Sg9C!ze_mUKwT7Fn`=N;*4e zGN;m@@?WFdCi@!Qw%KRl;&XHD%03pie%aFv%ARgm_H?7NryG|&-6VS&e_8vo(M`*q zZdUfRL)p{K%bs@3p2pv>McL?>jlQ*(t0sPm)2`!acRAd{H1lgvADEOr0CK*RTh`l`^a5d zSNWFAb6)=fGkJ+uc$L?AgSU8_cbLt4yw3-G#K(NfJU(MSU+^Vg@ipHPTfN16&ky{_ zPyEa;{6=i`{^T!KP=QKRp(@p>!D`f^Hg%{+0~*qpHE7D3tW7hT(~?%K%X+k>9UHI_ z8`GXm>A>b}!B%u)8)B=sJv-2uF6=~ideDpB>|#q(`TxxK=f?MsKH&eNh~3n~{%dWX zo=KaU=d(@CYiU#SX4=%OcCd5g!_s(+_C6us-U4IM-a?AnTPln8mXU97xv^-kB1P@3 zE{pc+l5ekxv1o5CirQ-n3wYQ@z+UrWby`7C|Z>4X}>}7l(`m-Mg za3BL1$RG~kPzG~2M{p!Za}39E0w*$*lR1UqoW>c%YBGYeIfrv8 za3xoB4P&{E8@Q2i+{}1xWg?TfohjVGUEIS|rg0w+@F1qvf4*7xgy&B(ou_$*XL+6% znaRt%!fU+Fo4m!_yvuCnWE=mvX@Zhbpmb6Go z>nzzYCI7+?o*&}uII1)rqqAelcQ(vebapC5osE)3XXlgeY_zfH>>trlC+m@ovddQ-)-sC&m-B@(C7e$>7ltpI;lk04iZ_W($`e6*` zR8Hp%&SC^3IhRqK$N5~yMO?zAjAjg1a1~c`En~T!8;LdKW^Q2uw{jbkn9Lo-8ge)H za4*xip9gt}M|hOSd4i{y&J3RAIbPsJUgBk5<#pcREoSi!@A4jV_<)c2m`|C@XMD~V ze92dQO{^i`v540`QD})i{9>|sJAC&(c9DH zdz)!2dV7VU-e$|9xA)2SHqTh}HlL#27R#cyAISB#%AJ2@udhmVYOor$s7)Q}(SU|D zW(}IMCTp_}&1p$1)@41~(vA(-h*(G3vnd_eoGsXrPHaQ0BipkBo$10(bf*Ws=*=$l zVK@4+JA1Mh`>-$ju|EegfPoC+U=HOl4rd5Qax}+q9LIAaCvh^TFr3qfb>vLW;%r88 zE~T8u1=)FWd7ATAW^;aAn)Bncvtdd~?s5WH65`vX{!jhj`CwTN`81 z+xiss)=?I{ZAHGf9gRhAT`B5qS6TGdk9=?ajYV$8GH z-sW9qGl%#2kdOF;PnpN(%x3{#vXHO&mPIUP39*hW|@>H6? zGqU;nQkuVUYaw|rB_CwTXDNwW3(5B>`7uj=Psv|dQX?g`vZP^3*2t1pDOoQ|HcrW= z4xA@LoFUtm#$)uh1Nq*17>nL|Q`FmDvgmDJ^1Tf-7QG!zQE$h{qPOG8_cq*E^maN$ zy`3kE-Yz8H+Zbcf+f@|xcC##cn?Sy|JB;1Q-NZU_FVnc62Y84_c$CL^f~T0y44&mV zUf@Mu;$>dtHQwMYX7LX1@*Z>efRBiEV7V$kx_>rIZnP2#o z-}!^TSWX2hQJJb#qXsppMQ!R(kNPyE5o^$dHCdZ=Xif`S(VF#WOFK3o){%|bgiYCu z&Dnx2>6BedI;R=x)*|NnZfWlBp3U9;QgUFH9G;RRv*g5-oSY?Rr{vr$xi}@4Wyy6Z zxiL#7rsVc4xi2LTX33K&dD?+<|&Q`hS@8kb@Y=AP(kG4&!i!a3n`_499UiCvp-eGmPP!Myw%cau#PZ zl5;8LJTBluF6I(0V>Dy9lB>9eYq^f=xsh?)%y=d+k=wYP$=tzR+|5+(&kM}V&XG6M41GJByPu@FJ1?8N3sdrKmMlxjZ&^|?B~`MdZb}+t z$yzCCmL=<_WTPzUn3Aosq-#pLXUVQ9>F2;Xa-_54fYNx3&IXY0Y_PHD>Dh^HDoy}s7NKMP?hS` zB-W7CsZCw#(SSxYrU^}1i?wM+b6V1xb!kIe)@K7YViVf486D`z7HmZ)wqZNAXGc2I zm7VBLPkOO4v4-r*ZuDb!_GEANp?`Lcl%yFNw-l};$ELY^LN<3#O-bBRNX}2mMOku1 zO5&D6a!X2X&5}D)a!-~#nvy57E9(OEU}oz*cGozg| z_$b_eet&MKvQNluUG{XF>}h>|J%Ml_qBF^e}C@Z-=AAzzg*&aSK@kCV$WRSnpfhQ zSK?l$#2Wg4?JtFM?fm;Ak^jRViToFS(O&xg+>KiQblUCZgi(7y@^d-A7WG2mwv;rCS(R$kAU`AP8LLl28c}{muI)HxWOG{3iq@2$ksCOUE$hZ?LiriFh2xl!o!FX{ z&B&dM$BgVjPs-28-5ke^+?}Esd7$hd22w)#8F{$lAsk6;SywhAPc}Y`;n|k#>~zx* z?_RkDxh&mIjLB{%u1iV0dnLI&C3j@WeJP1|uOv^W8FB_*$C$@?k!C`;z2wb||rmtgN%+jK?Z+ zA}3L<#bqRnzDHRO4cv^sXenlbwEl6WXTaJIXX**rsR|? zIVUBhS#oJgE_dK8IoA1cLuoulYvahbHpy7DHie?r9*{+A50h_gy0K{O8H!qaO%|=a zNxro?#-g@2w@&Cq!N%6z{k&E09)+ZhbpmaLPK77m;v$2mJTERDzLY!mXGZD}kz+nS=zy2+xmp5#00 zYb-k3gQCt3l0|1FkbT*2j&PL0kvn$ATw#qk`CVKrO zCNqUQxr=+4$~5lh0UqLE9_2Bf;7O)4gJ*b-=XsHtyv(b_8uA8jGK;r)m)XqW17Z#N zm`|9?JU-_O7Vs4d`G#*<#A254BTM<2W&Fx-{J~!=rveqJOckn8oto5Qb?Q);`ZS;s zYtV!>i8W*$n$d!mv}Qfp&@MYiHcc~h^K9NuMm)Hzoi3f7<+bXUF5E z@fe*wMZU8aj74WJQPkNxvgqtR@|}HZEIRv)qRzgPMQ7iW@9Y<2(b?}5byigtoz)=U zSv_OXSwo6CYc7k!>;sY zclKZ}_GVxDvp)wCYsf%KIG95?jKK`yNMa2+hGRLN6F7;J8OCr<<#f*AEJiSra~Z{X zoX>?^#3fwDXvS~_S8+AhGM4MPk(;=gTbRJD+{W!p<_=;Fxtn{qmucM3gFM6|**Wrb zIuqiC!ZqRbbSAu&og?q3ByK1qU#8^iEcqcNaYG?lk&;STvRX=NXGznPteqw6rlf6_ zbV$h-S+adfIy-P3Il zsljU0qBeD?M*|wtm^En1nygJTn$wb2tjl_|r5ziv5gXHdJ3Z)?og@9y42|0f^L;>?yMwa1dqhg&c0zJWN>0m?b5atw6OzkQa%Gm>kdkp( zG9@KTW6|1L6t&hy7OkyMzO~JaMQa@?YHdeZwAPheYpdMt@8$LT(4YM{fCCx8Kn8IL zhccMMIf5fOnqxSQ6F8BfoXjZ<=QPeBR*?~$%{iP)Dd%wk7ZIz-rCi44jNwYI<{HLw z9XD_zd-eop(va@7vn%8kdVa6{?^Lj}(uYXNR+)zlWrKDz-)K5v=P)J&&q;-~Tn37Gh zWb2e{mnGd&(lbl;NXgz=a!^W29JrF4g*v|boMCu&Sn^k&Yq*Fvo~bX*(`FMt#Y?N-|H9f z6$|->Z&}1*mhdA>`I%+>%5VI^pDd>W6{$=Ws!^Sq)FRf9I@F~;4QNDTny@CZhO9#~ zTF{c#tVbK#u|6BJ5u4DS&DfldY{^z^%{FYu4(v!5y3&pA^rRO%(}!K@OF#BtPxfYC z`m;Z=h8)BIN*Kf;9L8XVWar57X@;JZ&E2!o+#Q+C-3wE4NtRrjlIyc%LP~DSl6$@D z73cK*+28kg%AU%ym(rhlHTzTVq~yQ-0mh-ukKaq-Ep^0x$9sFY_v|^9FA*i+6aJ_n5;6e8k6m%3MCoKKbtE8H?`bQ`FsJS#IS^ zr8+fOjat;E4)th2LmIONO<9w*S%>Ddq!sJ39&KsI25dyECGFXi4s6aAY)L1!A=Z-Z z*@4bpiEIgyh% znNt|fX~bG`CTDRrBRQ8+&f|jY3UGOv^Kolo&W}rTetdQ|Oi4-HT1XyF$zxgaOiG^5 zk~dTGc9wjYl25W^VM@NulBFqGmL(NaQYA}PPf1+|c3s1q9cz`wV|3Pxd}nQpMQ7_% z)LBPabhZ`w&UQ2wopq(Cvt4D;SwHff^*0us9Y9fMgJsd#5#&2N!B})Ql%mc?$fC1z z$aS{LUH?^He+^@~jvLZ9=f=P0xb$!OpWmDtABu}_&h1t9Il10tPj@bRx=Y#9K4njL z&7Q^w^mZ#7?OXP=U)j^$%bxC0_H@teY5Wa)m5uIQ_H>`Jr~8&Y?Vp~eZ_e$XKFjy9 zFT9;o;eX^e@%{5R=RW=)pXK}en{(|l{{H6N*Zmv3!JE9rEZ*iF-eorLF^Bj0fDieI zkNJd8naeyr<8$Wo1q=9+uUN>}e8abV$08QR zs6a(3QJE@Kr5e?#K}}Yp7OM{`saD$>a&U_}j_Oj6`ZS;+jcCjoG@&VLvKDKz4$Wvz z3tIC3(GN-f3m@o9-<;d1b$oMfEZO5N;I}f7N!-p9?%*!&W-8OTj|X^=hk1m@c!DRH z&eJ@@vpmlW%;aTa!}l7m^CoZcHt#Z*2H~!#Hma~G2RH6#is7_5{!?!xMsY^W?(1^w~p($&zHnDkVK}%W_8@@KQrTpe$ z6UXh@j1F{U3u5!IHQTTq+p{B`DZhE>>9`j=6C1wrn}i%ff{#)(1)r0}6nv5V6nw*2Ou<`OoPU{A_V!TlY_6g-Fll<}1RO?w0-j|oCg4blCg3HqOBv1Ol%IgtIv&gQ+`vtY;}*tqE4ML;$=N;3 zz5aiXX%6pq=w9Wibayc$ySsQP-Ce|+T9Wrt@)|+B>rE^iD{E~p%eh+bZ8}>gM%5=tXaKp%1&!m)+Tuz1W9+*^m7>kb@Y= zAP(kG4&!i!a3n_)>&S5&&xxGG$qZvSrxEMOnZ!DBHX}KgQqJQ7F63e^;W9=uhAX*> zYq*x{xSkss$IXmq0u#B7+nLNA+{N8Y< z^mYzKyu&F(_j#V{yw>+-&1V4%`GimToG)0+ zS1e&E%lL+G`JNwG$tqT}hM!r>ul&xR#6Gf)^^|54Hf1x)u?4Y@Y)yG8P?2rfp2}3A zDm$?=)u>KQYEy^0)MGbxXHOc?kbP*xe(cYI9K<0U#^D@EV~*w+j-@HhIDyzlPUaL& z<8;pCY|i1lY@HOP`P(|1*;l2R-7%ZHT~pF6OZudwf0hhM$=z8pA|(%J$)hQmm?h7p z(c<$&FUWcg67-ovk3>*)PVTv)?GxSs7V$R+fBc+Zc<^ zDp9DjU1ZT&4f37UHx`}kL7~nLkVR()lke;(W6@a?3Uzj>EIK=bTxb93{1|&k5iMy= z8`{#IOSzmYxQY&RMJ(nkzGf-Qvo-Q#nxS!9;eFxnGItCU=lC0C~8Y8Tc>H>=~u;&_bCZYJMZZ)4F} zKMHkrmn=HFhkR!b8H>(FQK+-WWzpFa><^u zK`m;tD|M;Q?!+Fl7Y*2(eb|@%Ie>#Wm_s>?BRG*!3EY>o6tGxXMM?hZ_IcW^d$ho|KJ zEE$`U30X2FB~N9^w3NJ>C2yr>a2q-I_pHPvyGnjdwG3t`qGcv7{EXVF_=5Ki=o`ZaPH-P9^fHHGK$eW!dS*J zfyanFWD-v>g{eHn(>%-b#2)ezFEfqlyvl35&TQV`E#77h?=qM7`GEO+#6mviQ$FVl z7V{-b_?l%b=Ucw#2UhYUtNDqaS*>Ki( ztuhv!t)Wn7>txYcX>y%y^sK*~*H@+rRoRK1sYZ2bQky!|r5?MnJA1Mh4cUiA?8p8b z$Uz*!VZp)MXvPV|9&$3La2ls`CTDXF=h2)CxR8r!K}%ZGhPJfhQZC~P zuA&1S>BO~M$MtmK2D)(*-RZ$C+)6Qh=u3ZM54oLz+`(Y(=d` zYxsq={KoJ6$=|G_6s0M{rj(@|Td);dvkeuf#I|hD4pd=BVh`DcYSf@6wb_-r)X&z) z-f4!$?S%P$M4G!tWpnrVl*H|XTNSw^tL(q-YOc4-nOGqZ`EbdTPpo#{eXZloJG)198&N-uiThko>D00SAsV1{rPcXJQJxR?8RfQJ~tC?4h!#xjlx z#6I#k6M2HkOywz_=GknWOiQygZYj+9IcfgR&F1gIl*BECWLZkS&63qAiCYTE`jl*v zC0nMXe3n#A$0LH|WkU`31QP zN}gU=^7NvTrx%wzZBg>HD0>?JvX&*ItxBG@E_vFfGlHMNUOUPDMrThKszz7C9Led6zBnE?eXdtH=qd$O);)T~?7(Qjt?qk-Os}@3=)y zN=4pvi@fU=xl1l`Vk&ZCDzYQ~U-#RP|ApV%tGB*%jmN6jE>)_5KYHHNzHm}{JjL5d z{;l;KWAWD7oI-D{ZDjG*+K&8NYX@WT*4l|eZ>=}U;;pp@`M1`-#^SB@HVVD94wc1Q z>u~aKts{-aTkB{Fy|qq~#artXa&N60{a9wE*T2qe-rz0XW)AN%m-qRA`FzAeKIT(C z;|mt^B}@34Wi01gz9)`)EBTSt{KU`v!ms>J9QFRKn~&%;;45xN6?s~IEG_6j%FOs ziHr051G$`?AX63&CvJ+$Ps=;n!BsAx%*p6;u9cA z*_3RNC6!VVp8!c}q@;G1?2(cNS#oen4$G1zDQTJ|XQbrpEV(EpMJ{}VbgR{Id2u{O zXIGK$th2G`tSf~&>m`fM`jGEzkg@1&2!%R(Ko*^iAm7)@F5Xp2}2VM`91zg=*BGCbij>y3}Vk_FzvM(2#xDm;E?^138#OIE*7W zlA}19CLBvsnsEXraWbcJ8fS1OXLBy+aXzt!T*So`(UR6&LR;ErYvk%QL*o-5^Zn*D zcY9`Yw_i%)6ClYwDY-XGMx`V^0g^nClBrqpLP}oFk~dQFc9wjQl8>_F%anYbB|oI( zM;F#eFRSB^;&_bC{wCkqX2znk%_-E`cCzSf2lAa&Hx`}MqEKgh$)dBp$#-^;vFPkj z3UzjzEIK=$d}pT{i_XrXP-iV<(OD~Uoo)1^nQOhiGhOJ)jdbH?y3>VVS-ioUyv-cmWiIphfDieI1$@k>e8v|n;!D2bYnHK`Z;3tR2UhSStN4kZ`GsGz zHL^a<(D?Mnd@rBoZpCcwR!vEK`Xi~AlHIeUQA*;|A4%hs9Frv{rsR|?X`Ye`v!qQ* z+GRIS93u~m<>bR{q9;35?eQqbb=Z}9)Mt10B=(So>`f!~Wq%IfAPyn+ki$8G#vH{l9LsSu z<9JTwBu?Q}PUlR{;vCMUIp=dB7t?~4w4x1{(2n+8#uZ#i2d<_Q*Ki%z(}f#|J>({C zrUyN_m126+H(Mijq#1f=Hg_LPb9ZDmcgLsXu`GEeCC_KcjFiOfgf;SBO5V?sPg3%E zmVA?v@3Ul0O4epc>6C1mC0nPYf(vV;x7D#zaXdz6)yQ{N*I0D68-+UCPZpgWNWQZp zjYVfiQ>e3(WzpGba4pgI=h8jXB$21-{ti~ z8OCt#<9;6GAx82rqZz|k#xsG(c$`U0W(rU86wmN1&+{U&hrGfxX7DPn@jA14lh{Mv zVGi#xm-qRQ`7B@|pYSQ4^976fiX|*%8Q<_N-?M_1tYS55_?fl*%J2NiU#w$2rP+i{ zDN8xFAoh^0DNh9|vMt+FnJU>DsgY)A+)kM94bt4*C!4zmrzCDCBu!ItLYAD7lDM6a z6s4qfmRz2atFokPO1fo9uaxx3k|8O%J4+r&$p{zLNFS@?(c*ZF&L)!Y>}g}s*>e=? zY^E$an?=5}ca247^C;BWXR_#Q5&6!R8;j1qqflqR$fC2~$ahxCSaeo~LY-|Ri_R*M z>ujTE{W@M>m-_6+9_&d28nO@jvL6RQ}O zIh%7hkMoH=n)4s`jKyL zu(4?FE($gGkSv-TMZUQS#vbKyVkdcm$xP)*p5|Ge;{{&iWnN)AuQHR@nZ+Bt$=kfc zyUb-CAMha`v4D^Hl-NnWU=d&P6<@QI<$O!*BtNi%A6dmu{LC->%5VI^pZv`_O0fxL z*o?Al&K7J%dA6Ya#nslk7zU_GTaUWq%IfpzPhG zahjoVQ(?ZJlIHH|+1zcOlDMgmv`filS<)#baZ@4bk&;`p&@EDIXi6@xCRG#7)p5=LB4|$1~nZ|Tp z|OEWZXD$MuK)7)L0&E0QO5;ql+wJG^MOG>9CZYm@dQnGE9?39vfS+ZM7 z_RNy~QgUF+|BXNV*WU`cpg10*w~NX5*49|`b}5B=yH*yxT~EHZ?#80GTPW1q?Xu|Y z4)VPXGZwwwN1@)v$fCFLusZ_{tvuO0Ms;dZn>y5`9=owSd(wc0>_a2=V}B0hAP(U$4(CW3b2P_rEKO;~3B*2fGN*7F zr*kG}a}MWa>!c{n-?*_b=dVgLyJI%9yQU;=EF^tW(mzXvq$F-EBqLJtaF#rpl8IUJ zTuNTdl9?%)l_m31@?n;ImXbv-yqDZ&b$nMGkI~r*@}2!+EIRv*LY;PGGb};$QjxrXVHK9;vr^=$UGstze(NljLuWw6x zF6DBr;3_)Mk!!e?&RkDdZlD`C(Vd>$LNAKxLtpwcfY?I@aR)=Vle-zpFzzMxkOz2> z5sc(v9$^gQ7|)|T#zZDDnW;R<(>%j-JkN`~%qvW11~Yk$S zPvbY~t}Ge7s^n>hlBZXfJndNWv{Uvp{tefZj9y#v^tzI#olBlxU-Gm|$FOusK_>6>+HBhWr6x2V+&(kvPSR4?p<{u6SzCU+>|Drca@Bi139hjtF0pJ0fiK zl@?(~LaEc+AM-Xv&5&@)XxGBTuK$jJ!~G5iKZU!x`Dmb$c!& zj;#MQBd;^wnJ(FptVg`70&sUAS$z-3r;VI38mcDNpPo8+KONb#zvh*hT)S zvpUA3vwFlXvSDWpT}Nk)h+X8LIy>BW>>`bcU1Y<~nz@e7P9%1bf9mWUAcEo#2zx6H+YM;nZvux z<$Yogna@Wo z5_?FwY>iY%Gc-QIGT*DExmz=vySt?%KEaY4*z$ks`*R0cANLiqd6CFAs5qvmb9h~ZE44)T*eh#MF%?4 ziEFuz>*>M`bmJzv(}P>Mm16qPm;S^qaytXLgTdU%-3(<|wn|2%wGf|QSql@>Dw&+E zlIKzqpI=F4rR2>lnU|9I{7SMYC0}L9cPUwsCBLQQ&nzjElCoJ+DJ9!y$u23W;lew~ zAgg1K;&_bC8j$bo0AtbF!4&GOi7Yy6O1`sGjYVf?P^hzuWYJj>`OexKi_R{mP-mTG z(OFmWo%J*po%NznXM<$X*${G_ZS=#X(Oy4>ag66t9%CYtn9Nk3IibRqVV z8|lW)bf+h`5_?H+`p}R53}7IG7|amv;%@F?8255N5AYBp7{$Xp!dS*Jf!IqPXChB9 znW;QQ>?O};Yh_xRrEz27yN zRa3Hameff}y)0>zlKr#f@RT%m;r(Q=)p25RJf7tL$5Y66c8;;=tT}}`Ya@%!+L7<9 zgR$tW6NNgvNfw>;Am3SEW6{}d6zXiKEIJ!bzO#|WqO;Ky>THrMI-5eSvyGnmXL^0? zA+w1+O38C0kLRZK%k$Y)55wpej4EGu5b0O=?kxU8zTXc4traq9J?J zh<(|g138F8IF!RVg2o)hF&xWrG|Se=X=#R@na$mc)7)*D&D~2=az&P0pOPE0?hwzKlz>uD`ki^@=0+# zMsuH&Z*HlvXzm*dHMd3<&8;Qh+&W{?Txkk5x3w&qt3bZFD#oI@ohZ~?U0F1@8~Nt; zF&543N1^79ltpt#lWT6HC;zj&{v6JuITvst7t?~4w5APhX~(5p#uZ#i2RhP;Yq^f= z>B0?kBX*MR^xzh5rI_CIr9ZKg+|EGmU@&)bH$xf5aPH%N9^@fL@-U+r!&t^MfybE0 zBqlS3CwYozc$VjRk(YRdY0ThNUSk%sd6U>l-eC^!F_-uGkohdgR?4C@L*wSc++C68 z?y79={+5!sxsa4i$rf2sDJ5}pA*qp)+F7zkN*ZLz!6`W`OPZvlX_lOklC!hqqLdW5 zutx5*Ixa7c$LQ=T@||@y7M*paP-nel(ODnzoeeS;oeiN-XAj7tvk~Mw8)qy!dz3<* zJuQpQo+IDcbYs!kObT`Ot}Hs6N3OGtp8S`1{Zf|m4d3xSD_F@Yeqs&3u$JHWoj>`D zb(EqsW!RLmlw%9FBKDANs6ZvQWqT@9g&m1KWEZMYgPPQ4SL#xq-PnUYX+T5vVPE#+ z01o6}4&gA4;7E?*Xqs>=O=-pnoW#kT%4wXznVikJoX7dZ9&!;EQ$$Nza|vx}pRJLr z(+rIp3-kTvGJR9PfG61l2Iv%8w<%3J^_n0JvICHy^yk(v+RxZQ*UQK z^+8HL%91Zr@^zN{kdhx=SS5E^AAc0bW3=`+`PMcw7Oibgq1LvOMQb~dZ>_qqXss57 zTH8w&t?f;|wS$aBYll*(wc}*b+VSLDJKb2cb{2(NYaxr)T9IpQqi6nWy}mPD=*o?B z<7T?klUwOUZ~D-W{tV!D1~Hf++{NA8!!YjUeqtASh!Kq9VIE-&Q*um}oS2eR zvZQ%RF3gfPDQTA_olW*XDku(R2&qqDat)Y*JlbheOuXN!$RXGx!`wW!0c)T2JTvnR2KG-PiYu`l~`00(gh zv4MQ@jq@2#V; z=2tw~e0o@ACSg3}ZO=rSH*=|C$e`|EB-zdvxQMz2@grzLw8@}axR`Db*!f}o3JTmDaRJX zp>J!-Q-O+X%l1^J3RT&Oor%Lk4Qf)GIP}$}9vdDW8n|xAJ~U!K_9qSx2XhFA5r@7b zY0QR)ho-KZaRPDZ+wkykhU+-=olPA2&Z9XS9v+HZx1=?3=xa+m;_z@eap=2>IP`U- z6W4Mb*VC0a^mQW+eckCn93FZRhrT{I^!?-EVTkcNxtrYKVZ%Ss5T~tWo>eLTQ}j9?@W^9W-Y$9Q)#*&n3uwZLs}x+8fx-Ca!2?k?U) zcNg)2mV1?tQu1+@e3_E?KuhvtN`A_cKT`5{mTaDqt+Hghlo`)i{mjijw6VTW5eE#a~-`MPi!3j)Z1CcqqlR3jbp>!TDXqhS`iz^KlOH{@z^-7 zCN_=@d+XvlHjW#KjpLts>uo$Xj(+rK!`=qFj^6GfHjaPl?IGjQ+bHtAO)&N-j}!aI z6HI0*PZImcv&25~0x$A1uP~igiGAdCVjp>fH+h?Ph<#)(^Z0-d`G^I4%%{XY@&$|d zlCSuhrNln+EwPXMzzTk36+iJav5)*p>?42hCx5e!Qfxx(Bb!l{&DnyjC{OGo6{*B_ zY|jo_r3iW*_!te_|gwD7)KloMvczpk=;JWl5)$#0OfE9x1ssOKwZaz$_V_lKZn{bV|l%$&{2ll_f8wWSR?W zWVqGwR&hK=XLHDRHs4ruwva-dEs;fM%gA@O(pYr1nnIoZC5z71lkcpYvFL0|3UyXl z7M)ck-&sv#(ODe|b=FW8oi!rY*+xHYYU1_B(Tw9ck&`%uQ#qY8Ig4{Rm*$+$g_?z3EFoZesug8N^`j&(v9$a`sq z#)nzv`{!xyF3#reHz|n^vm|R%@_Uw)PDy;2C8>~-ZL?&jlvK---BPk=mh6|31GD7l zlpLESC#U2z7uLwVR>uX!@fe+5Oun=jvbHiKMe8@+k?!0YF;fQ5X*r+m&AEaoeg zu#{zd!?%3T53FPrt69U(tmRjJ=TBk}S;u-xvk9BB8Rgi5*h99aJQb+Owro#js!)}k z*qLfnrzW+jLtW~z8@sb74QR+dG-5yY=RgkP5Dw#Tj-)Y1a}3AQlxCbj>>(#}3a4>8 zXL2^@a9*}XiqZ^ioz2~=(%kKs&E2jk>6RsZQqn(5hNR@~EE$oKhqL6-luXQ$=Th=w zmds4atSp(Ak`J@wvy?1yVU65pb$nMGkI~r*@}2!+EIRv*LY;PGGb};$QjxrXVHK9;vr^=$UGst!JpU#c3hZND0*0iB5 z?YWf8xq_?cKu50OS~_z*UAcj7+(dVJatpmErVoAT&j4Z%8N?k7;ZE*mD8smy*h3!R zK}Il=hk1lCjAJ~H@)#4D#AK%OBv11U&+$Aj@-nY5of*vJHD)oJH+h?Pc$fE>$NPN9 zM=aoDVh{O@&soG`zT#_^vOHTOKc*QPw-eqI{!VkZR5o`vPf6TPNOnlcj#*MGC2>0; z**hisX33!~tNrUnBC_LCc2bs|m452n?5A3#>_*7fW6s=ec7J_IEaHel*2fJBRPs=Xu@$c<#9t;B4*= zPf6TRNXDjQLY7QPN!(CKrlsW7EO{#>bFyS%N+T@2+OhI23X^8gPql2MH2 z5ymo(2|PyZA(ME5DNN-lp5|GeC-#t+c$sNT=T%h3zT$X{)*d9^+8ATe+IR}J_M|LYdxm^#uNaHg zW>BcLcVyApd*oYNU@ThughH(?l|^gckZ*03v1n}#g<4xDi`Gh$Yi*9q33WuH`zerwcdGjhpCB4{qUBis?gN z`V+gz?F{4&26HEOGn8T3DjAX1LfleV3lq~SnVhYX=TZ{46p~pfc{5Aqr6g`CB#Tn= zRhE30k`-C~Ix8aIS$kvA+2s`Ktg|dS>q@?}p2niHUKHwVkSsbILawuo zp7%$4{TRkEo=16%iA-WLQ+bl7d4}hBo)>wESD4NWX7U=dn9ZBKP3$4>@*eYepAVVO z0zM}8kk9y>MJ(nkzGf-Q`G)WKo)xTQ6+f|tUs%g;{LY{J%{od^nlfxkS<0~mTd_6U zP=QKp%l7O*6?P={kX@)o4Qf)GU8zg`Y>n)lW@y|}nD0lVxqDPLcaKj=+)zl)P09IL z(kdl!Lm|03CD&xhjVZY~OZuf`K$hHgbQnAgw^pvaXdz6FO%== zbz{-l8x-p716g$T5&6!(FczJCNukbukVR)dlJD$SW6{|k6zXg$xs2FDuH-7NrX$yI9i8byS8k*mH`AS-+)6Kc(}#ZaX8;2k#9)SS z7k6_H!?>6Gd4Pu)!6+W)5ymo(3B(@qI1_n-$xP)bp61zXjZ8~3G;S!&_c>|q&duiT z!j!}fg=ASuzRi-=DTx~j$@-LRk|kTFqB1c?IlkKmOLF)^7M|9r-MtL4#}RzzwFME(Ys2X-d*x^ zXvx!iN}dkOp2ojnc**F!B~R~*r~eb*pZiMv>~G=w_xI=86^iT%MNSq)c7`H5Ly;3< zk=>!l?oi}ZSY(GNaxyHkOZ>P04wN0_-+y7;fAe1$XZQN|_vfzg-(V#_vWnIG#2S9) z7uNDCzwtYN@F#!qH|tnWDN462`Y-&xUi$vr+O@Oq&$U(i{@i#x#oI~#t@RvZ@z&a$ zLT{~YWbxM8j{I9|2V?Qp+KEDMtvAWyt+faFx7NPK;;r>I3ca-smBm}@aPn`hBaOvd z>u3tSwN8@7Tk8~ZZ><~sP-dprzs_vl;4R)}4(~FT_xXVNe8fUN=2Jf73l{SwOZb{) zEazLkCysh6`H|K9#LxV~ul!CN_5R{-)>Dd2*p$sE$L4IwR+MKODzYuxQJEd6%8u+z zHL6pSTGU}z>QSHF*^|9!$lf$!U-suf4&o5vsCPI=(3qn*hGRL7W*pCnjx=TdfA{^l zqpXlmisLc5`<#4tON~W$-%zN#HL~b#E&1-&8H?^pQ>eSGWzk&)^4(Q27TxVcq3-I+ zqPyM5cejtR=x#p>b$6sJx;vVDcPALr-9LUbbC&UQIFIIBz=d2)3tG~eHngQ3mvR|b za3vk+NGGo4IwESD3~OUgb4rF`GAei+7mAd(7p1K4d-%vSa_E zbm)#xe;nagq~rLi>^S~gO5)QWN!gTaktLN<5}*D^YNVugmh6#|23c}&N)F4CCMju} zC1<4M>@2w`B}FdW8b54xTwWZH(b-kxJL_yLI_pZI&U(qBvp(cI8)Pgx8$zMZ9*{+6 zBgl6)&RBHzD1|zES{9u>N4~S^#-g*C6zc3x*pb*ncA*+Is7Y;hr7rc^ zjXl_t1~gcP$=RICd7Mw|As2Bm zMYN*&4Yz&CvMt$9%sz&E1~a-0hc=`1D6|PfG61l2Iv%Pk$s&_@5|ZO;64K zeJ`Z!*J5&c#PKmCg0j-#-g>&Db(6_ zvS@7w@~u@j7OmByP-}b1qP4xrw|0=RXzfr6wRW5=T05S6Yo{BF*3P0(Yb|8aS}Ss` zZS=#LYrVcRUFgb75?+`};L<$hupd595=;$a?P z4C9zU>>`gdktg_%S+e1WGX;M&;8m}m$?MGG4c_E!=I}0ana2lw$VV*TV?O0GzF-kw z@)cjRjOBbw>>@v~f*)DMPy9^mBEM#{dVQLq@%fJ#Up~#1^29Y}e7*TNLVSzAQRhNWQbh#-g(& z6zXiHEIM0FuCtAv`pbCzW|U)dwqz^Hvkev5mhGs_4pe1Fc4ilvLEXUD|<2jL&IE7O=oijO$b2yjgoX>?^Obc4l ziZ)zAJKA#@S8ydAxSCE}!*yIw7j7W-kei4-qz65@m126+H(Mijq#1f=Hg_LPb9ZDm zcgLsXu`GEeCC_KcjFh~VCGVx={Ve$;C7)->H!1l(OV*@hZI+Zy$);JdbxJC@utvsM z9Xl1rV{}%Hd}noyMQ6KFsI&cK(b<9IJ3G=?bapg_Iy+ewot;L$v-6BaXBSYYv$nG6 z>{9Zbbu<>8T}z?Py33-oTgY{`(bN82UO$v!4Cg-X=RqD~Bo8y1F^pwA6L^frnZ#tK z@FY+14A1gBFA{smD@(tc@E&t{pAVVO0v7TKpYl0hu$Zq{!cvy; z4d3!TD_F@YRFo-S<*En-Lj-tO8R8Ukd)k= zB@d)zgbQnAtkv;oaXdz66Ule>w6W;yISO?)Qx=`gBH!7&#-g)%6zc3VS#-9Dd}qsz zMQ7hpsIy;W(b;e0J1b=@Ix9n=&bE<7XO+lxw$amm9j~uTeRg9H_M`y~*@u1Ej{`W6 zgE@r5IGiInilb@5u{5O_CvXz6hn&i3oWYr#%{iRM`NSS_5f@WLOImXYZE4S?T+S6- zMF%=^4cF3{>*>l3bmL~a)0121MKOKoOMeD%JA=4`A>7H`+`};LCH9a9c#si{EXJ`R0x@7R?<`q2|t#MRVtpZ?1*0Xs#87n!8dK&0S5t zxh}?{xf?0eTyI%4*N=R2gN;RVcTuRhhh)**DDursF!m^q6FbQhOlB%i@-)x#953)9 zFY^l1d6k*G&Me;GP2T1m-eoTH_<#@jhy{Gir^HV31&jESulSm!EazKdC;5RD{KzVP z;%9#0SAOFU{^W1gQHo6{!)BCabGBeB%Cij>sl;|{&kj^!M|Nfxs#Akn)Mi)eQJ>w3 zon$W>us8d#FZ*)<2W9UjjniHpHx=F)PD#7`>DeydJSA~cA!(PA%d(_XO5&zM(jz6e zX31?S8JH!*Q*wWnjBZ)&UpETzQ&Up*RF=JzerlQv?3tG~OHe5nG+H)CKa3vkMnoeB9 zb#$f+HxRqXP25ZmdU7kp^rkQUxQzh}WDtY7le-woJq+hw?&m=sVkDy&%_EFu920nq z$C<A&U#@j*_H!qvpF7Qkbuz&Uxu7^6qqmF6 z_tw@}^mZwQdb?H@yk?#@#K4(Y%F?v zl0v<`B8%Q;kn3%u=l&18em)CW$R~Wt=X}9pzG4YWS;jYf%lG`iN>;I&HT=w4e&u)m zB=(VYtfw@auqm5SjxC6NWNXS(fr@O)_Ee?{RoRK1sYZ2bQky!|r5?MnJA2ZAhU`Nl z_G5nz{MBFb_Ti5HhS)F6}mWq-9sg#BTAl*EO|Pr^Apr(;W=jw^XOzU1kIlBbVmPko23Xa8rvL-*DC|Nai$e}9MWzrRDbm0wG1 zO&czuE$wK}rCi44T)~xGMF*~?Bb~U0Yq^fjTu&FeasxNgjhncc?)0E1w{R=HD5f`k z=u1EPa~lKr|M)M4{4f0KUiuE*TDAZ69lEhgueEt^ctH5u^*TyXnlfxk91u2V3$`K- zb=#0XAnahQ3Of>qx(yEqwOq%cZdc+^SD*X=VQ*t`sN0t~)NOb`IMj6<>W&}|bw_bD zO*odO98VnTP9hFS{ZN6CFBnXR~m~0!qxo40l|0ZKIXsZ zjnX(G+)Vz6(A!uX5&BW+h;WxIjtKXVKO#J2ERG1HD0D=4Toy-!C&(WWo;4Ongcm4u zM0i~mM}#-X9}(snizC7Z6gnb&A&Vozm*kEJ8~uQ3jo1Ieuf&Y}gFo4DMsDIdX5?m+ zWpnZ~vZAp{Y{&L&I3ss<9W$~zHK;{xHk^^WyN)C4UNm6C8M(jfn2`r@F#j|ok1-xI z@;I8Z;fy@RbQwsYN{%ZMZEKh4PNjCZC>b|mYOZW`h~>;!O5!st$=H-k$dV~3iBGU3(^B$kmb{gcIa#tWC7))=l9VjVlGQ2sIZOUZ z$$A%VTOPMUwk(dv*hR_{yU2!}RdyYnRV8+jf9kA`@#w4`v5RcjSwq*+StDW>`KQhf zHy*o4V`3NCu(M{aqq7r>~fvSqI~>i*(`|Ht1}l zA20Rt+So(-(vRC1z(58um^+C*WGJzR4Ch|%=K*358ObO{^9W-Z#{?cD_K-=$9x{cg zJjK(*9`Zb~hrGngOk+B)@*1&+%;pW=;%(;eE^~RG*hA*?5exa4Px+kKLl*NTOZb{) zEazLk=Lc5uBdhs|pIJ-nA-@xQ$X~=BvYt|G!luL?QZ8E~719iiPq57QYH9A)%;xTH zDcLhi4ot}*S#oqr;?pZXb6QHy%#sUIa&eYinvyHBg-8bboLDS&R#JVoz0+7XYa_Ov-ik%w!m0)_6dbLTPlmr zz9HY)Dr3>v8VYr`P8OY&CfC_UKU&((>nl@*s_ewhRHHgIsZAZ~Qjgu(ojuu$hU`Nl z_G5nz_6GLr&v#&g5*);XImi0T*&HEoez=+R&DE zT*_r!!BupiBb~UG>$sjS+(0*OqB}jfg>;-^kUJR6o!re(hGlDHM4F-T z>6Q6DG0ok{+1!0DCGqK%WL8Sv%#wL2iBGR2i&FAcmVB3z6wt(oi!le*#X9)vx6zrSrb`w)|7l_ry7gS&Y)0d7s;ZtBJ!QJ zHx`{;PNB{^%c8Tc4-I}&@yE>xoiHL1<6 z)TMs5M)poKbl+_59+BqmQQ6!*J|!n*$+;;xKTBGr&BwDHz?HG2eRnxBl5j{VJv$4l0v=xAdB99B;VVw#-g`B zDAe0#vgmDd^1W3w7QJmpq28*?qPJS)dizgj$Jj^qW*_!tKMvp^4(3n};|PxAD2|~C z$I+DIIf0WnnNvBPGdPR0IhXS|p9_h7qy?2ol6<5=dYq*ZibfGIZ z(v6$xPET&77rp62Kl(F(fed0WL%55(xrbrg%l$mSLyTY)5Az6P8OH=-A9kbT*noolTNOXH&>^w$bzcOs{{P*}TD9 zyv-cmWiIdY0rUBYg?!AXe8v|n=1Z3FHOpAew|r0RAuIWj)%?WI{KBvNPV6Cn@i*%! z#U^aZW|U)dwqz^Hvkev5mhGs_4pe1FcBUHDsYxyBuq*Yb&+hEWUNmHH8nG|?b07zC z2(gD8&Ji@`D30M+j-y$&MovpJG;S%(_lwiqZJEv8OH&fJ6q4&xazmEfl9ITkklc}y zJG11zlsuRv<5TijmOPn~XR>5QN?yy7cT)163u|PG)$vJjJVs}qlkaS)vFPj@3U#(d z7M-mn-`P52(OGE*>M`bR+hV?)2amZl##s z^rb(shuqFU?qD!?ayLU6#&GWAejel@M)EMD8N*n{Gl9pL$Rs8+g(rE6XLy$9d6AcR zg=x&-RbFEjvw4%)L*8Ky?=hG6`H=Z6$kxcBG(+Q-!hBzm=I*L&?*5jNxTTPkP01En zQYj^IOChO|lG<6aM@kxG$-yZ(EK8cCq-mC%k&?5sK6 zHdLSz+p;~CsltxL9-$jaR3K$Fo$p$M{p!ZaWqXh zmZmi01Ww{)PUSSt;7rcuT+ZWsVh_29iz%Wdt+|A@w9nSa)oF&tErt1hbDFz7v$@+Z zB?GeLo|N31C8JXENR~X2lBrqpLP}oFk~dQFc9#5a{_({pt&Ts6<1sq>n|x=R8H>&~ zr%-3x$)d9z$ahxVSaep4LY?g;i_Z2Y-`PRNqO(IO)Y);e=B+71qBni$M}G!zJA)X^5bok`?qL}BazC+$Jj4h_ z@i31thH*?F_K?S!$P-LvDo^n=&+;5E@FFks3e$O&nY_*{-r!B%W)AN%mw9}^hkV2W zKIT(C;|mt?C13G1%UI60#2)ekEBKLB{KU`v!mrsHS)XQg+)h{{<s^N1n1eZYz$* z=xiYQ&W0L`&W2N{v(d8XY%KZCCK-#)rckJ}mt@h|H1eIzHWr<|MWN2-%c8S|QN#o& z3MLR#1Oq{lU;sfBjPSnytb2Ozu17KM9p`nw9(UMdeCt%r-`bqh{$|ZJYq>USYhn#) z!FIG{2XB4cUvmX~n+m&w(67YYyQs4(CYP(3WF3mUbLZdrstJPNf4KIgL)7 zK^MAm7Uys-=W_wwxQI)*jP6`PtRYu(4L!Jy8z|*QdS%zh9chNf?S%RMP@1~~v$;Ds zC2>0;8JUt-vgFN_#O;LSqm+D{C10duMwTo{$q!lbzxnHnFS$B4DUHYItRDH!ni`AF zwxFo99c9tkF62Ag$5?c>A4Q!VA&btABH!5w#-g*6DC(@UEIK=rd}kLLi_R{lsIzNj z(b@InI$P~t|8CFkM}G!zfBMGU_^0_$`e*td-@eYWh=anF?<4k?f-}o3``o`S4jpG}0W62(9 z0e_4^Ji(I;=4qbgIfgQf;f&x#M)ESR@EWn<8_gKr(97WLt94CtjIG+3joMbE};1r4`;8(JkfV0R?!1=~v0)9`?1pHMN6Yw|k6R?7@ zn1Gcjnt(NAF#&6lpMV=1iwU?HMH8^OEGFPqY)$zIxP$YUfICw(0r!=~1U!HPDL(-Z zcODb44M%Y_$54I(p6EO#;3=F+`3ZQ2^O%5LDVl&6$zlRtN`3-fV=N}%brem&+hn)X zhdU@g0q=F*pZmC<2YHA`7|3Hh&J#S9-N8KHvEIMlq=@^GH_~0l*zB(3-E=<^Z)Hh7 zOUdV1@^wnS&61x|@^hB_k&?f&WX+VUlO-FZWTPx;l#1y(s+zz zV{c;FDBs#a&ZD(Mh-G6{tsQGTT05RtHp;iw!FjZH8nJAws5-BfvgFp3^v;q2DS04E2DuvJ0)5hdt)Cj6vKO=L_4HF?oVZFxxjx=6jmKzh zBKg*)8jIGZQ`FiVS+q8fd~1u0MQckaYV9vsw6>CbYt@WJYim=~T5VahR+oHh4UI)> zO(<%ug)CZYNv^fkzSDGo=O09C4&g8k=SbSnmSZ@Ub{tQ8PUK`xp#vQ`jZU0F7rJs5 z=MbyN`CLFZF5(g{r8`#;tH{;FD$;}NxPek`q!%}HE4R^`KJ?`-?xr92GJyMdfQNXP zfjr6}9>>&*wg3OM5q{p-a9-d=M)ESR5Ua@Ryuld8@)mFN4imDg!oDk1S#_ zOIXS;EMqyp^Cz*6tY9S-sYDglpc-ot>&UuPXFY1NJ{wY-I@DzoHl-f*X-H$5(3ECu z!Io^zwzOb-TCyWMu?xGhJA1Mh`_PL0*q;MAnARLhtRqKoBuCMfV>pg>oRD27C29Vi zp3Us@(#*avo7tDA=Crchl$zxgaOiG4i$*7dPmL=m-GCoVDq~y~q z`6?x|oOmsH)z$HRX*@<}3(0r(tFh?pH;Ou|EQ`*nlJBgBvFNN8MV)OXi_RL5@2t78 z=xi&BI@?(mo$W@xvwe+4X9rN!SsPh&b~L%p{?qO;){qi9ayp&pOjpk2Y|i04&gVid z;$kl4GA`!|uHtI0f6_#1uZ}Q$AxFpA&1ySIl4* zv-yT^nalj_8u=;B(737ait%@vyA`szyJkw_rb4n&N;b}tMk$G#3dwdU*};p1D?0vq zusu4~=^0;kmC{2}dU*D8$EKe?>1JVtNVkninAW6|5q z6!mtuEPA__d~XjMi{2iksJG{2(cAOndwbbf^!6%6y}cug-rgnO+hk+W+b0zDHd7Y8 zeNC>n)$aP2dj790=QsY~PyS{F6{tjI)}ShDvKH&GE;Xo0EjD07Hlhw2vnjET)TaTB zXw2p`r8!#?>&P~2%XVzf4(!Cv?89Kb;w%pn}g;T%aD+Hy3VGzO&lKqO-abb=E`{oi!uhSqo#)SxbsK+e;RmwIbhHYh%&bVH9=NP8OZDC*N5| zW6@bBiaI-A7M*n?*V$_K{5?JYMtX5Gw{jc3=|f-c;%@qJF9W!b2Y8T&8OWmy;&Gnj zDW2w8VhtI}^9<(&UgRZS<`rTMd7U>H!&u(pZQfx5@A5t$Fp)`2=3_o#DxWc(&-s!W z%w#rSGly@P$9%ry2YzG`Ke2?L`GsXH=XYWa`HR0TUS#ohoF3XbQ-(-Bl)p37m zJVs{^k?-sYW6{}QiaHx1i_S)p?`*WO=Y_2RiTR^_E z#m1tur4)6xLKdA>B-hz$_xl@oer@VdmrdA|deo;OjcGztnz032vNhY#g6(O^j_kxP z?8@%!Nvt9J(2D)op949F)*MQ#AxCf|N70sJIF5FlKzmN&WKN|69XXv&bfybuau(-s z9_Mo*-ME-bxQy;x!Bt$%we;Y6ZlIK#=*2C>8ge_mxr4sk#Xa<+e|C*LlICvQQn(U^ zq**;Io7JOI61NnR@hN#POQxhGZYd+lfDXE$zwNkQSmTZ=i z22Q+=jCOTwRT_`c*|y|6+u2xjwi`vA9UzO&4kq7O8)MPg(G+!diYz)SA>Ub7W6{~! z6m@o~EIPZKd}r4gi_Usd)L9={bap4X&Q`nMALRK@@Dzi2hG!YVP=@gWBY26CjN%nu z<8?+emNyy4+l*%d@9_b#hD_okrtmSJGL>n3POKqcF@ssm<{Q3cF7sKy_x!*@7O|M0 zS<0_0<2QciPyS{F6{tvMs!)|`ti?L4OAXee7VEPi8&QXii8W+1>d}CPG-h*}(mcCH zwo5bgU$+$AciKDsWsUo0^Y@UH#w~@b;rKMOPt20jQWCcmk_%FDQI=epl54W$=9Ju) zC3mOf-Yj`EC68yxb18Y=jg1=Pw;9K{N?t9E$LQ`2^4+~-EV_G_qV7JCMR%W(?{22C z=uH`yzpeHwS6E|}Ux6zwE^yNmL)AyvQw7qm6BFYe0%QL^535OTK4U^uV@G^I3jch2?*Co7knb}-}vla`u5z0jk9mh zwN(4|+;}|F%SryFwVkneX>Cu@OKT@tytH;9|I&KCv3P0iM$t>_)v|bL?Lq#fwU@DY zX}y)Am)3r=cxfF#{-t%Gv3O}6MA1v@P+7dR4k!20y4ts9#(DlbOyFJK=L04(iOGD- zCrsrtrt>*p@)a|g&DYG~TjnvJ@A!e(>Mi0Ymhdyb@GHyto!ILA#ow%?0+p!38dPIV z)@B{5Q-hkU&j!?HBkHm-n^KSZG^7zt*qmlGXG^wb8(Od(E!lyc*o9r$o!IK_#on}H zU-suf4x%-OaF{Jk<^OTtp8KXNyhuSjZO4iDfS}BQlfg}x5 z(l|@DO3AiavRg{_%#s6Aa&VR$os#3SiaL8q7M+bE-`QAW(b+hPI-4Ym&Zdy- zY_)IE%<=rW%x3}L^8*W6#A1GCDZjFe-}s$B`HK})pdyv2LRG4<7V8jeNDbDb7VEPi zwW-6##2T_0^=Lpt8nZb~Y0egG#nx;~3$|wmc4TLEVK;VXPxfXX_GLc~;6M(hHHUII zN6?0&IGSTPj^jCj6NxqC6i%gtj-1XJbf#-|ja-msXuJbtzF(8(?seJRy*VZE4v^&D zl-!pkkESHv0g^nQk{7b%)s(!ECGV!>gDm+ZC7)%<*D3inOMXnrPflDT<6Iqol*VIp z_BZ*?)-V>Gtw~X58_1%wjmURa-&l0kh@#H6kws_Qk?(9*W6{|j6m@oxEIK=cd}nQq zMQ6uS)L92vbaooK&Q|-@%*CF6Dc!l8E4hkmxR&dB zkx5Ku3ZL*PpD~Tk`I4`g$t=F+8@^>8^ZAZgLw;l-Ke3pf`GsFuo?Rm=(+rJwf6Vvl zY3|m{=5F1T#JfL|W+~Y+OIoHR-u;oZO3D6Na#%`^%#!vgIXO!@rKC%ibW6!4S#ots zdN^^7yzT0^wKN{1v)<%8>t`%F8$eNKgJjX!ljJ)aYAiY%PElvC%c8R}rkB<)MR}&pf(#(myOw! z&8Sa98qtK!X-0FlWNTs#X~A~1WCwO)XLeg-xsbap+t&Q`nEzuWWs(Vqd_&jUQf!wlpx z2Jr+>GMJ}%mggACForXN7a7URyuxe58Zw$OyvbX<%{xrsJz@>{kcoW6WIpCorZSD` ze8HE@U?#KqhB?e-9t-%6A6UpD7PEw<{K7Jp^E-d?7b{pvMJiE+s#IewVhvfB>a0gi z)@MU%QzyGd8l)K-w-a6ywoP+)`)uy+mXf%gkQ|(nL$l=Ql*H|Xq$DM$XUW+qIWJ2t zPsvqT(laGDWyzf>xhG2=OvxioTqEOM9nX};V{|rzd}l8ii_S(-)Y&*$bT*!RXOoOY zXHzKZ>?>JxHj8{`^NmGk-&54tud?XuH}aiTFczIvrl_+TvgoW9xz1L**KgwaO=->+ zY{k}WOAEGV2X=VICvYOMhMdBw zl+cmWIfKr0F61IE=29->a<1SiuHjm)<9d2h%1!j*7H;Eq`fvw#au@e- zFa5cXSVJDWRKE#jOO+x-`qjQqPasTYVKHBGuxNXyON^jZj?oHHfaZ@4bk&+v-s9Jn^!RXsroFt+kLvYc0vOw%R@a0iJ<vQ6lIGiJCLtBpFSlV$s?KzQ?IfV{% zBY_5%5C(f4}H0dyXnWh z4B$Q<;2|DnAdfPL$9a;cc$#N+#pOI$o>}>WfNJ-pQNS3AK_bjQHlDMsqte2AYvt*N$)XS1BQnGcH?3j{WI{t6` z8sK}bk&{Z}F?u_dd~cnNMQ>+P)Z4|f=Rak>+tVOIO>r$QdsLA?lNNws+mrdA|deo;OjcGzt znz032vNhY%g6(O^j_kxP?8@%!$zJS3EB0f54&-23b11Qn9Kn$sMO%*HINEVScAb=@ z`5U(u=KOhSW?z`i?8{RUw-%C{QgTa{+?kTNwU9iLlE<>-nUoC4l2IvnElb9wWPFxP zNy(>K@>NP^Iq_=pzN_Q=(s+!{7LxDmS7XuHZxnS_Sr(mDCEr;MW6@bHiaOg&7M(R9 z-&u2G(b-lMb+)rCI@^tWXZsq9&JLicvo^Bm>}YbGt#;qv$@4qYl`}b;b2yLlxsZ#v zm`k~g%ejK9xSDIZj_c`3DL2uJTeyu_L;7$BcXAi^(2xGyN308OxiD<88(>f%o`;51GVAOyOfb3QiDjRUn^boAQFa)grJGzfI;HIJ z#EkrdKPf*WD>;uDxdv5Pll+XVX{;6-up#AVyd66;yo<4AidM=MBnUoVn9mbJuJzSDS0|ehNmRn!;*|i z$y-_Seo7{0$@G+bnI&^lGA~P(q~w<@`70$Wow#lJ&=sBY_5%5C(f4}H0dSVQ^|YsdiZ z;{hHd){ucb${-%+NuJ_qo+Z|hp~M<8oELbJmxwjw6=Dr}oi`Z6Sl;4oVhx$VyS&c_ zOk@(1`IuNkrt%rn`J6AAL98LO`IQs=KE@Y#KPe@rX33c; zIVVdlPRV6iQv5sT6I~zom&RkX_7M5jo-h`z4W_8I5wd7)B>C1x8;jQ7q^PwIWzpJ4 zn z&qQ6ITqQ%&tR9xl>QO0)_pc=5Q}SMxOi4++eh>N!2W=m68p!WV4hsaN?C@lB;8@(s+!{wk6-$&c>p%-6-np09kZ)F!|2f z7>mx1rl_-1WYJj(`Odl;i_XrbsIyCD(b?tXJG;(Ubk>ui&icrrvpdOkw%RwB26_Gy zJjGz1;aP?-lwrKU2wq|&qj-hac%9LVJHBlfPL(1u9aRDpaK!Yq1XNQiJuV#rkZ> zM$}Asxk_FwjmPNj4f5T+V=TIR zm!j@Ikwtf(k?(G%vFPq=in{wz7Tx_szPshdqPssR>TV5Lbhjq??rIu~?lz#PyZW-| zt`WKJ{?iUJ){^bmo*hu-zqRW>!q2y%Ek|=K$8kI-a3UuYYe@%6IE~XegU)p2EMhG= zm-D!Q3%Q6(h_$3Uv6fuPRb0chT*nQ>T5=;daWl7Y8@=g6U+&~??%`hga~}`zAP@5h zkMbCg^CVC4G_jUE#}J-p7%%W5v6j4?T`ObKwGuZLUK=K+b$)WT&QDKC+*nBFrR2LT zS(1{tv5>4xNu?}VJ0;b#q;5(!&5|Z5X_h4|Q?gT*?3I#MPQ0E>c6A(98jpwj-*_bX z&e|D^&e~JdStnU^)`fg$=NpU8x>3~G)w1ZU2l>u=8H>(trKq!hvgm98`OXF!i_Qj7 z)Y(v3bT*t^XRF=ykMsOkLnaVw$oqW2L?$trkNJeDe8zM>=S#j~CbRjPIeg1J=JOpt z5NpUHeqsqf^9#SSoZpEx5v|?ZO=Rgjkb#{#$m1bz%R+#Unrn%cO zo4aSGByKAtm!;&2EV(`IB_F2bBPXtr zDXxw$O5-s)n?b&_xyGWi1r&9*R2H2rBj4EyW6@bfiaJ|Y7M-m}zOy>UqO(mX>a3|O zI@^MLXWJW#&UU1zvwdXI*?#0YTkW3zSkG_A3AE=VPUciP(2>*WL}$8iCTDRD=W;$5 z(v6F`gv;p86$!naZlo8t5NpWo^yUuwau@f|kNyncejeZ<9%dkqF^DI4 zlEFO9vkYM>a3?MI=hK{XMK!CXLnN6*@Lp^>=E*v zJ!LF9dxoOUUXn#;qsVtQ)>w2lj-t*c$)d9<ZSVL;C9<^AX4XI5XHYV1P&8SBM8q%1}X-adpU@Nv} zTUxL^JFp`=vkSYiJA1M>`>-$jaR3K$Fs(V1!#RRB9L3Qb!*LwX37kl*A*XOEC3NI; z&Y&}0vuosnG(#`S=I%9V?*1SD663%2aq-^QtLe{sBm48-O@H18*|qRVNKauwHbE!S}aJ-LyaxS3nHjoax% zU+&~??%`hga~}^7tH{GV!lOLK<2=DrJWZ@3&oPAO8O95|$V0?-e5Fid5dwp z!+751Jw9L}lbFmDKH*b7V;Z0HC0{X5?Vg zQgTU_T%D30PP~$Q>gu?)G#;a~-sC&$XDm7!Kv8FdWYO7^B4cUvmX~n+m&w(67YYyQs z4(CYP(3WF3mUbLZdrstJPNf4KIgL)7K^MAm7Uys-=W_wwxQI)*jP6`PtRYu(4L!Jy z8z|*QdS%zh9chNf4TbssP@22>Ut^5rAl~~Lnf|`T|N38LoazeMq%nLcqNumdvgqwh^1WSXEPA_`qTa5R zMQ_)W>ut3={<}TDAN?7?{povj7VI;e2;E?EH1uBcShMKEekiCs*Id!Z6LnG!ph68Eqr zUKva5W=gy|mUwk6@$W)P>}pEvYD&DnQetOQVrNt0eX)N&Ci#Eu$0YxakMx!M9^F{7 z2U@@%V-Qd9B!hXHXYtDXZ@oS9j-Q{vd&H*iLniVOllhoWnaVV#^95frgPF|c8|E;V zc`V>NeqbSsSWImCmhubBSkCYK$zQBsB^9Ye6{=E=wTMmMx>RR9YO+2XQky!|WfT6j zd1&CcA&rSmUsIYBiA~?CHV+3IZ_S~^rmy_w;b`Zv z={t_t^qoL^%5NS@oOk4OV$;`|F2v^HY+}=Q9ui|<9Bfnxy?iVcNyaEy#CD8e5N!WV-gNwD8m@e2wq|&qj-hac%9LV;Z4Tz zHsi@p!b!$r5>BCL5`HC%NjQuAB%E(7CgJxKO~PMgF$sSoKM5-si%D3SqDfdo7L%|R z`AN93v6zIL5j)sbO~U5JV-jw~)|8)wJ2;O?xHGYX-HqKTKMD7Bz8?p0Amu0F;m%_c zw&5s_<`~LP!V{gxBs_&vDL)C%a2}JeD@Bv=BH6`U%4L+Fgx5HaNq8OCv#LpWoAKM} z!yVkoUBo23m;T(x{XED+Ji2BtYbT>0LyAyvm-RH!c zTJCc`OUdV1@^wnS&61x|@^hB_k&?f&WX+VUlO-FZWTPx;l#(iDSUfHu7LW41 zb$1@UT}dn+tLp7WmKBMbS7#r(`K#5%H^-}r++`I{9~pc1i;tU*=QWG&XAIBmc%-;4coFE+p_~Z5$njV*&TnYG(+P}Emy*kY3{bo=5G6x#G6`@ zE-5)HOS+{b-qe!xNXZRZa%)O@XUTw+Jdh=WQu1V$3{T06S@L>H#yD|}Om}s>UmB0m z*+lZ4O*IysO{b`{IkM<%9{J7|8H>)AP}JF9vgm9j`Oc~ti_X@jsI%I#=&UaJ&Keqv z&YDovSqoWo){&)*QlN9L|xnp)JR7EbTa+_MFJcoI(dWavGgDgD!OC zEY9Iv&gTNUaS@krDc!k(SVOKR){q`t#|@NnBfYqpTe*$i^r0_zaX0}>A-7yS}_Tvz+0|DFT0|DGe#|AM2kYvglR$4RB}7@eI;zO&B8qO&t8>g-}!baol} z&aO2Uon22+XSd6uvpdLl*56okc0Wa(Jt2$E29xh>n6c<=1Vx>VmPKc8lIv`>H!z=g z{#2$hoiF&38O&rh-!O-{%wqxH@dH1yh{Y^nDZj9c<^0Z{#2T`Kl~kk>Rak>+tVOIL z>r$QdsLA?lNNws+mrdA|deo;OjcGztnz032vNhY%g6(O^j_kxP?8@%!$zJS3EB0f5 z4&-23b11Qf9Kn$sMO%*HINEVSc8!#z8G3qljhvU}?uFUhy*wpXWywt`xg|^POvyc2 z@<_)m|FxHke+T(Y%7$dwsPt2>Wj{48CF8SXN=iP>lCM%S%ZXQ#FI*qrm&RkXwvc>l zzZ#3yexsm|wQPkRIvS_UV`PP~ni`KTHsI{GC(b{h0Tie%Iv~~bR zt+kOwYe$o7?LX}tV-+c(Bd61e&UEEW&gLA><9sgUA};1qF5_~p;3}@>TCU@IdQ!?w z^x_t7BUX_<+`*mP#Xa<+Klc%<$b&q@BMjs*9_I<3VldC}EJGN|FkWB;FENr)yuxd| z!Dzl13?s8w$yGDcK=Q_UKseU$+vG9g?!cv+UUPQ^#jNby`Z! z$dYqYa)A?9$(OE=D@)@sTDyjPYd0E;)^4V#wYz1}+P&mkd)QdC_9#WIJtvFSo+sbh z%f_O$S1D@k9a*&YF8S6b8;jOHp{TW)vS{sVa;>d)zrWP;e`Ptp@dtnMH!G+>B`UK9 zRauj@Sci3~K}~A00UNRrb=a6qiB+UN4QNDTHm51g*^*dAwqaYgV|#XBCw696c4H6r zWN-FiU-st!4&q=A;ZP3eNZQbrqdAu2IGz(Yk&`)v4wP^jr*j5f=*n5dDsnF8aRC={ z5tnc&-LtEtN1CB=OJP>`PII?!Hg^Z4ByK4rPp0JQEE%4XxTTPcNy%GT@_tGtX36xF ze3>P4QZg?~mZapDEcq)XE1kGTzH)V}T^f(kS#|QA)ixHL)upJjCbHmwY zQq>4&N@-l+4-{QtQ)z`R=eNt>G?O(i<`NX z+vrUn`f?X{(~o-@zCw6LAC4}Suf4d^|QHKFU{SC+1%YCC0l37E_PMB`l;QspZYKSt;QLy zkNZpGFYj4jxbt=WbaY)?ye zWG8mvfAzoG9sfa|*P26#Rpbbc%x$9 zoeayalTqn9iJJ<^_>{btB~wxoHx-guDfuQ#zE8=*Ecq=Ze`ZPLlvK@SqP3$bYV8zRv{pjCwXVjZ zwX-Q|?NV8^b~*Xht}_;`^`xk^KC)=-PI9fScE>-+^Pk`;2J;NhGK8TF;{`_W5+fPK zE4)Um{i7L6tRmxhoAFHGJw6~-kx6{S6h7utrZSDsiB;q)W-yD{e8acQWj+h|o*!7q zA{O&AOZk;${KoJ6$=|G?0(NqaF=tNMkmq zDb2I1WVyoFIe`;7nOH|UP{L`P&KY#3D`yew$hn-y1zgBQTtciP-HCPNO0MD>uH`yz zAl8u^xrv*(h1=*&ANq19cXJQ-(x3ZyfCqV)M|hOSc$_DBil>Qnq?t=%YkX}ww&FReYuzqIx;7B8*0QuNZ=PZlq& z1IWL$4m1`ot%E3fX&owym)7CrURqcC*33B1kFDMWVypK)A25+gOy*-gVJe?7ozMA_ zub9bfzGe>JGLQLu#}C9-ZxKJSgrE6^Us=xY#8&Sw{$?c=s6-WFt5=QK>aEQ>RHp_t zS)UE4%|_H^V>YE8^=U{Wny@*|XwH^w%{H`PJ6f^>JFyG9vO9aQ7kkr+ec7J_If&LA z!eO>FmH)?mkM7s5kS|K(F}j;UzPq``qPqnYb+=R&-7O>E-3nvTT}6tzTUQp{tw+AQ zI>w^AO(^QFsVutNf_!(|8;kCCq^P@nWYOJz*?eyjj`f?Zd(2xEM z;C>$9As%KRk1>cRc#^?9&9e+)D8m@e2wr3)FY^ko@j9a!!<)Rt+l*%d@9{n#GLes% z%*TAnRHkLy{#j|$9q$0y!Y@qQ@x|G8{I`_EJ3x}EDOoE^YNaIJ0g^OGN#iWpDka-y z$!;mxGfNIg$-!B2bV`oPl2cMr;>4}-H?EGeOXD#*JCA&4ml})CE~luop0eodCi0#2 zF&3TONl|AH%A&JJ$anUXvFPj>iaL8q7M+bE-`QAW(b+hPI-4Ym&Zdy-Y_)IC%<=rW z%x3}L^8*W6#A1GCDZjFe-}s$B`HK})pdyv2LRG4<7V8jeNDbDb7VEPiwW-6##2T_0 z^=Lpt8nZb~Y0egG#nx;~3$|wmc4TLEVK;VXPxfXX_GLc~;6M(hHHUIIN6?0&IGSTP zj^jCj6NxqC6i%gtj-1XJbf#-|ja-ms=tbGwy(Z1w>$16fb4qT@l6zBfUzR+YlE<^; z`INknCI9v>pwDr2{81W@(b?bRJ6pq8bhai%ooyhC&Nd?7S$$*CStE)%+eQ|hZAZSd zU5!O&dr;KbL9*!V5b~Y1H5Q#6OHpSXWYO7a~KP(97}n%R7>o053 zoeig`v)5(O*%0eHWr=Dp{TP(vgm9Hxz1L*=dbMfYfz0f zS(|mJP7P|ZJ{wS*ji}4UY|3WTry-4K!saxiIa{(dv4*r@J6f^>JFzpnvOBSc?8V-+ zVqf;>Kn|ichj18&b0lqO%P|~FJC3J4Cvq~U(t(bgMkmgo3tc&jb2yjtxqxn5#3fut zcdj7TkgK_d9$d!_lyW1zvTNjyG(+#o=I%pj?hef6?%+i{&(4*olT0e!A3WRuc( zjNa;z@2#n^=xqy%dfQPJz3oE2w|$I7Z~IZy+Yz$p?I`lSonS0_JBgy+I?JNBGs*XM zp|R-gVv2gZRu;WoPp-Gs?)UHZ{C@Oj0Qd6%5AiSqd5l3k!IKQ;X`V&d|JHv09X~&T z_lR}mLniVOllhoWnaVV#^95frgPF|c8|E;Vc`V>NeqbSsSWK)VOZkOmEa!LrSL)=n$UD!5VC);P&$!;l$TMEg+DLFJt zj!sG3Qba#NPvnUZ_52soJXgmvrSTY@4Phw5 z7|sY@VkDz@h1YnU(Tw3u#_=}e$#*u%SaddpqRzgOMQ5|fcQ)TxboM<(o&73{&VD1` zSp{R!S!Iekt09ZdYLV+~wfp@hp5K(_Y{6D+&9<~)dv;()b|%)4-PoNy*^7PHm;E?^ z138%19LnLu8q$WNIGSTPj&_{DiNqRm3a3&+M^5JqI@6UiIh%7hkMp^Zi@2Cexs1!X zf~&ZOYq^f==}9R!(TiKSjoazN9o)%X+{3-}=RRT$d60*Agn`5w@;FcM6oXwS|MPwY zaK0;KkJ5OI-u5Qn+d;;nw?ioE?O0j#c0BpsIv9)IPNS%|b7j%n1>}3{ZY+AclA_*j zltphhlkcssvFPn?ih6rk7QH=6zPG21J;QUvI`TZjc!3eT#LJB0RbJx_Ml+VT7{@z| z=Uv|813qLDlbOONe9C7`<8!_w){&Xa;%mO)TjnyK?}&BeM;7uEi}{&f_?6}S#vlC2 z->jelm8i@bRAo)pVjZeegPPQ012$wM>aa1JvKjShKqDHnIn8L!mc%-;4coFE+p_~Z zu`|17uO+S0N*=crUKfr`Ykb>mjc=clxUG*eS`&&|Yaxr)T9RvRwY&ZUJpUkC za|nlVI7iZkwj9H;wBvZ%b0Q~m3LWUkX>{TYy3m!gIEQmNp9|>5MO?zAbmt0U6}g&g z=)rZ|Kq)uUi<`NX+vrUn`f?X{(~o-@z=I(-&{E#KfQu2G2R7^>gELkrl z>u1R(DXEtwTcjjDvFjI~c1+1G?sMYu^cQXIm;R!y1GDr${UYFZu8@;T<1uYwTF`X~?k{QfoHs3IZxy)k$-|+)KvWUejVJW|`jOF~!pTs({ zf|XRH5>;4(YOF=9BkNL~^{C1EY)EbDP?t^ElzP;sA&qH5Q<|{_Te3CV(t_=2$&T#A zF6_$g?8#p2Lo4=Ue-7keT5~9|jvT>}97S7>;W*lHLUx^$r1={+7S{Ol(#*avo4c2% zByKDuH>Kp3EV(l!abqEQ#7EZSx_&JC^PWlBkSrUOe(JUCr^cmZe3nc}$){QJRZ3<# z@oMtD>*M>> znrpd^>*+};H_?k*xQ$pv`fvw#au@f|kN(_8tRfHc5RdS`W=VeEzsi5_^n&M);3Y;f zidT4zHyF)W-eeqaGoA^&#|M1KBtBvaAM+`nF^$iORpcvXFpJrI!?(mLGC!NuKgA67 zUAi$XmzI7%)_*ju;KOkL@m;zr%RVDlq3p0?b{LniY+GU69lpU^Hc38dauts+1yL273OaDLAoL1qh3bR+H|Lg6)q}H^5on>SH zM~`=}QOVC&s#vi~g$fn@@f9n))%>5Y)cxo0iu=Fz?~3yxT4FO^Vo5IXR!oVFT}g#g zIF$~R(2;+>Qun{@D|H(+{?}LP#$vt98eV>%@VE07RG=c2sY2`%)?_W#A$E5)$nO(2 zGFFF;iQQfKeL^GWvAf%x*xfZJzfaiCSnTe0Aa-}<_X&GAkKNtg#O`ii_TvB!VDZK1Fb_%ajv{QIT z7CVJ^$?p^<8;hO7Clu`zX3Aox@HM%e!fM}XTI%_~vYeQafAA;eXJjSkF(cQYDr=IT zku{CgVgoj${EXbxdCbWAG@ucUDL*5(bRIj`ZP=FbGjb>AF(Y?nH&!(x_ctCh@*obT z{ER%(dCbVR6wSz!Wv9@A63WlWF3!7h7O`_()r`Ev_@#8uc4j@&y+gd2JqESsyb_8JUVMetRm$*YvDXPYe}pktLkho{ z7yjz`LRZKArSTY@Jw(27R*_t1t9_4Y1JAEb9qO_Pn^KSZG^8<2Xi77-U`w`U z8(OeEE!mNs*o9r$ojr*)WFK0wANzA42ho~Ci8bU1VhuTpwj9H8wBrQYa}p2#tqT{x4oIEV8%p9|^6#azN=bmt1L;%cs?2iJ20rQAd>ZXwo?+v&|6^yMz@p&$LT zYvhr1jXaiJBSX^qJ}kQuMx`X)#B%kFPsw{(G9@MPCYEGYO1{aG?^CicOMXkqpIK5l zB~`PeR!TO^lFd@mz=_w9MXrvmO5-s)+m?K1I~$A6cB81X17y+J!Q?w@V=Ov5nxf85 zkws@En3POKqcF@ssm<{Q3c zF7sKy_x!*@7O|M0S<0_0<2QciPyS{F6{tvMs!)|`ti?L4OAXee7VEPi8&QXii8W+1 z>d}CPG-h*}(mcCHwo5Z~hivZdo#yVo+1x!OC5LCp@hLemOHNBk{9RVAkqc6CQI=ep zl54W$=9Ju)C3mOf-Yj`ECGoLi|F-41lsxamHS&|Ig*F) zboLqf&Sn~m&c3Fovma&A*-zv{=NZNeyvR$uoLwVh(hQB;3G;non!A&;xjQ{2 zaXTTImy++YWJyZmc0#f;C6%&d?UYo{lDa9`G)tPKq*<1opTXDm8vPf=%`WYJj{@|~S;EIR8(QD;}nqO%_4JL_dEI=hvk&icusvjOBg z8)z$?lOLuJv~aB`ikcCSCq^WR|t@A5t$Fp)`2=3_o#DxWc(&-s$Cn8|FuW)9yn zkNJGZ55yX>h@V)(&-}u#Ea!J(4f%_|SxE&dQH3?A#+t0nI#j0yHCdkxsLe*yWn(s_ z9`$KRBbu-|&1lY+Y|S>bU^`l}13R$`yRtj6hU~@Ov|?ZO=Rgjkb#{#$m1gKM+1x!f z&E1aK+&wcT=VZxcDY+s`u1`s6mfVq&yRzi|lsuFrgH!Ts$LwRrK2bKJV;wg&BeV3) zl)jz)+=uDsK62tZS>g)$qBI_(w;AMnn`57OJ&j9GV;BxFc!U4q^P%bWzpMu zDRQ2$&EIh>1-QFau%^fV#~Q6PQ5+j0omPFlRAhLP10^ zfFOz)&hyRH-J4$5Vw}Ez-*fxkVUO|Dzt((fJ9_ZWHEXV-*hPN^Fo?knVJJs)499Ui zCo+POjN)WYB9jWL|+c!P+|=^f+Hzr z0E0M+Aq>l|k>P2E#w~^UeomUZW3#z?aZ2KrLh^s=Uox(8b^KlukI~s*J8NhxI%`a!&bE<7XKl!L*1=eGwhM(i+fx>u^(5a}Z)4HfffVYjzbrZ% zNUpQ>?)OJ|{wbWs>72<~oWp3wavtY%0T*&Hmv9-Eb0t?Zj%&G&8yL@x+)S(?w{bg@ zxPv>H!ZhwC){y(Sp9h%1gFMV5JjUZZ$x}STEavbm&+!5;@-naR8gK9>^LU$gd5;g6 z&qsX1r+mieEaD5kb#-g(c6zXiUEIOM?zO(7ZqO+M4>g;J*bT*rOXD=Cx&R(TZXYb3Rvk%F4 zw$NB~wwOYlEtf@SE6H`X-u-?#&#yowDzgz)s7f_zunD!OLtW~#DVwte4QWJUwxlV| zX+bNtCf1PFw4oi_u{}G`ft`spWH)xF6P?+EZtO`9da^hBuphnX%>f+9!StmchjKVa z(w_kgWH3iDlwlmhaU9Qy3}+-KaWbcJ8fOq|$l0937{+oQC0xKo*)?)inxSz^VZPs* z=I+F7?%tIWw-k{)nvy57WNu30c0%%2O5Vwmk5jTBOO~eOyDV9ilGRyKHYMe=WaE@n zcj6lP+10UGNjyep4aj%a%vf~Rl0u!emqlkglJBgevFNM|g*w|;7M<-+zOzG&MQ4Xm zsIwum=R^fkKir+I7oGyRXR(Tz{U z#n0yJ?!-l1YMd>hojc(Due~s?H^wGV4`SUmEA#nBp?!C{G0{Qi;lJ$VOCQ;{nALtD2nyi>f)R zP7P|Z3ALzA9qLk#`fSQ(Y|a)mpdm#xqA^X_lBP7HIW1^OE4E^5wqaXZ(}uRRV>{Zj zJv*=?9oUJT*@a!%jos-;Cpy!GJ?Kg|_M|&K=*eE}%|7hQe)M90deeskIFN%ln7;qg zui{Hzqg%U)k65S0dZGpV4kj~&socfg+{=ATX9hEQh=+NU$9RILc$!(vW-ib2JTLGP zuMiu)*Lj1tn8!Q3$NS9ZLq6sc7VsGh`GUnP;VYK%4d1bh<*Z;OtN4l4{K9Yi!Jn*Q zEoIq&@>HY}8xkA7jj2j?YOo2lsY5;Lvl*Kcn};GA(S+FWHKRG}ZXULE-kP?wqdnUb zn}?m)nO)h9j&x$(%|mzRJ=lxb@U6Rf=;J&#d7|AG3=2T86HhgDsHlrCsY#z=hHhdRi!}qtFhjGTQ;W~1ghjsrML+s90 zTLtbZiN~0L_miK1j~I&y_&9|o;B&H=fG?7tfNvU$3HUaJCg7*Cn1G*?pMYN*iwXEG zg(l$7vY3FslAnNOjKu^jN1+K=O%@ZdCiw}tsj--VTTo~MwvfdH+={JPcLHwbJSN}{ z6q9_2hH;4lhJz>{P#0Z$=6 z0nafO6L2hrCg5eV%ej)PSa$;6;Cwtcauc_3E4MR|JGhf6Ov~&5~_W(#DBv zDq-*tAN@#w5Cv1qK@S%2rz*+62^_`A-IHy(?| zaAMI|x3kln$D(m2v1t5VXBQZcMdM;FVcpKIb{?HwODr0H*V%2xqq9lmI$Q6%Ob>Z} ztRasPYsiy4#WT!e4$l&6$P2_8@-naR8m|*;$UNTWUEbpZ=JOGs5NpV1e9j`i;7gVe zYsfdm8uC5M_<h#-g(iDb(3wS#~97n7nCo-IooW#kT!fBjAtRZI;YseVJavmjIz(rilrCi1pT*=j3!?j$`4NTxh zZst~QV(=|db^5zZ{v+cZ#Pk>w<)sd?Jn}Y z%`g_dJw&12X33(rx#W6V@6F4*p8r1c`H+wKgav%YLcU-zOZbYVe8YEq&vI6*>zH!X7-?LW}lE| z_K0j|pPrJlvgE>)T#_Z@QgU6E+&@!~Xl*MBwYGySTHA?yYn_cnYh5YSS}$3&)`whc|7rIa zt4J{e7{p+PFpQ%)mg6{q6B)rtjN%kd<#f*AEY4;$V>y@eDd9pc;u0<+R*@^Yig8@S zbzIMQZX{NbTey|mnaCa7$rPq>7x!>4_cNUtJjg>l!lOLS6FkK;%wi66d5-6Kk(YRd z*La;bd5gDshxhn^`FupIBA>E=&soS9e902N&aRRl(u|Lr3a<=*rE8%~b}dv+N!(OO z>ZWAVENPsQxT%n|Ny&BtvTwWciLPDJC%Sge(w-^ZC;Pbr)6ezIl7T5XDoc(}$#5rj zU4OVj&Mb+?=?)q1G{?Givul&v*{KXo|umR<$Kt(FEAywFz zYSf@6wWv*9>ai)C6YEGrifBv|n$nCGv?A7#ZP=DJv}HTCX9qg46T7f0yVH@*>_J!d zq&q#?i+$LSUi7992XYX7IfO$woFnK@F#{RIQ4D1m#}Mnt@tnYLMsN}*a|)+r*U6YP zL*vH6oWCN?-K(>?J0T@;VC$>f2L%u6W7R}u8xgL;xRg_O1`r?#-g+O6zXhCS#;K%d}pnVMQ80O)Y)#b=&Td@ z&UzS&&i1BIX9vrovwq||8(=It8%&|jPLxGwBgu8P-d+Fso__%saWR*28CP&6S91;5 zay>UNfg8D*TeyvhOky&3GL>oE&Ar4LGMxvQ$%8!1BRs|v#2WH6&oG-gJj?UEz)QT$ ztGvb=yvaP?;a%S613u&< zDLF1nMy2G`EIBtNC0TNLO0II^8u`oBaZ^b=MrXH@?`(>(=@D)0%{LaEeN3UwmdK*BrQ|zXVJtdZMWN2t$fC2dKm;8++1&y@)krU-n~v zdUF5=aWIF_kHa{eBk9io1~Hf+4CQE!;W$pcP#o3Hz4CitlC0xiw zTtciNmvaSIaW&U)9oI8HyGCvw@IUM;bl12(wknCoXl+~at?gheTHA?2t#y?}Yu(AW z*2`G5)`vo^9U+U>ipjS&%viK`EQMM-MHa1{PQJCV#-g?JDb(7PvS@7_xz^UZE=&soS9e902NW+~tD9m`nG3Vvi2KeL)&`HesLi#3#?EafOqMJll&RoIwnRHr7J zP@B5cV^d-k*@6ZX(TFBAr5P=<8^vep&yWJx?mZ_kkL z?FD1e+shQ{?Oj>)_5u0cJ~I}*Euv6w-^-%6AISIii?QhKcMA1ZK^DDLCf{2PW6@hJ z3iZ}d7QHnl*V}q`{Ovt|2Rg75yRa*}(~-{XL09&qJ3ZNpeb|>?^rjC7au9tvghM%; zSV#I(%s>Wl6hj!sF~mA@JSQ-m5uC)yoWg0G&Y7IWIgDm3=W#w4a3L3S372sNS8_Gu zxR&dT}rmklHF3$$%(Jf zb#c5xv-rh(Ws0(|&~21`DlR@j*SPcpa!pDPw=6wuT6*Ze5x@5CO+VJW^sq&C82_=B zrK7D%54S2k+`9B|o6^H=v%~l=v@RWOQ+n7o4mYY$u4a`LWzt9Y;y3V>srhfegYUoe z`}Y3RljEa%pZ=#`zW4aQeRS{G@;9fKg4!L*mkSfcB|Np_W$IvUqJBO8&L=L}T&VI+8-Kt!K;PwRH^n*Vc=S#cS)O6nbsFUKX#d6Ue`|PBa#; zt&=JA+B#hpudOr5y|%9Rotfu6{{>#+WnSeq-r!B<@ec3uJ|FNQAMpvF@)-+R#A3eW zE57C%z9aT}%lUzo{K!xI%rE>#?DhWSFV<3q4Jc0qDp8q@s6th$QG-pWMIGu=pH11E z1~jA*joFf>G^Yiv*qUu+QzwbfPnR(2YImK~MI!rz!V8?mKkj zRr%wRc#Q5Akne7ZvFL6og}PfMi|$sF?{1B;=&meW7{p+PFqES?hT}M% z6B)rsMsYHyavEoF7O|F$W(?F-2w(tKu z?Yh6n_T$Uaetbo?AOAHae`HC;lx&zKHB(YMONvs`BulnR$+lUtQ%ZKtlCCM~o+W)! za!{5Wk&g;@3bao;6&aN~TosFYVXSc|rv)jpc zHqBUcb`OO*dqftUJx;!}ImV*1=P1JrsRVxS(K75v*i1f{NThj5_fdJm&9Xq_80liDj18-DpRPlTC(V@F8R(H8jH>v zQ>e3TWYJk0@||@s7M<-vq0aV{MQ1(9ch=ijbao(xI_ocs&IXd}Y`yQzjPm?bIE~Xe zle0L7(TwFh&gTLyCWtI3+`~WMoQC&XTiJGRBE(B;N11v?Ly*vn$AVcD=FaYyyQk zn=FgYrjqY$y0PeNCWShCS{9wnCg0gh#-g)VDb(5fvgqtX@|`U-7M(4oP-n|!(b-CJ zovnAzU(WL@P>ITHL=~!1jT&r1E$UF0`fSSPY(YaB(U>i1N^@G!imiz?q&015$98Pb z4s>8=Vh!1i-RVSU_MjVk(u1Dt%|7f$FM4wT2XZid>BpfQ&XM$I00SA!Q4D1m$8a3S zb0WhT$w{2dshq|c#2Ruo=P-t`oJR>4a8Y)RT$N_%HQC&~HO<|L+1$M=CHH2@qbYeJ zOXjBJ`7C)WCGTX($0=EmB}-HCU6!m$$?7aAo09TbvT;hPJ8_M8o%b)#<1spGK)$nP z#-g*96zZ(KEIQkfd}kevMQ2?o)Y-nW=xl%TogHEOAPDLElaPEW~MS#o|#F3gf~DY-67Zb`}Q zPFyANX8AoO@ffY$PrkKBj74jYQ>eA)WYOA-&ZmS6xrj@+jLW%_s~E>MT*nQJ=SE@`xrJM~or&DRolId`Hs2rc*^e1c zA9QNQKa*zfoNV^KoMvy_Qb;~X$wyhTC?#=AA^9OCKW54ADfuf)DyO7Mmefi~-7IOG zlBQX*O-kB0ah1ehChbxZkI~xhGxUffQ=(cv-YI zoP2Ai8H?7=q)=-Y$fC82$+vd3v1sjD3bl5dELxjHuC?{<_aE~7M|h0Kd6K7ihFQ$v zS)StsUgTw7;Wc9If0KE{D)KJx@d5Msh);-BrIZ znP2#o-}!^TSVI{$pd1ybNM$yp3RS5_4Pq6kMQ!R*k4@Q}Eoev)jcGztn$v<-#4554 z+tP-%Y{&NOK!@xq>6B)uTZ&jV;u|~nOP~Gdoz35VDUI6-SHsXWvyaJ=ktvDW3dxw1 zoR=k+rsRq&nUIp3vt)8gre?{^lsudzPp4$I6W2-nb?d7o@ff|mLB6;5jYV%CQmD7Z zvgqwA^1UrL7QL;cP;Y1>&S@=XCx&Q#lb@Eo4p>bPbzAs2~cVRYnm!>3cD`aaO-bBVNUEn~lPuXR zB@MEqWlFZrlJ+UtF-y9nq+6Eko09#VxJKf`2#1x#_`W8W>*)>v}W@y}0nC~Z~xjQ18yQillZYm@frsR?= z8JCi{sgT^Bk~^~Go|N35C6A}%sVsReB`;>l+bMZ3OFm7>=T2NB@ll;`OX4v)TSmUK zpN&Olzf!2Pa(pQmC^nWYJj>`OaDxi_W&9P-i>HqO+aIch=chbk>zZ zo%NDMXMMh1(>a5)IGfRoRILAvcrM|UVa+_ChqL+Rm8rH4Cbhw)$7 zrF3-H(!{xo(sr0aOcIdlv9i`9h#dqcY$9L!cmp&)+pPuY0&5c!hwZ(hg4Z>f}*HDJC zl%qVcL8#1zR3SEX)yQuU>Kd!Zro^Uh-3>xx=dr14N^I&{kl!G*F&3M;?TAg?x*LRD zoX4hacVbi5nJ#pt8{O$iZ0hzQHg&z&pV%NANNno*VpI3G8-#(z2XPen4Z`upVuLW8 zzu6%8(%dTkM9-AQ7U3N7TZ9XY#TMaW3T+XtmBkj}2J%~k+l<8)VG@P52=~cii|_#X zEy82QVvF!3g|-MU$YP7|GWjjSJY%s%c$Y$3gwJHLMOZ{`i?H6emsWZH&-_Bn$lv*c zb!X%T&SOSapdyvY&&V3aYEp~ZtUDt&cOEmcAw@K%3G2?tR?cI~x((a1?u^{tdCbTT z?8M*A$UTh5jNFs%tUDw3a~?CYH-%>8;j$y>PciGx$f3@MaSX9#{ks`?vhhCX?ZfA9zM`!hkRpjqF+tPS+)|^;H*6pmd z^XRM{v5Nd%XS*4XRiqQKimcmN59iU@-oz^Mcby$gG@-)vdn>jqo^TZnR5-;;Auki+NGLLtNHROFh;6py* z6BZC_$U+vem@oN?ula`W_@3qbz)F7PCsq?{$Zy0N@+Yx|tfdSaP@Y&rDrMJ5^)y4{ z-7E9GL7KabvbozbCGqZ+WXF{3oF!dS67OC~_D{(HS#nrPj?9vyQ*vCEj7rI=S#oYl zO0wkglw9S+H4^{i=BAQ(jLvQ)-`Nyn(b-)T>g*v|boMCu&Sn{l&gN36v)5(O*<0j0 zn{O;S`Okws@^$#u5gx0Y&oejVyjpUv2u1~jA*P1urV zG^Zu4*qUu~Kh514+1!0RCEmS?9QT>F2)4 zl5bP8EK7b($sbu#E+rMSq-IKLXUP^RDRSaEspbmVsw5txw{6Mywu7Rh0 zbtm6jFJsYL9}4w$ge-b1Cg0mIW6|5O6zc61S@d=~`QFAFi{8$sP;XbtqPKD6dRyiKlqC^lp)rUa+IecmDrFfY)mz(Qzf-8U3bN>}GWp(W7>nL&QK+|uvgoZb zx!(TM{xQ~(Hne3s+Oq>4*oj@(mEGw`XZD~gdlKtNPxfLT_N5oS>BE5>L|+c!P!1>7 zk^U4jkU<>95QcFKv5p+i2@GchCvh^Ta2ls`CTDRDqZ!M2oX-VZ$i-a3Wn96PT+KMH zP0kre9fY+3X+hJ0@q8H?U7 zrBH9z%c8dlVorvjCz z%tln9D%GgLCe)%1b*ay$Y)%6j(ul@vNmH8Bf>vzJHngS*HiMA6W2&hSI5UC@fe*gAm7;%W6{}C3U#(h7M-mo-`N^t z(OFpvb+)lAI;&2;vwFs&v&|^fSu?t3PGkfl8O6z*%4wXzS)9#i#&9m@QNjgW#3jTUayeIU z6<2c&*Ks}LnZQll%&pwUMDAcRQ<%zK+|9k*&va%mlZSYiM|q4Vc#5Z)#cbyCEYI^I zFYyYohP=)jyv01;;XU4Ges+y~o@Qv=R+#V0(%fB<&D~#961NqSiYeJJOKPShZYv~3 zDQS`=Tcu>%EZHd~yJkt(lyuLMJ}EgUOO8lMu@l$GCa#WSOX4v)JAr&>rx=UQPNz_3 z=gXqA3(0qOrLpL29ECc&MHZdiPQJ5g#-g)(DAd^_vgqt_@}12w7M(puq0ZivMQ3l5 z>ukMy{>7fZgs)l3w|vJkma~E%S;fz+=2w2>5B_8gWhhHI%2Sa_Y)BPi4XH+TYO)En zsY5+BCDxEFXh0E-XhKt((Snw2#nx;~Yud6M?b(4H*@>OmmEGw`XS&dpZgi&yd$AAu z(u@7+!vP#bUk>3=VhuThBPnJ8gE)#I49l*O;c14(ZH4)MPMW)8v$=b5O5(OcazjdP z%#ukdiQ5Xv0|Q$9`(AEw_4&2|{;J<5AZ0J7pL#9(sdrQIL6$5^$(LF3eM)|C;wq`- z`uM#h9;3Ct$hTI(ShQA|Lao)3MQe4*x7N^DwAPqHt!*QV*4mJ7t%I>>Z5Il)wx=vw z>q)+~-o~P}11Z#6e_6CPkX&o)-SLm|{8KoM(>ar~IET@UBpO5&2Px*|`S;QB7$ya>MH^eIPJVh>!h|TTR+tdYekVx9P^Bx0w{` z?P*!`Hk*8JFByy8UZqfP@5`dM56Sno&{*`gm_ofRmql+Y$@RA09e+8`uRtX#vk_IO zN;PV*3ALz0UFx$bo3jNCX+&eTq$$m5K`XW<){)k`4!LvN!v%AHC?!0UXG|^rat%ayUoQp8*VHFh?b1T&fl8m?!;{F-j$NLsgOLHk|(lcZc5^&Lh@Eh-pP`W zQ?ejSmZs#pELoM3)mc(DCFQeZe#F#9;34cz zch=EZbk>DJo$V`&&h{tY*&)WFv%@IV*$`QDb~O3UMi`6EMp3A<(X#05TymYQcgKIN z=U>lwCU8^w3f=hAyfyuq{>NA7#s}l#D|EY*epYUe(!;K$huumK_bff^o*l-A^m>$z z_AEWztMqX1(!+g95BJRu1eOg!~IJSdzT*eNr&kxbo->w^TluBn;)M^{MY{P z|N0fWGyd)KeE)oft{q0PSF2*LR>kgBitRLt?KFzruN2#D6x(eSn{~xrxr)uaVl(fb z-x>FjKZB3?gil$(XMD~=7V!m(`I04k#n&w58@}Z`zGoTB`GFOzF+NAM!Duuz=54$QLYT316|4Z}^U7EN2BPS;bGR<`;hB z5B_8gYbnbHl&2z<*pS%pZA?|FQ-e*YO&#h{pUv2u*gO=`h$h5_uNlo*ck{5V^VYPb z9qrkk*gWjS&g@ET_&U;wbvF;)o%dibV#BxY=An=C*zg@hZ1@hLAM0)&ik%N&5V7GK z!cb!Ka4fOmJAv5njbJ3BIGIy9o!Ic5MQr#+GltkaoKI}{F2siKZ#NI)j9q#BtlQaX&STLylUOwVuCoh_$D(mDm#}VUS38f+t|bYMwVn~N{-2rktvBcvLs_ta$c5PnvyHBWI{@A&XUO~nVKatQ}S?@Je`u+ zPFy4PT^+BM#A9^!2Kmn3Hx`|JNTJRa%c8Td$al8fSai0MLY@67i_X@P@2rxs=xiej zbyi0foz*AbStDc7*_IUQthFpUYe%lL^}fs0)${kH2R+%Feb|p)^yUB#^xsT~Qz)T+GVIJi%o*>qcr+J3i%;8y{=LKHM zu93IW42?Ik%=ZOp?k>#c?$VUR8(EUoDful+%BCdV$dXh~$tGE{SxOpYNz0UMoh9v4 zvSXHXNlCXX**7KoJ8_L{>gqVGBp#!)BguC*#8`B8G=(}FC5z5ZCEwX-W6{~U6zc49 zS#)+4`Od~0i_UJMP-jzQ(b-+(JDXuFI(vvhoz0R(XLHGQw%!|;cRl}o=JO#R^9c+1 zjD>u`VwUg~OZkTH_@3pgU?r>giPikVZ~Q^5A!}GmSvH_N6{y69#2T_ORjE!5Hla3k zs7HM^V{;nNkVZ6NOPbM~mb7APwxu;~X-9juXGc1)GrO`I9qB|D_MjWx>A_ya8nQ3@ zu|K^zfP*-gL$Yh6IL**O+1x!L&D{~%+&w)dXJyHSDY+y|#--%CEV(@;cVx*uDY-vO z9#6?r{=UVv8h_vNT>AT#7qj&3l)jh!+^6a1K6m0e*~}I4ZAm;vZ_CK{_Or3*?NVV@!UwPBe!rXw=?kbQ!?C% zt7LQ6$C)MZ7_FT{zO@UCMQayRsI_Zl(b^5y*TvJKnPhPG_S_Uu3hc48NHWp_H#nLX&r zo^+=td$AAu(Tm>n;Xn?eFNbg_hjRq|DP|ypIEtYR;}~KUIi3?3&InH8WKQ9<>?#?P zW@y}0nAKOLxqEdscPFGIZYm^GQ*w8f%uGq#R7hs08FH6?Fk$%iTVBuf^jb>bS?!qu@+NjyepRmpc&$5?b$pF*8&DT~gUlkcpxvFNNFg*w|!7M*n> z-&qf1(b?V<>g-@ybk>i2X9J8yXM-u!*@?2~Y$UnP*1O|B-}5ivA};1qF5?QW=YI<{4%)hi7@77kG)6 zd6n0AgEyJSJG{&Le87i%#3wA^GZwOl#eB(Ee9bp}$M-Df2UhYUKe3u$_>EXY{^T## zQicsEPX#Jv*GTm=L*u5xd~cBEZli4OwoFOfR7iGA$=)E4F4ETGN(xv}b#Eqysy%E3t-jq!V4(gKq3e5B4I~kbT*Y z{prmC9K^vKLO%}UaE_!u0~o|$hA@<)IfmmnffE_QNJeoor*ax+a297XnlYTqd6aM= z7jX%(hFs1ST*cK~!*yKG`0N_FJl5bP8EK7b($sbu#E+rMSq-IKLXUP^RDRSZ(Y3SRgrbtm6hFJsYJ9}0DLge*EMCg0gGW6{~M6zc30S#)+f`Od}~i_XrcP-j=lqO)=2 zI$Q5ooo%xZq+H~!!+)=-ABl%qTqslyGbM35A?cfv zL$hRHO5%1xGCUm4Q0_;V{)CXcdy^x^LL;FJFyG9vO68=%pP=QPrB2Sz1W9+=|yk)a3BZKmqR#| z!-+MdKgA4W5JxeDVH`uOA;)t9!x_OzoXjbl#_62NS)9XY#&RC#a{(7}F_&-|S8yd) zGmdMyjvE-yjoi#F+{W!p;tuX)D$}@|SVQjPejZ>35AraN@K|<@%uX{jZYRw5H`3gl zm(AS|QxdlmlCM(oO_r=oN!(6I)}~~GEZHa}RkNgiN;c1uEmP7wOWLJm`z+ZlC7qo3 z;@n1M%Dn6XX%@eAuS}opi*paiJ_{G0n>(=dV{r$S9v)nJ*thiXkkZ3`rH6-Rhw&df ztaSA7(!(Q4505N8>|c6VoE^r0VL<8Vz|zA(aag6o2LF$LZ|PhbttwgEVe=v+Zh&HCH~t#0c8dG=U2u3^BKruJE;HhpMm@r zeg$9p-rS~5vhU5cRQulCc-+s+N&cnvU}N#p+K)mnt%GIp(mIsi!81gTz7a5C})=Me$(t5otURo!Re`%d)EM8hCQ|P62x-4E=XOeqqUGG~m&w2g} zyu{1A%4@vAo6O@K-sOEh;6py*6F%iL7P5%Ne92dQ%{P2UZ1tA&11tHFpZJ+y_>I`= z{mEafr3@QTo(fc=G8<8as#K!}n^227)TKU~vN;WCNFy4vB~58g3tF)?+t8Xev|~HA zXGc1)GqKg%jos-)XZD~Qd(wlR>}^X^?*Hib=KlLTIIDiXPcVW)28OFw5i#s zv1{bxl6Z{v7LadmiLq#JDTUfwC5!e}lW%X0v1qR>h1%O#7VT9h-(Edq(cWehYOk3r z+G|O^y|%`pz4jDpucIv5>q5T0y^Lw^Z{M6b(D=a|LO%}UaE_!u0~o|$hA@<)Ifmmn zo)a0tNJeoor*ax+a2BzejAjhyavmjIz(ribrCiPxT*cK~!*yKGcqVWYH*+huF_Al% z%oL__7k6_n_cNUt%;X^+=20Hw37+C3KXxZzquN?G$6t+vybQ?R;7Eb|LxRt~3_C zjiXR+x5%Qm+sXGf%~9e0PQJG}#-g|9DAe1Vvgqw?a=oqh4VuNCzl5(@ z%C~&SGM2M~A6doEtmapK;}8C14P_`xIm%O!N^D3KVjZbQb!xH+wW&irHYL`PEoeXy zjc7tsn$d!mY{k}WOKaM)9qrkH9odPU*_GYtNN2jxm2PyW2Yay(`_ha3>B9jWL|+c! zP+}c9f+Hzr0E0M+Aq>l|li_KG#(O~K{5ff6kIm-p#VLvRfFw7hjS_Na#T4f5gR!bJG)g|9rLu1ieV+ysljVxMgL%y{R#-g=d zDAd}XvS_U*`PO8XL1(jFq*NP$N5~qgG$<>VGTCU>;#&aV#6RXH=+|DHK;7+D6jk}3e6}x5={TZ7TWRrW=diW>ToPr)AOGZ1TOmWGs4nl|sF}FN@wj zB;VUYW6|4U3iY;J7QL+`*V}sc|K&Wt0+p!DMpU6H)u_QH)S?b`sn4ct&K5MJ5slfB zrZlGot=O7aM_SW{c5KJ?>_7*0Cf1SN*qu&vW)HftCq3xN-t5DE^rANha3BZMmwp_| z;T%bS1~8Dp9K}$EaSX?CJSQ@ok(|WIoXTmOL98QZa}Hw|%XyS=0T*T0$yI62Uz5%G zThpAMm|YEbrQ}{0fF&XJNsp#|(i2%aH>GiN;aYhs{oFfQ@^MNQWXaN$e3vDwQnETr z%BG}zmTa7o>Q3ysnz=$YD~ZSGtpWMoni-4UT2iRD_Oj@0NAkUOG#0&ep-^x8%A&XZ z$@g}MvFPnE3iUQb7QG!!zPAy^qPI~L>TR?vdOMd~Z|mLpU+ekTGoA_D#Le8wZA|12 zCNqVp+{NA8i?aWvo&O7d{v}=^){)nFgSVK+JG{sH%;!Ts<`Wk184LM>#Vp|~mhuhX zv5e)cAl8vp{KRU0;Wz%^Pu8%OvTQ(kDpH9JiFIUSs#2XAY(j17P%pbqiqZ^?n+x-O z+cbCEW^;F^l*G-2q)Vh!1eo!OP$=tyU}(3NgyVt@K@00(g}hj1vdh8)3>6f=N99K{fZ zaWuzr94BxhBRGjsoWiM`&KaD=IgDm3=W;$JT*yUS!ev~}m0ZO*uHiavU_3VxYsf9! z%I!=f){r}y!nEufc_7Wu2eY~ROq#oMvbpdN`B0e-&68e zmQ+qjl`N^1lDb*aI3-Q9WSf+d}pT_i_XrZP-hp&qO*(1cXqY0=BpO5&2SVKPJa~AOhU$TU+`G#0S zzGoRfu!0}?iJ$p}U-_Ls_=`1^VFSuhfr?aSL#j}fYSf@6wWv*9>ai)Cvjq()qA^Wq zN^@G!idaLoVO!eJmhIS{9q5o?`t}EjJdOt)x(Af6Ah>wd6aiWGp({h(ev!kws_q$#>SsSah}}g*t03i_Y4S z>ukOI{jQ$BCq3xN-t5DE^rANha3BZMmwp_|;T%DK1~8Dp9K}$EaSX>1YsiTVXCxHT*I|o&kaoAMsDU-Zet>on9Q9_Wg2&L zFZVH>2bjr&Jj|m!#uLOE@-)vdn>jqo^Sr=I*){T3nxSz!;TlooYVk|m4nnIn8l0|2ylJ9J^vFPkv3Uzk5EIPZ2d}rg0MQ1lrsIw`u=QSG~*qjD5q!CTnl4dlgC9T++ZD~zg z+R>iv*^v(H%&zQ4M>^4kJ?KVvdaxI`!kF;2;j>kn9>MPBS!aC(QQ~(%c=9 z&E3;e61NkQ3sZ7QmW)eD+)hYtPstrwa!*R`&yvSe@>G^Qmy#E=ukMy{lT6;gkc=bu^h(XK^;8 z8OynxPYD-t5tncov4&j9RgB{ruH$;fb0e{a+`_Hg&P49uPNp!8ySRsYxu5CG;6WbZ z5gz4np5Q5-VHR_k%X2)>i@d}uyvFOi$y>b5JG{pS%;zIw4f&J>e9l6?;7gY9b#{&X z5Hr;G=f<>LRkGC_|I@6D55xV(_va2S{fyjErH4bZ!}vVi(9+RirH4nC9v)MAcx>t6 zaoJ(~7mhC-J)!jQ#L~mzrH3O*4@Z_Bo|GN>{#-}@e1C4SnNsYXrDC(C*la1bYb`co zip`i}cN@iKO|e;1?2e<@%qjNjQ*8DWn?1!|f&M@7ktnZT|NL6Ge?Am>zFAPh1zgBQ zT+Ah0%4Ph&{EH#~g%9uLzCSls>D3nRbvFoqIbTB=%2JN<#0H@<8&ZYX)Kw$DL8xo2 z9-9)Ix^*`Qjh)A)t|_spYe9a4(8gG7>b4^`b?a^rc5xn?y4{IQU1z$`m2PyWC$Xv9 zhuGBhVt-x)g@-);~F8Xv?_7gK19aIGx12se=5BHU&ywg{6bv_-g27F&b|$ZruIGZtHfCn>Z=ctI9hgqO*0 z5#||-EyDj|?>>N~D*C-q0}7Y{5it>!U?K~c2r6J!OqgH*Ma&5i3?$iRMNkn82#6$= zB%)wIFk#M$SrAc7h@zPFKL1|d`)$rDhpJoeNw==2>X|dEe}i?3Uw2QhIeRm2Q0$2C zkt~h~OUWG(*7?!WYOnv1pNJXxE5EV!j4bCmW@LFPumSlQS=m?>s_oaz zVC@-sitFB-MjToHZbn{Uyf6K-BiW#I(-5CtxdpjC-A)Y8ZYM^kBtE^8OiIbrESZ&( z`1DHhcuJnglIK(MQkJ}vlJ~P@SxP?3lJ8RTLzeuWlD}NIZP~>N*{CEQV;9+!*hSXv zteWfSY%5|H`Mb`xHy)kUCw7swJ8R@RI%`VoB7fJ}UdCe=X-n)PYj@Vkb#!(hv5Wj& zXGa^4UF2AfW9`nmyN=FIB6g9#>+Edfv5TC?`K;C1IzL((>b0?lT**~j!?j$`Fots@ zv4@N#_K?xs%I(}i>>=YA&jjvf5|f$Ay~G|eo!CQWaX$|*huA~r5qrp^JjUZJ;0c~0 z_K-z9%k#XzOT5ghyiV*PZ}B$o@GkH3A+d)nVJXY_l+XB_FZhbDS;@D2$M^ie8e$Lm zh1f%WC-#uPC__2cC-#tv*&3;oW@vnRWxm%)bGLRjcN?Z8KE0B(Ov&z9(mo~e>6PTL zlpK*I$ET!gmYkZBGqR*lN-oTjAt@=zlIv1(g9~e9SF7W;l6Z{H#*pu9qOs^~3dK5` zEsM?`B;VP5W6{|{igos)EINCId}oV|MQ86(th42^=xhb~&Q=+V&Q?>bvp;3gSy^(O zt@ER$s$O4>>TJa}Y)cJlQk%MLPd)0>kR92XU1&rTn$nyWw4@cgvnR2Kw4p8S*@yk; zKqn3$_K<^#J>)PB=LnACXu5DL$8!Q*=|*>YauU5bg;P0=GdPR0IhXV3!v$POKQ7`D zE@dEt7{XJjqi$!y=yJ z1!50*nOAs?*Ljn-Sj@Y`9`XSn@-a*Jgil${=d9pMzTz8JvWo9n&5x|%XMW)~{@_o_ zP?q&rp9)lDLpEj;Hlq?%*qklclIm>=AxgId(4E;~?<2H6^EoMvdVZ0_!z=5D)e z?(UzGgRUg*$9;36z$anU%vFPksigoseEINCed}kjSi_Vr(th29W(b>1;JNwC4boML7Ix8=W z&Nd+5S!H9gYB9@3a5G^07Y(TdjW!Jh0*8``lC`_h4q?9Txl z#K9cO;dJImj^Y@)a2zKPdq_75^q?mv(~I7mM(iPHau(-sF6VOred$MkF6I&jFp$Aq z#!xQj3a;X6uH|}$F`NA*n@LP&DzS&$$24XzllysqIXuK% z>*Rmm_vSXSLJlm6$LQ@4^1U5xEP6YZV!fRti{4Hl-`m;7qPO!X*4w4B=xq@B-mWwj zy$`0XY64fCH9fWS-=xK$lx2L%XMD~Vd`0XdEBTi1_?{p5k)Qa5*hhZn5B{PIuXI4%DXsJF+vo(1^w~r5P<~Nh@|I_L05V zo3^xLANHdIowE0m!_rP3w-nA!UDF=lJ=^0?O-bBRNG?ptMOiW=C2>n3xgjMtX31?S z8IvVbQgUzi?B|TF$JyPh|LayE{^Y`xKb8H-7t^17#f5j2rq;-NCGi-|eMr8!<;J49 z6%=c3wJe%jL%z8`jYV^1Dc0O3vS_Xnx#lWVPyg3NciT{`yLz(dt|9sEniz}jnp3R1 zHnQlhJ-P1IdG0^b>yM@j$8tO;(3NgQ}OIh%7ik3L+$h4kYhF5yxJ zGKe8uMhTa5C07%B$#q=M4GiZ-Ze}E-7|m_m&KT}w9CtB+iA-V&Q@NLEOlKyun9Tz` z$V1HI5guhekF$^`c#3CO#B;=6@**$s3a|1yZ}Jw4v$gVZnxk=RVfKELX78$O_Wqob zxV4Z}NXdp-QY9sEYaywXlDb*4Q%ZKtlGZ8NGfVbONyjYdoRXumKvw|=Aif>rSD!ykmKeC3O`Gw#3oj)lMs>C(_K@wUK`m-immR1_0~)duJF_c|XhJiZvl}gG&F<{U z-n5||?b(<8=tw6H;2;j>P!6LrM{pF!(1qiOJ>*2XQlL9MIhkJc&eq5|X@|Ut){VPtq#Rn+eH?wH74I$D`U~x9u#Y>gDhIxpL}bF8;jPCq*!a+WYJm=a;>fN zlzYiJQ5FQQXSy#4d6tV;Rp~+|5KL zGnLpy?qeD=n92P-z#JZ8E)Vkvk1?MGJi(JZ%`-g9bG*Pyyv(b-#v8oJ+brf?-sb~8 z;$xQb37_&ApYsK=i+s&De9J1n=Lde|r)-t{m1caoY{qYz)+1(~U)MvnbZv zqq6Ajaq_(_G8Vl(PqE(Kl0|Rtkne4YvFL3X#d=#Qi{8E?*V{Tz{_A;tc`C928?iB) zvKf`xoT^k~OSWQbwq-kNQkyz#&koe50XwoYv5z#OF->Vk3wEOwyA%7!UhGX<+OZG& z(Sc6v&w(7oAsou#9Kn$s%`qIyahyO`y3w5;oW#kTLT^sv49?_i&fz@H=K?OI9~Tk( z$fXQm5QDjl5-#V;Y@OVY=6u{-cxM=s=KQ#9HB3o~n~O*uOv$_~S(uWzt&qHulGn53 zy_9^IB`Z?$RhFzy$(k%Fo09djWRsLsa^b|ao7J&RNjyepHOO~X&scQUkYb%RmqlkS z$#>SqSajB&Vx1i#Ub7Iy;qoXXhG=&iYWSvw^bcYzVo|)_LN; z$?HcliqYJbzCSnqHpisD)BpSZx$%Q>@%_1dN`F@Fg3_mbOP^j?`m|r^)Bf4h_#wTE zN=Gj)eR@gh(@RUA4k&#(Fnb#Rg+ZmGgG-+dDSdib>C>UlM7~72NX_oLCA@ECu&H1*evRQ%k}9YQa0;KR+nBp}&KT*qBYa z7bnapA~5AYxlF^@-x1K)feXCY7U6i>5==ZFK}i@d}uyvpmm$y+Su9p2-8KI9{o z@CnOU&S$LP3%=qTRw+Wk4iOOuw7F44; zTd@t>5{HLc)TSJ!>ben4Xi9Th5Qm4>?9QIVfv*j1S^MzN(RC*dAP#(M zA09fpjsxFO#DT91$Fla}q2RhZJ&6NfFHRv252q6czO#q}-?^MeA1>fR`V$AfONayC zKn4+qhoQuQ?+P6F{`T-N!uXBcOz!Zo_HQ%9>8zz`c~40^#sr*3ege)h787tT#U|jB zvY3F+ke`4r8;c3}8pS5y2eO!eACsSepBsw__$9?A;E%GHfIpL;fMtxu1YD0|6L2$G zOu#DSC*an`VghbQu?g5f787tMc4qAf*vxfIz}+Y|0o%!90`ALxtUUn_avc-!P!6Lr zN3iw;JkE7Yz!T}p+7s|(*D(QmQ)~jBFN+D-m;3}AU@Ru!V2Vw^Yh~9lj2l>c0^Z_! z6t{94cQA&rjN>luW+IcbJD3^WYy9hjint$nEZtiy$nGtkP4^ZrWOp5Jr{vu%S(=hh zv*g>9e4i!1rsR(-*&rnwXGztRY?&o>QnEvq?2?kkF07JP*2f+t@fh32-o&=Cc55A6 zM{D~N+s5CucBJuW?HFR)Si7}uuA{Xc#J2Hwt(|E+wvBU$ZDZ}$`nis6<6>gl_`B9F zHy+!@Rb0*5tqpe_t=&Xy8-Lf@oyMcJ@#I=t=Vwi`y*_r4hlpL|VIJWz=Cgn&h+X7q zVi$Rq=Xik^iCyGXUgHhkaDpsZ15BvISdGovqoH*hOkmi#pV02kH~M$d1G= zvJ0_`G^Pp7XhG~Et+G|pHqFp~eVpZ=BswJhlSGGS^Y_@4o{-J#Q_{>nElbWz$pu+5 zC?%I=$u%jtK1)WY)rG#0&WM6uqg$)dNd$oE#;SoF3%#d>Qbi{6@& z>usH%HSO#59q7dV9LPZ&!l4|_5gf_U9K*33#|fNBH@eemZ*6C=5W(cH@IjNwkkF`fzB%_Jr> zm3z65>C9jj_wxV`@(}ZgedJLd<8c=71W)lai?Vg{N}8eZahCUz57XRTlFi)}DT$A> zBx_RgOO}*PNqn3osg#n?517DV3X zo7rcjnSE|Hcl)R0k}SC*C0A$3h?Lx%C1X=^SC-t9l4)5oHzki`$&)F0CQDvR$(vd7 zK}tS$VU6rzb$nS8kI~sTuft&bXJReXAO)+ zXFE}>v)yFTS!?p0wKEo-?Mt!F4wXe`oym3fAI^`lhZN{ePfns2y*ZWBIfJt}n{zpz zKJ?{6`g0MNa47>B%n*iB!WCS})m%&LA;Y+V5!}eljARtI5_`xUjA1O}xQn})$YiE) z5BD;S>CEJQW;2HenaeyL;W6g3fQ3BCQ#`{Wp5p~x;4!XIhwmdWPs1}TZ#3dxo!**Z(=q$F-DB#l$jEKBxC$=+GA ze@YI@k|R@cOqTRW$;nxAW=hU+VU6r*bzEE$kI~rx@||67EIPZ2Vx8S2i_UH#-`SnU zqO+C*RbT)&0XAc>R&K{;%XHUzbvuDY7_NuYy>><0b zD~)MFGg`14t!T|2?8)A=p&k3MFCFN}{v5zT9KxX-PG^qfD2|~E$8iED(v1Q==*h{P zLT^qZ_K-6lW~k^0(Ucs$xP*5Vh@?l3}$gZ z4={&^m`Cg(kMbCgvw$afilMt$+vvR_x!*be&QEm5BZ%x_=_@>V|~g~F*(hQAT3iG{2n!B~Lx!W)$aZ4d- znUdYJqh;yA&Q@&0w$z{|wW-VY)T2HP*^!;ug+?@?Da~m?OIooz zdlGv{8`{#Ieb|o_1aIE=$Nf}=Q^E*#78oIqE)(Vd>0L@!R^R8Hdz&f;v& z><~2JvT6%8@ZX0jLO!?*fc}qcEWt0mgerv zZ0^oYN!(6Io=M4bS@K#+;&wvvaY{bPk}p&8O_uzelHamqy_8hQk}4_LB1^VQNi7%F zNE@qTr;>P#&UPi=*>1+7v(^;rY+qS)){%T?hZ>8{I#aB(6J^m^fqZAZjYVgtQ>?ST zvgoWo`OXF#i_V5ptg~UV=xhYJ&enO>pWyWqnamXK;a;XOotfOvZ07JFbD75@Jj#3) zu#hKtif35FbG$(8Ausa^ukku>@)nDEm)Ju-;6pxU37_yO%lVuYe92dQ!%9~1J*)YV zHT=vk{Kg;rNg2wr9_v$qifqWnY{F(#q6(X{1zS>`t%*HkJ8Dpi+SFwS>d_!uBaPDx zjoS(HeeX1P+huci|CGe-gyfi%9G4|MQWCcll5H@G8VlprC4uY z%c8e$$@lh?vFPnriuG1r7QJmizPHN8qPMCP>#e3NdaFaOw{@QPn|u9kw4yb8uqS)d zhIZ`3zI31?`*Q#XaWIE+IGs6?qd0~x9LEX7KGKZ>J?P2F^rAPX5&OuQoW(hu%lTYD zU;5FXi@AgW3}i5uF_g=>f~&ZiYq_3b3}*y4aWl6tid(szJGhgvjOQ-yW)hQ`O6()| zF^w6_C!%Lrz$ezZ3;l|R@n@XSF98do{zDsxh|M}Uy zf4)oC&hS6_(@=Jif4)n1w7-K}xsBVogE8F6SjI7)yO_Y;Ok@(1nZi`=;a>jvdB}oy z>w;5O!8>-rJ9fd{TES_n;Ivh6$5wFSDmZZ!+-VoQdl#I#3f{pB-oXp*xC>5S1*flq zJGg=qSiuRb@NfL&UivQGx^=Vf(zR9lF5P%M#M?>!?e%D5@%DNw#ok^|lEvHWDdgW? z&o&lsujf(h?e$VwyuA)0|Mq&Nv3PsEhGK88BW3aSI-303>o{Za_Bw%LZ?Ds3@%B24 z{M+k1V-NEvaol^H1w6r%Jk27W<#}Gz0X+{fL(u&=QoCUzfEM(UnTPWRW}y>Z9}pC>dB(NhUEKeVl4V=PO<*l$fCdYBmJ}!levk5JR|(5-#UT zuI3u9<9cpjI5%=LBN@eLZsT^wa3|xqiwR6*5>uGUy-Z^|GnvI~9^gS9Vjhq1DD!!o zg*?GiJi{WM<9S}>C0^lGUgu5TVzIefDLx7EZ(qAtOmp{}GBIpX#K9cOVRYsQj^Y@)a2&CRoJdy+bf+gL(~I8O8aXG;(D)?Cd>@eJ?%-_h zUX_ygBuH{gN^Z@P@hOQ%tn@ z*XsDSBp#!)Kgf4h-dJ?D0mVA2DvQpxB;Q$0W6@b1igmV&EIMmUzOz=wqO(0H)>#Kx zbhbbF&JH&gogGQB&brB>vmWF+TjxhKeZ0Oe{piodT*3ebGMLL4%H>?aRb0)rT*okm zGlHAAnOhjet=vxRA$KyC@!ZATOk^@si9O^#rZIz=+|L8d;UVVoFpuyU^I5ywOX{a&$1G`@k``IgHYNLH$$=?3BukD>$q89 zL6%&al0h!4k^QWWYf9oVI=h~HXCsY8XQL_B*#ud1Hi>*^(~U)EvnbZtqq6Aiaq^ul zG8UaZPqEJ4l0|3lkne1XvFL0W#X4Ili_X3y*V#JH{p)#sc`C928?iB)vKf`xoT^k~ zOSWQbwq-kNQkyz#&koe50XwoYv4=FGF->Vk3wEOwyAylJUhGX<+OZG&(Sc6v&w(7o zAsou#9Kn$s%`qIyahyO`y3w5;oW#kTLT^sv49?_i&fz@H=K?OI9~TjO$fXQm5QDjl z5-#V;Y>nKIX6TLC+#QqV?zn93PD#nVS@K{?=4Hvkl*H|X_mNjp@_LrMmy!>&WJOB8 z%97P7S(7DYQ?h=RY?6{nF07FbR>w9a@fe-eAm3R%W6@bdigngp7M-;u-&q@D(OG+n zb#|~UIy;PfXI+d%XU9{lvtF|3>{Rldoog&Q>qD{52FjwdA>=w+=UM+IuOG=MMspjt zGln}E$6ZWdB9oZHRPN{NZe8*~jU=2U<3%~I@fASY)DaZO$pduR* zd&nkiN+l|@Ia^ST>e(8pm1bz%PMGhzrn%cBo4c)361NkQjwv}HOFE|{ZYLy#l=RG! z(^GO*mh?}_C0Q~wC0At0h?Lx%C3mD`tP5+Tqt$UwNjyep)5v!=$5?bWmtvhgDT~gY zA>Y}{#-g*=DAw5rvgqt%@|}HdEIRv=Vx9dci_U%~-&q-B(b;+w>ufVwbXJ92XX`xc zZ}0W>Xh1`DVrO=x5lv`Db9SR8t=XMD*^4%`qdohwA06q$0USi^A%}7pojHP|IGQdT zN9-Xd(vB-6TqBo~j74kvQ>?Wk zWzpI(^uPXzgN(wRX8ITDyvTYr~C2Yd2A>wL4|e+IVuU zt@E@$+w14>5OaB$M|h0+EZ_;A9=2w2>5B{VKOP z8O-8-9^gS9Vji)NJj!D{&H|p`DPkX4l&zCj(mIKo3a6(J(@AJab`n~VlDMgmtVzi) zSyDD7aZ@3wl#@w@Jf7hH&aUKp>t!r@ zJC$O+^^rwy7n1L7pt0y}2*rB4P8Pl0K)$z8#-g{|DAwCVS@brAd~Y+2MQ^hy*4unp z^tO;(Z|gkuzv1<pZZwjlPA>cl>>4ck(Kn$)H)+f$GFG-OA1W>*@~gr+p7 z1ubdC?(E54w4p8S*@yk;NGA^9Kn~^*4&!i+;3$r!OSVo5Y5vBIg*ks#n%U=OGrNCE z;>JR9MM|#Dk`XD18w<(Ul-!ji_oQT6mds7bBU$ofN}kD**HZFkmVA(sk6pMkIne6( zvLqg(vv0_E_M@@r>}QH~ww^3Ht3bZ9&5T87RVdckcCzTK7WvK^7>mw!qF86U$)dB? zujB;{*%1E7ri-^(>a5)IGb}hpFZ^ELi%$NmvAWq8O#ud zQo>$x}STBA(*~UgTw7;Wb|8P2OfP?-F~+2YkrKEa4MAWjUW`YvkLQp}t8s zrse9A0~h#T^~(5Bxc~c2x+6<}LhhE*r=zl`@zZppOGj@leR^Bz)7wj*-ckB=O!hSX z3wM@|jxBvUuJq~n(x-QoKAlkd^zQ7bZ_@SbzxJDSUvH58%X_`oFHIp#Dz#?07+>ptk4#;s@K-ho{*_b%gZASipu%)r;Y)u^M);=KAaUF-c9f(6+ z1M&xi#>V1M*NiySt$je)!*v|$_9hN>?P$-w>_!-Z$R80dHx@^Pt0;CvxJedAgj>iT z5$-e=M}+YdJ0jdCizC7e@<)V+jKvY*VTv6Qo|eTC;aT!WgjbEl5#bGr9T7f~#SvjC zxg)|lKVn+#^*{0xF(ZHFH`bn!>Q9wqWfUxvlG%ku|AB9qO|7 zjNH+699ef^SJs}9EnLTpY(;DSZbt57JZ5AEINH+?sJtI$X z-J8>hBkSMI$P0}3rC)X=8+Edfv5TC?`K;C1IzL_->b0?lT**~j!?j$`Fots@v4@N#_K?xs z%I(}i>>=YA&jjvf5|f$Ay~G|eo!CQWaX$|*huA~r5qrp^JjUZJ;0c~0_K-z9%k#Xz zOT5ghyiV*PZ}B$o@GkH3A+d)nVJXY_l+XB_FZhbDS;@D2$M^ie8e$Lmh1f%WC-#uP zC__2cC-#tv*&3;oW@vnZlJ+UtFG~(f$q`v{d`h}z z$*CzhBTM?Eh;yA z&Q@&0w$z{|wW-VY)T2HP*^!;ug+?@?Da~m?OIoozdlGv{8`{#Ieb|o_3F zLk{C`j^HScrVGb%JSWhVZgi(7C((;jIF-{lgR?lBb2*PbT)>6&<03BMQU)@JAzVfY zS8yd)6MM*YT+a;*=SFU3B%`u5GB(Z7`1Hz3n3m@5%xvz?O-X!uC3z+#&t=JLDTz<7 zBp;{blPvi%CEsMp&nfvWOV&$Cg)FI(k}a}iyOh*&VU5IX`A#MA7@h4(zO&toMQ5!k z*4e(Y=&U38&JHyeopq*IXD7;{vjX|fdK-(*PN!IBePz*EfAXCTHWr-?rC4XfWYO6O za-FU7qooO6Kat5y;U4Z~8q=A{{mf<#4>Ff|Ji?>QX8{X&lBalvMLfp~#2)f8ukaeL z^CoYxn0JXiE72mU(A6diC{K9Yi!Jm|&EbFm86{yID zY|JKXMkT7SIa{zL)!CZZL$;#^wWv*9cAy>&vNh5;&Cq7q+}%6P-FDgB-9IGcg_#9LLM%O z$LQ@b^1VH6EP8vEV!gc~i{9QQ-`hvVqPL|K>+Ne<^!6?J-hMI`z5PnD-pb3Ow++bm zR@qqeR+VDC)s#hVb;$MhAI^@kk2IzU&1lYUw4yb8uqS)dhIZ`3zI31?`*Q#XaWIE+ zIGs6?qd0~x9LEX7KGKZ>J?P2F^rAPX5&OuQoW(hu%lTYDU;5FXi@AgW3}i5uF_g=> zf~&ZiYq_3b3}*y4aWl6tid(szJGhgvjOQ-yW)hQ`O6()|F^w6_?~I+F0~wTpSq@fzI(RMpPG`mt&m)pl8drrNJ`?iLUKb&Zp@O~QZgn> zrljOvZxq(+|BGK@>}-X+R}zoW+lS$!p9+{n$0WE7*h zjoTT+os8oyCNPmnOkpbbGL7lXWEQh|fCqVqc|5|S%;#|y@&r%u42yV<*hgOEC0^lG zUgu5TVsW-kK29?`ZY|9DZ_>zwtYNQiigu$NE&DA{(+Xv4?C%C91GFTTqSaY)$MT+fjpB z)TS;wP>%*QWG8lJR~pfTW;ACvTGE=`*^|9#Lp$2DFZ&0qbhah=&T1Nq z&gxLCvt4A-S!42@wK5i+?Lo25I>@54{mFNBxUuN$NQ!mVO%|Q?AlKPC&-{J7zAydg z&&6EA00uIc%NWY#T)|ab&9z*|ForXNo4A=<7{#sJPV6CfGM4e&#obI~GE<2?%koJjV;X#LK+OYrMgmyv<_X<$XTjBR*y+pYSQ4 z@i|`*d&t*(!?&#Bdw$?Ye#+L!Uuo`^%jWK;X;xRxX7yGn*)~h+r)0-0X_}H2S<*Ho z`#4ou(Q&FeF#XdIhh*uoDLo>hjKVaa3n`_499XDC(xB{bf*U= zaWbdSo6|UhGdY`cIFIwWfD7rzMZ`XGDFYb9U@oJC%egXJCpV-S8n+eR7sjNyJ1(2M zQ&RG;+X_GA`(XN}k9k?TFr`mrf9{p^=U&f}_fqmN-cBXo z+quS~w>}i>ZJ;cA8$zzPb)NZe^7@gCVl=m<@6wIG%`xfk^#6XBZv1Fme3$OT(w~-_ zRQhys>C-8tPp6hXy(fDbKc;tY>F9l>Pp6eWonHEMM(NX;+0*zh%qktdzx3(s(x(rU zKAn@Erti|7lYXM_gED2REH1O;uYdD@8kH~SKUA)4SwFv5)?ZiIGBf|rPxSrsUAj&g z1@Bk|?^p$QD+Q;Gg40I99ZSK9qu|6*FzX85y$WVt!OZ*T-;R6N-@$vl&j)|>*#^%P!%zIeZ~V@m{6$&Hu|5^3$cDtBZxc49 z5|!DUEvQCywqhH$B@Pd@s7+ns&{vQ8tbKUc)paA9(3Ix1APx_$*_}O!Lth)(vi9Mj zqw7u_KpgtkK0I`G9f!W7h(li&j%Dq`L&0@-dJ>1eUYtT49!@6?ePQ`K3u?s z^d}B|mk@`(fea!J4?~GV-xWCY{q5mlgz+1>ncU%F?cZmJyO?84%X>=VF(%+N@)K~5 zv6z5!DK-J0l*I&mhWrG4*;q`#*C;jtKaj-){FwX%{M=Yfz%MB_0e_Um1pJx&1T14L zCg6G$n}D0iVggnnKLNKk787tgicP=alucyx9Qv1zQ`SvS|wSr1~<_`A-|G#;DAImD*1c4z%u$EI;H zv1$BWXO|m~P2(!AX6?>~yN=FoA~ub`>+DYB(b;%%ovriJrrBN}d&on?9`Z1c@EG%1 zz!StC@-(rBJj-*uz>CBl@+z&~w5BZvJ_?A_C z&kw{N@)NO#{K{|q!Jm|&9I=O#rve+WAse$Pv4>Qq3RT&HEve4dY)kARHK|1%>aqj% zi9KXTVh`Dc*h3oAgl4oL_K;TD8flwm=)XSD`d{%&^v7Bu&zHnw^!5_@-rh16y}d)R z-j>Otx6jD;w$fPi_8rA~`&|~j{YAdFipHY1jVRVzHCgnw75Uz38;jnyr&w=|WYJqw za=oqd)24mBz5|`up949FLpYSfIf5fOnqxSY<2Zp6=|*>Ya1tkT3cWdvGl+fUY|i04 z&gTO9(vORXedJPN9~s18E~A9Yxst26hHJT=VGQR+Zek?2Fq&JroiW_WIL0%9yP3pf zrgAU$F`XIA;(i|BK^|frv5!2;V?53Rp5Q5-W>L0IUP5M zS&}s=`6Wxrreysrsg#n^fO=b_4m&Mj4CFZlhRd6J^oa6!M+T zG!~uBrdVh5WzpF}a-FU7=H(5qe~ZPu!+X5XhkV2mK4BTl`HU5O!B>3EN>=e5tNDR7 z{KPN(M(iPf@)u<($NH3~A{!EW$R=z`B`UKyTTqSaY{fQgOATsLo4RaIJ?hht9od;( zX+#s6(wr8wq!qifCwtL`wzOv-_M;=6IDptg4(1RJ<8Y4PD2}E}wnhqRhW5 zo}10x{wcX6ORh-C)mbtkB{yfu*p%FrCI9v>&>wGgd|48Y(b+fTJNwaCboMjFI$KW` zomC*;*=EL~vnmwpY&%(WR*QUR4U9!+J5j8&-DJ^OYx147GZvlgOR>%ll|^Tr$#wQ0 z&W^E%6zEP*PNEmRIhE5ngR?lBb2*HTubaB!?=MF z+{n$0WE8g&d&nJ(VJzdgi@TY~WTtQr_cD#?%;bJ%GlvJ6%RC<8G3K*?g*?eqJi{WM z;{{&iWnSSmUgu5TW-;#)d&mcT$j2<<6Fy}*pJ!|2+ce+fmcqNmA8Edq$>#e8DT!MO z$(AYEI!o%LByK4rjZ@MrOZMnq<6k!uk?o(dgR<<%^rw!={#1{YoSY?RrsNzK-bqfd zJ}xea$7pQ;`PMEs7Oh=HvDR*qMQgW^Z|zQF(b{;5wRWE@TAM+>wTFyFYY$VbwWnp# z+OyqV zRjA4qY)N&tW?NzxsYxyBP?sI3M+0^wc9C7!mBuuo87`emzRP@18a zWpnrXG}*+d zb{@IT)_K|=>h+g%C0B6`*K$3>7|xB{#7J&oG`Dg)cW@`;7|#UmW)hQ`%Du!MGMyRB z;(i`r4i7Pp*h3!WF&<|DPw*5^vxsMTo)>tDmwA=fd4sojn|FAZ_xX^ISi(}4@hPA2 zIbZMN!KhnH6>?cNuQKlm?c9}Qj#UtrQ`+|-bcDx9k-Rl zV{|r#d}kAlMQ2ke*4b=XboLBbTWl;kdyitBEtf@SE68`Y z%2;%^nqr;(DT~g^lIv`pr~Rs4UybT)#Wrk94Qf)Gx@=E9>eG-N*_mBvL=&3QoEEgC z6}z)1v4^ywE$!Kd{pdg^4j}fBgE@r5IGiImilgbmu^i6{bfp{J>B&j-;uKEhG|u2G z&gNXsqYoEwA^o_BOSqJQ3}OhEQNk5m$<@Rjavj%m1H-wIn;FTdY>kXfGc;}}%=c+& z?#|5S?%b3-k|oci~xBC)>jst^(WuiU}MqQP>OXnOctGuAlKPC zPx}+Rej<~Z!adx}G^R6?`rSD!ykmKeC3O`Gw#3gFh)lS=M8HDo~LP*_ch( zj7n5tbGBehs_9ylWNV~xn(uK#VU6sa=6kzrzVDxsxSfz3lak}I zq(@5Pc0zJaO3u%ci&HWnORh@EwOMjgN^Z%L@hQ1GOYTd_3>V%@o74 zJ#8#HdzNCIy&;Rv-X`DKN5-PFr4;MzYgu&mE&0xVG8UcvO0mw$%c8Rl$ahxRSaepE zVx85LMQ3%$b+*p4esizijaIZ~5B6kl+R%=D*q07;WPc9eAP(kG4yQ9maumnVh2uDZ z*h9Kepa(rUnO^kfG-3}qle0L7b2*<2=u1EPb1|1NfPoC=GKO+FS8x?qb1m01jNy#n zCT`{yMsX{*a|d@amhs%h-ArOKQ;9v~KBh5)ncU9<%;BMIjXa)aXxvVi?=Pjf`)W3K z-$}{)S@Ky*zQ~gAQu0HV{FRb&S+Y?|HqDZ)QnGE9Y@d?)S<*BmEwW^t>C&gq#M6JiKi3XjutOJ|@(Nab!7g2}>I+tV!D+8xw=USN z3r>6mJ9fdTuV4o#xZ5u{MHHO;3QiIQCy9bP$bu7K!3nV7F0$YhSa1p~*bxd&6$QJ( zKi{9*-`~MST+Ah0$^Zs3h`|iuGX6jCkHy)&3U;r86HvhpR{5u#artH zioLZ?m&IG_EOKwH>-nO$f^W17;87PO=lyAwyfz1W+!v|}IkqXV7Tp939f*8A`I{@nQf z(b?T={Oc2)I6N&(hnlCdL(PlnQ1ePU)NItl8hNiI9;3Yv$+x%MShTl-V(qP#MSE+= zxA&*9Xs;~A+S^1H?NuV*UUg&9-Zm6#ubwR0Ye>GmCdQ(@<`iqMjV#)0PrkhajA`$0 zKb$$z_|bIXSdQley3&pA^yDOZaSEq$8fS1OXLBy+(T5ATkbYdmCB$wrkUC9vnvw46Ad5C#D!lTUR zaTf9fPw@)n6c<=1jRbL zLl&KlCEwX(W6{|?6zgn`EIOM@zOx0!qO&I{*4fLl=>-;`i7IT)7F44;TN8W8cGRF2wW-Sv z)T03n*@>Oml}0q78O_;^mb7Mf_GE9`(2n-(%YJmE69;e*2XiQg(U~JSieu=)al{^S zB3&uaot~UbFM4NdFSXH)V*mb{UYx3gqvN#Tz;I@_OoXNMb$&W@y5XWeAcSr2lZt@Fc~ zK3?CKe)Q*JE@1!z8O&u2<#MjzDz4^Qu45R(8Np55%q@)KR&FQukUJU6c<$nECNi0+ z#2#`V)0n|b?&ksK@DOu(m`8Yw`7GcGp5$qs;aQ&J1zzH1Ugb63;7#6UG4Jv|AMg<$ zvy@Nxl+XB_FNi(lYrf%IR`ESQ@FPEEYvivqL*w%w^L^7acPnRecdL}d=RcDADcLbg znx-T^|B>hjKVaa3n`_499XDC(xB{bf*U=aWbdSo6|UhGdY`cIFIwWfD7rzMZ`XG zDFYb9U@oJC%egXJCpV-ye`7Z1$D}zwE?W&#QgW{aU`vQ^m-_Ge#q(ZP$TlVM7`@dX z-&;Ln(OW}`_10V#y|pCYTN`81TYHN2cCajZJB)m9U5rI<$5X7gUb5)zRPw!@Yb<)} zL$Tfl%A&U+TK%ImzzTP)@s-s62f^&p6zEP*PNo;VIhE5ngR?lBb2*7VC`TMO&t zu{3`dWb^mgG=JmPLh^P>-p!JwDT!MP$+s!_K1+U0$sbv=K}t5xlBy}$GE3^DWQQ!- zB_)kr{6Fm72e4Gtx-M)o7!VK<5Xk~Y6m!O$#jKcEC;~=;f*1jHsTe>E2xc&10KuFE z6BtM$!9WrT29hWk;XdD(XP>psESi5;oqJE+`mej{Y3Ceo!`_>B%+X`E&1%<4XIIGH z#qk)u?MJ@1LybjmM^LD@lVs7`spNZWXDoU=J74xv4BN|i%Qu1V$ zj84f*S@Kp&-gV*{Ip5XsNpU5Yw1H@uBVtAxtV_4 z%5C�X&;yBWm24BC?_t5WiNmXu9Ng)FI-l1;N@i4Hh2*A`+>#}CrX+4DB#)%z z(JXl;B_p!rwUoS>CGV%?qb!+{k}tC4o0QCP;u^Wo)$v1dJVs|r$anUuvFPkK3UyXq z7M)ch-`OU{qO%$l>TF9{bk>l3XDy6HXWLS!v)yFT*`DM(JHS|Ub})rHYa@%!P9WFW zdiVPsJijBIIiCx;h)d`~H!kHedU82ea3xoB4ZZ2hb=*KPH*qt!a2v6P4B!s#LDSXZsOlJmP5^Kme%wi66`Ihfkz@qFLSr#+Y_vgm6Tv>eK82@Ni#z*1)^ZRqh zm3%^Oe97U**g#_vboFKW#65*4{V&TYlP}->CFY+xx7=Ki{AG&ku$B=OdBl_?X(cbl^NX(uvNT z&jnn_MO@4!bfGKV=+32FMh|*&IlcJ**+(M(8$W1o+qGrO%-gQXzrH^=R_Rq1@6sED zzn!n43}q=#1!99xg$>w<*wk%8euJ>NvD(xnHg%;p2#uY`rfw@@Q`dt024P2Iv8mgc z*wmHYAnfftHg)?Eo4Nxykb^md!#IN2)E!N1>e_G|u|YVA*wmehP2D;-2;}2(KE8Ey5cV+9G@?i!H)<@>_(-#$t;wl|oyD*|OLo%ph%M{7W@HcJ zJ?WKg$@-+5hIseNElB@#J25c3ofwjmc=t;3WJ;dSlF=!NcdsPxrsTaW`6MM1vSemT zzRr^QDOs2$D^l`Hmi(2HwNBi&Ta3~p=&U)h zij?lGrSs^l6|st}tFwKL$12jASVc;AcDVEC>?mRtSyyML7>`w?EvHkuv-ZxTvkt^6 zvaZg$7>`w?JC{zP8AtQ-3WHc}E5-$^L$m_%!@)mFNE@OC)4~R8n9OL8R zGx?IQnMJH2bD76{zGESa_?{p6iKQ%K1wZo(tB5t^cVZ3si&#U}QigI=Al8t|*)>uv z&Cq!F%6zY%=5C{G?rxouc=t-Od;4wv_2xo+^!LD&#rs#XG5b|#q~z=@IX@*A zWyxhJxjaj*OG$B-+@6vZ0N#-g>c z6l(1=S+q8Zd}}j|MQdMCsI>*MXl*h1)|MNK)>cxewKcM6tt`3L*8ApCP0z1IZR)TE z^{7t+8qtKNG^06Nvklv^JuTUZR_wyA?9LwS#XiI;vOldkkb^jcLphuyiB;qnVih@# z<2jL&Ifc_`%Nd->*|eiQ=hA_WbmDw2;36)e3*G3>W%S^3dT}LJa}B-e!*yIwF*k8D zw-Bqy?eymk267koFo?m~Rq{xhq4EBe`931e-BH=xeJv&N{*~mTlzf~eQ&JM|UrFYq zqV12lAcmW-L0}lR}*x zEQ`(#Bi~sYW6{|O6zc3OS#(xJzO&B8qO%Jr)LBniban;#&iWdQ&TgPkX9Hx>*`4G% zTko4ok9qzRJjHOH;aNs7l2N?Ci@eM$yvFOi$y>a`7{>BGAMg?5_?Sp5kd@4S9|cJkKaz z;3Zz>)$AI1H(d#FL*X@HLb?(rXV=Kgl*A2%WMN9a&yp1>i5m*Z+LV;bk_}T*HB0KG zq+XUZO-b`CX_b;)vt-|tw07ckq?@bbsN#5x&WqNe@F2 z?iA|m8d-GKhkR!@8;j0vrBG*sWYO6W@|_Je7M(ptq0UCiqO;NDI$Q5<|9#K@ka3LX z6Fy}E6Pe8COl2C=naP)Y%{RpOUzpkX)XUE3@Rfl*H|X#(=U@Tf&Orh3R%A&PZT5BeY*0v_!+D^uzwOuIG+WxX=?Lcy^t#`M7lINeoX|&}G z&g5*`(VlbZKu0=pJ{NEi7t@7qbmua9a5=rWlBF_`1d}lq4MQ2w~sIwbn z(b-MpI~!muI=hoXojoXv&K@D(*;B@%vu7yO*~_x%>^1V8jWHITy-%UeCd#6-Ddaj^ z?{0s-=PzIpi}`^cS;A75^D`^?l~w%4@BGPMtf35LDNh9|QJD?ch*(25p&B*Vl+CC` zZR!$h$d=TnA&qFlRy3mpTeB_Ou>&pHiJjSn-PoNy*^7PHkNr7-138#OIE=$NlA}3> zHXO$ZoXE+X%4wWVtRZJ{Hbu1OT+X8-owIACYnq{#W^?!2GI)Y|s4Xl+OGt?gkfTHBjKtsN?h){Y?G+VRGswUa2+T02>^ zb`H7L*1O-o%=3HFiz~Q_tGSlm^yPYP;6`quAGdHDw=;l&+{xYC!@UgVJ{}-ek%xJN zVLZy?Ji${uO{^l%F@op$k6BWBzhCg*HofQh@ADxaF`ke4lnG2^GE!l|_7bk5{#+R>hK=)ie&qB9q8 z5f{^iu5{;8dT=?txRO{)uHjnx(3k5e=0e!+<9;37R z@o^<)>{^xT}Q67_3rxb_WVH%W(fDEZ_bTB&4<#T>3@E6ZhR;%zBzYB$>-!|mK=Ur za`;ur;nyXH-(-jJ0lirzqq9p6=ad}IEjgT5a`#O9$Pjc7t__?pq2(wm1JoVR2r zTCoee5}SuT*^7OM4d4E>ru63FFz1JJB(dQuy?Hpnd2IMjCN_Mh(Uwx1he}1M?e<2` zCANGW=|pTFE+n>mmk?XNZgl4|dT=>c5L>>hi7j7m`ViZP8;C96P1y3SbNg_o@w>Q( z-1edL-(`sX+2tnYGsW>3Q*Z?NDfqInn1ZiSXbQeBiz)aK`6)QjSWLkw6q$j$;CL zrqBeuR2CDkC;16@t+AMZeJL~nZ8)Zq& zlx&_Qh5zb&FW1N3#qk&m$9}}ZQM$E5okwd&5DUk;T06;jw00`7aFlMXo%3kz9Aep6 zS8Ep=k7c7Pv22uXt(WsyHm)L;jditlqw!ca`f&@TTN~&+TDzNAHrCbJ!^WevVdPp{ z?>kN}dVZ`TuM(@s8@$Qeyu%pYBUX_QiB)7gAM+`n5v#~#rZAN+n9dBoX#mwLo1(tw6ErU_fooLEJ+Ay$#?iB)7rc4B9CC03C=va6(Zn!EpcL+k&FZ_mBL z74k`OJVtL5$oDqQSoAiNLcPtGMQ;nq_qNnn^tOURz5OMN-qw=ut+KJ`Z9@w6R!bJW z)gj+oBV*B9QwsIgQWm|nBG=n`-)%bB^AF{4j^HSc=2+TrJSTDzr*JB5Ih`{(i*~f< z96E3wo#@O3TtuuRUFb@8E~N)O>BW`AI&uxMj`X1~*Hg@m+)O`i{=fPvh_-3;Ph zhHxJb@DL9(lwmx^<2=bzJk7H_$4H)MG%xTHukb3b6YI!Zyv@6e;XOX!L&jy-$)t3h z#2Z>(F=nOJe{Qz=FHT9kp(Ruoq@;e9Y@L$rvSgQ(?CuTb zxIkTx2exl|!wj$I8^!05|I1&YztR`JF$Bbz}`|DN8vjP?5@PK&&GhQ&kmvhrch^XWYO6PLDSXZsOlJmP5^Kme%wi66`Ihfkz@qFLS(avK+*Wv9_&d$rGTGd%l9ITs zkZhikx>?dVC0k|5jw#tWOZHC5epzxvN{-HwlTvbOmYkE4^RndPlyr6C8oAolaaD0V zMrYTO@9ain(OEwVb#}KbI=h#AXAc{T&W2H_v*%>d+4JN(d(~KU_6CJI`%o60jVIsP zWMk3UR0?%ATNa(oBiGq__xvk8|5sM?8-MU8f3t=%l%qTqsYDevU?VnW6RJ~#nrz1A z)TS==h&7}E4QWghwxSs=*oIg`wr2-+WG8lJS9W6$_GEANVL$fg01o0{4&^Y8;7E?< zSlVzrCvXxcb1J8CI%jeg?I_|L&gDEh(U}W~HRNJ0p)1|Elpge?S9Xo`Ni+2NZ0`0? zb9Z1icZa0pfh>74B~NF`=#;#aCGV!>y)5}8B@?n_W=g)!lKClFm?bMx@=KQdm6Eki zTqD=GIyNkh$LOpo`Oaz?i_Yp$sI#WB=&U*U&RQCa&RS8ZvwdaJS!?p09d0Z-JBmV` zog$0Q+LG_Ay|L)51BE*4B8$$tlk04~`~4d{|3+@6AGdNF{TaYO?&59+aW6x-j|X^= zhZ)K+9^-MIVd6zM~#|M1KIL7k{pE7}oOy+Z@ zGL7lX>8<- zW@y|}c(tgX=5C{G?rxouxTTQno|3(?THcHIx9=Av-R%vYkGbyYEy?Ts7HMo(1<29r5VlHnr+yQ z?PK0FRtWjuAw)5xQ^>7<|c0D7Ge##o&MaxKoZI=9yk|kO4TT1@SlJY63 zlqEG%vRRgFnUaQ1ypHsCb!=N4kI~r<qjC`)-NP>ITHz(#D$CRC#ao3a_3Q=7WP8nPwz zX-FfQuocZ{kzFG@rWqQy6XyGVY3?47&D|qX61NkQQ&Vz!mYkE4xSf!6P06KMa#c#M z&60j8xh+fXPRYGlGAt#JXUTIZdEUETDx67QKz9P;XOZ z(c5(Lz0Ecjz0IRgZ$HVRw`JseTWu_Q`-4KgRg^_{U&V4iLRY$RDLv>(FRtJ!uI5^L)0gYHfg8Dre%!)s^k)DAxs$uOhkF^!eLTQ} zJj^2u<53>xNuJ_qVjX#o5j@W*Uf?BO=GE*vc{k0{xTP@XC#3m1Ih(&TQxdlnl7%Vx zK1)`lByK4rYg1A#OEyeN)hwx#l6qOvG$qZmq*Y3G&60gn(%Omd(Cu6LJ9HOi-=VuW z`&3+fg6{j056Jyca`|LhO+2n>Sk2>g4Xc+a zQ{I0?jrK)W@K|3lxQ+n`CAGCTOI=Y6dUN2kYQy`1DD5y9LIgyTughZlx@`TSdORHO8X5vJ~oW zV_9@pjeK{tjYW4`P^i0RvgmGW^4;xZEV|o;Lf!2zi|!62-`$bMbhpm8XHGJH3a8PQ zGdPp8X-9j`r2`%5#Q9vnMO;i5y3w7>=)vXm;!3V2){@@z;X1CTm>ap7Tey|m>CYVu z`AW5Z^Y>*{2QWEa~NgAf4 zNtSGzk{z;S&y?(wB?qVEuq-(tB`0UeSt%)U;w_}>T^$z|$76JM3Hi=?8jH@ZpipNw z$fC2G$agltSafzLg*tms7M(ppzO$!{MQ6`YsI!-4(b;R{I~!vxI(wf&olTTQXH&>^ zw%)gA=6n7E7O|Kg_>m3nlAYL@UD%D?*^|B4hyB=}12~X_IfTPFoFh4! zV`#&1oWO~k%&DBl>BJgx7H3mLd(P!NI?_42M!KdM8t(v^@7Jce+c%rL{ZbO|07>pm z$$eQeEG6*{kmUK4ypSbtq~z@^8K06*vt(*Yrf12#lzf*ZKc!@u6W7QMu8u#7<1sq> zn|x;#jYVfwDAZX^S#-8J`OX>`i_RKTsI%>5(b>P5Pt@o{&%RIj)y|{v_xSDI}O<%6(25#gg`f&@laXSMT$erBHJ>1J+ z?&ASs4SASH7{;SK&J#Sv)5IF`93yz1QM|xQyv(b-#v8oJ+q}aV-s62f%lVmK_?6Y!HL^C%(0KR9e6O13 zZuM;L)=5dc`y*+dl5Mi2RZ8OBA4%(!9F!$TrR3NwX`7NWv!p{xI%P@sl=R4wYf{q3 ziEE_T)p2WaJVs~z$#*u$SaddoLY+M(i_V@T-`PlG(b;GUb@rAlI(wIVXXA`TXP;20 zvuU#EY$o~6<{FF6=2NJ%rLyR31-Z`FyXP56*goesmeVXYxRnX%|>YYKI?i!3_ZoqT8e8;i~kq)=za$fC33 z$ai*{vFPj!3U$^|7M-0>zO!z|qO;2=)LCy?baoxN&eprvzuWT%F_xgjMtWyzf>xhG2=OvxioTq8HRI-V(x$LMSX`OaQ87M;CDq0ZiyMQ0z8?`)#6 z=xhpwI{QWzoy{TN*&<`n*$))z>{nTI_8a-m${35z%2TMbO=Qto4RW2Wcdy^n^PACv zt=X3C*nyVp#Ln!(ZtTvU?8QFp%l;g|fgH>s9LC`s$eYuVsDCQ<^<`!<_b_Q?phWTPypnUc-3q;X2N%98C z=8m9Hb0^87xl_qE*UnfpcMgS`yI2;@btT_iFJsZ%RTOIOMp-o1k9>0jjYV^JQ>eLz zWzpO)^36SM>=~XTR+8r##S6U1%e=~Kyuq8i%{z?YJ>KU-K4Lr{^C_P(k;zP9Dqk?2 z8GOmt#7Z)oIn3i*zGDH4_?}oveqssBSkBMqjC`WlJQi&>Tz(!PM z6RJ~#nrz1A)TS==*pdb`q%lp{isrOn8)7Bdo*meco!FUO*^NE2SCiIhhQ>{W`F?Dg zyT@m9w{1$|rb5ywB^P8#_msp8j2 zC$5oxu8vQN<1sp$K)$nS#-g*C6zXigEIM0AzO$vqqO%ng>g+FBbheg!XO)dbXB$$e zvs$v~tPc6k8X1euno_8CD^AF{4j^HSc=2+TrJSTDzr*JB5Ih`{( zi*~f<96E3wo#@O3T*Sq6p)1|Flpge?7grK%$TeI`ANq1V#oWlv^y5};qdx-}$X(pc zAns)d_wfJ^@i0Rf#$!CrlRU-KJj-*8eoll|OE|W!Xmy_?Ux3TE$Itul6yDWOUgM4p;jYV(w zQ>eEmWYODj^1Y2R7QMYlq2At+MQ>xt^|sz!|5VSP#tdfi6<;%p+05lz=Cgo>EarQD z_AI)q7}QaE4#A?d$AAuvOldkkb^jc!#JEHiFM={j^#Lx=R{8C6i&;o zlcF?#TF9{ zbk>l3XDy6HXWLS!v)yFT*`DM(JHS|Ub})rHYa@%!P9WFWdUyRDJijBIIiCx;h)d`~ zH!kHedU82ea3xoB4ZZ2hb=*KPH*qt!a2v6P4B!s#LDSXZsOlJmP5^Kme z%wi66`Ihfkz@qFLSr#+Y_vgm6Tv>eU82@Ni#>e3P^ZRpuFZp!bA0>x>W{2@fy1zH2K%p z=*D8b${JpJpYXTyHI$(&<*7jI6RNNQ8xecEO~~&PHaAwAy2ReD^gf}n^Vr*MMeOZb zkl!clXe{=2I}>}m())zHoyXp8KVolp00(j~hj1825PQ3$iM?GLjwAL7ClPzQQ?a*O z=RV;a zZJfu>b$fQ8^o-oqdCbT?*pqe5$b*c>j69UXC_N*ObsjVFcnZzPGi7Jdjv`9W$WG2X za{;k)UDu54VZ0~3vYlCGyO-NtAsZIQW2_=oiB+U@XSJM1XLX2G zWL=##H6EQcCsvWtowalxowXuXk#%*puklz#S`({C>CO&!9-SRUtRm~`>=fg%inQf) zN_W=Yd34r+SVh*=Sr_B6igf2vN_Dp0cbRVR+*m_yrXRO*8~qu;K<*;ekU_*6GKBkh zfCq^+WGKUUjK_JBr+AuYi8W*-v4)K11zzH1VhwqnSVP|8ZQf-J@9_b#hKyr8pYSOY zn8;*4C)SW@OlKxv@-?%FHDoUHn9p}CWD(!ajuVkJ?hhdMl_)*&1lZnY{PbJPfK>96}zx2yR!#- zu@A9|>`!YByoFIgyh&h0|!u8Jx-4w4*)e(t(b2;(RXPA}*l| z-RRC`^x$%OaV1xC4ZZ2ZbzDy|H*qt!5Ua@T^ydx+au@e7h{4%a@<_TC;%zL~!iaR0 zjLNQ(*HRL1V@W*Lq)=xE%c8Tx$amJpSafy*g*rP+7M&H5@2s=2= z@)qwfhOxZQ2YkdhKIT(CV6en* zvgGcR+?yrCQu26~JeQK^{jX4C{KfM-TqSQ5$76K&Hu>&8G#1^Br%-oOWzpSq^4-lg z7TwLGPa{Q5Q=&k3Bw$(+h*oX(lVTGEap&f#3nqa&TUfLKc|<`TNnjZ5i4tR=mO zwd5+U=308wm+OhOy7kG(SOJ2>cm3PxDjT;NE4HMG*ot(|znJI}I3(3Nie4iyNQW7^7lC>!*mn9pf zq-vJbNlCpdX_}JeS<)&cyJpG0DQWG*>&ZY@$5F-cc(nhG$CB^t6l2j@TMBj7K^C2L zBHvjTW6@c63UzjkEIR8$zO$Q+MQ68CsIx(`=xhl2&W0L`&K{#sXCr0N*=TZ|t#{Y| zzURjpGLBe7KH*a)Fpe*L=fl<}#1@e8)l-@jX8hYsgZTv4WrZgllsxN2!nGQoEPJti?SI`a#ML!6b$TwHpSTwf(7i{`c_ z-`q~dqPblt)ZG5EXzoCA&8>Imf0E~)!fCYS49?_i+R>hK=|D$1aXuGt5f{^iZgl4| zdT=?txRR@hm83U)xQ^>7=0Y;BD`ifap>cCz z?k-7lcX>8cJk&?K%kTgt5lPuXbB|Buvo+;TUOAb!SVOerQN>0v_ zvrUa~W6{|a6zc2-S#)+2`OXFyi_Y$(P-hRyqO(WHclMOA z=I(v-XYW&}vx&0kYzn!~*1Pkc@A(T@#A1HnN0zXZ<^0S_eq|NE z@jHL=7i%a(S;|v^N>pY8HX_!LO{hiLp$*4z0w;1Zr*ayn6Klv>oJ|q!IhXV3 zNaySt>6&I}+*p|J*QUAKH=DctQW7^7l6zBfUzQ9@N!(aSp7#z|T+=UPzuy}vdppa< zr(gAH_N%6*WO|m&OUZXx@>5EdIdPTT?fUqmI3A<5zsa{&(O9%rg+i^>ltpWslW(no zv1qL^g<9KQ7Om|_zO_A!MQeLgsI^07(b^H@TRYxZw007OT5BhZ*3Kc<+Isi=mwA3q zdT|9;aW&V{o4#Dn4cy30^y3z8<8}rxkUP1Xd$^au+{XjND)KOoFpNieoF{mSr-@bM zIY#h2|1nES@A(VBgXSFpE7}oOlAsG`GV=p;7h*d8)h?yd3?)vEMyVi z6RXHiEMXbT`I%pcRb+KGtJkI(8n+c@eAP5}t7mh!PD72>gw4*)e(1G*lL}xDG zA}*#2UFpuH^x$%OaV4>aTtloOedx>e6mugtXV=IbX@C?_D^s#6OUkCCLY8ctl4?#|Cxcue zTNKA*^j4pIZ_SKFZ(CESw_Rk>+wSCh+uvC9b|8g%J4P109Y?;m(~L!LXHclOjy78y^Q2I0d&#%*s&&b8s>26T+ zvAGRP4mT<}+_>bhYRTay*$dTKtJybY{>@MAtzGYbT2?IQH!A&^z8C)EGkyPjovt0mKVPT&&)4au zpCoA}RHHio{0PY+ujfTx&x_m>6nRZA@|s@s-}qo(`a0c>o5a`Y#*#hM0{$qE@dQsY zoTqt~=NQQ-M)M*s@d~fna=_ivY7ArktHl;IV)JnFRWrUzw;-5v4*vjr5qKgL}fN0HhmjYm1F6Rnj(|0wo z>FZ4&V)JkVvFW=Bo4$2!9_}=L7x$3cJe2;64Y51B*R*`5I38mHjvzk)Up5vK@HGle z!1rY_0Y4%?0Vf)Z2{?s96Yv{ZOu#whC*UGuF#&&|&;6*p$T|^EGFQ=975>{c(n7FfNeOA z6F8C56YzBBF#*rwY)VhS^PI;7>`b8vc&RKVU{CTB@LFRr0sB&D0^TOOodMiI=?QqR z^TFK5{XED+Ji<^O<#C?iDTcd~$^Jh54Kv*KraO|i)7{0G?CxTGy1R%swA`yqPsx{A zGA||ZhL&VmN`B6gKT`5{mQ+c}Mp;rbC7WkS&i+=P1PkxEoy12&>6v5r)y1~u7?&8baY>JjTm0~*qp zCTvA>VjbCrSVy*J2X*5j>qV10{PCS z8H>(lQmC`}vgm9f`OcOai_TV1sI$Lh(b-z^omDm#ooz^=&T7e`vpVEEYh)}sYf7Qc zTFRocR^&Qc@4HP0d;Xyu&Ji5N(Hu(~j^{*9;uKD$EvIuPXVH%KoI?lBqZ6IEfQz`8 zE_9_km(qit^x{fl4Y`I`L;BE{>nY|&Zl)i%avS{_z(DTeZU%8LL%5Fzc!-A?$}k?| zah~KUp5|GeV*=ZWKx=;@n)9!J}b@Lx!K%Z zoRWAmOR_2@zh_C=l*F4^l4>d0G)uNfN&PI@Iwjj>$u23`JxdNu$st*COiGS(;u^Wn z)p15~JVs|{lkcpfvFPl43UzjwEIPZKd}qClMQ7JhsI%K;(b*m3I~!~)I=i1jojoCo z&W4lkY?QI+>_rN7_Kqw%8%wUU_1?Tp_55kfU?yMjHM5w_T)t&K3s}fvzUN1NVkyg6 z!AgE%6|4E3KZ!MD4QnY&IVw<*%4|TaAsbVbYE)-aHlr4`slyi3qdpC2L=&3QjOJ|3 zHf+ZZv}7k*u?xGhJA1Gf`>-$j)0zW0h(kDx!#R>zLyqBCj^lVv$l-!afcc$c?EO{g)k7mg;DH)L^uchS8EO|dAA7#mulzfpT z-=t)Y6W7T7u8tpy<1sp0LcX(KjYVg_QK+->vgoW5`OY>m7M<0gP-k1pqO*qNJ8NMq zI@^{)o$V%z&h{kV*#X9)vx6zrSsPh&b^^K1{>#2G){r9Fb1og|NN3LHLN4MGy3mbF zxs0A%&J|qA)m%ew`f?pNP|Qu-%q`qTtRVxqgFCs4dl%7U^yu%pA@;)E%5##unPx*|AOkxV3^99qH!I#7u@(r_? z!(6`QI~K4gyGE9!85*|}UK9RKbGJ-3cdMi%ZYLz0r=)I{G)_s}PDpl4$x+D2vv{lW%RZv1n~7g<6{}i`M3mYi+%| z{gs~oE35g9KlqcsSwk7hQJ#uaq6!uoyGr_`85%bfW_ABGcL!#3cSuU&hC=dWN}kS= z(J6@=3dy@Ec`r*oNy&sPnVFKWvt)is7G}wcl>CwM>TF+Gbk>@DXNMb$&W@r`XQ#-bv$o_rYi}$%>p-E- zy2zrl?&LaK?{5DF&%cqI>Bp_yMt=q{kh{2>LEOs_?&AR-HCAwDuK+T3aBC))td*ZMm^%Z6$?TTO*6s%93ksz5D%|o?naF)L{$iQJ)4hq6tlD zMsv1i8@6M6TCx+Z*o9r$ojur#eTY?Le_C@O2XP38ayUm4tH?1N%W)jfiJZ(SoJL#D z;7rb@9ql=n4s@gw=W_uUaS2`MMt3fw2ba@}E4i9$=uIE4<9dp@iJQ5FSVeB9KX)*Y zySRrz49>2SN7A(rw-m005$P%!m0cyTr6g`CBp;>Z<1CqylDMUi%t^_&S@J_lmSo9q zDfu%?%BQ4KmefeeW?8ajN*X%xO7gI)W830*jLvo--`Q@)qO(0I)Y-wZ=Y>ufAKyO2Vi^^`?tSCH?lud(Rt1`2gHKo*_dNv^Z??)M+_{3m#d z;XK2$j9?_Ac!3vrnOAs?*Ljn-c!x2J<$XTjBgXMDpAu`xL?$tX&-sFB%-~C64f%#y z%waCy@*N9U#A1HnN0zXZ<^0S_eq|NE@jHL=H)|+ES;|v^N>pY8HezEop&B*Vl+D>AlI&Cs}|FyHq}bN7I3?jDhnxS^1onv&DAZCP@6O76{)VJUe$OP))~^G;kNkGMMCD2~VI>}~R$eP}E?8&9Fm zrpltT>Et_`Z7e#QN1@Jsl0|3B$al8dSakLWg*vM!i_WT$@2tA9=&UA%I%^<{&Ki^J zY`wevT|9p`_Fzx;W*_!re-7Xv4(3n};|PxAXpW%`$8!QFaWbcJ8mDt6v4*sxh;ulX z^XN!tE+E#Bi@AiZbmLNb(34(V!Bt$%we+Sh*K-3maufZyh1=-Q00wd=cXJQ-GMM{# zfCqV)M;OMVJkFCm#nZ$Z@*E?0o>9EOOT5gh*){TRnxSz+VZKjDb9Zt!cW0&~ZYU%R zQ}TV5tVl`RP)OFMq+FJ4n3AelQYR(#vZQHBnrBI?l+p^@ae#v2jlEa22hmA@O8)t{{n>8sJZCY};RmowqlEdaDhb^+h z_#L(`8QrGjaN9V{e~s>@bJzQEyniE%Pwaj3Uw#VTJ1w%G!uQYD=vozutO`YT7DZNu zA}d3Y9bu8xp~&h`WLH>Zg($K!EV4=zStW|>G>WVgMOKO;yNx2NMUmB_$S$$Sicw_6 zD6&&5vT79Bc@$YWimV*#{0x*8odWx}l zX>CiPm(~ulcxmlK{-w2xv3P0iPNA39Yh>}#+K2p0>&?dErS(<{y|fOJ#Y^iD@-MAJ zjm1mrV-$L69Vv^K*3sl%TG#ug%=@1IA>$a&Cw$5TCNi1NnaVV#Gm|g*ns1oRT;?&K z?^wtpzUN0`tGAS8tl(#U;a67kJF(UKi@#Y*8Ol+Cid3cw8?q5q*@WtBN=<69Id!N@ zJ?hhdMl_}=ThW{rY{PbJPfK>B6+5#lyR!#-5nH`|*^ky7z(E|sp&ZT;9A!&W{{OnK z(H-Ur`KmY`qq|w;yIWu^x?4=4?pDg8yH(`7TVpJ`D@&p7HkL(q)yQ{O+gNnB1%K0FRtWjVlC-SAFktiin)=SxrJM~o&MaxKtG;jiq-Zi#qQQ_tld(awN@y}P zYjP@~xg<2AoM|KtqFG6(h(-;DMomN|8k7>vQkn;Tuh0HI*L`}vKVR#9*1hg$-RoJ` zAFty&zWaTgUDxWp_dfRbxK54@vZQ)S;?o~V-IUbNk{wghI7{|O$=+GgIwfth8LybjeH&N8tU9#xxUh_ zMt6E)wKK?9?$7t;z@~y3BELvNiqSiK*MQfXr zZ>^58Xl)ycTH9F`tu-azT1#Wm+Flg3)vi!53@g zyT~I<;8Fh9ELr`-nZjQUc+u-$;uT)yHD2dUW-*&N%;jC)<9+7y0Uz-(pYSQ4@i||x zlrQ<3*hRkMdwyU!Kk+lMi~N?&>Q!lm#^*m~eC0HEt7UVyR!ZXYA4$WM?2skRQxc#5 zNLr=jz$`g5CGE4Mb4pIkl5Q#KktMxSa$%NSmXZN3tdR$-j_XR}F*+MUzO&)RqO*|{ zbv9ZSojpjtvx&x{v&mT5YwYZK<5QW=3|8-Krt9eJ4T?IOCyUM&kne1fvFL0uMV&2^ zMQ1;f>ujy3{t8~d9+g<14cUmwRG}K1uqidzoLX$bR%}fj>ah*mvK>*8P zN^@GUE4$H>J&8SJANHjc`*R=%(S~*$%%L1cdpgjGBRPs=IF`;F&xxE&7rJr^-8hXN z^yCcA;%v_4JbG~f7t)u0TukgCml1o&00wd;rCi0;*&4Yq&Cr{(xqEk-yQ8wXJ1!*; zXUS73c_vF~L9h){%T?#~F*xPN1l>?y~6Ybn=~@ zZ!9|NO;Kn4WzpFc%tm&(CT=oG#;a~3FJF_(pYphg`&=0l0|2)lJ9J`vFL0rMV);ti_ShJ-`SVOqO)%( z>g*R;boM*>&dM2!&MHvUSru7yR-IgDYd!66>-7z2#P;mSPBf+o&1k_c?8ffw!Jh2R zKJ3T-96)OhqAl$>gu{qEqyrr}f+IPaV>piEi9O^bPNsyeoXTl*rzfX#CTDRD=W;$5 z(3?K=fuY>UP29|_+{OrQC-#uLxSM+!#r-_M7{)Tr zI{BaYMY?0Hk-bXeF`C<#d~3EjXlI8#7^=klX#5Bd6K7@!m~WbRHiY5 z7kPY<1zWK-b*RfW)Mq;y(uf_1on&Vk z)0AekU{`jdW%h2;D(&TQQ{kPVecI(aWxIUml*CPiq(@55$dXm;x@+x!-6+IQO-|VpS@wMTsp&4fpNz9U-YAX7Xl)kx*5( zwv>Ep%Zx>9KT_1%-?C_J75UaG8H?67q^PwTvS_Uq`PS+gi`KTKsI?}tXstQ9*4BFF zZ|(JMXve`E%3-vp1D!aMqd10R>CEw*$VqgeE2q$n)967@&fqN0=3LIB7Z-3Ledx!< z#4d6fmotEYTuCWcaW&U)9oI92p$y|@ZecjLF_PQ4le@WxQQXI99^gU7GMTE=+TGQ8stKN=e*SNPbPpA6Zg9 zC2?CJshW~avSiDYto0B7rRC`_*E%5m)=t+yr1}3{>GxWD=6yi23hoWBl+G&7>nNSps2SovgmCb`Q9cOi{2imsJCgd z=gxE(8r#&6%#E~4$F&vkzlae$;}m6|r!C5!?vg!?f5NV1 zqq~(o-M#E-%d)3?ls(pOH~m;Tk}z4`&+AJ;1>M|mo+E^$Cu zpAFcEIMh`ke?ZvWSWUJd4t1*^5Vmn0hq~>ELtP{C2ZW}^;!xLuIMl6vK-kN59P0KZ z4t4u;0IfNQwj4|x>JB3gbsgwP91xBo4t2-kP`Abd!YRg2a6s@K zx)1v|dWkfS2$z#TB3xxGjtJLKbVRsC7Dt5J$R82zF&0OJ`zSghJR*xD!lUGm2u~Y} zBf_&39T8rU#S!5(@<)U@#^Q+ZE=5O#Ph@dK_>9~UVXYr9t?>F^_>Gv6fASZr&&YLL z$BbN$imXq5MpiRcolV({)o0{Zu46{lp)T7{pVepN4zA2_jRb~`aLCGi=S1Sk{?s@ zbC&#_l2tC;wmf2mY*-qPv5QnDc9GRPtKm92t3~W0YwB!UYa6U9i4R}c9Au8cCPW*MS5`o zt97>4kCz5{ZR{aea}C#VJwq7EFm5LHkm1B0GLqZ5le>sLWEA%?ng@80v5e>-nRj3;=K*h8Kn_K@e9%5-M%A}ANY}<_?cgcJ>(B!5BZzeLsn6aby%0!Ln>u!q-vU>@d=jsUOUa* zdfD9FJ|#P4$?hrHGfNIgNqlAtg7u zutp|W9e0$*V{~>m`Od}|i_XST)Y;>*=a#5kXvp^Lz)tK; z6PnSSUD%b~X~~}KP3$52(TW2&kb`JLI}RcCki&^Rq$8a;lA}3>C(?6;e_$ zORA@2vn<&@# z9_LA(VhYdj9M3b28N9$tyv(c2aMmFjH5W^7JPwjlP9t*K32 z>QSHVXh5TEjWkU&v_&>|_f2zm|7`9aoRY(`TX*@=6Q_1)Cim~YJHHvzBR~Eg!PrkQLj74vsQPkUavgqvx^1c0L zEPDHsqTbe%MQ`hq@2#4#=xtMqdaEOg-nJpv+y8cUjD4gj&1k_c>_$uWU@!J&U-n~v z4x}}0Xv@JI!eJav2Rd;CM{zXAavaBVBC(Hjp@dU7mDA`>PtG9rk+V66^EjUixR5^d z<03BMQZA=I1G$nxT*Y9n;aaX|2tyghP29q*+{OrQ=T7e89`5Bn?&kp>WGv%}edG}) z@Fi*_>aHlDMUiEKSMRS@L5_;+8_PDkbY=$%ZMZ zoF%nVvQ?IBo05iE(mW-*X30J&Y30Iu$t0`e(9(E}&f1gj>=n4lNdXVqz zTw~E$FN!+5OctFDAm7>5#-g+9DC%suEIJ!WzOzxrqO;Kybv98JolPd!*;>#0FM0hd z%;YuR;7w*Rn>oD0yUgQ#7VrTd@iCvUh|gHe7cAvVzUEtE4_U?!{K!xI%rE@LAH*K= zH~+ATa;(F;tVbo*XG1okGF7O?CTvO#Hm4R_uobnbLp`=(TehPijo5*m*qJ6Yr8zCw zmECE{p2Qxq5Bt)J{W*|>XhXYfjdV;iG;S%(_mk7y?V8Qq(^C?+6q3Fvxj0L%NJ-pM zNN!BY%~^6sO76~*aVdE?OCC?jQ(5vtN?y*Aw^H)93u|Pu)$w6zJVs{=$#=HISakLk zMV+mXMQ6W~?`);9=&U?Nooy_O&Z?5{tfsN(Y)gtdYaolxwkO|NGh@-&E);dPpDa2% zfLv#5J?|go^~Z1=ojHLMIhihW}Ntfr(6FGLQ2FPw_O* z@Ep%Gjp@9=i@eOM%;a@q4|$7Oyv-cm;XURtKU*W8rWqQy6z2Q)Y3?r1=I-w)iCYRu z#guH2CDl_Bw-l1PDXE_&JEo*@mh6#|y|bisO4?@05h*!3OHN8ji3@AwF{|Uu(s+!{ z&LQ7fA7jzkMHF>5NEV$9Cg0gmW6{}76m@o&EIPZFd}m{gMQ0CD)Y+4==xhr4&Sn^k z&R(LZv)QufY%aOZ)_UGw?Db3dlCSuNZ~2~OEaxXy@C(24JAd#Of3uQul&1pgQjtn* zz(&L#QiZBiXA?G~1~u7&*h99aHg%~-eYT?kjo6+Y*@?z9p&2dMh27YlJ=l}I*_Zv; zp95&kLA0eEhj18&(}9j0!I2!zu^h+o#2#`ICsRUKPUSSZ(=%Hm=cO4Mw-o04|hMQ}S4rJe!iKS@K#+-prE!;X zp0Vg`eTq8UR2H3WPQJ4`#-g)rDC%ryS#;Kvd}l3;3&W@s} zvo5me>=bgHt@W(m+w1$#kBhj3OSzo>4CG1%aTSBPhHJT=8yLzkZsHbh(_<^06Y{K9Y98d;TQb=*!^BbC#vu9nT} zS}BR!2}#40?2skRQxdlml2$1>FiQ?iN&776oRSl>q+3dQWJ#}-T$m-7rDT8$?;}rG z9oLn{V{|rzd}qUrMQ0-^>TI+uI(v|OXA_M@XOk)F?0H#qHl2KDGmS-OZ&1|PJXv(M zfP80*j74XQDe7#QEIRvsRpl^{B-9Y{*7brV7>AgiWcz=G0;fwqk4QP>*fc zmhEUrBX(dXVh?FTQ<~F)UD=J6>`Ck)`>-#q*q;MAh&Ht2U=HOl+S7qf9LZ4}!?ASc zcuwSGy3mzV=*DUEpeJW=7H4xV=h2G`xRAc|<6>eDxs1yhz(B5~l&iQpTO&8785*|} z=KI}g?vBdl?zoi1?S$m1lsuCqFQg=HCnRsDSkSah~MMV;*;i_Uf@-`Re~qO$`i>g;e?bk>o4XU7?f&Q74Hv+lC!>~!*- zoo_5U>rGK-{bkYF734Zw>skL6uOH3`Msi2`#@zU~d3XAE`XAqz8@~z{-A_~ zQ}%Sl#$p0~L(v5MMHUnA zck&akoUxdI6)2j3Rb(*%tCOFATNsN8xHUx+u#qe#;EwFX>JzYq>zIJMQ8WSfm&F8Z z%|Wa_0S|K>6R-mvIf5fueF7fuIws&roXqMI@HE#k0eez30WXln1nfh80$y$`Cg4Dd zCgAn58yL!stUdv6b3KCFxr4j7n|m3>{XD=J#%6agk9Mv7uMa8Weq?I8>zI+aF#29oxnw#I~`f)~+%h+r~9q%j&HSa~-YSLTnprYV985(b|3FT3hSqOpkkg>>^JS zyU4RV$5f^^94(YUF2(G z7x|9w`GMv9#LvVo@*A;>{K;SZ!%E7r4zY`@M@80W12&>Ev5QorI-9Z?n^Ti5*oxRi z>QI+$sLysZBzBPvLpjia%GlWmy#h_GBPE1X31!)F&5~9{%ifzCt;1yODfvBaB6FcTm*Z z7+Lf-j`2LqBTQrx(c9w`^)^iwy}dxLx3%8DyzBMzn9l+}3vL}195Bt%I12~X_XiGZ|A@-5OX-`KwaU@4`498{b zq$JJXQ?r?UPMX>0XEXbvlw6u6gHv*CmfVz*TeIZel-!>s52a*6mP|>>b6N6IN?y&9 zxhZ)sOFmA?r!KsgJZp7)QyP!a+4tl-`^8vv_B%zLRggtz70Gv2#aMJ!oubaRmPKcE z$#>SsSah}{MV;*?i_Z2S-`W1gqO;Z%b=E-^ogG20v;Xb%7<)(wT{)F*bf+h$b0%kT z4(D<{7totN^yMNh=29-BKLfdfL6kC>tGSlzxq+eF$W7eLtqf-bw-bBFUEIyRjN*PC zU<_j!$3r~K1ST?x$9SA4d5S4K!*fh!8Z&r-mw1_1naS(C$y?0kZRYY0@9{qK`GD9% zK4u}GvWU<5f+c*Jt&tzn42_!#?->82xmzxqyX&VUZYm_3r(}yP*(N1%Qz2=Zk`~@1 ztmydb!S?D}b5MNQRZ0&|>0#N=9hH9W*ep3EC8uS{*(o{Cg>~|r6>>>wJVtMqlke>+ zW6|3+6!mtCEPA_*d~f#{i{9>|sJBOC(c7csdwbeg^!6-8y}crf-d-c$+Z@vaY~kE$&V@dIZOUd$toAt$n#dm zhNbZsomD2^Sq)>+SuKh>+g28xH6-6z6Jya?bBa3KM;4v6BHvj%W6{~66m@otEIR8< zzO$~zqO)!kb#|^SI_pKQv$dZ22YLNfT+KCH$Mpc!M{Y#cbyA4(~FL_gTOP ze8fUNVG*CPm@in$mwe5)e8)0=;75MqXMW{3{vh^{zxjt%lw%#%Wj!ioYouzLp>bPb zzSmB3w_Y}Pw@*pjR!DYF$(~tqKuQkEl8z}kGD}WK$;nxAdP>g9lHMulnzK|@fe*oCg0g^#-g)5DC(@WEIMmTzOxR-qO&6?>g*(0bXG#Xv!2GH zvok5`tdA@@yNG;e1C2#zgDC23s4P0WiCkxEJ@1e9`Z0`U91rm@6PU;(9^-MICw|vhs zmh%%U_=R8joj>@Ce^^O5%2R=LsYoR@U?VoB3RS7jCTzy$)MN`{580a9)TJKv*^UM@ z%GOBJG(-P&OW|{;ebZmoxPLZ(4^C;^QdkYgrkQrC_lmLT?lp?Kdsi0Sy-&Wo zPmD!(pHbA^ce3d22lCzhW-PkShL@8G> zn5(&lYq^f=`S))`me>(XoSaIWoJ!n%l{h_>I6alPYcBCFT;c>(;+?p}J8_9Su@Wb# z5+|t=cVi_^QzcGQCGMh2yepSDQT>nj%Od{^zq{9PRr$IP*Q=j>d#OcG2l=?7wi?`P6D0*uhE{nI; zk>uZ6M;VK^*3lHbwN8}9TkB+UZ>?+nXyzrae}$R6#v8oJEM_x@cX*e1yw3tY;3Gcf z6Bh9qi}`}3e96~*OC0r<@dH2d6F>6{zwrlg)cc!%SVcM3VO`dv66>=e8&R1mRAUo1 zr3RZ*i!IoS+SH*Q+psO$(U3;$z)tK;6PnVT7VOIIv}8}>sJ9RM(u(~#kb`JLI}YYh zN16)%CXVJ;7UrlimSPn>$rg-+{iF)=2nI?f|1<8 zo!remjN*PqGlmBl$9NuQ0u!0UWFF@Up5keq;W?gX8q;}!7kQainaS(C!CTDYZRYR} z?=g@0*|GoAbm)#xfgIt#Psj1)*>U{$l*Fe%l8PzWAWN#JBt8X_)J;kKEZH$7jk9Er zl-&r4H(b+{5bv8&Aoed`6*-&HA z*-aF6c9$$VyO(@tV~s^;4^hmwcqNuakvgm9sxz5)55zS(+U&5Ds z#W#G*_bg*MKe2*e_?6%JgTMHjm6W4A661s9Kr_r6B*%~=7&CvJ+$b7#%&E0|7+`T3x@d=RRwv^nSCHJKyJ^_+E znv%z|StSafz2MV)n#MQ5jw z>ujwb&Gh#AKJ?=vF5yxxr#}O^l0jU>V6Nd>uIC1ZGK`zJgrtmD!F_me|;6+~I6<*~vUgu3_F`GHe*Q`Fh>vgm9&`Oan1QiIK@#TIPE*3_XM+psO$(U3;$ zz)r*-(uAforvCEw*$jNk} zE2q$n)967@&fqN0=3LIB7Z-3Led))=#2#`PmotEYTuCWcadoyvZcH=u=4|fXo#yVS zZ0?Rr$-`OlR7#%7k{43)a+bWEl6SJ?!;~z{lCM(oZI-M^$*);bJ|*jB$;K(E>cSd% z#p>9yG#;a~+T=THU@SV@o}$inkws^_lkaRlW6{|G6m@pEEIR8*zO&XxamYkWAbF$>3lw6u6gHkd$OKwWZ ztyywcO73-Gjl61gJX9Kw(b)v@ojqwRI-5dKXD`X3vscM?HrrTqHkYE#K9)sipOWwF zOJmX5HxzaDi!3_(oqT8Ij74V^DC(?=EIO-BuCukC^|$r<1~g)Oc4Q|S(}ZTUU>9~{ zclKaU_GTaUV}A~yH3!j_b{xWC#2(UtjvT>}9L+Ht$MM7-auO#~LRU`ZG`iE1(>ar~ zIEQmNp9|)1QG{!5~T*%+*}W_1wTvZsaCz=2mWF1h*4=$X(pcy^P|1 z9$*Y(8E2jRPyFuOnbyc&rSTZe?MuG7HpZg4gDGn6C|NXjEcxcT7>njkp{TjDWzpPu z!#^XH6(@f!6 zo?|N0n8Ay@#4EhYYrM{zyv1zhFqe0EkN26+2Yf{AB%kmppYb_gu!Jx9n%GIc<9mK! zIY03;zwjHs^Cy4t4=X9hI#ggiDzZKsuo0E1LN%(hDVwo5HQ9o#*qS=jWgF_V9Sv#3 z4#ZBfGmU9VGg`1KyU{XxH))mj^0=w+&d@&X@}06>zH>_Arb5yqC1+$wuav}1g=9cV zuFR6_QZghEE%1W2eV{yN}kA)=TkD>g?E$Jtd2KI<1sp$MZU9n#-g(Y6m_;( z7M(36-`O%_(beLxs1yhz(B5~l&iR!Yq*Z<8NyJ8aWl6toZA@5?cB-T+`}mDV>A!& zAY&QN!#u)79%V9*@dQutG|v#b$n#8PIx~2YmwAPm*(!NE&C$56Fyj}d*}Ev4ysePxfXX_M;UCa3BZKmUbLM>?4QMo{n_lNRH+hj?30bNt(ZLYhlixlVfF!R-K~Gww6U_b;)k#-g*<6m`}?7M&eIuCukC`@4C4cY1Oum>d-gQ` z2|db2dzL*tz3k~3Wlzs6dwN#c)3dXuzB|{m|K9J;o!#i)-<|tE;@6?PdzF-{z`CqQ zMJlmA8?Yf8v2oXuij~dlQ|eZ6Rh4Q~XA?GMGitCoHL1lGY{^z^O>OE>mwIeNeYRyg z8qknNY|jqt$WH7`W17&EW;CY-yRa*}@$X-VEOG8Dv2XuB^$U^zgHMNNY!ZyUAZad;o z*NFT9p{cPr)U_ZEb*mo`_HrGEx_ya5-ToXvYYw6<2NQ?7!-zv&2Raf5grkT<-LW{- zt?__xit$r9jr;-OY-4diIFB_P5PWy;oBoYnB8?-$<>ZeDR~d^V!Zj2f5pI#i5#cuS zM}&Kf#S!5?ijD}6$l{3bDETA8)5hY6@GM0~gjZy7M0kz-5n+z8I3m1D(GlSjSsW2Q zBX>kt>jz9Ly#5z{BWC2E{Ke`savj$(BiExM>yw|6)r?hVQ#NDu8M&3~n2~iTnvpxm z;>fx)jahw0?&>;bWJ~s7O*8U9<1r)K(3aI_WP8^!BRf$vBTtl_L>Ed}eMa_h-IFtj zBkP)G(j)jO->_LG zY+K{8i!>y5k<~kE;yOBOPV6FU>TDn5v5T}Kc9GRPYv(#TJCxW(*3{WC#$y-h%<-(= zSy$K5SvO)ASyN}{8joG17ZANY}<_?cgcJ>(B!5BZzeLsn6a z*hAJO_K-^18mXFQXncZYzOVI9od4^?g~e6pd+Twth(3KNiDWyD{51RdemoI z8qkpK*@2zdnI<%&IlHhcyVH_A*_+r!_M;UCa3BZKhISl6>>`H~yGTbmaU@4`49C%# z6F8BR=|Wddr5oMp!RegAS)9YUoKG)$b0K}{$HiRAW%OqNS8yez4CZRCC3cY;7{ZMV z<7RGUI3u!Ea&MZu@%fciG9k_CN!hHPl9KrRO7dz-UeA)bDT&XoB%h|_^DOx$CEsVs z?e#U~9;36yCw|vhsmh%%U_=R8joj>@Ce^^O5%2R=LsYoR@U?VoB3RS7jCTzy$ z)MN`{580a9)TJKv*^UM@%GOBJG(%hXKRIj~i~q;`ne(@;l4ncfF}j;dzPne9MR%`J z)ZM$X=;-F;##y8Dcx?!J>ncR!Ht?l)u6-JcY7x1KDzTc3P))r>`Vn^M$W9a(g@ z4Y}_Aw-aRSB~58Y3sm`EI`tp!=R44eBRGnqIhNx%o)d|^qzfgS!l|4_cY1OLv6r09 zIh@D&T)>6IUeb@)OD^G3E~h^OxsupRu3|9Pa4pv}grN-MCT`(YZes+ub0>Fk5BG8( z_wxV`GM4egUh)VNc$7&z#uLO|@^rRVrl++MHx}L-W~F_8PPWf4NJ-pSNS3DL>n!;( zC2?aRS(TD?vSh=QRL+uGDcLGZwoOUHENPySU9)7Ll(cf;{bY{SacF5g9_D{zd-9zf zV=OxBOi^duWYJj<@|~S)EIR8&QD>LQqO$?yJGcg)>#6@Gua7-sCb5US!JEutHgkA~cbUigEZ_q^;$uEx5udS`FIdW#e9gDS z9rIZnP2#gKZrf#Z~kEw)!2khsln#dVhgsSHg%}S zHf+mwG^7zbuoFAegr+p71-r64E!mU3*oS>-#r_<~LA0S=wnjRp85*}0=KINM?sm=Q z?&&Fs+X_kFlw6!8SEQsgOKwcb%~^6sO76~*aVdE?OCC?jQ(5vtN?y*Aw^H)93u|Pq z)$w6zJVs{=$#=HISakLkMV+mXMQ6W~?`);9=&U?Nooy_O&Z?5{tfsN(Y)gtdYaolx zwkO|NGh@-&E);dPpDa2%fLv#5J@X&s^~Z1=ojHLMIhihW}Ntfr(6FGLQ2FPw_O*@Ep%Gjp@9=i@eOM%;a@q4|$7Oyv-cm;XURtKU*W8rWqQy z6;{IcY3?r1=I-w)iQ5WE#guH2CDl_Bw-u7QDXE_&JEo*@mh6#|y|bisO4?@05h*!3 zOHN8ji3@Aw9joKa(s+!{&LQ7fA7jzkMHF>5NEV$9Cg0gmW6{}76m@o&EIPZFd}m{g zMQ0CD)Y+4==xhr4&Sn^k&R(LZv)QufY%aOZ)_Ue&?Db3dlCSuNZ~2~OEaxXy@C(24 zJAd#Of3uQul&1pgQjtn*z(&L#QiZBiXA?G~1~u7&*h99aHg%~-eYT?kjo6+Y*@?z9 zp&2dMh27YlJ=l}I*_Zv;p95&kLA0eEhj18&(}9j0!I2!zu^h+o#2#`ICsRUKPUSSZ z(=%Hm=cO5XK{j_UPjmPG_zyAu>l_!KeLb80c~i50-fQWf_hz;h-c8B-SyKGR7~i!% z{w$5hXzd^Jt*vJ)T3er@);5(zYnzjAt&Xv1Z5xVO+gTQ^H6`C#OJmX6UKF*~Mi#9d zOun^F#-g>OC~B>XELuB-Tx)AR?f3TjKJ?=vF5yxxr#}O^l0jU>V6Nd>uIC1ZGK`zJ zgrtmD!F_me|;6+~I6<*~v zUgu3_F`GHev02kg#-m^NcD~-qKYzX*Q`Fh>vgm9&`Oan< zi_YGlsIz&p=xhP`&K4Pq&K6VD*)myl_9MB@)_U5n;PvZKiS^l#ji^i&s<8>1QiIK@ z#TIPE*3_XM+psO$(U3;$z)r*-(uAforvCEw*$jNk}E2q$n)967@&fqN0=3LIB7Z-3Led))=#2#`PmotEYTuCWc zadoyvZcH;YZYa$6yVKmw|1rkc4&t+~r_$fI_}~9W8RuCcTb9OS^j4dEZw-t^Z`)JU z+b*)`ZFlm$?Pn}{JAk6z4wpr59m)50oU!Qb1d4j=E{onyC*Rxo#-g|06!q3$7QJ0T zuD7+G_;2z0;f!D;cckypjenbWr+=sa@jbfnYjN>Cy62Srrrfz@PtPlRdVbl{US&@& z$ezZp==Cley|CgYO4^ZMgyu^EWiMzKF z?+5=EzehK=>`^xG`x(s`9%LNjc^L1^|D}&-Uh(rYd7U`)y~QlvW)AQ09`l&b0zTv; z7V-&;_?*QoVJTnnHQ(|*%UDhv`d08Wzw#S@@E3oxl2w#v9oD5HmDqqd^leOKs#1+j z*o+#~q!wH9ufs!K&+Ad2IP^83A*&xA8oQ1|Uo+y+xBB5>57%+%+nYG_t?BU4)_6M( zAr5`3A0Ccy9f!W7i9_FUbY}I#Ly7CIoJt(}y3>O=Je)}!`pzK^edm)uJX~Zf4tHq_eQP{C++_S_ZY6hk$p2Y}_&cxPH#HwBjmMaT6PU;(Ci6H?@)T2e zhUa*mX-ww@UgBk5B|iyg8;eOem!e7du`DLxr{pK$m&RfeenZhD{6!X%@OSc)u$-}& zgcT^7gjHlQ39FNzgj*PkNw_s}f?d-jY-Btp;g0OY>XWdA>zIVQ5hvI^*pt;K;r^}< zpfv}v`XoHebxgtzbmRz*Wc5jSyz7{RCvh^XPr}n&$0Y1Y(ImV;)|)=`W%WsTx$BsO z1G$1VO~UJq-@s6AcX^NZna>A&MC>D< z@F}11IbX1Z*hju5_L1-So*!7wPy9^mBfs%GfASaqu#$4DL+m5#QIYl8fQ_h3>?75v z&Zca}=G0^hwj%bCI@Dzw>a!gUiG5@TVjtO=#x$iFE!dUVM_Oig{H@XqjSsb~g!XCf zcFN{%=aj^UT9O_qIU`GYr6fMok_<@6m05CKN`_>~$duffC8JaFV3tfy$rD-fd`hOf zutpYG9dDGzV{|r)d}s5FMP~~r>TIzrI$KJ~C3gwu*dbm5fDa8&cF+ z4Ow(ni+pGGj74YLQq)-!S#;K%TxV4NRHwdj-@llb0R0v zg|3`JH%_AmJvoE3IGb}hk6v8Bh4i5x7ZZEPWyBsbfPq{|DOYhd*Ki%zGlZcG<7RGQ zIJYs9+qsjwxrb5Q$7mklLB=wkhk1mFJj!Gq;|ZSPX`UhWkms4obY}1(FY^jBvo-Q| znxXGxb9Z5yyNj~9```43=wn?Skp6oP%Km!}PyY)#W^3dFtK)>yc#O_YCf`|iW6{~^ z6m`~H7M=Aa-&ucS(b*Lgb#{X+I=hj4XCsV7XLnH4*%(=LHjaE}lZ-`Yk5kmyG+A`^ z0=drCdIR&W*Uw`<3;2+aSjZXoL$(J-D%05 z?9D#xM=K8CKn|iU?Kp(kLk_1s9qGi89L+Htm#vYKG(%6#*2p<&?w+5`-HTFkX_gF5 z$+cN>Q%Y{ll6$-E@UOF6{C|)SrEEf$O-VoXT=r8hrR3EtnVXXLvgG5GeConG$%od* zH>L3yt$k0vwO@=yYrj*}S_N6OR*`&bRg6Vz)hTLiYgx2bmwaoDj74iZQq^F&3@8OHpf|$fC8+$hEfC^Zp92|ApW9oj>`D ze^^O5)}aFHQIYl8fQ{IgDpaF7o3a_3QyoFIgyj-LJ6mEDyPwdo}5AK zB4=|B=W#w4a3Ou@m#vZkX@uM}I>qb#$=gOk9UgSDk>v?~W z*I&ieT*Gx-&k%+(jGMWI;oQbZZs$(!;vPnEAESAI2N}zF9wzpXi9E_=9^(m~4qqV!qw>HLDv^I{S)*hEdYfq7HZJM!Y?FEWjdrKCry-mKg`NpEP z4=HMGi7Zs1$oA~O zPV7t*n$etH*p=P+U;VH3#NWp2+HnZ6iyTgSI?{8vZe$oYb1TCckYn<~-gj4B zPt~*jImf%2eTsL?vBq33dZi?8DkL*g@_m;4n36?V@_S1D%#sQzshlOXQnGQDY>|>8 zCtgctxIVTmiN|Pd2lB1$YAjmY16S=@TRX^j8xA4g+A+qWwc{z&+L^Lwt(bgkU5rI* z=ToS)D`nBz)#O|2Wh`2|i9)UQkwt6$$hEf49sf|zAI1ot;3=NwSw=F7=Xrsbc$rsu zjW>w3e+=V@RpedX;{(R?5uXsN$V5J4GN1D$Q<%!v#47R~)0xREzUK$#GM@$f$WJU{ zF-!QBWh`d}zq5)z`J2_0BUX_LRHQOhSf6Ta$VSwl7PZ-!O{mAF#454{4Je`!P1uU2 zG|#S*mT88%sfcCc|NDQ}7}v>6SIFxn@ff|mMZUN9jYV%CQmD5tWYODK*^;St1y;UXOTTNrpTOA7Z)=(C`H73{FI`{l){I~Y}NBQ|< zIF92vk&`%uQ#p+@h;^hL#dP3o&Y=@sIFDFIF61IE;ZiQ+3Su4UMyw;(avk02K`(A3 z){&dJh1J=TdX|1ju2<>d4W)-SmLA?zdRS6= zcyo3bf7mUhqqmkG-d1{ed+FgFrH6NBhw&%eRXWEzm6|`kM34YvhUHgRQn#?cs$z6N&cnv zWMlEt+Ll5utsQ0Y(%PB)OY6nP;-$4KgLpj!?BC*x0LTvRmpc>WLh?>-< z4t3dt`fSSPG@v1kXv~&uMKhYS4coCjEoezAc48NHV|VssFZN+yTC+a~(uRXMl*2f} zmZrl0y6@4Q?F#v(Bp#!?>EyecYb?53K%wrI$)dXz}$}ooW1W)ob&oYuxjOGPiA`OdC17M)#9q0VlSMQ68=@2rop z=&T=wI(t|aojppvvk}Ikv!^K3*-NtM>{arejWZUVy-T6aCd#6-$>cg)=i4)LJby0p zS-_9{#3B~6gkM?4a#rv=tN4?@SWP*~Q-O+9rV8s*jaWlAq6W37&BoNF9-9(t$QCr9 zh(?z5PT(X? z;Z#l|){rwfi(=YyHs{cZF4;A5Nt&UTWplTCn!CNSxqDkm?#z<=Q}RHT3`xo3S@K*; zp3jnh`yZgsadrGr5|7c@-{d>1WGp(XN}g-@ybaoi|&WQ9RF!yu>TK%Imzr zTZ~~G@9-|~^8p|75uY%DiA-WLU+^VgF_o|RmhYIsOlI>vKQNE^EF{*DpIO8&Ea6vv zV>v6cYh+ED@A2-B*M#b6zSqp=d;OHeyFZdlo>THTEI-5qmvsuQXvpE#%Y_TjlTS~68b?*5qczz|SP?Zg+ zMs+r#Cbg+UT{fXUo3c4u(2zznW=poB8O_;-?T9s`1ubdCPVB<2?9QIV8nO@j(whA_ zkTx95p&Z5$9Ldof!*QIziJZ(SwB696Hm5^SFQuxtL4n%4J-^RdnMT zVhy>T?)0P=H&VjQ+?rh@cc&S8Pd0Z4rMWveo4Ze>eF{WzpMil>Kk*_uu#P;d_%^J$H z9u=uf71k%#kqxO%4Qf)Gjj2n$>^dn*S3}%VcwN{bT_-zc*U26!iCYTEAt^aLOO8)T z+)_x2Q*w5eoS%}5vgGQNT$d#`rR0_@>6eoGvgF~EJnF=2$vjubQzh{jojuD)MlqTf zc!`&JmDhNKHyOiN-sWB2;{)=YO*9srO{P$1-^rr0ndCd0Z!9|dkwTp*jYV&VQK+{QWzpLy(u? zdb^E$Z+(qLZ}(EDw?|~r+Ys`-J!$MIo*~we=NQHFyueGm!mGT_8@$CB#_zq!xA9m`$k1rfkj@G^B{eG+`^6(VT6Fb!2;Xpd~x96T7f0 zyJxQ@t#w-sI&j!tX*aoHN*HYIUeA?ciw^RlFCO5(Oc(laGDX2~5X>76A5Q}R%j z3~k@wU$+YJQ=?P%VwSy`erl`}uOsu+vbHlR>zb!E|7ee$g}G8V0ENukzS$fC7Y?-*z&Ct)Yc|ASN-C5b(U67KWvSdX{R%J=~lvK=;8Y!ur zC7Y$BL6&TtlK8}~fB3X>N_KOf6PKr7v~@uGMO$sM^uPQ=z=f`m(@WwpdOM4JZ=H-q zZ|72|x65VG+g0Ry>tQTC2;WEFoB>&R-> zP@eUuNF}PUKCzB$NOfvZliF-dUFuPv&Dfj!di%-?*``#$S|X_NCd}y*edv zVwt6_IbPxv^+%TMD(d zt1MdEgM4fI8;jNsqEKtc$fC95$+fo5eSb&K??e~Q<$NySA};1qF5_~pwkB8-t%AJC0^!L zUgHhkVhrPWn|FDS4;ar!e8Q(pQ~8=$MZRM?GnvKr{6MTC^Rrp~OUzK; zr5n?7S;>#%{7=(zJ`DGt-=%wZ>1X8nmLB%Y4&(E5_mqy_TY7k3>0$rU!~07Q2V{rw zCk!kdeW3L4!P3KrN)I0{Jsebe_(*o>yL27>_kNe|JI(+3F5Q2=OZT7e((T}bX=l@s zbLd28x^OP%aXuGtAs2BmmvAXvxs3lG{F=yrc|V_UZ`v8~&m12~8_9KvD5w(dw`TXzh{5*vgQiEZ5}*w(FegV4eF*_=augK(j- z*dScOS~dv2OZO*#qt{Afi_o3?7U5=Nu|>FzLR*A;WwAxLpZpf#5o57M7=n%DTDJ(# z7=M=M7)9AF!Yj^Wi|{&ywg~UbVvF!0`7OdEW3ff}f#?L^<~c4A;k;$1As@RU56C8JXk?_o*CrsSP0`8XvLvSeCH zzRi+3DVdigOH=Y&mi(2HHBQ{N{Ok(Zpd=n+6{$|FB4s

    pVKEPpl$q>ugKo(OENM z6)D?U3+K^UD`FK{TW9+ik5!~Kv5J)K>`>>?*%8DlvbN4nHXf@;TTY{FXYHLwXB~-E zWNn>YY&=$xu3Sc$&er(`(@mZmYsjtK#vR;AZ~D-edx$lpKe2`k<`X6`kx6_`tRYkQ zifMe!w@fG2kXg)T4nHuD`7Gooer7Shu#{iWbtUS5S*7@dA9nY^z zJ?gU=o6~@XG@=Px(v)Uw%{FYu_OxI}TCp>`up7IxCwmiX$bPiu01l)L2XiQg6Klv( z#2Rue$8iEDaWbdUmeV>ycmb_n^-jxiRU9Z#Xo&Xh%G#pFBdVk|m4pF*8oDT~goCf`{vW6{}76zZ&x zEIR8)uCsN%wKUZ8hcSXDc#5ZamXVC&d0yZpUglL^;|<wQWBpx_McgvNy&3gTqD1@ zI$ke{$LQ=W@}0eJEIRv;LY;jfi_X3x-`Naf(b;SYb@sC?I{SruXDf|GXMa$rvr4k) ztSb4=Y8s2q>QJb&hO+3aF}cqE%f2zzke2MoPVCIC?9LwS#op}8e(cYI9K^vK!eJcF zksQS_9LMpT$Vr^Sshq|c#2V6$Vmfd(=g^5RoJXu77jhAoa4DB@1y|CItGSlz=uQuM zaU(ZzGq-RXw{s`G=|f-oaWD6AKLdDxhj^Grc$6VL#^VfU1Wyuc$TK|4bByA7UgRZS z$*z&HX@;o+VqR zq*<1GJXG1B}*+^M*Hkw>#>)h+V>-q09o)7t$Pnf_& zCh<96Fomy}#@Bqycg$cGvzfyW%ws+a`H5IV7V`^B`IX;T&PrAhYsg>x%^J$F9u=uX z6{@lU)u_%!)TB0bsLLkQXHzz(0S#$HW42@~n$etX*pBUKK}%Y(6T7e*yR#>;hU~+> zv}S(}qzwmiXm*Vpn`Y<<+1x!V&E59d+&woX7i7s*DY+&~Zb(T&)wXx`}JcWAOP!_$_Am3X(W6|4Y6zZ+1EPC6Td~Z7%i{5soP;dLmqPGLc z^|sFa{)wJ{GN;m((>a5)Xh(a_rX!u`%(>B~LbM}Gz|kOz5)K|I1>9%CrO7|s(s$DYNaG@DI`THX_6(|reudK*&`);XURb+IV4MtPsvGHa%M`3ow!DRb#mLcX&;#-g)+6zc3@S#v7MomKqFU#zAa<*7hLDpQ5^ zsYa|J8&QK=)MjJqQjblEHDn7KP(&k|uoX>d&em+pcI-e4c4Q}ZW>z+YgmIaxPR z+{jJb%q`r;?cB*-^r0{PxR?95p8-6;L&O^L2#+#^$9SA!jNnOP4S9xVd5%#$&x^do zE4<3ulbhmn88eD^F2Q>kNGSl){viB z#4jx2SAJtTE3<24O}Y}|mco@#JzXO;vumV&O5&D6(kvz0WJ#-(#4UxSbxID*k|R=b zbe6PD$r)MFF(sX|q-#p9$dc<*($k68k>6Y$ca+3qbk>`EXZ?*uX9FqJ*-%+@Hk^EC zBaKC8qbbzco3iL^EcwpH8;j09rch^7WYO6)@}12x7M;zZP-lx}(b-aRovm}fU%~S$ zQH82(KsBnf5jCkz9qO_P_1Too*@A{NqA^>t70qbQHf%?%AuVW0D|TWRc4c?=B-W69 z*q7Gq&w;ezU=HOlj^Id+<`|CS1Wx2+PN6NQaRz76j`nn*Bj?bWE}X{&T*$>-LRT*1 z3a+9X*AQ#S^>n8vy||GQZsykP8o4{o(72^A-v_0+J2;!WPo%^xMID zA^9vNpJ&N8DVd%n3sUk^mMlxjiYzIgl8RZfVM=N^ag8i@b!=7=kI`8J@|`s`7M*QP zq0V-eMQ6K_?`%I~(b)kM>g*_4bapKH&Q3KJot;jh&N|7WvvbLJcB!%G>~acq)8IlcmmUr&J$$V6aA@h_ zoz{qw=7Vs|pd zb|S@gBE{}zitR@J`TpER{tg!N3rkqauPoy?ma~HYgWneC-I#yAKlgv#_vdch#AmD1 zVm;Ub{uo0U#&DkCNuK5zMly=gyugdR%qzUc8^nfh3}bnlcX*HY8P7+=hVN4*@EMc% zoG+QeRHpF_-!h#U%;J0IFqe5OU?D%Th{Y^nDa-hc6|7_xfASZrSwnf&qau~5!urI9 zZ$qk6gPPQ4W9m|m`fSGL#O9%hMl>Ndd`)Ra+0DZa&Reh}t=O4eh|R+u?8)B5hHpPw zQ+D%ki1R}^oY?S{-8>xcJT`nM5gWc!X-nD7L$UMroK0-_I?DJlsTV_-?_5Z>^h$e#Y1Y9nQ3HUqt z30TfpOuz~hnt&V0VglA8KLIy2787s_3QfS~vY3F|vK?h7;7-nC0`5wo3An#3Cg4G| zq3i@a(s@k4V>p)MIf1eh@HFQ!0ng+t%1*#@oW}(0LZJzGnJgyYmE&33$Kr0X)EiJj@^-WiXHNIKvo`-N8JU?jN4d?kwI)cNXKaJBtrf@=2C_ zm6ETsWOhn^$dX@D@@tm-k&?f&q-sj4Wl5csY?397Q?gZ-Y@d>rPFy1^T^)Os#A7TP z`x1*r+0G7j9-SRVEE;R;>_p?y*(t=LQMR*o&ZDyq#G=_A=lYD-(`Bi^J5Kpg;+yg=MCOs z4C8o*SVP_?){qbRh)?*GSVJZ;nJ@T~ub9f$d`qk$GnmP2zUK$#5^KmpVh#D3Mf}1N ze&sh}4OvO7A%E~Ef3uo$tVgUNm8eWr)~6cPi8Z7qwW!0!Y(hOYWpiQ;X-E-`X~I@C zBi4{@h&5z;Vhw4@j_kxP#2T`Dc8#=7Gc?}Fa>ssjn!CqkbGL0u;*Bgx=aih6C0$bz zZ)8b&rsT#fxg#aLvt(dO9?FuTDH)z6qf_!?mb{sgu})kgzq>jpfzIFXY%g|?i=8JtNw+S7rKoI_{2a2^*BYskf1 zLRT*13a+FZ*AQ#S^~4&|lV03N2{&^qw{Zt|(wjc?)*iRY4ezfKQ4x|l-a43fp>&Q_Y&9NNE37o{qoSI!H#c5`roz3iv(#*azo7q>VErnX!RTi!7LB6&9jYVq*QK+?JWYOC3*+x+Zr~&aL#rOQcT;^w2$uDWf$4!M-hQHIbP%gU`s-`4vDkPhvWYa8ZoRYYy zkhDz6PVKX=x$=pwz0xPT_RZ46QhH?eb0?;sJ0(jxq~x3|xiBS{II-*c(-m@UNjyex z-O2ZMv$5#yHVXB2uPl1IpL}nR7>nM9P^hL?SoHQfg?f8m7QKB)zPCxn zqPH(7)Y}YM^fsGZZ|mIkFZ2B6tmJq8;7|T$HRV{33RI#pRau{EY{*8`q!xA9m`$k1 zrfg2EBMm8{F-_QtrZi_8VjbC@9camp?8GkY%I@sJUhK`j?8p8b$Uz*;Asoix9Ldof z!*LwXiJZhKoXTmO!I`w9m=2uHIdrBA=Mn43ge!$p9;37B(chSOo$Vuw&RUc2>`-IT*%1`# z>||MV)|Px{?Ttle9Vyh=#j@zEE4j|rx$D2l^Ka%>ZsQK_q&I!&%RSsnf9_`>5AYBV z^9X|(!cZP(I3swHr-?OWB+oIL=XsHrc!k%9HRMg+Vl3l$hxd4&@qEa~e8L1KGKtUm zf+>8(G`{9rrZaW2?}-gf-E|FnS5tsj74W}Q>e2~WzpGZ zW(MQ01hcecb>bheB_ovoHdXXVLtw$2@Y9nY^zJ?gU=o6~@XG@=Px z(v)Uw%{FYu_OxI}TCp>`up7IxCwmiX$bPiu01l)L2XiQg6Klv(9L=#D#|fOo$(%}C zPUj5Hq8;ryn~rp%Gv{(17jO|5b17Z9oGZABZd}84Tu%>rasxL~!Y$m&?Zg^#7rnWg zzTCro^k+bJjXc`^f7y5Eu6BKFTN01a+79Gf+tpaKwg-h;J4hC-9YVgfV~j;>$5W`a zGiA|QG5OZI7>m})&tH~*8{XZ>BI;13SDkPVr z;o+VqRq*<10A8ozO` z+;iD?=Z?xg6&IhN8(sPVx#vp{Uno6%vGnkz(!-Za4`0a+;}3habo8~-!`Dj>-zYtN zv-I$->@fa>F{PtpOAp7z;Rcl|)Y@=Kx%AP!spY;aSFZM~HUH-SYf))Ef6#j6%UAT_ zJby{$%f0#^AKg3ONNXRwi_sxP@t4@DsDj70iv&J+XXPz7yQrwL|LIy(#bf!Rsvi3l zt?#jM(FPtz7gftX+qa>U$wk#Yb|~7&9+2 z#g^w{%X6_!Ua=***b-fAqgQO1F1Ab;+w2v)kPG}BEaXRi;%ELFJ`VYB{QkZ4<+)ol$-X?-vf#^eB9r)>FPOqtOyg_5QI+WsL!Ts zP6Ha!h{kNmRy3nI+przm(}I??VkdTCH+E-FVz0Lk`_h{IIgmCS%%L2{5%x3{{?~nZ zZoDdgQxcES-E{KZ%{3O?Euc_$%Vg2r3i92pHWuBLr%-nr%A&g(TW+-baw#x?hZGmyS2VUbE5H+IhD4Y&KaCVJKA$L9qB}8&gDEV z;6g6uQo3?ES8x^GxQ6S9wWJ3mOZG^~-dS=`N)E}A z<5O}{mYkWAVkhp6W6yeiNjyep7m@GmN@LO4)fDRNCRucL3;E9a7>myOQK++rWzpHA zBc>TIGcI-5+cvvs~dGspAiGM@$f$WJU{F-!QB zWh`d}zq5)z`HR(*qdXO;NM)+9KGlddWFu-&i`r~VUFxwZv4(6x1Bz%w6Skr$&Dol5 z*^V7(!H(?2&g{x=?7^Pw&A#l%{v5zTwBZmA<#3MVD30M+j^_kU;uKEhG-3@old~wM zJ!f+co#>KXBbTHZ8gKu&&+VS(Zm(?a-j6PAt{Nsec&M-#sB(a7( z!?QfcD4ypmhdaTv7D9JHL@nn(0KdDe6OD7Zq01&)=x>i{Ud3Xl5Mi2RZ8OR zA4%(!9GE3Xq~z!S@Sadd! zLY)njMQ6jwcQ(>kbT*nooxLfG&c>4OY`n4P>|+XbHboYlO(WmgEMw8x913-|SQecv zCD++H_xu$+zYNo$11P zT)>4~%q4W?GOpk%x^WG$hFni~deVyr&90HV(+s^Qo4bS3+#Q_F-6vA=be6oF zlGn22?UcNiC7-3_^DOx$CDXHHK}vqgl4U7bktO9*QZY+5Oi2wVt`V>E{^fZ*MrRGk zch=Ncbhb5xI@?(mo$W@xv;B-kX9rNIv!i6u*|Fq1JJncpb~=SR>m-ZL&L!X3rN*MO z%PG`Z4_S0}1G&!Dx!1qf^ZPS^fjr1V4B`<6^B6-J#&DkCNuK5zMly=gyugdR%qzUc z8^jtihOxZOJG{sHjOQa_4f&J_e8wa`=S!wAm1%s#w@haSv-qAl%w--6SjbN-Vlhit z$})aq1uI#_pZvvY)=-}Hs7Ph1us*SdY)Ex#P?OqhOkL__*GN&Cp>aFmHDQM|cX!O@ z?j9+L+X=}bK2dw9pE^AIspC@?Hx#ns^iyYNKXraeF3OUtQ*vFF+?0}AvZP;1?#q&g zQ}U=2S4q5C{!~dkMr+TKZ|x;x(b}sNYVBQFwDtk{)+QQ@)+SS^weMun+D!7T%{LaU z{YatKmdm2G-^sUD&RDcofkLfqB#YK+k!x+8yZtRazbVbxnr+#R9caOh?8MIO%5Lnz zp6ty&?8p8bz(KU(5dNe8b?)|0_PkR$jaWs_pjq*|8LNy#Qz z(l{ksWy$s_Y3amO68|x2uabC-*7hae+QG)6wZm}LuC=ujji1CR^iLXzf}GwRW>CTDy&WYkiGHYxh#9wMS&p+7NQBt#iNsg6F@)E4<3J}*nUrX+4F zBt27dW0u^JlHOS|FeMLV$%b!E|8ee%6EG8VmUNul0a$fCDa zW(3vir#|6YXaxs_CmCLw-E9u5H#5!_4-RVg$Zlr`* zM{Xt7kvq7P-t?g__Ymtyf9_`>5AYC!c!a?WVJMF?oDn?9(>%jSo?|r6^CBTo4X5A61NqS6)9PjCFN5Rw-u5aDXE<$ zo28^dmTaAp?XqO&lFmW1YB0;=>51m&D^4{{MIu`OZ2Si_XraP-mCR zqO+^Wch;5ASVK0XIyIkb1-#vKgDxfQB@p30u;XW^BziY{w3?U`JZ9GrO=GyR#>I zvk&{xngckHHXOpC9L^CO#nBwgah$+OoXn}&HBy{rXxvno?-!-HducXzuTDwaR7h?~ z$?aLvFC}qPA$c?zDvnWC$5qBsLqci@fe*g zBH!6^W6{~~6zZ&kEIO-9zO#*tMQ61r)Y%rY=&Xo*XU&a8XWLS!vt4D;*&gIO+uvAp zb`XU+J4P0r9Z#;ab?*2(dVVLma4zR_0T*#GmvR}Gb0t@CHP>(**VBVu+`vtga0|C` zJ9iRmNFVN|ANOz{{TaXm#2WH2gLss|JjUY;V+2p|6i@RkBN@f>yueGm%&WY{8@$CB z#_=}q@*W>Bo{#v1PnpPPOy+aGu z80UYQmKzrzfAg8Q(UmHcd%M<#@#(jT4&Ny~d^bCc57NC?I{JR;;RmIM<4X@eEIs@v zJB&Z!SjN)JCRJ)BT_II;Bbv(m#!*`Y7Zb(B80SFUz^a_|4f=l1+TWk0t!srf%& zn)}a}=Kk|x$bUWz`TzREkpISq_R^Q;wrujRFU^frdWFTi>;~a)=c_44c`8tm*dSD8 zeX0?gx{b(h5H>MZk4=e9UD*vnW9PA{+ltuKH7CD8XlX1qbvqH8y0RODy`0CUZeL*@22qzMox>K;JTk8g)gYmODhx`WNLSwN(xP-NA5PWHF zHGiYmN@I)Ao%|NzW@E8MxQ#+vgnMPNMYx~*7U2&tJ|;Vn+VKpOl@E>p71Z zS&7P2B|jr;8mmPeHm2;1+}wH0$c7Zrm?o5+k=r746UHDdZwF(c=yUJ zNbhtz(KoxD7?_fH_ewH6B~NC_=#<2}SCX+Qc_&LgPRWEUnU<1ovt&+6=4Hv!l>C+@ zf2CxN6Spn#j|w&@iN{z)suQb7+0N=ZkIw27tH|0q+tPS+){Iz1%68Vmd34r_SVh*> z**?Z&6=_YZB4s-})OmDv1hI;&t+SJj$12j6(W2?}-gf-E|FnS5tsj74W}Q>e2~WzpGZW( zMQ01hcecb>bheB_ovoHdXXVLtw$8Vf>Ue%#>QSG~*qjD5q!CTnlBP6cYqnuKwx$sjC^yCI^q=Z|zmD`Cm`{>Vr>>7DA z&Cq!F%6xw|&D~Mi+yueGm%&WY{8z}qVdV6V#pP$Ot#5(dF)0xREzUK$#GM@$f$WJU{F-!QB zWh`d}zq5)z`J2_0Bi4}$RHQOhSf6Ta$VSwl7PZ-!O{mAF#5%GC4Je`!P1uU2G|#S+ zmT89Wl+E3J)7;%Zo4bdlJB_*e2Nr#l2lO>m=EdPzJ+Z*MV%alFmDyw3-G$VYs_r%dECCi4Yf@)h~s zW*Cd!W>cuQpJmb8FXVe$X)JpCgF?Mkl0|P-$@f;%SoBthLcKMVMQ@GC_4Z%(kFk!l zWJh*lXLe095dbhZ>@Y*mT&EZMe9G;fu zaNJr*=A~p|mMl$4+*(N1q-4D;*&rp=v!s4XHqVkRQ_?I;TBT%{EZHX|t(|y1@eiAQ zfo?oTZ%32w?PO!oTU!eC)=?I{btd22#m1tyt`zF+dRg?=lYDQt8jIfUpipo9WzpL} z^1Tf<7QGFnP;Vn;(c5V9y}f4ab>1Y_k+F>99p2@A#`7T`^9d7}$Rs}J3#RZD)A*Wi z`HmUPVm5R5fqBelAwLo8$YOqBDZla?%UQ`PVjcO5zga^$)}tbos6tgXpc>WLh?>-< z4t3dt`fSSPG@v1kXv~&uMKhYS4coCjEoezAc48NHV|Vr>){%YKm)7jhfwbXZ4$WRq zj!iQ(ZY|9Bv(nscpUvHKQxdlplB-g3O_tn{lDM^y+?|qpvgE;(49b!xQu1_`ypWQY zv*hiRyq6`PrsOjxu8~@TH=TI$J@$v(?6;v+@+`Y(rUe zR)c(J^^8Sln^CBJ0nL?fICyUMwAlKPC_x&e&{>hw5TTbT;&Y~Ud zIh&4jqBG}m9v5&S7jr3HxtuGwif&xPbzDymdU69dQo_yL%I(A&au>b1o4(w`ee`Dl z19^~#7{ntC<}rpcjNv@NlRV9{jARs}d4U&snOAs?H+YjVjOA_K;XOWJJRcEj$fr!; zGbZslUowTM*)=jV&Cs~5Fy9xYxw|BryT7L-ZYv~}Q?h=R)JjR*R!E9c(j-f^P00>f zvPVkx&XR*ta!8gOpOTZZmLcX&; z#-g)+6zc3@S#v7MomKqFU#zAa<*7hLDpQ5^sYa|J8&QK=)MjJqQjblEHDn7K zP(&k|uoX>d&em+pcI-e4c4Q}ZW>Vw)R<};;6L@-1f=Z4^i!W?KlMdQzRHr>DfuBweoo0RPFy8*Tpxdw#ACGf zH~H2o8H?7cQmC~$vS@7+@~t&A7Ogd=P;1-EqP3RfTie}Ow6+(8T02-4tsO?bwd0IM zYbR2uwRW;-tpmB%*16-q-1D!b8&`8J*U_CG^x{Tt;%08)Hg4xm?xGKU>Bqg?$Ndc8 z0Ujb&kw(mEvvy7_iZ$34yw=^p3kENz?8GqRuSn0~HvmUKusGo{tBL7i7HfO1FBJ-ji^a&>QI+W zsL!Ts&K5MJ5slfBt!PGbwqZMB9ce*JTCo$muq(T>C$Wy~!@jg;e-5M#2XiQgaRf(l zG{BWtd za5J}N*U8;!hQ>{WIX@`P-ND)1eIg}sQz3adC9h@4+bM~g3dv_F`8-R$Ny+pqS&)*S zvSe9GR%A)}lvK=;4O3FXiEE^;t7Eg0c#O^(kngOivFL1T3U#)#EIQkbd}sR^i_Q+9 zP-jQUqO)VkcXq0==;`h3t#ikJujlt?00Vh2 zeT8oPZ4OF*r~mmCy79rd_zK<0rJt4iy!7yk(!(!H52utKew7`@hxDeFj!r8*{JQk; zo6^H?OAo)x4&zUlUOGCX^l)bB;jGfb+37HSh3@S1dA|59d{h6ge4fuARQB_HqyFRb zeE)oft{q0PSF2*LR>kgBitRLt?KFzruN2#D6x(eSn{~xrxr)uaVl%JUt5>nvS8V4| zZ0AvIWhu7%D7O13E;pDV{PR2GioH@6d!;OPpHys@Q*4)0>|W`AB8@9-Y)GoFu# z4d16s;4>!iIbSk`sZ8S=zGXTyn8o+ZVJ`Dnz(Rgv5sO*EQkL->D_F@Y{^T!Kvxf4l zM@1@Ah4qOI--cAD1~sY8#?+-A_1TQgiOoY1jc7t__?ps;vYUq;oVQ>{TCp>`5SxcR z*pt194c~sWrtIe75a)+-II-a?yLmX?d2IMjA~t-d(w4HDhhpdLIh)w85dz@kebI z?anqaEuSih$C!Z6lAnMt8H)+{DupKCyRw*oACRAb6OF|LoJ^q!_?;{!;7sxpaK5pa zfIm`b0xp-u1pJ-+1T1GPCSU~$O~8$0F#&6lpMaYhiwU>|g(hHgSxmrf*^aUka3|+6 z0e7X)1l(U16YwC~P<8?y={zRjF&xYBoIu$Lc$)K=fM;?RWhdY{&SL_0q0j`pOcoRH zO7au1yRn#ny(lyR@08s|AMU2?1iat*03P5$9%c|G;D0WNk2@a5i0lsLxpe>Ve0FE? zR=Tqom)%)>n38xi%YDjMDfv1}W~U_H%#!?)l3%mrkCgnKB~?>WElcX8WRomuoRY1w zWc!q~bmAJR=jzz2BpzeY*q2x|%64|J^XTj_V$oPzXD1qu&Q2i~jk2A!a~_>_AQp|a zb#|fgSTrsn7LBrQ}O zX-9iH(2;ZKOc&1M0%8rhm`mu&Wn96PbmJOg4Y{6JLweGS8!6#tZsj)a;7)qehrZmy zz4YgP2J!$8F^ESP%n*k1IKvsilRV8cjN~~+^E@x|GOzF&v4*_KTa0BK@9-Y)Gd{aU zK1(w+-pDfFr>D6)E1SCuQW9@uNmis}RhE=bNxYFIsgaV}S+ZG58f3}VDcLSdc23D| zS#m&1+GNR5DLK}OYh+Vb$LS^U7@eI(zOzooqO)@;)Y;{-=_G~3HcS?sJwd*+QO2UP7bw)(7+G}oHo4B$dE@eh=TBiO)A)vOna&Jm z@jY{x%RCmake~RO#Vlbd%lM5ItYj5`5^Kn6)=-}Hs7NKMus*SdY)Ex#P?OqhOkL_x zpUv2u1~jA*P1usAG-GT2ANKA7I;v(}^LU4l;1b*$0>Ry#;O?#++}%AuV6?v~*0 z?(XiM`M*^MI;q9ZT652txp!uLXRY7Y{-tB$b~$} zhx{mrLMV(PD25U!iPDfYq#VklA}XN@s-Ze+>T|@QW@sZlciXDD+d{ox^a!99VReC}HxEt!n-R24BNdnH0 zXHGdvZ!cl?_K`8^?F+1Wb0?GDJYn`0l`-kf3s$`)B9q?iFndeInDmwwR=wpQliqT} z?9G=k>8&WNdaFPty;X+MTZp}*tRoKip%EIRDVn1NTA?-Cq8&P*6FQ?Sx}gVpqBr`W zAN(-@gW$vv48?GagsdZDFc#x60h2HpQ!yQ~j?BVr%*8w`z#=ThQY^y?ti)=p!8&Zf zMr_6wY{Pc!#BS_C00OZe2XF|7aTLdK0;g~qXK@Y}a0!=j6|#=pz)jr79o)kMJj7#t zp1f8wR4yraU-+r!u1(L~@G6l@3X(V~iLaAnDv?VHl1wVes*}8aiGN>ANLET^Wp!3n z{iquHM>SMQ6P>hCNqe4fmL%l-=;f57wAL49Yl9h+)`r2VwTWcX+7y_r&0$Phn-8nj zR*^|-Yhkvwl`&~;2dr8=Bt0@96EY(! zvLgp_Avf|O9}1u#d{G2NQ5+>u3Z+pNoJ=}P z3A3||j7eu%VAWZEGU==k%+5+OCY_amRcF=7q_bKuJM&{qI%^E8&f1YlXB}a57V3_F z5U(GMp%{h{7>UssgK?OEiI|Kjn1<5Kf;X(bNo;iwfp@Vl{V@>baX*C2~$p*TvSG-M4ahw`Y1N~nTrsE(Sbg*vE<`e*<@G(uxEMKiQOOSDE?v_l7U zL}zqCH*`l&^hO`_Lw^jwKsYf3Lopn(hK#~!jKw%iz$8q@RDF)jRWnpBDwyx9)!bdD z=k7L@a8V)2A(b4}$yt@i1qI1%mE62v$GtGNoToX)mc$8>8u3I&MGh_omGZaXZ6UWGY8DhnlmPywSrY=UCE@g z9xyxeXG}U91gp-*kV$9bVRRPiZhs-KUyP+#h80+e)mVdd*no}Lj4jxP?bwN3*neUu5fa0Wr0_-x_#l-&M>44y zDi;*Y_X29}`s%q`N+ohRK~h5{wRO@^C2~1I(q1K0Gf9b`;8I|8fD&XY-Jmtb~wi!tf!F049xK_;EOhS}L? z#-y`vuv$N=oNoO%()maiU=`0zH&O+VmXXEubkPEqy7x_>C1>uV#D2n1J zfl?@qvM7fNsD#R>ifX8Vny8JskTs+M9B7C}Xo99_j+T%$qz&4lJvyKhx}Yn%qX&AS zH~OL<{4o%NFc?EH48t)JqcH~KFdh>z2~#i?(=ijXFb8un9}BPuORyBnA#2Dgtj1cb z!v<`^W^C2x$X+!=<#K}gep1cdGkWe`QHfkmkUUb!Q=Pn3iCj*Q1gRv9P9mx#icaFG zB%w}{t0bjPvZy4xPV%dy5Ks8Vxk+udBb*>U@>Tb2cl9^Uy{A75C!d?U@A_EW1J}cc zu7{6Y4)}h+!&k0{uk}Ou8Q!=?-?|>YlZTN!!u%iq zzPX1}>94={&-cw`RdBE>IM`V@SQ#9w3=VdL4ps*TtAm4Gp@S8|!OqaZD)Arx1QaWX zgPo&;Rm8#W(ZNdMu+>9-G=Kws_~%Q-{qq^fd(4CX#?L_h8()G?ec#*^-unCIvQ+bZ zbLCi`w-fWNwK`++)>;czZ>^2V=L> z_0~F>Ox{|j!F+3-$C$jeE`-%v>l!k7Yh4fHtu@qJGW&V`K^(>r9K&&(#3`J?Ih@Bu zT*4Jx#dX}kE!@Ff+{Xhv!eczebI4Zj6<*^l-r)m2;xoQNwt7GC6G5;c48p<#;Se4X z5eZQc710p`F%cVa5D)Q@5Q&ilNs$c6kpd}^3TcoI>5&nckOf(h9XXK;c_3T8{3w7z z@I?_6LvfTuDU@MLqaz!kK((nkpf@!q)TZXN+SEi$#yN7$DMxAVCd~F8 zGA8XkfmM6&$)vqcFx&gZn6&2xtM($3Nqf;?wilN%X)gh++Vdfk_EN)aFEeA(UN%^@ zSCCBFD-5%}(u~pGUvJJ-WxP6Sq893)F6yHJ{Ll!E(G<Mjc zJ<%Jon)E||48TA*F&INJ93wCaqcIlaFaeV=8B;M0GcXggF$eRo01L4gORx;fu@bAX z2J5gM8?gynuoc^}6T7endl86zIDkVqjH5V)6F7;}ID>PzfQz`SH~zQP43+yo%-xr2 z?!M7;_lrv8{tt<#N+RgQOC@suhs3TDZ=Ix7Nd}$dR!Kgc6jezH{*If|RKCDgW%UKN zs_C?zN*z4m0+}}!{^_|KrMI>)d+W-W^wtAby$vFh-iE;JZ46`5+jv;@Hj7Mpn+vnI zrHn~$D`3^zW-{q*8_eDU7?a-i!>YGaWYXJN7`=shgXTW3e~8C;f@gS+mw1IYc!&4+ zh)?)}ulSB1_yrr>;Eu5HL^wo1B*;1v717{@7>I?~h>Q4;btDlI!;YlzMhf^K6;dND z(jfyfA~Uig8*(5gaw8A&p#TcP7llz2#ZUqzQ5t1Y4i!)ll~D!NPy;nl8?uhnLwz{l zhel|Erf9CullE$c$~_?Fd|x%Q{q@`(rV_aaL^4Gs({(amC2|jlWUb$S_Me_7=luBQ zl%urv6J~23j7e+ZVbxkpGHERi%+``HCaoodRcqiTg%0mw3ZiEtraJe)=I%_ ztrBC>T2)xJ)__b}YY3yYP;b$6=Jj3C9X-$sz0nu_;E#bAguxhsVHl2)7=2{*XKe!mB?Knl9Vb*qmwKuk-I=7g;Y|6w+YVa|HwZ*Pr(^7!YN1TZ8Xf@ zCNn0zO@mc$3(2InB`|wi!Fq6y-a_5~yYqSvghO~lL?lE(R76J%#6)bwK|I7qLL@>GBtxNPZMRA^4&QilI14q7=%YEXtz-DxnIhqB?4z7HXp|>Z1Yt z&=8H$1kKPKEzufn&<^d<5uMNl-OwF9A?rvV^hJO8V<4OujG_8G8LQ^}1U=_xt2sYU zpAE}YvXT>kB|-K{Thu;jyG{dDDi;@=E2q_uJExOtD!HkXCn|ZallLn5q!Txlgw;u8 zl|0xse*uHYK3<0fw54({PT9^w(6;3=NtC0^kT zWF2{r5BP-7_=@lNfnNxM8^RzgJP{5NAnQnEL_st}M-0S5Y{b>)iCxW5xwv4yXHauD zv!1)TRU#J`BqdZ*S|^oNA{Q1U4wW?0Nh_7K)kzPP^w!BBl?>6zc$G}j$t;!3_upS$+8C$Ro+p!b7um^h)i2XQ#Loho##h7$<7FM0zAd}8+!|d!a zW763(SatT1Ogj4lvojlG(wRG~I*Upsoq56NEYw|pa$fI)R7j1qNQVr_h|I`}Y{&sw zLvkYz@*zJ8!WV^66va>iB~cn>A!|qlR77P|K{ZrIP1J_0A@xun4)~!FnxH9~qXk-_ zHQJ&bI-nCeqbs_h2YRA6`l28FF#v<$#1IU{aE!z#jKNrp#{^8m6imf*$Qm*WvoRO* zAZy4XEXGoOj;vKPbc3F|yVcwc&~x{QN{;K~l1i@Xm+Qs^YTN;2_;b0igKM_#8KrLzJsJ1fqZbXE#homC~1&T7EytN~-vSwmQL z)`msB;yfE1at7M=~ zMyO=8PNu13rcM^BWQk7Jt7MZ-cBy19PdGY)Mr&=8H$1kKPKEzugXhO|R_bVMg~L05D~ zPske52Yt~W{ul@+24g6OVFX5EG{#^YCSW2aV+y8WI%Z-v=3pM?V<8q{36^3xR$>*_ zU@g{T12$m`wqiSE4cUd=*oy${!vP$`VSSFARx?yCCpbrLs=0ed&)p{~k;@5^Pb&GU z6E~H}TDF5bT$@dXHyxI&St=>v&Cf6*)o`&tz%3&+X$=9 z_K-W{25XD#oOo?~0P0<`J&mk$Cw5~G0uYG(IDkVqjH5V?6F7y_IE!<*fJ?ZHtB^J125#au?%*CC;2|FCbL6$m zP`-bzOw0Gqv;q9b$Hs@@{(S%3_pZ;#eQ-Ves2|Gb={~teKf4}&aXtL%dic%t@VkB} zKf@2#=ug+fU#^Eiu7@_)LpRsMF!~|iKbJ?<)&Gb8`uER0&2K4Y$gkB`*&_@i!N2U@ zKi@|84xfm+i~r_NM)4;0&sT^0=d+Q6m<3J@#t;m}Fbu~CjKqKQ*N6N!KEr2x8(mqf zKe2`f-zWU!`7hYu26u#o>=VKx0wO{7c2QyO6XGxy7x5u`yWsnTWIUI>T?)wFE)~pu zLMFy!ZbEhze zG1)21ht*DD6`AZ5*23H=Y-LP#3Oiu6Q#eQ_JB1@KcM4}1lbynOSnU*Uk;zWsE{vT* zsCSs&^ZJkY44IMN@EyTtWEh^yjP!sf!o!@A(HZkXOvFO)8JUphG9#0~j%4sg@EMtg z=dyE6j|>PtBeU~dW@Ikp#@}XS5yoXk7DovLpONKxE;F(ctY&0gvU+F$2ZGPYW;}0> zmXMw6-)3YN#=D}s-kJ4R*A8+Miz|`Q>Z)R#zN(m}61j;*vP31zb+TS1aubVWuS)jm zg0+_uIuE!N*?Lttx7)V}D`7RV~{x6bl2E~`i($SM-NvywcQ&dNYmk-v3TopD)3YN0lQ zcjm`)>8vqi75Q6d?HHF;q$4^ZSZAT$VH(71WepjMVHkmt7>zL)hY651WHMw8nTF|@ ziCK^}WFF>YAr@f?mSQ9EarP+HFuNhxtm%gaubUrr%LkZq_9flCKgFWl~mD59hKDA zNeh*<)=6iTbkoTIl{j@WN+n}?!a0(Gvtx!+j?&p|n4K+VOgdWztIjr(NoQMNcD9Ex z=`0Xdot+?)&Q8PZ>@s80*)>>o_K-|EdjhkwH;hSV?_t&1FEZ)O4Mu07-eHQ#>tiD> z;voSNA~BL6DZG&!K1hkwNP~1pkBrESEXaoJ$cbFYgM5%Rq#z2RFp8iUilZb-L)MUT zkTs+tDxnIhp*m`!7V4lb>Z1Yt&*4JJ$JXML~de{98$?qot#yP z+{7Zet&)2>d8U$=I{BiK?>cc;iKkAyR1!-kiBw|e3Fk;g&W^NBIZ9_4V0M;+G3hKf ztU4=7CY_al*;xg~q_fJf>Z~4_bmoBBS#!puvsSR`tSgyx)&pi|{)|aygJ9L!7&7T> zJdDmly~DJS*DuCWEW-+{#A>X;I&8p3Y{nLB!*=Y%F6==7072oj_zhHwK+z}R@ z2!{xWgvf}BXz)S|#6ldzMSRE_k_d@mM^bnr1$>Z7pCg&n49%+NZUHrSef8WerINBb zsiBhEI%%kqCOT=al1@75rINln8K#nvI+>`FDLR?2l0`aMrINKg;T*}t*|EbZN9k-g z%+3xnCY>FDRcGhPq_ayfJG;f0baoe3oxLEF&R)ap>@#E1**92q=0PT%g@@T$bjGB! zn6TF62gDg0AR}9_WSM=!<^v$3P6iU<|=9 z497@}#u$vlcud43Ou_@5vX1OU0QO-&4&pG5;24hMBu?QB&fz>R z;u5alDz4)OZs88@;yxbW5gy|yoVj?!;ARgi)Ard1Ak|G(BBLz|-71AIb(jy}>Aq%o1J8~iy@<7&+{3w7z z@I?_6LvfVU?sbO}PnK9`u8?3r3NG9DC zhS6Q9`~IrDzB+267V4lb>Z1Yt&#!ahu?btS z72B~ByRZj)5r};_fI~QpqmZ@a1Ww{K&fpv_;36*TbLF<0qjG7%?0ujz z))r=GT^W2I-+%VsGG^oa_~w+OwDuEbYaWbAYvEzlT1+x& zEe_1qk}xK%C4*IK>B*$EOfXx^#hA2~7gntmCzIAn!ECJ(W71kxShd!GOj>IQqqR_X z{+)S!S9C`Y^g?g+ML+msAO>MDhF}J4Rb&-bV=dNU12$nZwqhH0U?+BC4+5|c`*9G5a0Ewj94B!KXK)thaRHZb8CP)~ zH*gELaToXS0FUq(Pa&(w3%tZ@yumwsz(;)6XGxHn@nQ6gkD|_n==xlUr;>y^NvV=F zI?1Av>^do=k|OM_IH&(3|CTX3XUGVr9HqC>FngQKnDjOcR=q7GlirrV>}?HW(%X7i z^|p&ldfN-Lx5JD{Z^vNO+eI?z?F!7^?l303-G^0gugIjgw=jAOb?5KS>pc(-;Smv$ z5Cu^Y9Wf9Su@MLH5FZJV2uY9>$&ef=kP@ko2I(N{NJeBr7GyQ2>SD ziy|n7;wXtyD1)*nj|!-SDyWL;sDWCjjk>6h2Jk~eG)5CNLvyr5YqUW-v`0sDLKk#H zcl3m;BYn^p{o#*+aAGiq>hol*n)7mT!8^ljHRtE)vtgM^xVVsHi%PcZBv2)CSwV7I zCFgWonh5kKQifT0F2H; z-SJQ4^^-9b(=bDQ8(sO+oUQ&$|9l%=`Fxyw8(nwTN9Mx19(uSQdb%Elb3F{NAIfL- zBDh8)x*kSyJ&f#n7{&E4s(vUxLp0ZDbk{>K*TWdDhcVSd^=))x{{A+)#z*sl{wx2> z=n=+di&?Eo@#1c_Jhrr9eE1`?*+w1Dss0;~O8v)X^DX(W2l_uXSIS?1+id%O=l&t{ zxaW1g^LHK)GQavw=i7egwo>{h{?~DmxBS(i%_g5MRR8l0nU^c0bNQCP>VN(r^F*C= ze)xAD5Hde8-T3)!rT_I4>Hka&)cLO8Ki=2K-|BqN@7zCRUNOG>wT{hp?spy#GLKSN z=YM|xwlew`{@3w#3!VS@`F%s?W5?3qZQ+&^UAu%^!c{Qd(%<}v&0{LlQcl?(CfpIu`7c;Aru#8W!| z(~p11yz(cVU;O?13kaD04VxoiH1%qK^d8(22mpZVh-GH;q)=YM|x0U`6)^>qH{=eJc1 z@#|k4r1L+2zP=&z#Vd9G=g-eSWZv++&Rz3AWN!bi^FP0TTcr@c{#$Rk^$@Hd-;nwI zGCDv1yI=p1xucWLUGqO=o^^(i+bW0n^QA@fcZb?%z~A@l6rb?%z~)k6IGujlCe^6%fjZ^(S|Cc|b zSp0u%6V_%cWUs-aj`o@y-R!kEj><9d5t`SRg~?e#dGwb$p^(B6RKTf2kf z0K1>fX196S8}ekny%EPO_Qo6o?M*mVwm0Q?H=Mm0|LJIN&c~Qrpe0(NHQJyp+Mzu< zpd&h=GrFKFx}iIIpeK5vH~OG2`k_DkF#rSc|G>wpxr5>04u*q!*AA|R9o)rma7FCk zirB$DYzKEU9Nf)ta82Xjj)sFf8V>G@I=HLh;I4*)``HezkR9CFaB!9E;40a{JyHjE zI2_#JaB!d0!CejqcR3u~-*#}t?BGs^gR5o-SIrLYb33@>;oy#kgR3J4cRd{3^>A?S z)WMw(2X{Uk-1ByD746{ehl49=2UpS#K0o2$E{KD>AP)XU#=)Hs2X{go{C(O#|FFWr zHM@g*e-5tO9kvwkK}w`TYNSD0q(gdSKt^OjW@JHDWJ7l3Ku+XBZsb8;~qXH_T5-Ot#s-haIqlTa3-}pxWse{~Xwm9DW11)uN zH;)Ux1z3p1Sb}9(j+I!2HCTuB*oaNog00w&osb(ud$1RQ*oOl+h{HGvxj}RSCvh5Q za1Ix65tnfV*Ki#-aSL~F5BKp9kMIOf@fwQMVG!g7(GYNh=&v^$#xp(vlVIFz2>y>C z0 zZgnp*K;MfzqV7c=*LNu|spP6o?yBU0PF}0zold@~VjlZ?l zhH+Uo+C!F&;H`D%xhxyKAj`(zS{ux`EE~fx9Kl-~$8%|IB4pY4TWfO|m)7RPXf4#g zo7%|hWfj>9Sw(hWCw5~G0 z_wWD@A*;w!$SU#zFYy|0@D3j!tH@`_D)J5A@e{vbLm0>^;sH;DM+8Jd6v!$P9bSlu zScrqTh>wJjRU`@QNCt1DKuX9ek_NJhq=&2`nUEP-ksY#%+Zx_V|eQ!~4zPCBZji%$BhWS~w)sARNGrm1A6P8OFp8B-d-^#y}gB1Z$HSSw;-6kg=0*5iwLXU zVv|X4@nH6rlriZoIjnliNG82yfzey2e>YW>*B3`gltLMlMR`;}B~(FGR7VZeLT%JV zJv4wH8lo|ppc$H@C0aw)k#=a0j_8Cg=!)*>30X(_K-Q7|@W((nF&INJ3?ncSqcH~K zFaZ-W8B;I~(=ijXF$eQ79}BSvORyBnu@bAW25Ye%8?Xsmuoc@O>&Pzb#$E(q9}eIk z4(s#ew3?yv*I&Gs+*EV-j-ITNNZ^tKFUZ|fM7-ZsLjw>@OiTOf?yLcN4J&+9MZ zGOpknuHzg*TGJ2Pu&nX^;*XkP(@Y1=)}tIgtx_kPrD$5QR_} zMNkYSP!gpf>qt42M@3XZ6;wlY)YRvRL(R}edSN5-VHFR<#& zolH9OgxOhC#-uYZSap_&Oggi}>?{>y(pg$qb(VunI?D~SGhfD}v!bx-tOA*IRvAWT zA@+~5hB)AdMre$tXpR#zYEu^C&i4coC3yRioW2*iFI zz#$yQQ5?q!oWg0G#W`HSC0xc;$Qp73H*p(xa1Rgg5Rdga@>`LU>kG59!Hh{~!(i3fL^A1Y3e3*tFeaVNhgD~*$fUEiFgx4Im~^%SR-GLrlg^I7 z?CcC<(%E@fb#{wPI=c&_vrzZ^?|J=4e8v}i!*~3|FW3+U?(l#o!XpAAAu^&OI=m1Q zu@DDw5g!R5Ye*8MTE*bXEvvXC)bv z&dR{5v+88hSuL2I`7tJ)HHKAZ?Z~9Fjxah4b-zD|*AK=}48sVF#AuAcI84AqOvV&U z!*tBVEX=_?%*R43!V)aSa;$``A#1P}>#+fwuo+vi9kPb(!fxzE0QTVk4&pG5;24hM zBu?QB&fz>R;u5alDz4)uZs88@;yxbW5gy|yp5p~x;Wggk9X{X_KI1E74f%ne2!ah^ z5EdQ?r_YgSYKF=s1@k?zn!8E$+)b?#xuhV;sggW8DXbE?oFJ*Fk}5i>qmueMX`zzV zI_a#EZaNvD5~of^sbnlqI7bR_cFb_fQ97Fqv$MsFNoUJo)!9Ze>1+$k&h{`Sodv?G zvlC>}*=d-aU1m%=y9TSy9+F9CPhfWThB4{vJ*+zWMJAoO!RRd1y?#ty9~*HI4+)SE ziID_J;f>_*K}w`X8l*#dWJG3UK{jMZPUJ!!c6&RDwD#NO?dSud>17>H<8I#Uh!K$;aWYSp=n4S4ECY=p}RcB+!q_gobItz8L zzmV52#!@W93arFxtid{Lz(#Dw7Hq?I?8GkYK>z}=9|v#B?NLJY)09K=O@$QqIeiD5@lcq0XTkV>B;nbZuG%L(Rt0X27h_1rC`61kipsiBhE zI%%j9xtt(ruaZtW>7|msIvJ*tkvf^Ek|{cwuaZSNS*4P--1VZu`(BqL7UB%q;gqBF zwi{+|2N{#zj=-w7^JLQ7C78Y4VoZ9w3#;B@7ND z(pyYe^_GN8dP@eQw@~-{*?4^ptRyY!({rQ{0!c%(d4d&Ddb@!k1+gSkk9SZdpM46_V66X z+aqvHW{=2my*-lta9?DeoV7>c*w7x8<6C<)jsxt`Z8mp)2`@hfE3<>M+`(DyV29^m zg?6w)JJ{tpSfw4T(hhcd4pwRhE472&o`bXA!D{{g?WdtwMI7uP9jqh{c99NN6NjxH z>Z1W1@Iym1LSr;RQ#8XrpNDj?19Gr>Ias|M?1CJuVE=p`@+E%;ukadg@D}gz9v|=# zpYR!9@D<E7x(c1kMI~z@f@<Bt&8)K~f|`a-={?q(T~`LwaOHCS*ZYWJgZqLLSI=FFy*P5PVSt#ZVk2 zQ3_?)(zwfAAg@7}xmHwL`TA;G?x(lqE!4JL?gFvN@1~NTIvJo6xeG)xRwWa3GD9V^ zb+Sw)E7{kxy^`${U$}-}Yl}_;ReC`GxD)Efo#qLb$%Q#rt~uo>{oRDw-$TZvzbCNj z?>(9H_X%cyzZjGL++fvTWHRY58qEIUGA8{cfK`7!WYS-1nEhpDO!~_PtNsd-Nq>c5 z_E(xQ`upo`nyQRfM@`g19n?jAG=Lu(p)s1G8CswvTB8lxp#wUiGrFJ~x}zt0qYwI_ zKL%hRoEVIu7>*Gbh0z#`ahQNfn2f2Ih8dWN*_eZQSb&9Cj3rox>{uKg44^!81I^OT5Axyu*8Z#3y{gSA540{DKW` za7S2pA{-(h5@ZdDifHgc48%fg#6^6_8j=W!VMkJUBL#er3aOD6>5u^#kr`Q$4LOh# zxseC?Pyhwti^3?1Vkm)8v4)&O*IK)0x+IMR)W-FZ4!V^n*VJVh{#n z2!>%eMq(7kU>wF{A|_!9reZo~Le`Kun2Y&XfJIo0rC1JGLsnrm)?yttU=ucDE4E<= zc49a7AOQQY9|v&=M{pF!aT2F+24`^|7jOxeaTV8b1GjJ+cX1C7@Cc9b6tafAz)QTw z8@$5@e8gvcjs&S08b;6EC~EFT*K;?XN)qZMrApH1B#TP2>!grMis+<_O3Le`mP+dC zq_Ikx>7=7dy6B{jO8WDJbEFt&#|Wn!rL)m6JDbdybT$oEoh>Aj&X&OJYz<@5*?L%Y zwu?+U+Y7U^!;DF1$6(djMKbB^3e3*#FeaVdhgD~<$fUEkFggo$-|x=rJrEAz5fPCP z1yKSfm*1Ix~Pu^@Iym1MiVqcbF@Tjv_U(xM@Mu*7j#2+ z^n|P-eb5*E;g5lEVlam4b7ZWVp>j#Vd%|orcjxK3yG$iqQb@8zCEIlps1muHAUUm) zb2_=ElAAhtqLSx2d9RXBI&o7;Se-;xNi?2tjuhwYNZ^#Cbe0%qXFiNcXQ^S;SvE51 zEGNv)3Nj|06^2!3<;bM7iZDB?$(VFj2UeXmC6mrt!0fC8W71h?SasHqOgb9?qq9)= z`V)EmWK6|0%)m^{#vIJU0xZO0EWt7?$4aci8mz;5Y{VvP!B%X?PRJUv2YV5SeK>%F zIEO7Vh94?&BdI;R&ANIbPxw-rz0X;{!h7Grrbk1WnN#Ezk)_TFJwZUZ4+Ax@{ zjblt&n+U7c=8#Ei^I^0W>TZ7{uiuQV*oGb0iQU+P0PMql9K<0U!BHH?37o05Q&fkb|iy0QXnN#Aq`{|NskQ3gv`i_?8t#!`Yb7=)^RQ< zWZ95^boAfm>qMFp8B-d-^#y}gB1Z$HSSw;-6k zg=0*5iwLXUVv|X4@nH6rlriZoIjnliNG82yfzey2JAP{Xw|4yX`0)+khlXg3CTND{ zXo=R4b)+5Iqa!+@3%a5^dP3HbKIn`7@W((nA?wIc$T~6tBQY9dFb)$S>&RqG!8AVOCTzi0Y=^8PyRaL35rBO-09i*4>+|HaI#1-H zg5A?iwG+CdcS288A{P}TpH%WyCvGZ{iwcrxDv6+<`o53{$Xj7e`TVAWe^GU=@w%-;GjCcO=SRd1unq_?p!dz;Fb^fm)l zy)7n_-j>1aZ5?CM+eTRRwuel53xv^IsJs62yk6Fk%aC>C8m{9eZs88@;XWSX5uV^F zp5p~x;SJv6JwD(QKI1FCL)MXB2!b2JAS^r(4iO;hNMuAoG(<-X#Dc6NaUttS0whFY zBtcSmBRPDK5~+~}>5u^#kr`Q#4cUkRJt62!&Au#ZUqzQ5t1X4&_l1l~4uM zP#rb(dE!v>S1v4=^KI44?x1IO50%J;1<4SV4A;qcmB@t!$y}8z(8&swtk%gkmF(2X zew7^3$yt?L(8&#z+~x^aCi2xFpE>0yoxOzF*+<5tvoEmf%$-a+^Mu)1RK}z;FIaV! zh)g=O!|W^-W71h#Sap_zOghUAvol}Dq_d*1>Z}5pbXFNgXQA%;8}s_6XpR#zYEu^C&i4coC3yRioW2*iFIz#$yQQ5?q!oWg0G#W`HSC0xc; z$Qp73H*p(xa1Rgg5Rdga@>*tS_;+erzIT=hV0QZ0_$b^P+nu1G^&al>nLZu`o#J6i z*TYo$p?sPywQDqu>tR~g!*s5P>0J*q=!fz%WOR*Yay`uKdYHxaFstifHrK=K`r&ks zFg#M9-m~#_dT+@8hkx&Xe0qv=NYzJX&F`$mp|_Dvis+c$IM<9%EBPe=P!j&AmC9LL+Yb4+I6!EwEP zCm;UVh27YLy$C=c{`mrN4x2lF4q@Q|PlQ8wL_kDDLS#RO=f6G~$+KvPj(ZxMTNORh{IT1#D{F^5+N~UgOCiesY?Ob z)TM&CLCD0IZ0fQ?Hg&-_2zhxfo4Nv!O`R_aqbQ1@1WG|Rb!8!&x(cWW*&tMfZ0c%& zP2FEN2n`u;geEXI2yGaX4MKbTWrN_^!zo`PyBDc!5&FX1A`E6swg|&uwMCdnCR>Cl zFt-SE7?Ul+d{}J}R*}gTVJ*xp!dAv)i?9P$TZDsTvPC!obBl0>G1(%Vht(G07MW}j z?!wq2gnEnVJ+J?W&yX4U4c`%bMuy?J%t#M-B0S6)8J#gN#6&CvpOFc9E;BL-tY%~y zGTE}GM+O9+k=c1JGcp%)<8L#v2;(v%i=zaB&&cvTml;_JRx`3LSv@p>1HorxGoCj` zOURb>Z!@wB<6Y5RZ^`Z)oEWDsC#I=nrcRcqWVue(t7MZ-_Nru`PL8SM zq)x7=(4K zWfe&YSw(_(mXYVuSr*7D^0&_NGcK!0A;>Bcyt9%#m(I#SR*}DTR-JKKMQWiof_LV} zbLp%xWEJ^aXYCl5Riq<2Ay{Xj-d-BSYh?`?ieVUmkr<6J7>5avHDoem4Vi}Nn2A}C zHDn%S4OxgqSc0Wkj+Kx#WDR5uS&t3agw2pOWIJRH*@fNMivaAy0mvG17)Njn$8i#; za0cffYsf`h!WCS_b=-ukA$K5a$bCG(BRs}aJjV;X!fU+6JAA+=$Qtq$vWEPCtRX?L zLDrD4kToQnK1ZUd87goeWTkQzxTTGL|QtBl3*~W;o?2oy~^X* z0%6tJ2{P&IG|bK}GbWu~gH>k_$)vLY{-tB$b~$}2U$Z3q7VwB2#TRNN}@Dm4Jij% zLn@*Ys-POGqb6#h4(g&l8o&>Y&=^h83@y+St1;fV z&O*Jlw2;>?#!@W93arFxtid{Lz(#Dw7Hq?I?8GkYK>z}=9|v#B?NLJY)09K=O@$QqIeiD5@lcq0XTkV>B;nbZu;s^@M2HFtgW+%2V&vO1}u zlG-|HsFEf+X|Iw_I_ag7zB(DEl94)@sFEo!`>MaSG^p*@pZz1-MvW{dzW@JS+O$6$25_Ju8lefAqB&YZ){!=7i}vV%PUwQJ=#C!fh2H3ke(=XY48mXx z!7vQRNQ}l9jKg?L#3W3?R7}TA%)%VZ#e6KlA}qmDEQhQktFRhtu?`!s37fGM+nAXD z}@+^JFp9~j_gGM_F+E`;xLZj7>?s4PT>sB z;XE$l60YDXuHy!7;STQNJ|5r^9^)yVL)MX3c#XGshY$FO&-e;iM}FWZf?z`!goOvf zAv_`?5~3g~q9X=kA~xb69^xY*5+ezcA{mk+1yUjv(jXnuBO@{)3$h|Rav~SR=q_g zlis4i>@6;1(pv&p_2xq+y`_fPTV}?jw`{QLtst57Rv1Qaq3-*u^7`tiiCU_s5<;Q$WdFpfgj zkrOzH(>Q~3xPXhetk09%YG%u&1#|wTn%Qskod2Q{xwIhhR7nJ#c&S7#ElBJt@zzON zm1NLKZk6QINl}%Q&`IV0#onDqZ#DM)!*9@FNF^ylvj$TnWUi#al!#>NEF^Q1lqu1- zL`or<3CXO?Q)JF8Q)ZFO5|O!{*XP*Jb$`G2UUtuK{jU4I*S((QkJowaeY{VuwXXNE zkA3X(I_pfyepzxzN=i;Vo5T;b9a9#s(b@6jI~!&!IvYVzXQO4&*;w+OO*9srO{S=` zt7OsHwd6aSVJtekm7>n>lSO9_lJ9JevFPk6iaL8m7M;x_*V#(<{R_Q+5nu2nU-J#$ zv6vk@0o1~j4xO=-@C zw4^m{*qBY&jJ9mSmb7PUwqaYgV+VF(XFAcDF6>G-y0aU5uqQp)n|;`q{piC197wDo zhj1t*`g0gZFpxpnH8MEO(0H^k-_J~QcT_fa$E745EhLww1b;7!*XZmo@|{&P7M<0ksIz*q=xiPGoi#QVoi(GV zvrT2u+2-Us>tHN8+n%D%y2_%n9^^agWh^@DO;Kn4WYO6Ga-FSo&p*`rhcTQHoW|*# z$w)?V4x<^vSjKT4&+{TL^9rvqk2iRex0%noyw3-G#K(NXr+miee8E@5 z8uBgQ@jXlUk)QaPU$SdtMVh-+vbkF;&FVVYtZtZ+^|Pc^N;b-pb}88^OFE`x7rQD~ zbnL2jPk%MUURl~Vr3YvK+~Mh;J2Fd#q~zo*IU^-!IdL!9&lPfhS-eJX6Ug^A#aQ%q z8AZL_AdB8^BH!Dc#-g`b6!rF~EP8vKd~eSgi{4(KsJFLd(c3%Zds|>EdRs_QZ;NHo z+YjV=Tj`#^s`po;1~plY)v3jr)L|{^QJ-~a$hxf01~jHA&1k`fw4yZ|u?ew`v}JSJ zu_arvH67TFSVwkbCpyxJUD%bbbf*Wqvj=<8lV0pgZ}z7TeL0YWIh20%X8?zD1V=K6 zqdAu2IDx?o;UrGx6ozvuv5uU zD}2fK{`5;94`t~SDSbNo=Uz?!-0NBLUP?a9k}p&8O_nT8$+9dd{=GhiQ_wg;MR4Kk1*Qi?6N?VtI zTW*{3!w%(#+m;`0SAMvCb{JpN+o61P$MVCS$`5xgKkQh3*eN@Ve?#Z;(Ot?9yOba9 zT7K9y9qwDLN~KC&(>MC!Z{wT)U-FGU|DuZD=!@Soj&Jn+^IN)h86|hDk~>z((@M#1 zqhz;H^2AcI<0#p2l+3!4yI0A~E17x!rC*e?EBWW|j{9%;yW@N+^Uqf$|JVJN?rP2B zw{+tMc%23OdTwAkH*qt!a2vOCCo`GFJ>1LvJitRdLTvgT<8hwgNuK5zp5u99)AtfD z^D3|LI&bn8^LdB&c%KjXhy{GgLKg8kU-A{-@Ewa;!VfIvCzkOGzwrluvYZuErV6W2 zof@o4Z2H!q7PYCvTC7cd8qkpSSfAKDG@&WYiA`TiT2XQHu$l9=Y(YEPvlX#<*p}_s zf!OrzOh+ni9=bX2&Thn}uj1xmALp^@+mG1v9Y9|yZXQa``*Rqv=^MzA#OC1`V$*j# zvFSUJAq?eYPGJPG={ud+^o`^!V)HPX*z}FXrtfbz50i~w#3kf559z`2YU9`1FnWrK zpOn9~EM8**-a&o>-e)W(;DZ!Rz^7y}0iPv50bel|6L20y6Yv9BOu&!HPrxsX#RUAC zq6zr3EGFQuc%$R#%*dW%?n+M<@qw17nAz!> zV@~$WF*hagftKX$l)RfIpQPloEcreqKW54ADfuf)YNllMEUA~0b+V*cN;b@rO;fVD z6W7QAu8!@?;x!hHorp!FVrN~QM`t~VMdR-}>uo$b>q9IW6+7$aJUSadEE<2;*>T2W z(HKlD8WlSm?mQNa(}+dm?>ZY}JQj^{oJYmZCOMDJE+!U@zw7K8^vN>C@C0h||NQdki>6m8dzdq3VU-5_N`?^A2 zD2vzV?Pc=4y=5$VdxxUl7RsWx&&l_;*jV)T14X_4DU04#kngRAvFL3zih8Rri{2WN z@2#n^=&c1sy|tA^Z|%tSw$e|Vx_EzAy3>Q**@M04NiX)LH~Z6vz8uKG96~?(Gl0W6 zf+HEk(Hu*xBPTGJA)Lg?3}ZN_66?qr#5!^oqd12$#&9mMxRrCi44 zT*=j3!*xvK25#ggW^fC)aXWW%7qhsVd%2$nc!*d>9%VLDh^HDoy}s7w`Bp&B(0y`u_-w(OD3n}k}SD4CD&)kzx@a34{~*UT^6s= z*>~hS``K7@_A5o5Rh30&)ya3Zrm^U(E=8SfAdAkLkngOuvFL1LiaOg`7M*QNzOzoo zqO&d(b=FfBo$W)ev;VYrj5VZ0e-7ht1~Q1FIEG_6o)b8clNib{PGJP6ayn-)l2M$^ zXv!GNxtzy%Vhx$dg-qrmE@28&xtv%-uHtI0H$=%$;ecaE3 zJj5f+<}v2*1W)la&+;74^CBJc*Ljn-na{h#8u9@j@-Ykel+Rei7uhxPeVXs_ zNa1erSDNpYviV*!CGkihStljyW=XS@#3O}d^OS6vCENFJ^sk4B$aGv z?Jn}IJzy+adzhluo{>dsbIG^%nz3l@4T@U(NEWSqLaw!y?)aB_|Ihrwul&v*{KaxA zQH82hqdGNNmDO2;HK{{g>ajNK(13MWpIAj2(}ZR;XG2=jnvIB6WK%X{bGBehwqk2K zur1rO13R%Zo!Esgbfp_T*o{5di=OmiA9}MNeK>#vIhaG}M~ML(#t|IJAdV(hk>fa? z!JNoRoXjwWXIIHtX@;JY&D{xU?oP_)?qw;tGD~hs$t_tjD<$`4$>S+`GE4sLKht=K zt7EmYc#Y0#k?*X&vFNNJMV+;fMQ5$Zch=Tebk>fd&UTbVXC29R*4LFY+?4@EWi425<2; z@9-|~^C2IxfKOP+XMD~Ve8o3>%VNIg2Y%!ymhlU}5o^ew{KX0?QH52gMvd$msh#F~ zJWyEq8>RW)G@I{jQW6gol5JA5U6yoCNjy+U_D;#ZS#nTH4$YFIQgUpT3{A-?S#owt z%Ch8wlw9bg*m_bap@a&K@%sojpNOXD`X3vscM? zHs4ru_8vu@Es{lNUy|=^iLvNxDMg(vmqlll$#u5U-F`jquTKLSvL5Txh{iOfIW1^O zE84ITo3JTu*@AYoXDha$1KY6!v4-qSM>?|$yV8~J>_)61d$JdM(~EuCkNr7-z8u8C z97;d>a~Ov+kRv&YqdAu2Ie`-y!cb1;6ozvur*j4)Ig7J7hcd=;F6R+z$OTN`LMCw$ zmoSB?*)?))nxXMPVZPsy=I+dF?mn23N3!JElsum$^HTC=mVBI&PqXCT{xgmJTpb&i z#cOo78Trn(HWr<2OHpTCWYJkS@}2cG7M<-wQD=w9qO%hD&ITEa&W@p|vthF6Yy|nv zMj4CFMpM+;L|Jq;nOtWp-RrvQt5BU9tjg-F!J5>jE^Dzi>(GF8i8W*c8qtKNG-pFv(mK0FHc#_C9w=NR zJEi&FDVy&-QWB37l0GRpFiQrcBpxRugHv)+mYkN7GqYq|O2%i&#VNToOQxmd#w@u# zC3iV-AMp!z{yby6MrX6hclM02=xi=UoxLH8&fX^9*+<5rvrj1M>|0rM_C5K|elZrE z{Z3J5)nw6GP4b=9F&3TGqo}jSvgoWCxz1L)*KhCrThoDU*`6KPiJj@hE_9(Q-RQw? z?7^P&q!;_poBim+0UXG|#2V6%5(7AlBN)gajwaTS<2atdoXAO>%rJ&Cg3~yiGa1P! z&S5lT7|S@$V>}a>$Rs9nF_&;DQ@NZgxr%GJmT6qijoicxZXwo?+qr|gn91GT%Y8hM zT_caD85)lh=KITO?!K1I-FH&*ewKWmlCQGlhm`!3B`Z==B}-OINv$ktn3DCgq(w?v zWl6h~Y?UQDrlg~j2J@@*ulW19U9;cM?UsEPF1|O{z5Hu&J<1PvD?i-5{BV!*!#&Fn z_sS0AU)HmHbno)RUgd}TlppR}e%L!ZjDN#^<)izTANGmEe||sL3SF{7|MUB~|NMUL z|J7d>XZ8B$JCOek-+}x${t~|b^!vG%YQLWwuY0+jj}o<);ffuTk9FJxV4@|{?>Y~vADIKPtmP)iY#uemyy4#Z1w)+FIG^ADy%{^YEYBaSe;s|NgdXr9`#v=hOEo_G@>z0X+{e+q!q2% zh)vj(wroy2wqz@|p#$3yTfH6GiH>w)7j~s9-RZ&Zwlr1$XMR67et+~H{})B+Edit&a!B)Gx_#*Gp4=2{cxtY@%=e~ zz8u8C97;d>a~Ov+kRv&YqdAu2IDr!x!cb1;6ozvurxUBmNY3JH&Y_GkoXdHf&jn22 zLMCw$moSB?T*eh#$<%*_JkJZf#LK+OYrM{zyv6)%ckqdCbg-{TC7cd8n7<0hHOA1n$VQyY)DI5 z(}s=Ngw1Hn7Hmm-wq_f)Wjl6YCw8V2o$11^bfY`Fu?Kt7lfBu8ec6vb9KeCZ8gdAS zQldYHaRdVylwBi()2xoqe_SJHrujZ9oA2XN5}*G_E=|ehSu!mp@%fMBu9V!JB@d@$ zc9zUd$%|R?MoQk!l220dS(bd8lJA}P0O@d7$M0qF8lC+`zO!n^qO+P5byiOnovlN@ zv&P1vvt|@^wy7*S+nju79gIb1+f&q8S6Ot{gM4Sbj74X?DeA1BEIJ!NuCtYXI5X7y zhcTQHoW|*#$w)?V4x<^vSjKT4&+{TL^9rvqk2iRex0%noyw3-G#K(NX zr+miee8E@58uBgQ@jXlUk)QaPU$SdtMVg`U`H%TtE6v?H+1zcIlKA{b(kdkzWl6h~ z#OFVfjw#ut|9{;dJU_w}a(-F7MsE|y_cp~?^mZ9Vz1<*--fkk_+nvUuw^bTd_4A*p667c4Q|y(urNzm9BKB z2fMQed(o3#>`QOT_+c&Ie$?$=dVt4{<`dHxH%=axd1E)@#|9mQ-AP$petm(vUrW&8j&Q#I z%&WY{>%7TZ%;z26<9$BlBNp%}3t7bHe92dQ!*?uZ39*hWb5sVJ+6CJ`J+#q)ECO;<3WLVY76dY>{0j+ooiPEa{e#-Lhn#lfw=k|kHA|^qsePJv*`e3FuQw2*wCk{`3=_mupVB{fsBdY05n$vRomEF~Le z$)+jU+==UCkSk>SvUrW&b|T+fS7Xsz4~ly0EsNgzkngRZvFL38MZFy-i{1v4?`^oT z=16wJjla5!fYO6 z4o~tF&+sgBd7c+}iC1`ydAz}!yv=;x<$You`G}ACgira5MSQ_m#5(dV-|;<5_>rIZ znP2#o-}!^TSWYFXP?c&_rzWeiI<;7nI@F~eYqJgwSeNzLfW|bT8O_;{RzXYWwd*+N-#_Br{^ z78{GsexRtcKV{L`3i6%RFczJyMp0+=Wzktf@|`s`7M-=AsI#`R=&T*N&Q`kT@8bPk z=}r%JXAkzGC%xF0-t12w`f?x#a|r$D&j1eR2##bBM{_L4aRP%G!bzOWFots~v4)(% znViKa&Y_GkoXa@QXFL;_$RsY}Vy18@mvK2)ay8d*9n-jh8@Y)Y+`?_#&Yj%FEbiuB z?&kp>BG!;cna$(O;Ypt68J^3okyq0UeLb7IAEvpxAe*~irsSI}S(cLDvZQiKR>_ju zDOoE^)=NpFENPRHO|qnYO18<8&MDb7OZH63-cDR2N4q)>DvQ_X>`?NZ4Kx;=9Ys-R zLuJv~DdamFX)HQBo1)GxkVR(~lJ9J)vFPjyiaMJvi_UH)-`PxK(b+u|b@rGnI(vd# zXDi+Bzv2CFF`svMkN5eIk66H`EMyU%^Ce&L4d1euCH%lreqtHF@Ed;+Yshj|P?;*M zLN#izDzS#FK`m-ihqYLn`ZS;+>#;tKXiQU@(}I??q756d37gTDEoeu3wqhGPupK+F zBRkWP&g{ajbfY`F5o^ev?8V;nVqf-Se-6m5ky4tW@krr5a(tS*CuVbZL`ve3LNYcb z=Vi&{l*A*2iD`WUZb<` z$anU$vFPkqiaM(*i_WT(?`%zD(OF%JI@>@Noi!ofS!-j_*~S!gwzVue+m?K1os30i zT`20Trz|?#hg@eX-R~dn{R0`qQ5?gu9M1`y$Vm)k7^g6TQ#qY87|AHkW;A7t9$bESNcrKR<%j*s4@=o${2Th0j}9n5 zJgofi@bbeW$`1#YA0C+<`u$u->2KTnZ~Oh+msr zR+ZeDO72W0Pb4KPUCG_4WVI_rw6;SJA1Gvd(o4<>BT{p(i+9Bh!e7ppQ;Et{WffwBP?J?z zo!Hc^Nq&Q{jYZV;L|k4@c%#HOw_`3=J6#$r>qC9$cixIx(7d2H%-A~toM z=u8)Or5innP2C>ErmiP@6B~ry#HOwfHg$iyK^S2CFpeOY!C+XHyZ@MpL?wT zMNgB)7U4|tTZA#jVv8`2qAkM3ve+VAN`8xQjj`AwOrvOvaJwwF2zQa+B0OL$wg?YX zv_*JE7F&e52;S+LOg!G8ajKzXXN_MV@5Wn3C(Cu#TmJg^VqU(%4TfN7Q~F)ikOid z*p|PWk-Hd=8QGO?RGg7}Igc6Hi=r8MuWpfHa?8u*_Q0A z^w1EWUU>wWkRB%{Wseh=r6fMRlH8P%Te4(UO5)Qi$>S+`GD}`a$;(;tPDlD`d5@c#T!07O{#{?5w`?=&T{Jiu_$?EsRHJt%y~mVrOlg zM`!IQ>TE|@tRfwWRit8P-JM5gyA!L(-*vXX@mNLrav&8u>+d`|JDgZW{;snVj1OiA zCsCoZm437|+IwRSIhS#q&v+&Bge9n<;AT9$B<@Kl#=kGZw8qK~ZZj$)dGa z$+tG&ShV&YMXfE8MQdM@Z*7UOXl*G)tu2>DYn92hw$cxm>Un>C8qkpSSf55TrYX&7 zK}%ZEhK<;SO=-&(w4*&+u?-#Ajva_qWM?|knO)eGu5@QNVinnwSVi`x7yGgw`*Q$& zIf#Qflz#N*Fb-!RM{*QLb1cVm0w*$rp`6Sq4Cho%=L|-27H4w~WsK!q&LdWl3z)!# zOyVLgVG2{TtK`}=L*w%+^ZkxAcV}jE_ra9J=U0+vQ}TS4%u7jpekJ)hC7))=*D3if zOMXqsA6Zg0CDpT}Zc5h9k_}SQ#EEO<1Xst#W$_xFZAQMct&K%z+fvk77g==HjeKW4 zjYVhsP}JEWvgoWtzOzBbqO)Tt>TH-SIvYX0vr)#Pv(XfFHc=LxO(xgbN!TYB( zgPXaP+qi=}naSPU!+qS(gFM6|Jj!Ft;R&ANX`badp65kk4S9uEnaAtA$y?0lU1AOS zfDieY1$@e9EaD5kk@0o1~j4xO=-@Cw4`-*jclG~=$6^s-6_r8PTAb;k&->Kq)$rz`~S50U{}Y( zW$_xF%_iU3GsdE`xfFHwhAcXJn|x;<8H>(7p{TQOWzpI9%rJ&Cg3~yiGa1P!&S5lT z7|S@$V>}a>$Rs9nF_&;DQ@NZgxr%GJmT6qijoicxZXwo?+qr|gn91GT%Y8hMT_caD zD_%i=XU+lzc>`x}eS`cl-{;j-xLNb;SXU@STtLQ!XD$fC2e z$ai+GvFPl4iaMJji_R`1-`RD>qO%()>g-NgbT*4zXDi+9Kjr<;@Emh_ffsq1S9p!r zd4sojn|FAZ_xXU2SimPNf=X0j6{=B# znykj^)M8EQuom^G&pI?@UDl@&jcH0VTCgFlXw61!!ltxkbK0>bTd@rt*p663c4Q|y z(urNzm9BKpu93ad42{PL^Zn2?cl&2^_o$S_!5HDu9R zZSt)(Fcz(?M^S4nWzkw2@~v%QELv+%QENNPqP5QCT3hLEzqj}A&jIx1AP(kG`q7`m zIGlkT$x$55u^h(ILOi^c7$)dAs$#*uxSafzPMV;Lzi_RV--`N~v(b-cJb@qxZI-5tXvz6}l7kd99 zzTiu~<{Q3aF-!Q7rTok?e&sj*;7^uQiON)E6{=H%Rau=_L)N4=byPkOR9`>-$j z(T4*#kXSW!5AfBpf+p{|eL%i=X!`-^;Q)r>`JH7RPXo-A5h zhkR>|jYVtCC~9p}S+uq}`PMoZi`KTMsI{)LXsrkN)_NI>)_POaT0dE|Hh^4fE8Xu8 z_5NWDX9TBlI%hJHQJlkQ#xRy~oX2=BU?P*4%*9;7rA*~=t|V5GYq*waT+fY6X9l+r ztH|x#!Cm~nv!vpFzwrNUdeZx!;u)T0F3rH8Rpb|}BJpMV73s_L@mS%`P%F*sI@!!_m}Yi7R!CZTC)+G5Nkyl!7|ak( z;$%)?IHwY8$r+rFN@B$A>Y}~#-g*%6m_NtGpz`m@9aVmKbot>i<%h?X zA0C$-#uxOCFCRUj{BUsj;fdvkL&^_N$`0e-FtmL16ded|M&iK z?!&GB`Q=<+`5Mm!OyHki&MkQsD%r`D>|{!whe~!cCA*oD=h%|FV#$uC zxhI$0lS}sZCHLi$`*O)1zvSLra&InqIxAW0OYYAl>wU?3U$WmXxlfner%U$yCHLx* zdv(dvTFKg9a=$KF|4Y{Y|MD+M{u^KDYqO%VHK%#}a&9cy*IB@?=LV*86E|}Uw{bgn zGLu={!@b%j-JWp)+UgBk51R8@`?CNX5-VH|O2ijo9#2+&t{# zJT`p$5gWb(=u3soL-kT>yT8%Hh%MhhjwH4Z#}He-ehR)~ET-T*il*QPvY3J&lb?cL7>g!V%Px*{R#47R?v5I`lcYMzhe&i=&75Rl&MSkZG{$e?m zs6wnF)u>KQR%LZ+5vxcY>QaxjS%(I!%lgDB(wHVRqd6PWidaQ9B36-2iB)8CwqQ%P zB36+O*;Udp&E0=}p!L7v*KLS86~*XZqK^1Z!fEP8u~qTUwDqPNe<_qNzr^!5Wq zz5OYR-d2$Bt%kAaZ8eH|t1pY*8j|m=sj=v-1x3BJl|^su$o00;Pn)`Ue^`ZvPDy;AC8?c~ zwX$Trlr+kcHYwR8OWLPo8y_&o1?qb2+`q->`R?bd$M=!{(;uNf)fIA3S-eJXhm!AY zpt0!fD2jR;DvRDuA>Z3bW6|5$6!mt2EPA_;d~Z{YMQ>M7)Z27f^ma4(-eww$-tM8O zx5s4B+Y{t^Tj@j08{YpG^LdB&c%KjXhy{GgLKg8kU-A{-@GXm3!VfIvCzkOGzwrmL zjx1*dm8rriRHFu~66?qs)S@~`#HYMj}$>fw=k|o!s_6=vV+|?MpTjtufehj(j^S92=LAmVB!)7KQy9UioX#1H zWE5vJnli?6F6S|xSVJaqA(Od?OPIn`E+^KItGJqLxsL0(k?G9fW^Uy+?%+;layR#I zANTVh5Ag`Id5k$c!BafVvpmQ1yvR$u!mG^Vb>8G{=JPJGhJ3(>e9QtqmBnjxb|(4G#u$sv#!=MS#j@z^Qu3W$V=OwGMp0+C%c8Tp z$anUDvFPk!iaL8n7M;x{-`Q)%qO&(B>g*#~boL3k&Q`kTU+Voo^9#T7JAd#O%c(>a zs#1;W)MQmwXARb*4t1%=+N?tZ)@6NS4QWghn$esMX-R7~BG!;i*^JHEf-TvKt?9tF zY|jqt#LjeL7rM}uZuDR`_Fyl1(u;lQ&3^RZ01o6}4xt|<25=Zha3q5`npi`Q<9G&h zA}4V&!x)}jBWI-ePra)zs8wX%4P&T5hGtiG}6tRY36wU9+;t;l!Q)>w4b zj-t+XltpJ9$#>S>Sah~KMV;+0i_ZFz@2tPE=P!Wn9jcT*Wn9$24x>Ms8vTw{RP=hTO?r%;IkDK9p5Zy>@&YgNGOzF&uk!|P@iy=9F7NXpAF+T>SjcC5&KG>eH+;)tzUK#i>8<^W@tQ8xLY(zbGK70^yoRI9D zl6|w}pp+b%B}b*?*en^El2fvz_)j#R>FT(mEMB9ttI2mZ-B@&XGew=mIoh_xPv*ohrtTMUIR=U@(=l%6* zKttAJeHzi2rZlGoEons?HewStr7c^~j`nQDHgsS+b|BV}o#{wtc41e#(w*IiHDpir zVsCn}FZ;1S2hf*;IG97}M}H3Ea0YTDM{zXAay%z+B10I;$(+J)PUUpYU?gX8Hs?^r zSkC1YU@CX3ESkne1ivFL0xMV(EQMQ4-A zb+*#I{tezgof+KBt=z^P+{sMt<{s|jejel@9^p|QV-8R76i@Rk&+$Aj5^KmSyvjUY z=S|*XKJOB1$OnAL$1LDeK4TGI@FidK4d1btCH%-zer6fJ@*98f7t5(cWva3Y)v3X% ztj-#&Np0$~7HhK(4Oo|0LpGohO=wDUHl!u3vukAYG(+QY!hGK;&D~Df-0hK)c$|>* zNy&j(G9V@KI3XFFl9RIJw3M8gCF4>uK1(i6$)#B`EhRT*$?Yk*%cou{Tv&JhYG=7Z z9xjX5=xsLn-kvcQz0IYlw>M`|Z7dYdWwk+p_~Zu``|6g)VfZ8$H;KJ=l|;^kN@+ zvmbppfCD+0SV#I%VgQG61Opkw(Zo7(9LF=56FG^K8OCr%a2ls`CL*Vn?OXHEk zoPRmZ-`BGF`%X&YkwWr$O1{dHA5s#J6p|GwsgfnDrKDDtG)&3*S<)gUt+J$DO18?9 z9aGZLiQmv2Rq;1;hiAW`J0km5TzrG>)bcOLomPH$dimiQ<%egMAC4?PJS#hlf7z(= z(X-1B&nZ6~U4B?remEvOjDN$}^3ik4568vf|HNl9hsS}!AiYrW1` z+*)s-=+=6tEN-o{$lY33`mxMY-v12GF_#y3k(YUe*La;bc#F4rhj)3O5BP`$e8NIL z<8!{?E50GNdW-p;4*YSf@6tFbz@Sd%)eMLp`X4h>nC z^=U+7n$nCGY)C6wvk{xHDQ(%Dc5KO3Y(odOBer@wvJ)NY#4hYgSGv=K-EC>A{*V5K z?&$dQB551+MB36koo#7eN?V#&)0Sqnvt1|emBnjx_aXW278#4~zNDzTrLyR58Tsy( z8;kBLQ`FrWvgoch`R*DRi|*E=sJoW3=&lXl98On*_=ZeV>p-d zIG+ocz=cfWA}(PHQ@M;QxRR^6hU>VV8<@^b+{`W9#vRtzosNU0g_Zt$*NgW zHzn~2kfcdUnrF$zDcLMbwoS`I%+>%5VI^pDd>mm8r@qRHp{3vO2Mb ztVwO^vKDJop9ZW;tRWlFh$b|pIUCZF*0f<`HeoZ`vISexo~_x2ZP|_;*omF#L}$9N zE8XbMZtTIH^ki@LVPE#64+n4{v4$MNp_J&)VI09g24&aC;50+y6Cm^b%rtjLWpj62 zO5zhB$)zc|JWHmfBt8L>+?A5Mv*h8F%+8XzDS0tV-bl&YS@KCrKFgACQ}VqN*T`sB z$M0qF8lC+`zO!n^qO+P5byiOnovlN@v&P1vvt|@^wy7*S+nju79gIb1+f&q8S6Ot{ zgM4Sbj74X?DeA1BEIJ!NuCtYXG&9uuhcTQHoW|*#$w)?V4x<^vSjKT4 z&+{TL^9rvqk2iRex0%noyw3-G#K(NXr+miee8E@58uBgQ@jXlUk)QaPU$SdtMVg`U z>5utdE6v?H+1zcIlKAvT(kdkzWl6h~#HT-!jw#tCOLkAmURlyNB?o88;VC&XONON6 z7HjARp9+gFBkCX50 zIb+e;3lw$smMl7ZhkR!Xj74V)De7#oEIRvvTxToY^H=r$YSf@6tFbz@Sd%)eMLp`X z4h>nC_1S>NG^H6W*pODVW+OHs){wSrPCK?_E4HQs+YxKXj_gE7ITFM0bhbD7&JHjZogG9`X9H!?*-_*>JJDElHk6{yM#`eIv&nU~(!Ku0-amz@T*eh# z$<*v$%(Qxt|Akh)0Mup5Zy3C)SXcc$rsu zjn{dTx0ug6yvO@|$VV*TQx>v_&-s$C_=fLT%o2WJDL=7{U-*qb_><+VpfXihh3eE` zRbmZUgId(44r{SC^=Xh@BTdo_jmHV|eX}%ox5(!1wke6n2}!qj$6y(H9EV4d}sF=i_RXTsI#YJ z(b==)JA1`gbT*Ho&OVSuXCIUA>`W&*(}i8>Mt62&4`L1J$=>Y4zU;^T z9KeCZ8gdASQldYHaRdVy#8Di>u^i6{oXANGWf-S0f>Sx2Ga1P!&So@ajOAR;V>}ly zkqep3MO?zAOyzQ74Y`V|xt8m=o*S9Y3~qLvWWSvIukB*Y`q^m)&&g)++%$vZp+fR@ zO5V+qPf`*O6_W2$@?)0#o|3<^q-IK1&ysp6Stm=HrDVe_*)%1aJ8`9)>l)di?Lg|omffkVkUQU5BKo^5AraNFq_Ai!;?J4Gd#;&p65kg;uT(F z9&hj_Z!@2Fd7oHGKH_6O;Zr_i5nu2Xv66htcYMzhe&i>9<`;hDcmCinmQ#r;RHYi# zsmZFWPA%4?4t1%=+N?tZ)@6M*pfOEoMsqf#6|LEbSV=ZzGd5=nwqz@|rbBi&>6m6{ zJXDzPd!@PCE1SE0QxXpqk|R@cbe0TBNjy|Y&PvHSS#o|#CS=KFDY-IBZb->ZSu!gn z_h!kXDS6z9Yh;|O>TIDbI{Tb_XN!$RXFpKX*`KoLYz6tw zY8Z>oR->r1`m*S(A^Fal8jH?aP}EslS#;KpTxToY@ptk5u5_mdyR!#-(UV^6OKVC*3~u2zZs$(!VitFEFZc5R4-sp~qs-=U=I|s> z^9;{r*T}1BhQ>pM`Tk*=y9=_p`(;Yvp+d4OCBJ1!<&?xjg`{>$*2qPGjl z_cqm7^mYYBy-k-zZ#R?gZKkp4?H-DHdrTI+JwdLwmG1iA@cy@$&pW)w`+UepEZ|cX zvWU<5lCSuNZ&}O|eqbp-v5a5%jX#KWWH~FSOchq48Z}szSVz{N7PYCvTC7cd8qkpS zSf55TrYX&7K}%ZEhK<;S&1lOOw4*&+u?-#Ajvd&Mo#{wtc41e#(Vg9hb!1QWVsCn} zFZ;1S2V~btDb3$_urTM3Pc!?(Y-W#0Njz9c#-`-FESa2=c(9OMo099Zb4{{brm-V+dGd<-A=@&t`nW1YBB)>%%V=T4^<0#r9 zTr7(%!lmT52-g^kEy6U4wg|V&VvBGW`7Oc&#$t=`FhyI0XJoNOm`i?(@S3sMBD_J- z7U3gVY!N;ow?$a#$4g7S|7U(7X5{buLB$za#d*xgYE-8t`59TqSY7I|HWg>&`p#oU zHl_*9Ximi$xsmhOvTn*|RGg7pIgc6Hfo=J_8M%w`n2}xSM#UMqm-CpBy(pTI2g?qj zA0;Zz$RnK(;%H*a`gb$(WaGmao^8p_N)HY336@8Y3F&cSQua7;SxVv)EXhqNxg|?x zr6fMVl02T0C$r>*l)RiJ@1*4YELoV6&$Hx*l>C$>f2L%G6OSzyxI$Jdi`Q61Y7whQ z#m?$GkIotrtH|GV*1~vn){0m~Dt6Y^d34r}SVjJ>vmK4cD$aldc&s8rIEf0Kt@Pui(cT+t$hnN;e8w|@iA>@m zVhx!>tRa_iIahKOv4&j7G;ZKVZej+va2v6P+)1ni$jiLKYrIaZA#d?E@9-|~^C7W@EZ`Fs@)@7=1z+(E-?EtR`GFt#iDkqZ z@*A;+{7I}KE2u;jRw34q8rd~cJI&De1j~GHl;&>JZ0@#6NqmAO*{1*h)bHm`aD7}+ z7O&CT)#O{7ZY)~6nWEP2kwt6wlW*-YW6|0Z6t(t}ELwY&d~5TKMQiU-)Y>9hwDu+W z)|MEH)|OJ#+HzU6R+(IDY1LoN_!`urHg#Bwdeo-@4Ox%%X+&e1(wr8wq!n%Wf7rVd zs2$6{U-+bGQZ&&ZL!nV=o+*hYC50xpAvBjJjVO1TMI{=9Bvj_oXi8{ORMKQhh6W;~ znfLQM&i&uF=iFV^e%F8Py`J^H|Gn1ddam>MUbp?MzQ=J~$9X+B*WT>Qe$?SW>T)oL za2SVkBu5jw$Z^!;cuwF%8q$c9iCyG0PUj5H+=(vJ3Y;9@S} zGOplCuBH>$ave9&nVYzUTj@eqZs!h)>A_vxL+m2=^8gRhi-&lGKJ?92$$+#L;`1wO zVMJObqq9}=N=oAME6MbfyptufQxczFNfxB!>n!;$B`dPzx0L*uC1p}lHcKj|WQQ!- zEhSZ5cqi#;b?j3dkI~uwi_T6W-&qr5(OFXpb#{R)Ix8aISw~~h z*`*Zf?0Q*rb|d-Dx*3bkx>KmLp0enyH@VI>`r*=GuOGrthVdNFGlG$fW-Q})iSfL` ztGvz|Ok^@sn95sBX9n-^9`Ex3A2OSd_=Gvk<#S>W`HFcgU?E@g4NF+Ya=znxRnKHO%CISADaRIU#nx=Ywp3(0c3>weu`{uU>_!!;QjHqyNlj{J zYvh15Ll5$Q9yZcJnl|&&`rHDY+<1u1d)@S#onqZp)Ip zQ*vLHJe-n8vt&?8p2?CIQZmZ_7HW*YasHrH@>+2`Mt2j)cQ?&gboVxey8Bob-F-^F zyZOeVyG0c0?gv?P_api4el-@|{XwDbHj_nno0IRZg0bjsdkS^8yDYk^POiKEa)OM# zBuF-UUE5Ca1~c`4c8HS zNoQg&xtUwIjV^TK4q`94lOEj7J>16w^rRQPd6-9dl)gO16FkXN3}6sX^9;{2l;Ol) z@&Y3m#c0OzBC(geoUN5fX_m%~h4+S;Y5va2=I>`Ii5m;a(v*CgC96{sHx`ohDcK}T zwoFO+EUBE5U9)75l+?m!TK`jPK!pt0y|FoilBDT~g=kn3!t zr~av4AA86QVh?$j_n65C%;F*pBVlk)5c_&g@DRcBdNE*@Hc)MQ!$G zU-qL82T+%TIE2GEoFh4kV>p(2)aL|Fq#=#6HF8Fpp=V`t_rf%HTW53k;*?yLB{!tx zrYyNVCB<3tU`ig!lE+ihKTC$C1Wj74+HDb(B=Sv0qnd~@rJMRTPo)ZEsxXl`5b%~diM&Fw;==4#5K zxxL6Ycc8Io?qCWvcbqJmJDyx~8$J0q^ZIjWK}*i#d@iIFt!Ya;+S7rHxrEEOoGZDS zPF%}%+(2h;;uc~j=|Wd-=MIXwle@Tw*h%i^0Uo3m5Ag_n=u1By=L!1r6a#sh!3^P9 zhB2Jy8NoDLE-inx^FJ zEV&>hMJ}w7hpdiEi{mjmyMlaY*Bgt@Zlq9W-DS~P5AvP$G!~uprch^3%A&IYsKK7pq&9o85Bst| zbvTfNIG95@j3YRbqdAu2sL$~<;6zTM5hrshr_qEnXv$ffO>rA$c?eB5WYO9IS5i{3oUBRoo99^(mO7kP>S4B}~?VF*JRPV6Et zFoIG1k6E(enZMxw<>^hYpUO0*^EU7B9y9rXSd* ziCyFeR`4UM_=%s1UF6qnRfp=I*eR{Oh*D zCt%~#Ct$B;>6DblO@*KPF#X&|S@K0n=4Hw9lzg8hYf`c{OG>9?(=6FKCEL2NPWo6O zyA;P`^j3v@Z#9iYZ+lUww}WNT+hOE;JI+}2c07f8J53h7ok7007RI8t^C;9?ds*~$ zG5OxEHWs~IOQGJn$fCE~$@R9;6aT|r--o{RsD>&aZ1&TJT|S`-s7TjRXg|}ovL>9D^(YB372vimvaSIaurw8iEFr) z>$sj9=**4W#Le8ot=vWzy3&o?xr6Q$b01z=oYzeEpmb>a)SBK_vjY6 z%PR7ITjWmbKmT^*S^gU|<802MdF!Ho;}`qV_vmh2BfdvBw(Nm6@TVEf5T0ci!+D+; z7|CeHFpd`)&&#~Z>%^gNB9oZHo4my|X7CPi=zE`;e8?<5;uGdDm(TcuFPX=D7VapSB;Uw3MIGH%~ZFqQS z>N*a6XA_6M7PMr;!$Xnl*0d!KeeLN$93Czu4t-Y;hrX-n#I;<<4ctf^`fec(eO>5E z93Hw8hrS*-^!?-Ep||mec!b>HVZ*=C5T~<8P0Qzs<1r@S2=Wu~C1WuGU!l+hoGOb6 zIGy|i{J>aDz}Xa_*n~4^%2{kU z0nc?E6Yv5qWWxz~k?WX%9Vs*cuaU(Byq^37yvKu59DoeIc$xc~PJtcc)$$lw0z=d_v*9tkRI38o;IF{HrHtemT z>*%d9v2pxUZ_SKHZ_SB~W5eEBxsKl25F5uo^>(@O*f_2tHjWK@>+Cu%?7Y2`^XQh;73;R z6F(FC$gjjc@&|wNH|r?HCd59n8D-g=E!c|k#6D7iifqpg>_jDYW>;b#*`2CXrv`gc zi`Yl@Ciap2*q;M9kb^jc*hdb}?)K}Y85$pGneV5kxqD_dcUz_;KG2eMNXaEx(kUhJ zftI9eO76&#dsFg2mh?->6In7iCC_Hbn3TMjC2yo;k_&63pVjehaXdz6Gs$;0$5?ds z8HGAqEQ`*TlJ9J#vFL0yg*y977M-mp-&r|h(b<+1>TE|@bXJ*sXVr{FXM0envpTZq ztS-6EHu`B(1FvsLBN}rGr*b+?IFqwz#yK>nCFgQJ7to5x0%;xU$l*ETw zlC>%MJxfZbBtFcNY@3qpvSgQ(RLPRPQnGKB9GsHFvgG)boR}r2rQ{43*2rU4$9cu^ z7@b{6zO(kmqO*%B)Y-MN=~RWpHbfSk4I|&# zXk*dYI0|((Q5Ky|A=lYPZ(ct3`Z>(yGrr(U<}sgze9dB(u$1L|%lG`iN>;I&HT=w4 ze&u)mB=(SXtfw@auqm5SjxC5iWNXT^Efv^~9oUgdRAv`;r3$-KjT-DhO=__hd$TY5 zQ-=eo%fTGNVI0np9L+HtM?H?`1Wx268gVkQhn&XgoWYr##o3%gi)@V)r5V~bo4Z$} zxqEdscW+F|Em_hdCHG`W@02`}B?D6Obe24qk`Y<*N=jbOlBp?~o+YzW@=2C_m68Q6 ztdYm9j_-=&F*;j8zO!G9MQ6WJsIxM%=&UUH&bBcYomHezXS>Owv#R7ft8FYg+lNA( z9V&~?jv(JzePhvC0}6H4L>8SjCD+-1IXA{0QbcRo(vJ3Y>LWKJ?`=Vh?$e{tRFsPxA~z7|Jl7<9S9f zlF^K194|4RS9q1znZQIQGli+V#dK!y4)5_kAMhcw`G`;Wl(~FP>>*z9Q%UHDbF@;*2FN@X|k!x+Er~Nfv{|mqJ z8-MU8f3uEKY(g0}qb!@V1zWK-+faduY|jqtL?w1+S7I00ovKu)276MI+U!m2BKxsF z2XG(r_+QpX-YHBra3J*m-D%RRus{Owp>I9 zI&ulIi(JkXT*cK~!*yIw=WLa9O*1rZD9q{y(%kKp&E0+}i5m*Zvnd&#C1X+&Hx!ad zDS0zX-c8BOEcq-YUuMbTlq}7X)hYQoOa4m9dKcEnlUB!;#qk)Ol_%fXj>e+1$`tBs z4_S0pi+pEwj74X4Db(39vgoWH`OX>{i_T7=P-o}JqO+FdJ8Nw$I%`Lv&aRY2XPwA( zw$ammcdx&bySSTsxsM0vNiQDaVfyeW{dkNgc#@|W$RGyu49_x@;XF_5AtM>Z7{>A< zFYz+35_`xSOkfg|d6T!8#th!(UEX6RA25rL_?S6-%4dAem&{{63t7ZszF{fL_?GYa zftCEoYJTEp*77UA6MM*C{LOkwu?d^98RfDyvTd57aYJFgS4nfXS~hq0N=e*MNDgcL zf9r2G_P0JBFOJ7(tv~tJh8T<1hEb@sak6M_Jo(lp8jIGZP^h){WzpJ)`E1Ory4cbgPPQ0FZO0% z_M;95QkR1{gu^(TBRQJbMUJB$$8!QF(vU`+Oza}3aXM#kCTDRr=g@+doX7cGNGn>? zmUgtK0~d1%mvIGGay6Z}mg~5I&fLT;+)5X^ayxfWOb_nj9%2`{p9gr5UOdDj^r3II zN(Q905VsW8!icm=MrW(!m6XISg=Bh4-pP{LDT!MO$%2%8oh9F;WJQ+zmXbfSq)bZ6 zW=X}A?2sk9rKGA0?<7xI9s3l=V|2DZ`OXeC7M&eIq0Sn}qO+68chwYon0V{ z&Wgx)*3npWb}5BAyIvNZ-AKN(ZpNas?iA{*rz|?_O|G+zp7#fP{SbySjOTcs5sYLs zV;RRwjOP_z<#pa*B9ocIRNi7bGkAyhh&|*3K4dl@@dAhQ=+0`F?DgyY;iV+c+h0Lm_FNl5?}9O-ka1LUL6~uE~;{Q*v9D z+?|s9vgF~EJenngQu0ieypWPnF07FOR>y0_@fe*=Am7Bu_DbK|IYf zJj+mq6MM)Dj9?U_8Ow{j#LL+lnUrQ|+)$YBGt=CimCfDHQW7^5lBFs6HcM8gByK1q z>r=8xmTZ}l@>x6xkJuoGgm$3`KT^A}7KkyF-!Pp~$JQ$PQ8DWLRXE zD6&fwIcXHxDT?eAMNS(KSY+2Ia`Gs$a}?P*ikv?Fm*0W1 zgZ$?ojO*vW!DBqm6Ff8Pt1RAHyOMuvy~|jR%&t^ncdAjHJ=l|4)Mjt?Wk2e00ChQtLpY4XIg&W)9mBEIqdq5a zA`NLoV@`3TDf8d%`*R0bAzu{7V{|ux*RWcUc?LwjMYRaO!y~uZWpt0!gUw51*G>A=NY!ev~}m0V3HuH`yzpffjd3$d4Up)0p@2gTgUUEIUH+|L6%NG~4Z z5&F=Vemu?-^yeuC@-%}P!m|uxIL|YJk&I>x<9Lzryv(b-&Kpc*5>t4Sx0uch-r-%| zXC@yqi;wt(In2$D{R`5eJ3jq!gkO=4H`Xi~Dk{VgE zPfGUBk|R=bbe1$o$w^t#G$m(e$ptAXa^cqaX{+PX;&_bCt{~sp^~R#J8!6OTcUg4S zgM4Q_jYVg@Db(4Mvgm98`ObzKi_V^-P-id6qO(`XcQ)BrbT*YjoqZsS&SsPAY@;8| zEcW^(EMqy}@jWY8$tr$g4ZpCK-}s$B`HOXwqBLdLl(Lj#3$`NmkZstOifqRY>_{bc zCialss6th$QG-3HNp1FGANFN`>Tn>!z=@nhBTnX2PNNBD z(3G<{o949OTw)KofD0+2HEp?w_H@kF$W>{E#-~5#`)z6NcFX4O-6@Gre5L)i6YkY*zC`HEoBq3?CtbZ?`1#raY{bTl0_-`CQE)u$&W6qlEK!;AI0$) zt^G~Dwatu0YnxN3we4lm+D_zK+uc~SR-Hnv?I(-Y4j|v!;l`r1qbSr`Ls_)en0#wz z8jIGNQK+?6vS_Uhxz;xN;moyOe?6VKk(;@N+vq|!?w~t&(u2FXhx@pnp7f$O5Az6* z(wE10g4ji#VgQ49nr9foP=*t`$P0{M6#rwEZ1~|!!Cwt{)9a@)jp@A2JG{qCK42EJ z`It}ml(~G)mwd&17O;q~`G%z|<6B}E`GFPu$SQu~XJQxmHJjDz(+rKzf6VyuY3^3Y z=5FPb#OFVfS}EB(OX{X1KL3%_OUVgYa!N{0&ytoYIX_F;dwf^)VKm^`lT{gJsd#v*bG)X)HP$gO&Y{oxNdv0+X1`hMmoD9i6>P zq0Z*WqO;G)cec=2bhem6ovoBbXRFC|w$W368L!`ra%|3)Y(;stp#s~nJv*`!mD!nH z*^S+)Ms@aJPij${z1f%8L+WqBb!tb0>FY zYvjQ+Lm$fKZvQlQ2WE43SW2GHlJO~dHA|+Xr{vt;X(Z0o`r8De$pQXG%bSrzh~)if5J?M0!^4wgk{hmr5>IAhV-@f7OpG+A_Z z2Kmlf7>mx%qflq7qZz|EUSvEk^D3_sd&op4F@-mIi)qZ@9byl8pP78fEI#5B<}jDf z_<}E)$9xv@HH%roQkL^A-?M_1tYS55_?fl*%J2NiU#w$2rP+i{DN8xFAoh^0DbKc4 zU^{kTM=E7&q-vU>aYNxfVgEFD56tH75h;lq3du<+IXO$3rX+4CBt&u| zq=?qEd5A}N zl)gMh>>*Fmp8*WyX`W#SLm6hB{CE5Y-J#aVQN{5X%^gd=xrWA~xyBS~u9+;FYfiqo zR>q>aHWX^^a#=KY75U~m8;j;{rciTt%A&cu$v4-_STy%Ag_?Ux7R?PJ-`sFx&+!7W zlZ;|CV;RRwyv!@S#_LRAB9nQOsZ3)!Z}SfC@jf3gi`jh4Cw$6WKIcnfCz;Oz7V$OT zu!LoNOY9^+u!0|1#ZUaqFZ{}H{K236%{of431!%fvTV*4Y(;stp#l}zo*meUO6<(8 z?8fd?r8+g(lUmefZ(=9ekNr7-138F8IF!S)cawT)FOQoF?+mA>UH;5$mv5PpxT%nI zNXaEx(kUfzQz7Y^k~^~G-jqC$CH+$JM3xM0UFBal3h`58Qubn&y^(%uk_+!A!>o^Y zi{mj`n@PU4ImV*3&nVQ|Vp+7dlzeL|jYVs#Db(6uvS@8R`PRxAi`KTJP-{ENqP5E8 zTdQU)THAv{t<{l5Yjw%Bw$U?x1FvsLBN}rGr*b+?IFqwz#yK>nCFgQJ7to5dmC*mdK*Wf-X_YTw<+X$+vvIfW3QjXTt4FqzGNQrS;*HcW(iAK&bNHe z53FPrt69U(tmRjJ=TBlES;u-xvk9BB8Rgi5*hjXeJlj%%?bv}GsYGRVVOOfKJJqPc z9@L~3d$BkBvOje=kh&bqAsoix9Ldof!*SH(cuwF%PNESf6Z^<%oX#1X$yuDuIkd>u zNl}`iacg1DUySXUTIZ8IdKgq~!H1nVOR6 zSu#5%pJd5bDOupc8hOs@_^vn}qq7y{JNw00boLvCIx8cK&dQSSY#U?ISw#wUwwo+E zt4hAJ+Qy=@eJIq~p|a@g2=blPHx`{WpipN`WYJkua-D7T+~3aY+tZPYxs=Pef-AY2 zYq*x{xq%zGiCegpE_CB|x>HOK?&2QqBleJm(g z@6i3vcj*4-J9OLlRlByd<09JAfsS0vC0xp7T+aWG|6#~~<5&06cj#8H@vraDja_=J z&3nTG!r!jfQHs)(VN>FOusK_>6>+HBhWr6xCu5b^nK;yKctEJ`Iu3Pv5{J6lh&QLByeM!vn%muH#U5EODr-&+#R*~)5femNmuC8N7?oL&zQ-cj>|@UpcO@II3qi_?#Ly? zk@cTuzZyF;xjC_AP=P5iC)?5M8A~8XIPSFQ!+eD#-t=Z!IDf$$(vd7 zZc1im$!96~GD{YxWNDVHPRY+%@>fdMyKvj`f)%o5aXiK@Ql8jFHtcLi*U?#JVi)5)Y&n{V;8AM>>?X>*2r~qb_%hJ{8MM=7>`|~CFinX zXRTdFXYGhxSOWnOT_K>@{n|ryB2k1#J9wPRTKExig| zCy6~|AcGjpGd#;shVwkJhm0ilkTHzqMP4HIkXMO4j#Q#D zyRa)&*qv(BU=M0ii@n&Jec6vX97tUb<`53!aE|0?Vh=fvdK}LQoJd0&aWb)ooJQ;+ zXK*HGaW?1Bf|i`e`CLdVTGN(xw5J0Xa|xGm1y^!4ow%0kxPi{x#4X%P7rJsgcTh|Z z?&2O|54oQQc#vK^#3S^fZ?;ATq!}8YUYYMB(%c=L&D~d05}#g4rl;hcESa5>`1DG$ zASGXC$#*GPktM&SQ3JY)V4^GwmpS<+g%pDRVUZme>pqG zK5_sDau5e|D2HBpP!vr*axiIFqI{<7}GKf^#{a*hgAXL>t<2 z5$);7CB!~*IahEMS91;5aXp>6k(;@N+vq|!?w~t&(u2FXhx>Sdp7f$O5Az6*(wE10 zf+u;30Sw}4p5a-BGMv~)USI^H7|mE-mwYQmC_bvgoV>`OdC17M*pXP-nNwqO-2#JG;wRbapR=I_o2g&iaw>Y@o5| zY%qm78!3y<#*pi5qv!ppUO$Z)yv@73$4ow879a63bNH0c_?$2Kiuo*L5sUeTr7YuH zz9;sOmHfzRe&T0-;a7eq_K?5$oAs1p6Edp46f?d$TY5QHKMl%RwB%VI0np#2#`C$5M~_oWO}Rq*1m;&PX#fZYj+73)9?f zoz2~gQxdlnk{eQTQ>TUqjcNiw_t!2^Kw&XjjWGp({g+iUx zltpKIk?-t4W6{~c6zc3aS#)+hxz09v-f!mh=g@+doX7cGNGn>?mUgtK0~d1%mvK2) zay6Z}mg~5I&fLT;#2(UxuH4QY6mutcaSySF+|L6%NG~4Z5&F=Vemu?-^yeuC@-%}P z!m|uxIL|YJk&I>x<9Lzryv(b-&Kpc*5>t4Sx0uch-XZpo_nFCu%;F_~d}r4ii_UJOP-op`(OD1jo%J*po%NPNESfb1J9NgfnQ$S)5ICT5vA0hg`sg6w#Wt zTts_1W^3fCG(+Q-!hF9i&E0O<+`T&`_hreWDS0eQ2BqYgEE$!Ov03t3N+x8<+bMZ3 zOa3?i`r~Lez z*-;egtf4GAYfQegGmS-O%_!7aD_L~bhFoVGJ?mfV_1Dvx8@ZWVxQ#A!;|{uWCq1~E zd$^DL=}9ko^DvL_D1CX1Cx|`dDF!fzr+J1U3}ra6hrGZDMlqVPyvR$u%qzUc>r7xG zlX;V=Ok+B4^A7JZlMk51Y(C}_K4mVS^Ce#~p9L)9YrbJA%lMYqLw;ZdKeCFS_?ch$ zHCrR=)2xo$32UT$n$;DuSzS3LaXTTYm6E-)q;5*$c0y7wB`0LbDJeNUOIoJn{48mg zk`7tYDJ9os$*n2r>cacTi&n?I#qk)OJwU#*KE|T6eiZ6#uq--zmV9R;jYVf;DAd^- zvgm9Q`Oan-i_YGqP-k;w(b;F@J6mWhI$KPk&Q{8zv(@A}+vr)pjMr~QIW}iYwxT@S zP=W2(o*mhV%IwUp?8fd?qdI%AC$*@}-t0^4A$2%_x*WtI9LnJwN$erVa4hwx&k3AJ zLmJVTQ#h5=X~LPDMKjK!IW0Mt^SO{#w5AR1xQGsP~st64H7C2>0;`7k9PWyu#Q znU^KYQ}TV5{BQpC#h0v(U5eu|I;%pyvzo@Dv%M(P*}<~t>@f139cL^$JDx(FohFOU z&LH1e3uDpQc@*lby(~Jrn0#kf8;j1arBG*GWYO8}6htg`o`QZ|IdD7?!W&1z5je;uG2-4cdH`rRz>bo zikvcvoHB~ssT4VB6gg=WnRP|pxr)rZA~Wwl|5n`R{u_M3mwd%M=Cgo>EaGbx^9@T_ z$}*PoE#L7yKd^$8{KzU+^Al_MnO|7Tul&aE{K236#ow%BJ*6nkCX``QHlr-%*qklc zlC9Xfby3;!_K-GJw{f*C6{yH|Y|jqt$WBzEGCQ*iyRsWq*qy3WqdGO%gFUH9Eo!qD zd$SMwvLE|XhXXi}x*Wv89KxX-#^D^nksQU*9K*33M?LCuJSWhA6KTjvG@>yla|)+& z8mH5QGdPo`oJBLv<{X;;``?&bxkh|rZfw~DZQxHcm?1pNFoyFyFEEnPjA0xvGM<-t zmDhQLiA-V&Z}Jw?n87>5f$x20@*%VMh)wR<=BEa@NG?bwxt5wu>(6&iOTH4uEgP?D%Ge#9QbNd ziwzGC`@62gfz;(-4j~Q?M{p!Za}38(j|~qGC%JCK$;5$g!^1;U*Ky!Gn>g^bpd}j~ z9*SJIrY&*cYflH_@Ng+{;Jbo2@Lf$OuH`yz;6~!WcMG@Dg|5Wmp*wNl>wyE`KOP=> z8-IvL$Q>Rw{QC=W2Q%KZe6BbiV*-vKKLKAd78CFl3QfSNvY3F=$xpx!jKu_;O`!?+ zl`JOU0`e1ZnX#CF-%)4+{vwMB_#62NSjt#Tz%mq?fZNDo0#+nH0e3bQ6L2>QO~Bf+ zn1K7RFB?w4gIvc1Jd{Ecu)ZuNU;|EM!wGn*>zIH|ID@8~#fB5`T-PxHFW^EpoPZa( zjtSV2LKE;BSxms|$xp!BjKu`(MxhCKpX`2m@*o>dz(-y8&+JC?(%y$&V@dDNFuH$=_MBc}lj* zlI>HnQLBkFjkWOKck(w${*fwAPr|HvXx#X2zqn=ESzK zVQZ~iM{8|}ZR4L>yWDte8&?tA#)hqRb{*Ts&BV6xPp#c)JhqLyxrYr~>*YFHdzjcZ z{;9R6j7MvO$hEf7&zZ(~ee5DH6T8T3yv_tBGMP7tU1S=ui@eP{yvO^*E;5VRe9R|& z%3MC@OJWz9&jJ?lHQ%s=*hRi2c99=g!H=xsCw?Y&kza{jPvO86&P7U^?7O{)$P3$835xd9%9LPZ&LhK@kXRD-M znxXMgmX&aNn!9IabGKzm;-f4{hm>5BC7n_dA7x3prsR$+xi=*bWJ$l2Jdq`Xt;SfO z&-(w?PmM|0i&^$Y`l(4Stddu(k9UjXFIdYb%XKYpW^L z+F!D0Z9Vzc${CB+wxm#NJIbQ9%H&(CW-MCUgF>y9?(=6FGCEI1m zE-9&!C3~f0-z+&eC5N@%DlN~A{-ya>t&sDI<1u=>kbH0LjYV%4Q>eFVWzpLWTQTDdK<=Yp63NdGMeaZ9EEzDD2v{vkn3%uH!vT2 z{T$}<8DH=v^O(;E2I*p$sE#}>ps zvNh$|mI`dg4(v!JDzgi_Qia{AMh*6$Cbig$z1f%jsl$QP3<@6YPND-}ROFP=rk&C&M%eaCoxteRZmg~8J8@Y*FxRow+<951JOb_nj9`56Q zdh#H>d5A~oLth>v_K+v(&j1GUG|w=Ep$y|Wo@WFj8O>P6@e<>Cg;#l<2~1=%Q<%zI zOlJn~@E-5;0Ut7(kNAX7nak(I9`Y6QSinNQ<{Orj#6ww88)LV zo3jO5u{GOJfr@O;4(voFc4k*%AK9I%RHp`eQj^;3P3$B4u|EfJAO~>>hjKVaa1=*# zEXPrw6KFs~PNFdSIEG1uN z$>NkO&63qA`8iAeO38W`*2o)H$CkzM7@d_T-`S4FqO-~r>TC~LbXJReXLXE4XLTvm z*)g){tRDH!8X1euPN7g|=g6Y7mgGBYZ7e!#N1@KHltpKq$aS{SGkZEmL=Dw-M4Hv3vswL0O5&D6GCd{lWXbH5#4UwnK}x>P zlJ8QoB1?Wt$)8zLCM9LFq+&{T$dcVsQq_g`k%?BvKE?4Eo$XJ)vqOzVXGc(|vj(#0 z>?HD?H8B>QHKkBz7s#TsBJ!PeG!~s*N}ujUv z{lQ*8grN-MIi6<(BN@$D#_iqY722Mh*6)CbhFQazL7)|GK5{xzn-fA8V|i&ELi;jav$ zjP53o?{1p0=y8D!Tck_)!cZ(?0-4C+p?nm<7{c0?_`-4K=Z6=HEHYeX* z1!K|O_7v)FcUg2-om_VtJ@X&z^@nmeM{pEJb1cVEpA%?6Lr$VGCvz&N(S$Q;N;A%; zIW0Js^NGEr6-Bh6Ef>+Aj$A_QC6{vrS8+Aha2?mvnH#y8TeyubbmI=Xb0p5_^zWhldmz2pT(FpANPE6n+s zY5va2=I>`IiQ5Xv(v*CgC96{sw-u80DcK}TwoFO+EUBE5U9)75l+? zN}et)dAh9R>GG1N-)2waPx!86^!t*hKg84j8{eM$M(zK6dv5Fh7QYW=2l>yp=lEO>p)}i);gF%Z>=L`@zy$q z+*|8LKbo29_0yQa+q}zr%;W=R@ev<0hfn#8&-s$Cn9o8Mv6ydI$}+y?d*Z0Kk{?;k zPyEa;{L1gdQSUGQW<90YgiYCua%|3)Y(;stp#s~nJv*`!mD!nHslx75qdI%AC$*@} z-t5bM)ZqZ?auA1b7>9Etanw78W2r}dPT)iu(ul^K;z(2GzumXzPO(D1D2~VIZXWsW zmKclfmQ$#^HL~b#E&1-&8H?^pQ>eSGWzpTXh3sMbay=Y?oKwQyMO$MrkU|`XhBQP<9sfp6|HGYJKEEMi@Ai$xST7wnoeBHb=*K_ zZsHbVFX=*8Zs!h)xs$uNhkLo72Y8TPJj5gPp)dV-oG0kdQw-#31~Y_b8OCs)X9Ob| z%^1e?4Kn^q~z!`6^(vlsiYFZ)x6138F; zIh4aVf+IPaV>yoc98UvIK{d&mV`ND-}R%SE)OW41=F zN;5P*0W#ljOLMndHh1q%Nqhn%c{C-DWyzqF#3w+KQ7IXlC9kDqLYBOplJ~OYO_uzSk{?}IBU7!8KZ@fqI{TY^XPX&|&Nin|XWPr7vz^Fyw!5+DtU84{+fNpq z9YDUb!;M8}M^UJ=hO+3aG5OBUG!~sTqflq9WYJk0a-D7TqnT^H{(3rdBR6vkx6y@e z+(CElqz89%5BG6DJ?TYn9_A4qr7w^11hI!a#Q+BJG|w=Ep$sSXkQW%iC`L1u7kP=7 zd4<<_oe4~2GH)`KX-wyB-r+rF@&U7$&BuJgr_AMZzT_+Bvw%f>%{MG%8Q&6n$PcXG zM^^C@Kl2N}W@}`9nxXOOkNI9c&D{#w+^w9F`1D6oD zS<*5k=VwW~lyu0FPAR!AOKwd`R~OdETUN)t#qk)OJwU#*KE|T6eiZ6#uq--zmV9R; zjYVf;DAd^-vgm9Q`Oan-i_YGqP-k;w(b;F@J6mWhI$KPk&Q{8zv(@A}+vu6UjMr~Q zIW}iYwxT@SP=W2(o*mhV%IwUp?8fd?qdI%AC$*@}-t0^4A$2%_x*WtI9LnJwN$erV za4hwx&k3AJLmJVTQ#h5=X~LPDMKjK!IW0Mt^SO{#w5AR1xQGsP>TUqj9 zNX)HS1i$a|p zEQ`(#Bj4F^#-g+1Db(3%vgqs#@}0FX7M-0(q0ZXNqO*(1cXqY0=>*oIo^7eXcI?28RLa&!)iguncEWqY{%P(Wn9bcIQWCcll9N($ za+WkrN!(6Iic-=xOD;{x6cuMuVm5L0`i?LGZvkFN1@Jskws^}k?*XOvFNM} zg*w|t7M)cj*V#tT`g?eNO=`0j`>-$jQ-=dNh=Vzl!#IK?IhtcQj`|!=15V^58gVkG z5_?D!&Y&r0aW?1Bf^&&I$!m&xrtl2jV^TK zcDhqc5ANa~?&E%X@*ur=h(~yozC1?kAy3ku0Sx46o?!?>8D^dQcl_?$8P>>A#qk); z9ZSBshQ^|~#uRFo+;C&h@dB}vjAArn8OKY!%qzUc>r7xGlX;V=Ok+B4^A7LvJ|8fP z*?i0=e9Bxt=SyNIna=_i@ipJDgk^k7>?A+1f*)DMPyEa;{||fj0cBN{?~9s@i6kHx zpa=p+5EEupR7@ZkF;N5ov!EhIKq)YSpdy$9B4)vy!H5Y36~T-m7(oyTio*T=bDcg_ zy#|}}?(2K*>vx8CjBoc^^S7bT?N+vt; zYVxM5A=xjEHI-4tt&gPTvY@xB}Y%zs8`%@O3ttQ`DRb$cFdi+Oc zRjQ}|i_5lFR~oI=C*N8lW6@eu3boc!7Ok}=*VYauU7i!zrA`>72<~^y6&Kr9T6>fLKK?<`M=mn9C?(D3@~uS8+AN z8No=d<9bGOBV)OVTeywexs$sX$35K31n%cS9^w%mWfG6^1W)la&l0Q13%tl=rtk`{ z@j6qptK|JON8`4_jQ=9d-Z|Oq{XQjeTOnDNlHaqWd`jZBLQ*3o8)nHSDQS=;Tcl*` zEZHF?J9qnk@MC~)xkipFiO1;eMDo4$G8Vm^OrhS+kwtIkk?(DwvFPnm3iWo4EPA_^ zd~ainMQ=A#sJHR5=VLZ!eSUZLRzM4?TY-vzX1Ne8v}i$sE36 zF7uer_x!+5{LDfYv6v)c*;xvEb*20`WBhBo-*~~saC2?yZ8J3bOvt(3C;?_cPM@sI_k_S@qaF#ri zlIOGJ)s(!EB{Nd;QI>q3lCPY2HF?|B@ncCmMrRAiced16bhd&*omG@YXI02|R^3>1 zR*OQNZ6=G(ipY1?!dP^+6@@z6Nfw>$LcX)TjYVheDAZX;S#;K!TxVz0)`*?r{d6-9-$YVUtlRU*UJj?UE$V*J&WnSeq-e4+k@ec3u9`7@Q5BP|W znZ+l>8uB?`@D+3ThHsh2ciA=aOUzK;og33~Ny*z&{718LJ_z@p-<`Xl^z(5GOAmj^ z4&$?Qi%LfqmmdCFdbp(Y@VC;#rP*Qp4a-VLmzN%{C_VhW^ze_;!F z?|0|UZ1MMZ=l=Z*;{M0}f;g|8e}5qI|L_MQ|Ai0krSHz&w#h%fJ2zJ8Wft$U8-%}{ zuc92~sYoSagRl=ESD11^Ep^D`T;#+n(6e zmE9oh<~%ladlH+vz1fF$?8gBdNNnm3AvSd#IgHpK96@aAj>e{LjT?mS#(QuQ`3=J9 z#$tnT7Hilb`0m_y{EJ>FjV;0@3JPrzu9w9Y;YRXXgxih97U3=mZ4n-l z#TMaF@>_(bjl~w>ISOqNUYErd;Z5>egz3g&i|`?Zwg_L!VvF!Kxh=w4-(Xte`Ab<& z%*a1jN!b}$!FkNc%2Z(;@-wogv0Buo4rOQLrp{wVHl&EgG@?q^0ige*v%68Vxd34s3SVh*< z*_p;;73s&>l<91(Z!Zn;+*m^{=L)XkYKAj{kz7ZtA)|>kWGpvv3%3$$$erB9IPT$I zCU8Fw5^KmK#2PY*$9RG#i8bU|VhwqL7n#fyUg0%j4VlWDyv;jI<2|PH0kMY6u^MAa#Ft^4mFFkH{yzrzyby%A5hi5bXxRjijB`2rkv@AI%CFf;H;h#C5 z=K8p~Bp#!++sL;z-dMDDAB9?bTo$c8MZUF{j74iNQ>e9fWzpLEZLM!E)%N_l)T2I|uqh2_NF$oilx8$%3$|oywxK24 z(V89Dk)3J7uIx^%B74!6eb|@%*q`ID>ATH%HN*KoFTuH1V*D#!G8Oe3rz-Y!~SIHe| z?#BC9u9An-te%+7>St0C?_WvYNXc7SG9xAN{*~mblzfvVKc-|smaIt0$}Fjvk}6qJ zDe2OWYJkM z`Of+ni_T7^P-p#R(b@UrI~!~)IvYZv&PK?hvr*(aTkD%k<2-*n6S$8Dc#wyAgo!-H z<2=bzJj1g*&kMZ76kg_4UgHg>@)qw9Ysh=N&kR1`BW5y-Plz?-bH3m!=I{;QGLP^0 zo*(&%1uSF{zp{j-EMo<~vy#79MLEh-kxEpdD(kX78&I7Z)M7*Guo3mxm{>zLqX9)U zq6wSRj2787(kji+?fs7&7LB?8Ha~OzzN_T9l6Z{nUL@b$>&BwHH!0NJhqCDIWAfd7 zX)LZ9{W6|9o6zZ?z5VlC-PG2Q9GN%W!*rx0t&>72n?^yO^MCDxJw z#9DG87jX##8O&wGS~8SjT)~xG&2UCAl2KgG4cy2WZsHbh<#z7iF7D{QYh`k}R^rCOYs0j(&QH(Q`PnIn8w<(&l>Cq-i&GLe7LwH|sgNb> zrKDPx)KAH#S<*Bm&9kI+N_NbWJyO!viPw|qu8xCC;_(pwH+CT3*-^%#vn~|stfwqG z>rKA1GmS-O{V3Gg#j@yZ5c$q7Hx`{;MWN0{%c8TfujyN z{#QLe){v>h8uB*pFpc+^&If$ROg?5dpYR!<^Cffmnz?+-e7@rcej?V8h5W)|e&sio zvYg+EHRMnJVm0NcKqX=gsY#;u7s7_5bq&9Wgi27{IrZk`-jc80$Hm5l)*pjW; zhL*IVHQTczJJW_;*^NEeleX;5zU;^Tw9l@Q!_o|m+Y0mj#58xiWpnrBl*Da?0|{)M{x{YIF92vk*;*32R-RUZ%*bEPUCdWq%ZwAhjTfP0bIaE z#2PY?L0rmZlrWUbxsq5zu3rk|tTQRZ6zal3h}=dzQ3I$pKl?IVDGC$q6YbcH$cO zz}0bTNjyepXOQo#zp?1-d8S5Bj4EwW6{|t3Uzj?EIPY`d}kAkMQ0CCsIw3d@A#e{`H2NAWD&ozgrzKF1;4YBKUqaN z%2Sa_RG}*CvOckfRHp{D*pNEZr5+m-Ysh9apom5^VRM?%f-TsJt=X2AY{&NOz)tMU zF6_$g?8#p2%|5haKMtTh2XP38(vidH%;6l#(Hz6E#2Rt}CsIr|dT zb~bk}Nptsq`-d3+v5$-QzMe~e-;3Gb_h$P0-pQ_o4^#4SmK6Rm#t&T|f0V>ywDuSI z)+!r|*4Cj=Yqe$3+D7DCYiKN5YfPcmwvk0^t;o05##pqr8--fiUly$$NWQgB#-g<& zDAZb4S+v%jTx)CH?VscM{TaadT*yUS!axRd8ABM#Fs|TAuI3s>Fp^PR&kfwj7;fSg zVimcaJGhIxxrgyg;C^Bid5DL3l!-jX6FkY&Ji~K5&x^do6kg#~Ugr(oe3< zvgm9!`OfATi_Yd!sI!H#=xi~$&epoyuju)esmeO6$NE&GIyKpl+SFwu>a#JMvKb9& zL}Qw=In8OomTXO|AuVY|Yqn=ccA^ct5^KmF>`7bpW?%MWf7){(2XhD==tw6H=LnAC zXu5DL$8#cG=|*>YauU7i!zrA`>72<~^y6&Km`fcPA1=5Ut`hRITY$`pe%a3lw5CX-SJ=V z`J)-bSZ+?=qZ|L4x21p6|NI`^_*h(gkM3WkpOjlwdbqmuuw3b3`O?D**`1!=z67x>z5vGPgLr}dm)@m$ z-JhSzTg2Y)U8eCq)A@jpn8_?=^C_S41z$3UZY?^kvY(b;+I{f;E|e#g*-vU`YP=iTT*?EQMt zo7h8~O6>j4AohNJ$?qY~Hx_%pi-^5n**(M%=dt%2hP~e!_Yk9uU&jsP_7M3WX^3Ba z{jsU}KuJ8tBz%}hn8+j^=SiO88J^{NUf?Ar^D?jU8gG!Fgzp)PNjQT-lkjs{Ov10o zPr~nv#U%WZLX&W*EGFR!@{_Qfv6zGvDKrVI%VHANB0mW?HWrg`Gh!pVrb*bscuc~r z*qXAFaC_%533nnkvb(S=Whdd@&iA1m`%!ih9^yPEVMh+5Glx@l5+3V3CgBO3NZCnv zlJl5^eJC^u&z7A-f6k-qB)r6VOv1rj%9xfBsBV)LUo4J+SxPv>n zn|m0~1nzUElKnjWkXi14(@o8b>855%c0>MVy4{KQw%qP~oRUwn17ZQudntB^*JQj~DxRSEH zjdUKpT~DFjZkI)GcaiVyeq#^t5V4LtN~|M~@i?)LJk2vaN30_+@)A>cg;+;kC)SZS zd5d>=msm%pGlLKLh>w}YCwxY%BVY0rU-J#$GLKkCejwJ7pIN{!EaF#wBi51Stl$q; z@)xTpM+IUXsZ15tVO`dz8nKSlq!zWQ!$#C&V>Tt$k%kn}m?msab7CFYl2}K!VOv_U z9ow@bv5vIKZv5M(85-|xxe_|0x!Wn5yIoQe?`=tXr{t6@>6emtZ%Z;LC6{H%RVf*s zC1X=^OO}jF$-P-JDJ4&2$qOl&?8G%P+tu-QNjyep)5v!=(^zyin?jw0OowI=B=uIC^;WSR?OwOVoXLBz78Nda^8genQ zh74jbmr=q{F6Roa;%bI7f{|Ru^^E35#&Q$4a2vOCCwDQ9d$^Yg+|PqN#3MY)Bp%}l zp5keqCDxD^c#+9W;T2xvb*5(5$opxAevr-GFVftdlg-`#rk|yc>uR6$-?Lx#-*agC zzu>U!8u`T4aa>6}MrS9I@2r=x=EMyUjS;B8DV>!RGl2}7lv6}K!pc0j-%DTiFvH{hoK}|NK4t1$VeKuiJ8qknN zG@&WYXwDXF$<}O3OSYpmJFp`=(}rEyojur#w(P^c?8gDL=OAJYIg}0@MkfyENRHx| z>>4RfGqgu`jhvC@Zr^O~o}ZG7vSe6FuFR5ADY+p_?&!AVKlXC*KSe%}vWK(mne?Zg z&;Hb_DS0DHW~Ah!EcrYoUpetg@~P|N$C7xA))tU&ZK<(nZ3Tr|t0;@ss*rE3y0K`j z7KK{dOct#bk#DVqv1n~83bnSAELz)zd~16fi`LpvsI`u=Xst83*8XYd7^_Gz-RMD2 zdeMiIIhE5mgEQ&N*_=av&f|P8;36(&AcMJ-A(SwT%ej)PiB)6-*D{LhxPj4(;U;1g zxs}_vgFCsKdl=6I?&AR-8-(^?HFKLFx4TTl{uQYedWpj6(l*A2%WTTX9oF$D@ z5;qi*Rw>y&OLptl;2*aVksX+_L$d6M^rw!_{#5sroRlS}r{pXru9DAO9~YLyW3+Y& z`PPOSi`K57P;1xAqO}{zw|2X+XzeZvwf2xKT6>gyYfl@C)}EtKYp=_qwKvJPHr-gX z_92B@`%)IIeNC>lweI(qc>YqBvw}ZZ$zQCZ92KZYWvZ|a>#{x@P@S68qBeEdhgw1J23$`Ryk!{$PR&2-i?8r{EVHb8|clKm2_GVw&u|EfJAO~>>9q33W zI&%a^ax}+qEXQ*KT`8tJJvfQp^x+g@6*-+VIE%iV&AIevKz5Z3N;5QWDa`8OY3`29 z=I+>(#4Uy7-jv**C6iJTw-l1eDS0JJ-cHH1ESa5>&$480O6F(D;*|WBC4Z)5wG-Ef z|6$1wH^yUhR*igTb&W-5^(oX@Q(1J@oP1|3jYVgzDb(2>vgoWW`OexKi_Q+FP-jQU zqO&gKJL_gFI_pWH&d!uYXZ^@^w$}ar5YHdV5BD;G`+1O9LmuH#Ch-_g@FY+3EU|{Xz>7>~3a{`QuQQc5d7F2b#(PZX13qLX zA2XXz_>3?3k~w_MT)t&K-|+)K@iPngg~j~JZ!BXuzY}Z7pZvvY%29zzRHkZnjnqgp zbi-`!Hb`@~Q8stCNXgb&va?-P8-Hro>`(nS{&3?Lu8*5b;xSsgjeKk4jYVtsQK+@Y zWzpJGvz-ki)SoW>cPNniSL4(DTxR`+q;!-Z7 zgkfCHmBcD?4a2#XkzB_OjAl$W-|w(Py3^_1PQ5NXoUW6J*>&SW1gDJgQ|wd70J$5tir7_DtfzO|i< zMQgj@s$FAi?Tqio0pwfjXe?UmOrh3JkVR|7 zhge14<9%lE0Ut4wS$smQBA@dGUonSo_?CHm$M^imPb^>|i};l#EM*xh_??yf#VX1X zt4KvEQH83k%ld3Ub!t$H4XMLM)MH~}71@ji6w!z#Y)&&;WLHV6G(+7~#Io`K{f{@s zb@G)fd$wi6P-DNBRQI5IF{pyb)+lB zbf*U=(ThHuLaZaFa|UP8m$NySSVsmB>&S&%#3c-5FqaYQ$WVrH1y^!4!x_OyMsYni za3f>5iCegp+qr|gxSM;pmkHcYtRoNcFpn~k$9RHRN1o2Elga5iiQ5XV3)9jXKRsLH zXQw1?De z*{{>BmVKRW_3Q(3@nO0erJt3nS$bHj^l-z{!`h{XbxIHGW{2^YZB#m1uk^5f>EXtu zhntihZkip&->_NfXoJ$jhH;qxI^8;DKg9Q6d`@r8am&gT%6(q0?5FppwD|k$bi4ii zb-L&IHyFVAT)>4~#Kl~~Kn5|GOSz08lrWTGT+S6-$={!dEVg4Rwqq)`BL0v2MC8Bl zTlv!0>2BU6`#N1qwXf5S#|~aj@-MAN8H<9l_k~w_MT)t&K-|+)K5nH{5{K8^>ApGBj4RBW6@oC3U#-EEV`>fzPozHqPtBf)Lk=Kbhich?zS@)-R(f3?)H*Jcl(g< z?jU2jTjLuvM;JefW9Y(h9M6e#r5ioyNiTYHGN*7Fr*kHK>Bl*o%Xtjo0xlxfl7S53 zQZA!}pJAtl95+!}x5>NvF| z9;34}$amJ?Safzig*qD|i_V6T?`(vz=xh{)I=fXCo!vpcvkAtcvj-^D*^{#9>>2W% zO)(aoy-K0Z-jhXVGstze*0*Qodj34V<9mMOCl;`fMf}PVma>c${LV`LWEJHoPem$G zg{rK}`otPiof_0)L+VhMdTdOrA)C>FA{x zCws9s`_PX4IDqyX#339?M-HPihjS!Ha}384Ysd+lNHN{$!AbO@Pj-!*m1gMK+1$M( z&E3J-+`S?tS7*tMDY+?2?n=o$S@LK~9?Oz{`RCB*x;p+SiO1;dFY=vLHWr<&L!r)U z%c8T5$amJzSajByLY-|Ri_Th+@2rin=xjF%b+*4OIy;bjXPt~iXGc(|v#zq}tUI~R z*80}WIiBC20i4f;T*M^|WH6U8grN-M3a;d8u3-ct8O8P7z>SRICT=0tklVR~ySSTs z7|#UmC)SXMc$i0-$YVUglRV8cJje6A$V*J&6<+0a-r!B%;vJ^(9@Ck@hkV4x%;FP1 z<8!{`E57C%zGXh&@dL4j{LBJ=VG+Ob8%tT9T_da0e2;g3ye3pj^Sx#^-|MF&-u;m@ zPsx^9(mEya?vJExO7_i?gHzHWOS+`w_$=v}lHOU;FD2(@$;BxdO5!m( z8&1Bn(Z-^)u@vfToGd!KmwacB7>mv(QK+*QWYO7V@|{gJ7M;CKq0VN?qO;lLJDX!H zI-5(O&KAm|v&G~(TkD>`qUTqpD(kQw>r;*D)MP_yQB6xb&xv%U z8{O&2N%W=&lR#sNjyex4aoP_%vkic1%-OsK^DF3Ouo0hj74wzP^h;< zWzpMVTRGbdb^ZdZ)@G}U+?*&8N*m^<`!<_ zcJAbE#xb6IxsUsK5M}>M`~BDb`Ki1`tRwF-jrW<(2Ykd#W-*&j`HV04k~w_CT;?&K z@A-kBSinLS5$nhjeq$NS`JI*g$tqS;o(fc=3RPK`SVuOX8a1fNhSZ@h^|I@vC|wP4 zOW}24+jO05mt7~jq$F-BBnPDApe*T}lDMUi6sM#|mYkZBGqU9Tlw6c0LsBv^o!8*^dk;wiWKUsx-2@YMXs~8?)RH|eluFI1zWK- z+tQNl*q$BOiC9B+VOMr%5B6ej_Msj7aRBW(h(m}qq$7vXnZr4fqd10Ri8bT|PNbM_ z^x!0V(T9^cmD4zbGwI9OoI`)k<9sgQA}(PdgSnI;lrW6Txst27h7nxLD6ZoMZe$EM z5o^e;+{PW;Nvt9FFrEqA=Q{cK`w_tJTp_!a#AEcfC;8s?Hx|7eNTJ@2kVS7tlkcso zvFNQkg?c+(7QLNCzPAC!qPGhv)Z0*5^mYaL-bNaW-ma%mZ@0^$x4X#qcE7O)c!*d> z9%Ukr@i&I~@}BR*yppYR#6j(o{ie9bp} z%RIj02Vx!hnFaj9B7WsJma?1`{J~28Vio16Kt(E3g>_h$^{Ga6YEp~Z)L|p)u`!#n z84W3-F-_Q<=Coi-VjbCrZE3}JY|oDDM4Rljq-|Qs-r> z@ffX5Bj4IgW6|1d3bi&@7Ol-E-`YZB(b{4Pwf3hhT3b!NwW`LVwe={}T3uPRR-b%p zjf_QWO)1n`OIftmnp|sZ-SxNg{QYUqfgH>sbf6=hIGiImilgbmu^i6{bfp{J>B&j- zrVpoZ8mDt6XVH(dIhXzn-~wV5xtL2B#9%I?grQu{6zP8A}{bFlbOORyvFNH&90L7(+vF}o7Z2Y zxjQGDyWgkerz}~PlHaqWd`c>1NsW|jm?fK}q(PQ!k&^htt{;5bAtgJz&xy;^@7vlZ z{l2aJvh<&R5by_A$Z;j{7`>fHzPDb+qPLSN)Z00-=P0$0^j?OS0(gWpcf(b=Uu)=g(vov-yzH!=5O3sSmVz~GrMm#ch65r+*nA4rR2&i8I_W_v5?&1BkOTp-<|z^52Wnj zEPE#Xspqpl^=eAq$dVZ;`6x?1PsvwKyqf&z`uMRV9;3AdD)KpB@D+3ThHr^g@Yr0*Q9i`Y3bqSrH9Q*51W@Bw#W|SZ`h)Abj#Aitx6BKEjng@UGdYXC^y6&K;avLjKlDS9|H6m&(pTy>Zt{<>)QweonZ>*82H`K~t0+f# zDpHBqAgsf>tWRv~s*~R!Y-Fq+8xz~QvKxfP&SP7*IkBy4L4JeK%2;gcwkNiAWj6@B zIgf4Kp2W6pZ}y=b`*8pV65F~%h;3a*4kI=QM-bb(qp_`9;|8I-@gAH+euHqjvDhG- z#TqsUzEbxm|DqR4V~cPJ`7OdwW3ffJft(S;xRLx8;dW!OMYsza$u({f9y0zg zk1~<6TZE^b#}?r^3T+Wym&F$0P4ZiW>BeG<@F9h^2w%!#i|{qMEy7ygVp`()OIc3L z$Uj&~*%?{EdCbVlRAC+RGqR?!TGXZvWoP83&SOS4q=?2eq3n#@(s^uIw_#h#&d42| z$Bb;lF05%r?rS_|Nm!mL-3tWVI8wEkCp}SVgK4t4P_->N=0k>JzKTnmTK0JUVMmtRiJQ zYw0{XYfY>oYwBzdttMb^~W znZ{!k>BrfW>1?fUFb(nCSVJ!73a;X6hBJbZTt}=SqlqYsjC(8nT*lRG<>EhE&b2ks4`+ z#(P-i`&xhMe8?=fsp$=^|Hhv=U*PJvxg;K=v)jmbHr`ltb{~a0dt4TsJw?8=myAVc zFH@+qcV*Gp`{X;DWh^@TltP`&lSOCWlkaSivFL0Gg*sa$i_XfE>ujxWF4gw@y40gS zo3JSjXh;U3tRZ{RmVMZl{n(%O97L=khZ1YZ zVRYhfj^rqgp$o@xJSWnXZuFohz39!!oWg0G!I|`>ALno`=P`f_xQL4x$RIA|GD;Z6 z-)s)N_ZwE@$QwY=Z%!Sl_fJ$67OC~ zzDmhAS@L5_7G%kal&s8>iYcj*CACsgCrdUhgd`2<9%lE z0Ut4wS$smQA)oUFUonSo_?CHm$M^imPb^>|i};l#EM*xh_??yf#VX2Co{ChW3RPK` z_1S>x)Swm{QiqMG$Hv4OvKb91q7hBloMyDhu8~%0hHjtD-96LX-8-AR2d3nZEIB$Q z$7V_Ql*BKwa*dpolC!hq!jxQ+C0C^6>MXfFB{yctT`7sr8~dMG9!klhPFy3uxH_II ziO1;dMe?1!ZY(-`lR}++D2vWMCg0hY#-g*YDb(4|vgqs=@|`U=7M=Y;q0TDHqO*0# zcUIF_bXJ=}oi&t2XN}2q_D}o9SVLN|9ow@5JJE(+*p1!UlfBrReQC%39KeAb#339? zM>^4&BRG)V=QcQPxa1y=f!zsiXayn;l7JWIJbLr0j&gVid;t~cjn9CT# zP=;{@S8_GO8NoNm6?Sy1?N-AW@dMT-vCG}IXX_hoiN%Jge zosu21WRH}zb>bRXeOUIYEzeusL#f1N&_0wh{iN!bDGnFE!moFXh|ztvpqYqGi}(FSVQ(;Puj9K z`?4SV(>}XK4ofri@NDj$nC5P`Z0??%lGC!}yp&v!C6}h8BulPM$#q$Bb4qT@lKWEf zV7Kh^#y(2+c(;0PYM#o{ms9#$_UGPBf9`!Ju9L;CkWWkEF?#!gd~frNMQ`6zsJA7u z=xrJK-c}il-pW&`w+&>`TMhEP)iV~oZ9<{mn#rQKEy(w_ow4X`2MYDJmn?eQhg@%K z-R~da`A2aKT{w>8Igze(qX#|dMQ={#6i(xG&ZIB>IEQmNj{#i3MZ`KXkU?C^Wt1?K z%ej(RN3LNw*D{jpxPj4(VJtUu3%7AQcXBu57|*@j$NfCW!#u)7Ch<5=@Dxw;EYI@- zFEN>yd4<<_gQ>hltRwF-jrW<(2Ykd#W@XpOS80aEErr*G1!?Xs%I5Bhl*BECq)JNG z&5~LviCYRuQA(O*$yO=ZHcNI%$?jRwE+q$KN#~RtnI$Krq}YjT4Jig<5e&i<>u#iRk$`Y2cj1~OOO8#UOIu{ZnB zj{P`*_8i0^97;zHqcewdBu8@$#}aGE37kkV-RQwd^rBC8jhvNcXxvhm@0X;xJ2;!W zSEM9vDJ1{5euQy}tK*N7c#O{eBHvkMW6{|<6zZ(DEIQkWd}j@fMQ4pE)Y&$&=&Tj_ z&e|A@&UT|vXZy>dvjfR@*2!3Ob_9hw>ne-Rx|8c{t^56RJik8!IG+o-h)WpAU@l__ zLm9>uT*=j3!w5z)itD+78yUk*+(N7&w{r(~aX0rco(bGftRWBaFpn~k$9RG#d75W< zj^}ximzcsUyvpmm!JE9rJ51v}rZa;N`G}91#V35m=X}Xme9bp}%Y44$2VxERnFaj9 zB7WsJma;s%MpmaQA#N#L3DwdyQZu_o>Zc@bDJ0EPvSpUEPD$KSNZO`k-z+&eB^|P) zOG=KbR;T9;372&I(tDDolPd+*;HfE+1nKAY^E$an@zs6ImV*1xfJSbp)5LEOs=!F?)NKter2k% z4(qW#)u>KQHl#Ln*@*gV%%*HcLmJVTrfg1gTCgQs6KhCITG5*A*^!-S!>+^{vIl$8 zmc7}R{n(%O9LT{OLI*n1iNiU9qd1x_9Lw>XNLRYiot~UTZ~AZwr*S%Gau)qKn{zpj z0bD?=As2HAgBZ+ZlrWUbvuotqG(+Q-!hF9i&D}e*xqDwq+)_mHR7#%Bl9y8ww-b{0 zQ}RKUe43IkvgG@e{FEh2QnD;d%BQ4KmTZuc8ctjzOI;nCl*D6n)_{Cx&5T87TTrO8 z9c0nj&g47W%UE=_4~05AR2H2bM!vISj74Y1QK++Cvgqt&@}2cH7M-0#q0R=%qO(iM zb+*>M{`H02EKp({@myP;}d+RS1MPoZPC&G3Huct|E5#g_mJ1Mq1DRzfbY==^8hf?g$wAd?Nv0X~BSG{7ddd2Q`itSd4?N*B2@f6#!6x*>B zyHhRp3RrB{QtVamfAnvQ^KQ)F-=F($_x-tbn)qyWTCDH1fZxqH#&a+CaX%085RWjC zNj%OIJjK&I%k#vB?Q$FJhzGM#HFqe7E z=X-wOCl;`fMJ#3szp;$v{LV`LWEHC^PX#Jbg{rJeZ1^^y8a1fNhSZ@h^{CG#Y)Wh% zifBX=V#C*r=9Jw$Z0o!w+tHdG*pb*g?82_>PHg!0qAg`N4+l7J&q2h7uk7Zbv-8;S z9Z78Xj-d->HxI?myU~N#@b#iMv3WR^*zlb}Z20=pk8?Pe^EjW_@Lfb~_y#hF*gOm& zHhjad;alV8VU+RfxPjc}q3q8u#O`dF*Qf_d;xQ)R!{jI6lg45KK0~1i_^K=>;2Y#8 z;Csem0?we&1pHhU6YwkY6Yx7@F#&(1&;(p6iwU@b`~)m#EGA$@3QfT3vY3Fi$WOqH zjl~4qj6xHzg)AoER%}h#3AnxUn1DM`XaepniwW3{{U|#D4{;t7up@`jnZqeN0grVa z6YvC1r0fJd$$3n`J`|dOXUk#&_9s69FEJJqa4>}?;MKBg7{Rraoq#twAHz-D%&pwU z9o)&?+{1V#WOp!+ru&D-vO9|x)1AeX?9SrNl)RHAAE)G#EcrSm-)6}#Dfu-^{z%DR zS+Y(_*3XjKDcLAX8mDCQEZHU{t(>?ss?kXSU<)Y%cn zqqC!lMWbwIU7bf~-HAnGO`V-?JQj_!h()7pX9JwaqH!UyXsoHTp~hp;xPmJw+u2Cx z(b@IHqOqpVZZ{sC-9@gmwZ6;rxaY?j@-(rAJje6A$V*J&6=Dr}omfNOo4$c)KJXqqAw`JDX`NI-5ujy>GPU#k{b|pE9Lynf zpd+0)oFh1jqv^u29M1`Kr5oMp$w~C452tV%v4)(W_cDR|d60*Agh!dgV?4oAJk7Jj z8u9`!GMOp7!fU+F)a)90Kh4m1Bg=gMBF)`7+1&j;CGkdvHepj5(2zznp()L1&K7LR)@(~lwxcyWup>LuhF#g6J=lx3?8Cn7#{snGAYvUk zlnxw5Cl2RGj^dc?Iw?*wyGJ&&&qy=7Z#J{fPsv4DGAt!mX340O+>j-Abo)R1BlCZ_ zK7K5T$7pQ<`PP;ii`G_9sI`i+XsrtQ)~Xwe)@o6xwasMFS`qoyS{RGgwxUpLJISK8 zUC6h#x3Org9fex!D2vuQlWXmtc8{@&6w{3!^rRPkIGIy9jWaltzMRcD^yfUz=K?O` zVg@ppOBq55!?>I)xtdr-MsO{oxQ-hb%@}SXR*_q|jXSuLySaz)OyE8q;6Wbd5hn5& zkMkr?@eI%MJTLMRQ+SzId5t%i%3Hj{yS&Hy%-{n);$vp<39*WN&KG>e9KPXO=J8#2 zmHd)seB4xcW%w&y3+1wFVV#u3O@(Bmlx&mYklFvz*vtEve zOIgke{$M44v5In3pdyv2!aA(W`fNaTYEp~Z)L|p)u`!zx>qtY2XiO6}rx`8Sl2}K! zVOv_U9ow@bJJE(+*p1!UlfBrReQC%39KeAb#36K`Bc15X5gf_U9K*33&k1y;nC|r8 zBzn__Q;2osbk5)``f@hs(w_m@buuW;(73TM=ZB}cJ2IQQV^b107Lt2Ya(|XgN=e*U zNG7M`l`MHXCDXEGc1k|WlDR3FpCyY^@>`btnUd8`TqA$FI@T+R$LOpY`OfMZi_Yp( zsI#WB=&U*U&RQCa&RSEbvpr9ZaFlj*>-ZUC4LV%~*8SlR}-HDT~hf zk?U-&yZ#}bKa|V4f~&Zi;f!D;*Ks|gxskEl#4X&)?cB*-jN=~eWdir}AhCu#!lO*$ zF`nQ_p5|F%4S9hVnamVk;Wb`oDsS>O?=X$`n9c`$$V@(FHlOerU+^V!_?o$V%Y44$ z2Y%vb7V-;=`IX;T#&Uiq){sB>i`A5)0+pyt)$AInk!EPzSeWk((%fy7&D||h5;qo- zol~-Fmh6*~{j%h+lpLNV$ED=NEIBzPr)9}GDLF4oE=@^EmRysPYn`}8{&IEPToRAb z*=^)I8*eN+yN^PhJuZvRo+97bOU9zJmnqcQyRzu)ee#{nG8UbEN}=PAP8`mW9K|to;W&=xM7q+A9`vLay*ZgvIE^zn zlfLxh9M0uD25-{K^uRvWyk{ z&Px7b73C;TMJiE+s;tZUY(RBtP>T(z!$#C&V`3HAj0P0Zh$d`KGg@R2Xedy_)FeJG3GJ|^GWm&T&EuPM~q&$8(4 z7xKL=Hx|A9L80C%%c8e+$oE#$SoBt#LcKMVMQ@GC^|sa>{|=tN6K&Xq-PoNy*^9l| zmv-#W0UXFd9KxY=q!XPvf+IPaV>p)MiFKqa#dN0!C((;OoIpxr`wUWf)g*C08??5sYLM*K-3mGKQPDgU6 zC=+>%CwP*lv+HDXnxS!1VZKjGb9Z_+cW0+0ZYm`6Q}RQWEKW(>R7h5*q(YXgmy&8( zQa>e|W=YeOG|!UODcLbg_DD%vC%!w^#qkz?N1mT(Y@2;|?%vs_;^Gr@`;>k_Zr{?w zcBO~=l^*V2dU!zTVf*Yb{;~s0M-M7JJh=4mkkZ3LOAk9_hw(RbEFC?p^srMLu2;EY zt!j(PrH}5#uih*7-|^+S&-}+n_fD#V+|`OZDHsC+_dx z6IX1xDt5zLY{@FN^(nS&6CQG#0O|{V4R>da*2CTL+PUZN1!BytZCNq1V>YvUqJBOa8U>PGj-fI*vlGt&hm! zwRIA?*VeVZGxMtFzs^+N8Igze(qX#|dMQ={#6i(xG&ZIB>IEQmN zj{#i3MZ{V%kU?C^Wt1?K%ej)PxQ5|e%Sf){21YZ6vE0lp+{W$P$=!@&Joj=R_wyhR z^9U1}#N#}{Q#{SHJkJZf#AIIP6<*^Frt%hV^DfhPpXq$SN6chaw(tKc?Yh6o_TvlE zetc23A77D@m040HCF^EMt(4TslA@F}$&#&7vTc^^l9JuCq+Lo5$db+}IWkL5NJ+61 z_r|ejJ+&krqq8%}ch=unbap<4IvXO3&W4fiY=p7sY!rn$yHysQ-9f&y3C5zc2Po9p zld|aS8S-{K^uRvWyk{&Px7d z73C;TMJiE+s;tZU#2Qka8q{J#>QI+@Y)q^no6&$G8qtK!X+{gSU@Nv}TUxRm+p_~Z zu`|1{E4#BNd$BkB(2o5$fc6~3Ask9a4x=-Nb0kM|495~{$O)WCG2Q6FN%W#mc8#2s zW@x724Xi6T-lIK$LVwSv_l6SJ?!<2lS zC10oH+bsDxCBHavjl><@A0_b^o&80=v&zPzvvnxcS#4Q#wh{Tx8XAkv8dIpVZDi3| zEApMSF&3TeMxoC3mqlj>lJBgOvFPjw3U$_17M*n`*V$U%n>okx`!j&^xsZ#vgnU6zu3F$Xe+C} zZP*|Z1_F|bbQ`o_1GcvTHU?}Az*a=S1k`Oy7zhRmB4L0a1{Pr_h=qlqh+=>!iVffK zo7a0koA>%$jCYLtdE*bCF^+YwYo2R6p5Zy?T=QCPSPwIuM|hOSc!F6x#nU{?Z07PD z&+{TL@d~f6Fy}LpYb_g@FidIHL-_$$4b6uH9ztbKl4kr zM%Jep8Xy0d?-kSBt(?u>S}BQ-e1iflz? zwx%l8sX;Bap*Gu5m-;lI5shg|Gg`0%v4^yz6>Vrsdv>NHyApfI9_&dcIhrXQ7nViKroJ&8>=K=;Yh>M6lkXaGxX+c?v71!cU(4ir=;Y;EO|U7PiD!yl)R873sUlSmVA_w#aXf< zCEsSrnv|@~lF}*JG)uNjNhKH7i1&Ga@;n}+vpVEEYho-qYfhog+R37`4&*!A%UE>Q zg+iSjB8$$tlJD$TW6|016zZ(EEIK=ld}rqwi_ZE}sI$Sc=C=PYA6U$TO)`IeQeVl_XohM!o=FZ{+I{K-1jQ<_cKlya13 zGhz?fl8RKKGFwxP>eS5ENWC;e<95P(!cJ-Kw$A46E-8uI3CaF`qxJwlbx`(Gho>xV zC}c(Hr%uj(>Wq|}oh28h9~}clKZ}I@5)H*q8nJAN_Ciw12eM z9m{dVE^;D0D54i9b1J>*%W0g!nViizoX7d}X8;4akc+s4%NWd1F6T;$xteRZjvKg< z;f&xWZst~QV>GuDyU1OPP^h)LWzpJra;TK%In15KcBaVUF03! z<$V_MAs-XF$fqpfGd|}Fmh%-~6T8TFtmJ!E^CLg;Gr#aFzw-xwv5rz~LK(_ZjtXqX z7F1*_Diga%RjN^gnruUDwxcffXh1_6)0AekAa;=**@;%PCU%kb>`cdOm2^ro)GbA9 z8}U`0ho;~C=$6gj?kSDi3ag<{n%SpkNxziDZG~h=O0LL~>r*l;OU9(+&McXbl1W)I zBPEY!$ulXLIQ@+vu5JjsMY^|3p9ElU|&}DV$0l z`f@sF68p$GoJ&8>=K=;Wh>M7Q?5PNjWOKL zos8vf#xb4=+{Yv)b3YIA5Yu>=89c(HJkAq5N$exf@GNtf%X7Ry>?1E{>tsQip>bPb zzAsL5cWE|vSEM9vD`aaPD$KWNGhdd>nzzeC3Ui-c}jN3l6EQSkR@GGvTv3g zl9H}2tdaO-gyW0j@dW=r_8{L`Z)4HfX%y6lj@QQ?vWVD2KH_5*^C?UDoMkNM zOIGkT-|`)+Sj`Wt;V0Je3%~IPv4^ZBbQp z#nBv_t&yTML*u5xd_OzQ-Se`!dtplArb2RcO0LV2n^F=t6_R^Wa&MMAkdmocGAkud zXUX#^c_~X4rsUl$`6MMvTv#LVt2*Bl$76K1l6+@B8;j0~M0OZS=%{ir4q1FQ;(^ zXL2^@a31H=p8*WyLN4MGE@d!7xtuF0=4!6tI&L8Lkl~ErCT`|dMlqV(i9O^l#&Qqi zxR?8w$YiGQ01q;iX-wx4X7U)1Gm9sAnrE5KT;}mSFYppC^D3|N2J>0KLf+Z%fy&pHa3tB`*OoZcZFoS~&2=2=_9PBT}(Ld?kD`GXB-bKcwWREcr7f>s`2Qi9b}Zd2u|(E>e-$MKlc8>AbMf!0*8+5kOkCv|V+So&`;aaZe28J=5k=#t|A)|;r zWDK`+CwCEh$T-F`f%}-mWbWrdVh@={>>)FFghzRd*h8Kq_K;_ImO0GjIbI<4ke7Ld z*La;bna^9iP3$4>@jf5$As@4t*h7}`8O!*BFZqhE`Ihfk#rOQckNm`1Vh{O^*hBs# z_K@|IViPtc_K@<~8mW|KXncBQzSl`}w|+Kvo2Mi`y^?fD$*x(_B_;9cm85G*j>wYZ zQ_>?#PD{y|S<*ix1GD7vloV&ljVT%7!WxM`a&t#W&MQ4wb z?`*cQ=xiQ^I(tJFoh=~W*&<`n*+&%WY`H8tTS30F)yAT;H5BS>oh&*lO|G+zeza88 z>#I|fT5L;g>QI;ZG^7ztXi9Thumd~NlGe1L9qs8rM|Nd*Vh`DiPIO^!_GLc~;2>fT zIfU3ly3&m!IEte=mhK$S3G|>Ry*Qau=uIC^<8;pCY|i04`q7^Y7|0+l;u0=pFhjVU zD=6k_uHiaj54n+Hj9?@;b1S15ovo33(hQAHugv$UY3@$X=I*SN`1C5agZPguFQxy; z@@keYOlf?A<>!{9pZh#ZzDdc-EcrDhe`HCSl$6VoDk-U!CEKN>o(t)S({rX-H$5&@5Xgt+OF!KgK@Niq^EH9Xr#JUD%D?*^|BK%--z7e#Aa z=XjnMd6`#ujn{dT`Mky3yu*9E&j)_|&m z(T29Prvn|?mDor2U{5;HnZ4PU{Wu_dKk1rgXxv(u?>*Ao?Ul{l(^3+*7LtJ}xhP96 zPf6TbNJgaO<}A4*C1bN>N=hEgl9?%aJWJ-KTHcHI$KM=vvtOzv(gmmY)e^mR*8IPHH}4Q+ft~rCbHo?$j~n8$Oxz)QT$tHd7i25+)}w|JX(d5=Ze8d;KNXxvtq?<>>XU7gL{UsDpd z6_Ro(*(^({q$F-DB=u6#FiW;i$xd0aOGA@|_JZ7M)#4q0X+9MQ2x&?`*iS=Gi&*k-}r++Sw|^KQ-)0` zM|n153t|u1ib_;rYpPM5nruVtA=^=hdeo;OjcGzNnzKDSuoEq5Oaa$p|EhV>S$@rASZH46FUM>E8mRnY7kz;`W)b9|GvRBeiy`KHlJ1KcT zOFm1<7g_RMO1^htl~lDpelL#4XzefZt(7$vtyQ2Tx%OW@%Q)o0Sw|oF6I(0V=zOx zf-AX-tGSlzxPcoP&PZMsquN61&LV+{1Y8wa{+YjV= z+vthEjMtZ?JQdiSEvU#=RAy_cQk@#qVjF6+9d)Ts0~*norZl4kI}rOwOIp!}wzOww zIzXBu8@$-8qgE=s{072<~ zoWr^F<9se)AcMGw*henqGKMgeD=6kFuF2NPh%`gvrox;bo96DgZ0=4;N!(OO9#6@W zSu!sraZ@2#kdn8v6N zO^iin%_-DbJ6UwrfqZ9s8H>)kP^hy*WYJky@|_)PEIK=$LY?)NMQ5jx@9aEd(OG{A zbv9TQon20@vyGnkZ}Iw3jAjgXq_5D8f16{|ztjKv3f=g{xcCa)lS+P9?&OlEr<6QB zwd85A59O&ntP_FFj3P zq1!M0K41JTe2e0D692RR`~QE1?)3lh`+Wa=g{~7uk$0;i?^Z?bRf?Q6ikvix+^-Zl zZ4^0e6q$8J-noj*ydpEN$h%jO*;nM`QRL)NWM?UI`Y3YxC@M9M@%;05#ua&|Eb>lS zND^dSxpXAlRzvxx)WdGw<{7ch_ui38sy#DQ-xLx{t} zmBfMXY8?3f_V93%@te7o+~FbJG>$cXkHezVSq;-z?PQZg*#{@i#t{l!0 zY&Zdra~%`#M0&8{1U%JsOu)Vrnt4N#iWpF(s{BSR*y9j@^pmF*c1oiA`g}&h~R1 zogGMQ8h_W>k;bF5V~9;-!_IoTj?PXZHjTgQ>@4H4X`D-J8XI;t$aQQQ7ZaPt-*tAC z@z^x3=t6v_`A;THXfag=Uz7GY@?qv&Gg#XL!Kb^kf(T>XPM1ho+I{< z7l}RO6<+0a-XQjnw^+zKyvzG6;zK?r_K;6m!e@NW7c3|Ckgth7@Cb(CTgVh<@xIV!LjTTqeMLn>2+s#K!}HQ9#R#2!+YdNiORjcH2kAuWhK zWJh8TX+>+=(w^8uI%aF6Q<|YZ%!>JbXqvm-vbozmCGkO)q)$pt&ys#Ai4U?QLsD`@ zmRz5bVOcUJC3j}Ygp^Fmk{Ky^G)taI$s8BfNG+@5wc>b;&fX;7*?Y#KvkxfL*)myl z_9gkwRvC-VexOiif6Ah>_2fG%Z!9|7oI;&dmqll_$ahxXSajBiLY=jgMQ3fub+*w@ znfCGe{WyRF`4hk zATA>IkV}a@WC%mKf?}@X8m{GfZeSS08OhDu!YFQI47YP9W4W7gjAsJ(F^S3C&x1U~ zG#+LKkMJmu^8`;4d&o09%N*wN953)9FK26HL7JiQL6-TxIL+Oq+1y=`lK3D?vNk2Z zWl8Cj#0OcDN-5boOSVl(oh)gdk{z<7T}nD+NtcxDnSWE-pF_~Lks&U%pV zthce~>@*5>)?XH#4J6;$U}MqQeQqb+fti4)TKTRX+#s6(wr9Tz)rNJHEn1| zdpgjOUD=&I*o#hdVQ=XclUB{!wy)-1UvCHH2@11XuBC9_iUwEx~>t;T zb4y%UC)-*f-xSAV^tO_GZ$BH0-hQP}Z)IfBTRHN*ZDlNat3sjPwv$C~^~m?u%vkic zJ%xJPSr)zRLcX`o#-g`K_Jpx$?I{Yi_M$9Wdxd;!ZyAf$-l0%ypUR@O&&aj5(G&j~ zum71}_?6%JgTGiuDK?=DWhqAmHe(C6WGgCDg{oAe1~u7++QcqWmwGgyA&qH5Gg=V4 z$d2qpD_YZ*_UueYc40SmXHWK`GkdcS`>{Uen`nrS@LH}*1NDqwzE1mFOJ9PtRnf&svC>W zYEh`OMzZLvDf!M?8jH@_P^hy#WYJkC@|_)EEIRuag*rQ07M*n`-&rqX(b*{!>g*g@ zbk>htXB$27U+MK%aShjUJvT6n;f&;FZebL+F^1c@le@T^ag1jI_c4jd+|Pr=9x{!G znZYAG%40milf)kK49_x$xje@UyvWPE!fU+Fo6P4e-sTMw|vJczUK#iPBS3u~l~)p190 zJVs|@$#*uW&MQ4wb?`*cQ=xiQ^I(tJFoh=~W*&<`n*+&%WY`H8tTS30F z)yAT;H5BS>oh&*lO|G+zp7^VJeRXP5i*2b*9qLk_hBTrHO=(UGc3?+Z(wa83qdgty z$gb>8>>+#6i7xETzU;>V97OCPhj1ue>BbQp#nBu~caG--deDIS+{iFSFp`_Ol~Ih&*2q0+hQ>{W`93wx z-Rarfos|+d6_LD@l2@~2VM^kLLb4O(CDpQIyOh*( zVU5(aI<_y4$LMS)@}2E$EIQkTLY?g+i_Z2Z-`QctqO-#()Y*x$=&Xo*XMK%DXJ=5T zvjMW`>_YOL4K)^>T}h$NhRdR}o5*#x(bN6}ub;?drtkm{GL>me=MiS|7>_fHCwZD@ zn9W?~@jNf^5-;;AuM>O7d={{fw|STMSj30K9`Xr`S;A62=L?qe6)X6LZ&}GIR`Vlk z_?fl*%5VI^U#z1Pr76Rvl%qVGu?1VQ6_u#M)>NYgHQ9#PL$;$1^{7un8qFB*%^UZGHD@5rLF_sMtmsj=wnGYWO~oh&;0 zo_uG&7>mw+r%-2QWzks$@|{&S7M)e4P-k^z(OCm>oo)22-_GlIrX#zs8@sb7d(oM_ z*@ykup949FgE@r5=*Hn3$x$4`u^h(<#2(UvAN}6U#o0PQAl08z=$%QY@tzW9tD;7wT_*?f%^~=6E_x$X4;o|q^`j`A# z+yy012b4S=Sn_mG$18EP2bVk@l0A)o!qAe@%S)bK z5l^=$yUG9d@6DatEc^TS{`uZqyF!s&p~%Ui$j(q?XDD(aEV4Tk*&T|U3XALzMNWoA zc8UM?-+;1%6gfE-*+q(+9*gWGMWs%p2R$jG7ytZKasT`dd{15&LzVyAh zjT>g)n`^7~y}9vtsJD~+TkFxr;;pqih2C0Ek;PkUAM$Ul=NOB()_xRvYrRw!Z>>Yf zzqMXtEZ$nLr_fvLC|SIoaI&-}t~#8K~0{$f3) z*n~|fOL;1=Ia^SXt*Fe_RHZsKsKqwarVe$fPXij!n5HzN1v{`KEons?+R~m5bYxfJ zsJ91u(uvOO&A#l%0UXG`9BIn@AN}6ke}AGA2cdcCQ1e1|sCgqDY8IqJ&E^fPk&lYw zG1^;9zP;tfqP-OqYHy7!+FMJ$y>-T-z0wqFZ%bLUSBZRkHH}4k+ft~#CbDR+Ir;Wl z8;kbZQK-GWWYJz1^6edDOnZO(;mnc7kLFmqb37-|gP!!_WKN+seK?KNIg_(Ehx6!1 ze=cAkgSd!Gh}~o`L%5tPDCR1z;X1D8Musthk=)F!jAArnxPv z9%L%hn9dAl@+gn<1W)ob&oG-g%;Py;;3Zz>RbJx_-edu9@iy=B9*eSr|B^IA+tj!Y#o)QC#JN>g&SmVEdJN{LET@VNMoAN zjOJ|54(vorTGN(x>`VuCVOMr%PxhiSUD$_x*`EVAh=VzV!|2N49Klf>!?7Gk>?0@A zgCcryGN;m;zS%lCH_g!a49J|nEY0kp+1$N0CGi=M)>9U(okXs+jebPa-|Gi3hzq%xOSp`|4CM;0;Ze%zk zxrtl2mD?E2?c7Q1B6o8Sb~XW_-o87Aj|Jp;k)bQy@vxl(fi_HYtfufh3($vbVPhYx=+CkDfQSLar~4$LMVs z`QAnui{8disJ98S=xq}D-liFg-eyp!w`XM0+Z^(}y=*LcdyPW9y(f#_J|N%QQe)BE zG79y!N*2BSK(4oqp8v~ueOby=fz8>1iflz?wx%l8sX;Bap*Gu5m-;lI5shg|Gg`0% zv5&N*6>Vrsdv>NHyAu1z9_&dcIhrXQ7nViKroJ&8>=K=;Yh>M7Q>A#t|nHusYa|69C%6r&l#9o)%S?q(eKGJ%OqVhZ>3 zAj*hk*rO&0JLZ}Tqiv4{`&h>uy!r!3`jma&{KS;5zQ%Su+Un%GCy z@DpqKh2QvtKUv3mO0x-@QjYR$M(iV7QjtnjW^1ZZotoJ?sh4JG++3LNJEghXI-9$@ zq$F-GB>Si2pe#8&C2?aRDN4!7S#m~7&d!nxQ*ud`T$z%qv*f0f+?pkKrQ{wL)<{#U z>+nCmU|dS>>>9t zk;&N_c{t6`N3yy5Y?`}sv$^|9N?y;B_fzs=mVB0yFS6wOl>C?_zo+D{EUA!^EwZF) zN@`?DgOoJRk{wgh%7r!3%<9;!I3A<3J;`^rpRwrdKnitsq%1l+hJ0r|jYVfCQK+-C zWYO8Vg;Y=bT*z`XB$29&-D7oc!F6x#nU{? zZ07PD&+{TL@d~f9q-0W-%t*CFUfbd z%2;&v1BE*KQx=`AC*N6lW6{~>6zZ(HEIO-2zO(wqqO(R6>a3+KI%`9&vyGnj_woAu zIDiBB7YB1FhtZ8AIFh3|hVC5437kkzdT|n`a4LQ1%juj+>>=lHF8w&43mCv4E+Y1j zOSz084CM-nxr%GJmg~8JVGL&^H**W4xQ#K~&Yg_qZpJa53EamdCUZXz@(|N_m>E36 zqdd+NJW1>!&+sgBn9Fm#z>B<`t&s(3hQ{rLHL^I(-KE*wU6GQwosg_e$!}RwIwf&C zA*qy-t+Qm?l+?+R<|)}BOWLKRLzZ+&$-Y@~NJ_f8utr)~9mf~PV|3Pod}qClMQ5i` zsI&gE=xiYQ&ITKc&Mv1=XE(~Cvk~Mw8*MB)yMscVO_W7vQ^QI;ZG^7ztXi9Thumd~MlGe1L9qs8rM|Nd* z_FykM(S^O)m;E__gNQxk5Duj)-8h1yIGSU#HByvjXxvVi?`NmEdtNqoFHA|?PDrj! z$#q$BQ%d4?LUK<^?#+@1QZhA5W~JomEO|a9FJ;NXl)RfIpQL1o3u|P1tK*yEc#O_g zlJD$iW6{~K6zZ&uEIKPkzO${2MQ2qg)Y*2j=&T<3&YBsE&bFseXFJQHvt7t{*4bEe zwhx6mJ4_ax9Zs&Zjh^*S@%rBMT+KCH z#|^|DGMo|I#Le8wC`NNTv4`BnSngpQ_i`T-namU(;6bJ`jp;nXOdjKLX7MCX^DMKO z%RHXv1zzH1UgdS(U_J|2$lJWj`z+!^Vh{O*#VlbdpYsLF`6^o@-^UE~{kbtM*A#C* z*Z(vr<(J|9>-%%BEcqR|;*zIVWl!Vx>8>sry{6>pwIxrlD|vc-$czCZV$@6Y|` zmm>d{e<|`m_~pIa_vgkgz1HTv;Q`?<*Xt-nY09uEaX_fRW^6$m>b4?(K&WA?Cfg8) zx(yEq4P3{et}$__YexQn(8^dG>e>>Ax(yEqySa`--JZmut}|WOhke4gd>SV-7z@S{p|taB;zM@D)|G#S;pdka4vsyK=A#!JNP$xu{4edmytgrTxBed z2-i~Rh;WN6jtIArKO)?1ERG1{DRe}5NESzghshrio-h_igr_KUM0imaM}$|%9}(U% z7Dt44D0D>lR2D~s&&VASHu}-h8n6GEUx*p`JAbg@jNHU^%*e8oqXPLES=m?>s#1*& zXJl>HF(d0zj|Mbk!x`DabsSlDWG6P9k?mc_jO@rR{N0S)+jz{#{n(!kXXK%-V@7tP z(2P7mb|O6~V#68P$8}#$CyuOtHzO}FK7c{lk!(o1X^2m++=2{Cw-Y0?+letLiBGR2 zlTvbjmdr>=e0n9BlalAM>?G3U1Y<~s=JQPY7x80-*wi=cy!j3*hMz%tflMdtPQb?{9R{z7>`|~6S0eI*x3QD zqqBbzyU5>lcC_)>MY?kw8+O*qb#!(Lv5Wj&XXhA?U8Eo9vq5JY{b=b*uZ=zA8m{Gf zZeSS08OhDW9x{s9L&k7BcXAi8hm2!96S$8_Oy+(bB=(SL#2zw(M|hOSh&|*G+r%F79`Ex3AM!Dai9KW~pRtTD_>!;qns51zReaA6 z{K!wNCH9cth&|*_Vh>qQDK=qKVh<^wt&vJ;hQ_B?=6jtqck5?!w|Pq9(<@1bl+?bLPF07HAtd2X1<1soLOTM#- z#-g(+6zXiIEINCfd}p(bMQ8IU)Y%)d=xhP`&K4Pq&OV}0XUk>L*$VQVtu_{&t)Wn7 z>txYcX>y%y^rNM!USFM>)M8s|Q-`|Lry-4KLQ|U4f*shAmb9h~?PyO2IBY&MLT~zT8mDt6XLAnc(U1OI zz(59Z5tnc&gBil*TtP8ca}C!Kd&rFpV+13)nOhmf=xmMLlV)gqdS$*(O>=j8Hg{*G zBtE^8yp)nxvt(gP;?pb1l9YU&CEuiEWtRM!l0UMfOiIdSNtKjT%aZLkB`K4CFSSjy*o!E(N01>f*3D_O;Aeq;?lvzA}^ zjX(H{b(EqsW!RK*lxH)xU`w{55>?omYSf@6+Yo!mcGRIB^=U|Bn$Rp;BdyX5ZJW*A zJ=5InoXy<>Q*v;Y9FvmcvgD+coSG%)rsVuAxi}@4Wy!TExgkq#Ny%+lGCn2uWywP+ zdDw+D(#q<1syH5_vuDY7_M)-q>=g=i_Kqw%d!Kw~pBjtKKBG`)-^rr0@5y)ei?QhJ zcM5e@Ru-LAAm3SKW6@bv3UyXj7M(R9*V+GcZj3#o6|HGYJ9efcyRaL(vnPAenZ4PE z{n(!aIf#Qfgv02@;T*|P9K*33#|gw9(vu=i;$%*xH+?ys*h9|ZY|iC8&gTLKFo+Ae zm`k{f!3^aJuH-7N=31`f28J=5k=(>B+{$f?=63GnF7D*W8v@6By(h5V~H9;3HI$@g}&vFNQkg?c+h7QOW$-`hFHqPKn&>g`fl z^frWiZ`T-$-ma%mZ=+<<+Zgh_jWZU#O`uS3(`3=x4D!7_Y3wPUA@-3u%;hrIZnP2#g*hl{4 zFV<6vP1uyOl&1olvjr8|ipp$FRjN~iT5LmY>QI;ZG@ucUX-YF%umd~Nl2){#E$!(* zM|LIlkv-UxPIP8(_GLc~$lgo3rky-)DV&{pq&>b@w#T2AlDMUi3{1&IS#o(w;+8@( zA|*Fx$sH*fnetjeJxbkI~#> z^35$b7R{}oP;+Z!(cD_{&8;&Q&6TE5b6d)yxk}`kD_1l9e;3_tOQG(X$fCRE+73AXAyf zbY?J?h~Q&Qx@ zDrswdoKYN)(b?JLI~!muI=hfUon0x5&aNik*>Gdg*-aGc>@Hb!b`SZ^CL4>+9-vTX zkIAC5S>!vLYb-i@o9Kb;w%pn{`R}SY0j^Y@OfNyF;_Ndu>YM)|2P_u_br*8U>jT3KV! zS_KNVR#g_Q)ga$mU1QN&0}8daqbyo$MZUF;#-g>|DAd}1vS{r<@~w3<7Ofpgq1JlJ zqP3IAwYJfde}AtZz#uNG zJ4>3Tq(zprNlE)G>6DVaovp0t|CT?**xm}czBnGEw_)Ua8)Ynd8$+SqCdi_2wb+K*Y)4({(||@arYX&6!4AYe(vnuRp)Kv%nU3sA>?3=y zC!Ofb-t5bM9KeD6i-S3o!|28l9LdofLwAnj1bWbuUYx`!oJt@1ayn;n7Uys-{WzZs z7|0+lBKDC>xr`wU{4v2AfYMrU=%ch|g zowbuiXC26QwwJN!tP6!YJ461`!XN0&Su zlRb@J(!0H6^p29Jca}W8tK{j}lBaiPPvf6(Ps!-GlBeTKp59yXbV7QXzCU-u|K9J< zeWqFV_woJn{kcvU|9pS$Kffqhs?MQr5Vj>!S?LHj_gEBTG5&|w51*G*_jUfU;f)8|ASxZYrej8sZuo>#`ovO zmOah}elHW4$Rwt4KM(Q{)0oZ-X7VVH^8`=wG;!dY%^c?O953)9FY_vK;Cq8NS-@Mo z&AYtEB0k_FK4vkWvXswR#&W)71z+jX(I4b*!f}o3JV6D9>iZ zfp1GHQi;lJO*N`hlUi&`ZQ}4ykNPwu4tz~$%7%xBom{u1HEn1|d*bl03%jyAap2pF zPHcF1*x&U597G)WHat8W?m7;9M-d0UW9iO@hle89y*QaT@b#t-adnjQ zQ^`-j$Be}UoJFAt_`ED8;7jBu;Cy2-0T)te0)8Tk3Alv(1pLZaOu%m_Gy#8>#RUA7 z`~)mzEGA$X3QfSRWHAA&ke`6t7>fzG9fc-fGg(Z)?b(41CtzFGF#&g`&;;x(iwU?7 z`?BE#JlJ(iz{BXu;T*w+6Yx0KF#%7c2OCbnQ(ead>`S2uc)lzq-~jRy@G@gD0f$m( z0^T6Ik>QMB!wGns>(SiK9o)rO?qMAFavu|!oZZ1Z+^f#NKd6ZNk!RDr#oX-P;+1r7 z@p^XG@qS7^%#zPi@pOPQ5(Dkk~fiJrokVOKf7jYs#$($!m)JHo zY;BP1*fuUEwvE4Q?JDE3ZCuNBY}ndJ*U{Q7#J2Hwt=(-rS{qNUwT*t(G}G&27kPr% zMV{hmo@F+3d5+jcUL zk?&Z^_pIheej;{}Ux;1gcmCin)=`R0h+U*C<*2}BY(Yh07pY7Ys#1*_)MOiK6T3)V z>d}CPG^Q!Bi?kqiksXO$q!q1cOM7A$>6opOPHBez`{OKsCDEbjuO#Z0&EM`RJt3Rf zebUT6Jxltf9q-0W-%t*f+IPaW9ZItoWP0nq!%Y~3a8SC zzMRgP#6EHk=hBb!xqtx-;v!-nxs=#PhA@;XDCR1z;aaZe28J=5k=)EJjN&%Na65N0 zmb)3pcqVWklbFo?Jjg>#<6&m-2#@kOPw*tMk37S(%waCi@d7XMa<)zuq!}6?XL&DK zoaXM*Z0@c|Nqn3oS(}pIvZQoM;^QnyrIc))CEKQ?PL?!J$qrf4E+rj&yc`SEdhC+c zKQYOyV~ zsY6}r(~w3qp()L2!4B+1OIp*0cC@Dh9od!L*@M04L>KmEU-st!4kGrELpYSKbmIt) z;%JV|)=5#Cp(kfE`|LEc&&%fSg(saY~BB~NF` z^C@{LOBSZ&-7NVeB}-gbBfD80-xSAVbheUwXFnT@&VHp(XJus3Svm5ZZDlMvt3sj9 zwv$C?^~iVD%vf}`J%u{kSr(n`LcX)k#-g)*DAd_uvgqt^a-IE8=f~JXis;43oI-E< zavEoFCTDXF=W#y$8Nfg;_8WN)FDFBU5rrmYkH5Q?ul(l$`6r8rj|IxVShTqqED%cXpMr=Jd%{?B_7sIWdr=mhy+XdTw~R$+?@*|-Pi4{B zXXHBD=$U_w*Z<5f{L1hA!C$PS6q`_nvXr9&o3RC3vK5u7LRG3!gPLqZZDJ3pOFbIU zkj6Bj87+uCWJh+Q6|HGYdv>NHyRaL(vnPAenZ4PE{n(!aIf#Qfl*8!8;T*|P9K*33 z#|fNBPl`B+lR1?>^yPG74>^moIhXS|p9>hkplpo{Ni#HVDa`j_Y3`29=I)r3#4Uwn zQcCX6k{Kz9TMEgXlsuOuuchS8EcqZMA7{z3lzf>bKcwWREcr7f>s?qQdsrQt7sq3C zR*`&X)s01GwJ6kCBUyCTlze9`jYVf|DAd^=vgoW6`OXe77M=ZzLY*Bgi_W@}@2r=x z=xQ1)Fo*Nj(a7J=7w=jy^7{l${$z9ydIL0%9`b51Gcp%-|6oT%O|vUgTw7;Wb|8P3H3!Z}SfC@jf5$As@4t zPg%-mEaMBliY(Gc;}~%=bEJ z?$*!dZu6AHErp~*N_NeXE-8uI2}#$K9FZl*r=&-goR*R^v!s7Y24>0SDJjm98&fjE zg*CFL)p190JVs|@$#*uW&MQ4wb?`*cQ=xiQ^I(tJFoh=~W*&<`n*+&%W zY`H8tTS30F)yAT;H5BS>oh&*lO|G+zp7pDGeRXP5i*2b*9qLk_hBTrHO=(UGc3?+Z z(wa83qdgty$gb>8>>+#6i7xETzU;>V97OCPhj1ue>BbQp#nBu~caG--deDIS+{iFSFp`_Ol~Ih&*2q0+ zhQ{rL`93wx-Rarfot2Wfoshhgl2@~2VM^k5Lb4O( zCDpQIyOh*(VU6r%b!=Z8kI~sq*@fgg8)_^%yOKhk4VOh{H<9aXqi6jIUO$n^OyL0@WGd5`&Lhm^ zF&<|YPx3U+Fq^r|<9S}-C0^!LUMKdD`7B@|Z}Tqiv4{_eJ>(M>vxKF5&KE4_D^~Cg z-?EZbtma47@H1=qmEZV-zgR~pN>heSDMxuWV+*!qD=JZit*J&0YO)Qnhipe3>QSGD zG^Pp7vNh5w&Cs}=FyHq~bGLIgcMnWS+)hZ2Ny-1m-km`0SoVA4H`Ab~q{)y%qmoK9 zDm0QNP23u!d6H<7a;I5T(j0;IX@*AWyxhJxiU+xPsvSLa(hbd z%#z_L8JQ)IrewT#y;Qic=Df9AxI&&OjmPM13i;k%G8VnfqNukyvgqwS^1Xd(EP7i& zQE%VLqPOqK_x6ji=M#4Yq^00X(5JGhg<+|9k*$NdatI1ezA2N}&n z#5(dQV;Ro`9^-MI;3+1XnE&H`vav$T)xS%3MD|^}BeT!N#b@Y7m3>6+!Lq~AWrt(R z4j(Eze7NlJk?b)3vPa8C$Ce$AD?1!tb~vHzaAI~Cf5T&Cqm#-GACJTTj_=Z)`oBKA z_s@6fS{eTNF5O=K4KC#}F6Roaq&HX5hpV}UzLat;{kV?nxq%zGiGMy1`Txsb9Qkj2 zaxZ>Q)Th;dOG=+*Yk|U%j<;{y}Vu_ zi#Z1?`;FIG{G3RI#pRjJ0>tV4CyqXrwWA+^|;P1uypsY6}rvn5-xHH~P@wrtN1 zG+`&2vJ1PiJI&dX*zWDazO5D*3Q99;3fc$oIF%SoF7;qW+f4qQ4d7`&(%&`YTUSf9uMk zzxB!YSKCXXJgS{Gm84#PZs^PBH!P^#`O2MZ_^xQ{1`fN94Bxh zo#;##PT^F#ayn;lCTDXF=WziS(w&RBgq~c=4L%5$|JirJ>@gQS(h({R9I3_TWNj%PzJjK&I%X3WSd8YFMFY+=od4*Sb zoi})kw|R$mnaezLcl~%L$nU;(ubAfUk~DXhWpnq}l*BthZicI*WUVZznUZ)XNK!8) z4YFjrl|u&JdqNhSO(x&jG-J`(42n8?Qx=`gCfC^- z-=txO%nE+xH~!#HR#J}gRHPDBsLEQbL#!d|u|74~fQ_g{ zZ8jy=kS(Y~J?hhdt!YSOwqZNAXGfZ_GrQ1?-PoNy*pt24m;Go-D-Pg5+R&DRIh4a_ zM|(POBu8^B9XXy@Lr$U-C3N8wPNOT`vTNl0G(+Q^AoKmoGvz%eb5?=}jN5p)c3ckL$UCo4A?&3}7I)a|d@an7g@` zSVQh-D8qSxk&I$A4-sp~ql{%d6L^frd4i{y%riX86s9tb7ns3Iyv!_K;WggiP2OfU zb9k5cn8ycv#K(NfXDr}zzTiu~;%j0J`Hm%g&oX}GCw}Ia>>62>W@v?M?p9B8w?;O1 zH%ZCnS<)ya+h$4Al6j!?WbLl$@9)r>5leEV(cx7iY;8DY?ptYve#z z$Bm`&7@gfhzOzBbqO-dw>THB8I(v|OXXA`TXA>#v>^WI<_B{E{W*UpmUZtqBxw7c& zee#{nHx`{Oq^Pr{vgqswa-FSl-(S)5D^rzftj#)9XFY1L0UJ__joE}v*_p)M zIGz*fL}$8iGN*DHr_+ryIh%7hkMp^Zi@2Ce=*gwT8gd0!aut2JhElHOy6hUcHO`8G?Ir({Kzlut>e zELk@t>pO9cw03oDRvM4dSsn77H8d8TZ9`FK&1BKp?&Le$&scQUilWXAlSOCk$#>S# zSafy*MV)n(MQ3M_@9Y9&(OGwjI_oWq&aNic*&6ryw|o8|26Gqpa4$o+pJ6<}2uATB zV|a*1c$9HWU?P)voF{pTr+JoGL#FaP(|Lgxd5M|4LaZUL^9FD6Ht+B*bD78ce8@+9 z!l%sVa~86QFImjje9ID+vWy>C&QGl17k=Xp{$wSqC{G0{QH83kMXVv~Ql0gw!3JzZ zEox`iNWC;e<95P)-!aYIowK>SM@r&$LeeHB2WLr#l*H|Xq$DM$WXV}6IX6psrR4G~ z>6?;%Su!vscVx+ZDH-a-HPXh_@o;H8MrUKmclLy_=xj1Yoz0L%XD^fQ>`i0Q*=&kB z`&bs8eMY{suZ%@!-%!-q&$8(3SMr^eGZvjyq^Ps?WYJkoa-FSlufLV&H>5G!upQg8 zBTd+uU1-K`?9LwS$=>Y4ezc?&2XG*5Xv@JIN~|I6Xio=@_Fh-D*w=;;6Z9|Anc74m9nJVtMCkne4-vFPo6 zih5fpi{8E@-`i4S(c2Fc_4cPMdRs-lx2ndXx3wwit(Gi$+k|{?^^HYuTT#?o6It}u zlw5CX-0`dN-`eq?uwG=Rz*xVtUY%ONn*l3a;cT`fv@U z#5!^vv5wrxP256%25=j(jtt^X?&5ClWeE2(jNy!6Bo8v0hj@fX8OL}g@)(cvBv0`) zv5q{)6rN`qFYqFNwn@qMS<);eySpCa0$t+|0UqoMIiWNjPxSw1C-S{@H5R>{K~ZnrWzpLu z&YzoR_66C@?v;|bv5@pj$qiXD zFePzgAsL#I2eRbhl#I=i$tig@OJ=0x0xqIEJ-CEkT*~EKL2vqSHGL_iAJ=gMHxX+{ ze{N+Uw{Zu97|h+o8gd^)7|JjnU?ig$%@`i$5ymo(2|UImp5RF)^EA&gg{e$qIx~2Y zmzl{cyvFOi$y?0k9p2?V=J5fshJ4H?e8zk}=L;6`Rd$VhA2ZZ9>Bh8NUV6wh|Ix6V zkHY=OH|ai6_6fNs%MPE)4&&2wlgmb*E<1dt?C{yL!{^Elr(}omH%u)XeZK5)TG`?B zvcnh34ri1dzL*{QCS6DWcfU#ZoyOU3-s@JWT)FsQaI1QcdYoNvtjCkLc)iCwHmx_wbBQEi3R#K(9f6ae>L0mik z8tplP4jjo*9L+HtOGl35cuwF%PNEZ?DWMDhe3R~f+c)VpZBVY}X~h8?NE;3!Hg$&*o4R(iCpHL25u3VWv8nsp4Z_LBPvJE3 z8-#O=#RlPg{$_*Vn{*HL?|PXuwg^{}-y&RVEVc;OQ?y06T^3t}JIQYm?l%@&gy9rz z5gwJr7GXU3Ey7dAVvFz$MO%cIWU)nIoyUxen`nrS@LH}RylFoa+oV*?b3LRRirwximcvQE$7kMCd4Z8cb#ozJUVMc ztRky-*2H;q)|6O9{;soqjK?a{f>=dX@2su!=Ya6Q9-W;^ ztRjEc*?Goe6}ga$Sgo@)zP;4fb7Kv;j_bLRo4AGk4B$3m4H-nNA$M^%_i`Vxh74mk zBN)kpjOHO8A=Z#_#2PY@$9SA4h&AMCVhwqYDLl_KUf@My4VlR-Ugb63;7#7<9byfc z%X_@f2YkdQ#2PZ61uWzXzT_*u=3BmFDc|!0Kk^eRh&ALlVh#C|SVLA(jtW#F){v^% zHL`x1q45ru+w?kV?$*!d?lvijcd#V8r)1A8X_b-#v!s1Wj?9u1Qqn0)&Pd7GS<*cv zmt@J+DJjj8n^SVD6W7S$u8w<3<1soLLcX(6#-g(^6m>R97M(pwzO$*uqO<7~b@sX} zI(v(JXY-6jXCG43*&5%PHz?r7SutPp-2yzO}TW=hvb(o3I(1Q-`|L zrvY2hkVb67wrtN1G+}3&(u`f%o#yPx-ozTRA1!Fb{v1ea+Hx?lh8#w$A?-PWBRQI5 z=*V%Lz=?FCGhH}^Q|Zd-oWYr#&AFV%1zbpXF6I(?aw(T{1--e7tGR|!`f(jM5NpWI z+`_F4;5P1H5QDR8WN4b9@$Qu?VQiYa6SBEGIVJJ#mE`4=ypkoeQxfl9Nj^)-=UMVi zN|t2FuPON>ODd+MN|w}2$wpbSMM~;9agD@n`F5r87@h4%zO&toMQ3|Z)Y$>D=&TL- z&e|D^&N@)k*-5hKtb}}L-Hb(NXHnEy4_S29i+pE&j74XCDeA1hEIJ!VuCq11wKT%> zM=_c)Jj^4EWgHWDj7dDflT7Amp5-~FGL7lX;6+|$Ca>@sv4*_KTg>Ji-eoTH_<&eL zKIRiXV?Lkr1&jEK#eBoJEMY0j_>txO%nE+xH~!!+R#J}gRHPDBsLEQb!@8`;`qX3t zHezFHvnjELY(X9BQJ)5EO+y-I*T_z3hVGKh-F?&CZJEv8gHm#6mK>XsnLEq^P&L zvgmC~a=rbhy<@B+JFzpn(2U(^&K~T=-t5bMv}At{pfznch=Vzl!)V75bl@nC=2$v% zJSP(CNM}konNv88u5{x}VjVe$b2*<2xQL7CK~H*d8JBY^yOOmaXmM16SvTx z0Sx4J?%+-ab2s;LANMnq;XJ@d9%M8R5$njKjAc9%c#Ow+f~S~lV*Zc&ne(-eaD^OF z8jsQ2;pBTe##r=r97VmIDvRDuC*Rw7#-g_iDeCPCS@d=l`QENG7QNj_QE!7}(c4|* zdmCmfdK*DeZ{uXq+eGrcJ#Fk6o+H+g=b6R}%-|(vGK*JvjW>9cw|R#-%;i1a=L0_C zV?Jd*3s}e(e92dQ&9}rlvXt-nfgkyapZSH~h;`&o{$ds7s6ZtuQ;7sZ(0#yJYM987Ya|3dtoYxim|zPD$KWNN!EZZCP?pN`_>~n3O!?g~Ijv z|Kf)jJGeqVERDzL?Gy67Eix9pEvBfq<+A8)1^M1q8jIe_Q`FnKvgmDn^1anI7QJmo zQEv@p(c3oUd)wJq^wx}`-u9D4Z>`Anw#I$`QJ#Mc9XXB@IFU|trVFQVDqT69GdPp8 zIfwJOfD7r)#au#9F6DBrpf^`>HP=wewOq#y#5!^_w{R;1xQ#m)#9;2?9`0ob_cM$K z7{MqWWDF1S2xA$?1ST?x$9a;cc$#N^US=k*5bMb6yun+%%{#oyT;^rh z$!BS1$E}4qza-7a4FUI_pQiv;M}Svw;+KcAqRd z8%n;j(Z-^)hbijp30ZVDnS5u{j74WNDC+D@S#&m=TxVGPMSR6#zTsPzu#{!| z$Z~#W1;6qefAA+ODMxuKQi&>5Wi8er){ymBpPFpIM%1DYCezc?&2XG*5Xv@JI%3-vlJsmibqdAt298atvC((%# zx^N1o(Uor5HFAEMp>bl2JF9CfI@^+>&UTPR zXFHMathur1Y%hvBYb}e;4kF*#5yqmkqbTaEvn)D0nOtXU-1B$${2uhA7ngB4SJIn4 zTti>3r61RG12=Iq{TaYOZs!i}WH5JgFR_N)&rpW*03#X2XdWWgkVhHIcqZ@|kMjgi zF_~w0mMKhS8ZR(|mw1_3yuxd|!JE9zZ07JT?=g=L_=u1Bl+Ree=X}AJe8tzq8uA@W z_?~6_$WQ#tFWEJ+D$U&r+1#z3W_68hR&SD$&9kIYO190CrYYGqOIoC4f4eGIbnL1Q zNxvH6@GLzpr6*>8?$q?>PS27HQ*v>ZT#=HioOmrc+7)tRX*@=6w~+5`kg@3PE{b{^ zA&cG~B;VUOW6|40ih6ra7QH=BzPFjiqPJHm>TRwpdV8OIZ}W{sZwo2vZK*7J`+;0< zYuxi!^!&BX~Ir4Wfyj3 zH=462v5xG+zOF61IE<`Q~xDY1@R!IfM^AFiR4Yq>7FPHs&zG;S-rE(}R?cUU%e$E4(6w-r9* z`(*m2kEgSAdP-l+{@h#X&%Ki+AExA!ELohAZ?j~1N>*e^@eeZ|;|kfVG#;b3I^=t6 zXe@f$hN9k@$)dO2$@jLOvFNQ8MZFy+i{9Fk@2#V;={_6T}`gHHSYOu_xwQ&<}U6@-=!P>nnTjR>3@8eZhSN@zDxI|vQNvsTy{9K>~L1u z;VWf_uV#nwF}>HyMqe*Ge535}&9cL{$`0So4&!f_T{iko+2NeB!*|OL=cdE-UAlAA zC;C42xx0Dg=CArU|F22q3jU%B<;(m0UU~mq<;zX@U!Umv=eu<6GXD84-G9DI_n(hS zmUtci-+ol`-}sGu>AQ5-ZV=z48%y>u3-|+!U=$BBhKG2BM;XTiCNhb~d6K7inrDek z-&CGwIxp}dFENu>h)v(?yun+%%{#oyT;}mUAMz2O@G0~8oP{jnOBVAr-?D_IEaL~3 z^Ajuhh2QvtKUv8t%2R<#RG}(s5u3hssm}V;U;{Ry7PZ-g&DfmSJk+B;4Tw!&LmILA z=3z(YP1u>HG-Fp{^RNedvNy5m+m9BkzIkZlye$V4o4(aI4;`GxrtfHC)7O#XSbg(Q z;=Bu|5SzZPoK9>W<E_=MtN~3%HQ(T+Ai(A~t=O6Pv!?Tt#di`VyPIe%SQ=?dD;i z@!PnA+~#5RpJ#}>m}5=LhfCuzCg51|6YvRRF##u2Gy!MGVgkNQegeK}EGFP=iYDO4 zvY3FMk)MEH8H)+{4Mh|1XIV_ZU&&9va>il;R-|YGt|yBLSd;t&+|*c1z%3}6fQ@A_ z0k>m&R-b^oIFAXq8$}bar7R}k0UXHc6Yx;yF#+4ro(>$z>J#vI=P?0Kq7$o6z|)+^ z1nfr91iVNV6R-#Q33#Qkn1FpKnt(USZl*uCvibzP)A?ZT<{s{22tygh1B_%8qqDo1 z@#)SY-q3OvGbP<~Ov~;$W~C(F(2~5Dk`JJlJ8UUW0w4$lE1Q~T1wW*k_}U` zah7bElC863hm`E(#5K~<)v;G;JjSB2FR^H>-dSts(b+-7qVac~9c4T^JC;~9R`0B{ z^XTkkV$t}!&dxC&i^loHqOp2sJ)Os*aT&2_{9R|)8jnTedTwC#&IUM-&Tc0bjlb*c ze&f;EaB`ik@!h6Lo*!$-Q^Xqb49_x!sZ8SqVhwqTSVLy<3a{}xv4*_OZ07JT?=g=L z_=s3TKIJnO@HtM7uvgqwg^1UrJ7QOvIQEz|BqPJD#d#h?J zdRv>K-fGFBw@t|RR^M3kwiQLaHIYScP097P#&??z@ch=aP!6XZM{p!ZaSX?D z9LIAaC()TMoXn}5#_4q9OwK0Ok@Gm83%Q7k=|N8}CDxHEh;`&D`fv@UT+4M_&yC!~ zE%avqw{bgzxRblMn|m3;{S0F`BN)kpjOHO8;Zepho{2oh<2=bzJWZ@4&oPDPnZ^se z$V<%3u9LUYbrNr8dByl7t?~1-HGXkQ;>|3{ij@48CFN66DNEK*$p%@nSxV|;$u=q3 zK1-UVWcMs-m68LqqcjC3=cvr^>rSTY@bt2zcS7XuH85DKaT^5~PLcX)!#-g*U zDeCNIS#)+Q`OXF#i_Y$$sIyVB=xhx6&L$X(&L&aR*;H9{Hl18&YrJ`x#34Y3^Q-&D~xpxjakyrR0Vz8JLngvSesV9>|h^ z`v>SxaCLlB8jsQ067rq>Y%Dtam7>ln%A&I>SaepCqRzIEMQ8QMch=ZgbhaHu zo$V%z&h{YRSxaNl*#Q)F)=n0kbs*Q-f7(078d5?RPT^F#(v34Xi?cbG^SFSE=uQtV zp%<5OIakn|K3q*-O6kXS+`vu58q%Lz8OUwi!5{{6H?fA?#}I}xj0YIWC`L1ehk1mt zjAH_iF^MO5lF2;HvrJ(s)0oZ-UgTwF@(Qo}lW*-QW6|0(6t(t}ELxjIzO}cFMQd{?YVA{5w6=g;Yir!`FZcYP z`GsHkoj>@Cm6W3b6{$=Ws<9U9urBLSgPLr}Mr=%NHf3{S6{$--wxj`D(~!n&OROS0 zup>LMGrO=WyV0CI*o(c{m;GqT{v1GS+HepDb0~+?jw9&6Q5?;&bmVwW%@Zu9B3lsuj# z&!^;tEcv&8rtu_K$J(Xw7@buo-&rkV(b*;xb+(l(I%`C}vnIx(v!)bvwvQ}2YeBxV zw#K5fLn!L(7+G|79Qn?=7>mwMrKq#>WYO7$9cw|R#-%;i1a=L0_C6Fy}=3s}e(e92dQ&9{8VQoiR0e&i=s@C&~YYsjDc#VX2C zfl5@SYIcpRpXPhqP+0lvr1@SyoA2ACByK1qyQgH&ENPXJxS^1=Psx#4azaWvWyu*S zIXg?br{t0>xjH4KS#onqZgt{yq?4=Tp3-=X&W4chY?QI+Yz#%6O_D`tPm=F!sm794L!dWwb_Kt z*ql1lr9KVViiR{|8@6S8cAyD6)0AfH%I-90PxdC(ko{;uEB5C=TGN(;i8bUf4yQdw za3n`_3>`U+6F8AhbfycZa4KCnoijL-vpJXZxPS}k&c$3pPcG$huAnzpaW&UaNGsl`NT^l6SM@vy^r--5mfW6_ zJF{eXN=9bMqbV8h#Op|jtK*r{c#O`bknijzW6{|xiaMJki_YF7-`S_eqO%1Qb@rVs zI{Th{XTKPW&VHw;v&ypQtQz^wY8Z>oHl(Pty0YkOOLCp9aj)OZ^LL{;d$1RKvoHJ6 zlKnY=*0kXu4(3n}qa8=kfulH@W9i88oJg!8ohjjDPT@4V(v35THRK%5<$NySA}*!} zJ?X_|T+WsBrVrQ9muuDfuW%zD&v2S@J_le#(+nDXEYpYp0}o zmTZ!e&9h{ylr+kcrYYGqOZG`g3n#VbRp_$%_vg;dzCZW9?6Yw3xw&~|AB%gx?C^uK z!w<_2KPo%?xa{zg>@fbaPs>I>D?6NDcDSJI@bj|6h1p^J4PTUvE-E|xG7kUw{#+|` zi50rUF0aHDUt*Oman+Z&>PzhQO03o;R_hWwz7i{TiCtfb6`;i3eu-T~iJf1GokWS9 zM2S1d5<9>WJHQfmktKG4C3b-&R)i9}iV~~BKi{AG&-dq+*b$Yu6E5)z`_He7{L{a| zU#w&m^wN5&EM8hqC;!rVp0Rjo zy^x}p)+=Q3(s~v7m)7fy#Y^jr6uq<#lEq8wUF2U{hZ&2P))5rFw2qU-OY1~(FRg2Q zOJ;`Wzr;*t@hY$J25<5Q=j-#1k8* zVz#MyJ#A{MPkWzpXH zOv_F9o|?_guv``b5Xjxv4>9XXB@IFU|t zrVFQVDqT69GdPp8IfwJOfD7r)#au#9F6DA!HR;V&T+KC^US=k*@G7tK z25<2;@9-{jnU`(+KT9(--v2Rom!!G7EStN(rsR(-sgjblvZQ88Hp-HEDQS=;+oj~+ z_`&m2TpeeX#$$ALF8R)S7>mw&QPf#qS#;Kqd}sZQMP~yk>g+yQbT*WHXQPcpXAe`< z*%PwpY%=-IrWuRQW>D1Go3iL^Ho4B$_~y()&tJq>Ean@&WeH1J#*ZxLXIAhlzwrlu zvXXL?ry`Z8LRHpc9byexkM*g^25dwvYO^V^hHOC{>QSEtY)wNNvklv^Jv-8bo!NzE z?8ffw!Jh2RzU)U!T5$je(uTGi%%L1cJKEEMBRQI5>B#ZK8gdeyD4`3da2j3dmR%#~ zr&%5E|F}l3O!K`@Hs7yLNxc6fxickqXUXuC#QQ&z@hN#MOP)!|lq{K*lGn0iPD*0SjAAo86ZVJtd3ilWXs%c8TB$#u5IH)pzgeh+%mi_5s2E9p%i zuAwj2(vR!8ft$FQ{tRFsw{r(~GMKx$msmsYXDGvYfRT)1G!GGL$fJy9JQH|~$9aOM zn9MUg%M_+EjTe}~OT5f1Ug0&~;7#6UHgkBF_n5~Ae8k6m%4aO#bH3n9zT#_Q4f&2G ze9tm|_Z6ZazJtvFao+sbiOk>g8s}%J% zR~Eg!PrkSL#-g`{6!o@L7QOvIuD3Pr{408XWvWt*wONPitVaztU_)xLF`KX{o3jOV zsn3>d#nv>UG25~|v5quhCz`SgyRsY2*^^jD_F-RI(31T*kk+*2AP(VB4yPSQa3n`@ z499XD$8#c`=u8(*=2TAObh>dSXLAncaXuGv5f^g_J-L)vN3P&XuA&duP|CGjmt7~f zra6CGHs^<=IX^7B8pfpL5f^|ZA--Mezv~CjyShR)D~-qKtq%F#8XAk#?kVSmSV!q~Emavp%#5%H^pIE^!{Kg;r$x2pHo(fc=3RPK)SVz{SI_pz| z4cLfU)XuJxdg*G2+X}A@JErSo=j=M!BPDxhNt=`$oFyGna&(rIq~w$=IV&aSW=XG< zT%IL;Q_?R>2BzeWEV(ZwL!H=jo$l&*xHKN4v$2e00u!0U6FkXep5|GeV=B)xof*8y z%j7$I(^zyio1)G>mPKcuk?-s)W6{|+6m|BqEIRv@d}rm1MQ0T$>TEq(bXJpGXKUQ^ zZ{_(7Y0Nfk$M)<<6Lw}7nz0+PhU~$f?9D#xM@w3900+{Bwj9i%#2V6$_H^J#j^-FT zay+qyoJ1!|=)x(SMpwFV24`_L=W-qwa1q_nzzJB|ABBopf`B>{S|% z(c8Y{duwehdOL`s-j0$*Z^x4Ft+TP{?PQ92J4Y71olm~Ep2niL%P8vYT3PgVJ^9`S z7>nL+r>M94WzpMk^1VG|>|q`y){*f{;4vog1Wz%UXLyz=Ol2A`FoTzPnOVHTYrM{z zyv=Op@GkE$j}Q2WSVunPGZyeUU$BU;_?lQpzGDgBvy314iJ$p}U-_Ls_=}a4qXHGF zOckoJ7VA)*^{7EjHe@3+ z%}}=%G2ahQbN7gB?jDzt6SL&>l$@C*7pCOmEV(Kr*JR0!DY+#}?n=qMSu!Fe4`#{4 zlsuj#&!yyfC$5n*Tph2L#$$B$2KmnB8jH@}r>L`qvgqtf@|`U;7M=Y-QD=Y3qO(=x zJF99eI$N8f&T7e`vrWi%R^M23wiQL4HIYSUP04k(#y$T5p5L0b9K<0U%Hg!*2#(|^ zj^S92<9JTwBs$ZDlR1^sIGt{s$=RI4d7RIMT*SrnpeL6SYseK`$yM~>8cMmA>$sj9 zxrtlo&j4=Yb_Q`LcX2oOGKBjX#&AY3k_Q>hLp;KxjAJ|#d5p(-lBal@SVNv;3ePi* z7kH7En3-K8Z>1UfPBwQxNpp98Hg^}NEt?F<9>gR=g(yx@ADxa z@d=+YpU+vyBEDoXU-K>Bv6N-}z;b?K1;6kce-LZPN>)*x3RI#pRauKzL)N7_>r;ab z*oa!xW)n7JbLvo+`ZQoG8q$bu*p}_tktXa+Q<||WyVIOK*_(aXj~29Ie-5M#Z8?}& zLk{C`+H(X)ax}-#F}p@e(hQAT3a=yQrn!4THg|iaByK4r{Zev6mJCcu+)_w}rsRPv zc{n9wvt)8gp3RaODS0_dW~b!cEcrMkpE+@joaO5HrZgU-vnAv^``K7@_A5o5Rg^_% zRmgX?p0VhxCPkfXA&buHk?*XrvFL0&iaOg(7M<-uzO$CbqO$`i>a3kCI_p5Lvo-Gb zPxbt+bmI)p;%v_4JTBlOy3>P8=*6X6&K2~g4_DKdQu=WnH*gcNhVOyUWiWHL|lEK``uG^R6y7kQbPyuxd|&YQf& zY~JBr-eVpg5NpWCe8OkU=X1Vb5npB3$oDZreSdCD%jKnKP4gcO%lRnWe|&%LS7o1& zTU>Vdb#@q^ru(LB^xLw-@5&CBlpQWDJN!O7jK5)7+2{{thd-7bE-yR$sqFCQvcnbG zq3_Rilz!V@{I0!^|Bw8(J%7>azin?$$>Os>B^iiIuO!t5u2Buf*zC z;!dT+t5%6ut-t+1lvl5kauumWWvWn>YOKXS-=BMke}zLijKlda{8Bito&S7)?p^*3 z?&couKlZ;oX4haUt&|&l2#nRfwbWuVpDf0v8iiEdt!rd6tSs07Mr@i-5{K7{1i?j zzd<<1SZol^=WjL$zCZVD|Du;kV~cPl`7Oe=#$t(3}ZySp(!W@dW2%pMgi?D#)79rhYd}I7O zmhe5x_>txO%rC@@{GC5oeMVMr9y78sRj5XOM%FM^lMUI3)o0}9&SOT_rD#TOD~m1b z4(!NI>`ctaU5OdloIUuv8M(jln31h%!|F5gaOW{2kDzEqo+vws&XlnFj6B_WH_jxs ztbaEnFE-wTp4pb{s&vy3?_RkDxh36B49IRL?n+6#dnI`=B@boE#FWIlSCZ#b@a^kk-99PKNrSTZ6NOfWrS-rDb&ZDzUh*jk8 zI@`*4tRjtwRb=(fnmCWnno`u+KC)OvS`e$q>YcT99-SRRtRjEc*)hgr6*-RMS-rC^ z&ZDzaiB;t9Iy=w!`CQ0Ftk&5Y-&*SHxv_>^$MxLEP256%25=j(h72Oskh{2>d%2HT zLxvG+$OuOAAftJRM~F3K9OIeDV?53i#2WH6v4%Xy6rN`qFYqFd5R* zosg1FS#m~7&d!qVDY+y|u1-m5mfW0@Tb;N{&UJmM2pWzpJOHz`??CBLTRk1VN}k}6qJGbI~k$rdT8=fpK~o~vWK(s+!{b|l}~ zZpNasJt*qz09ka_hJ0u3j74W1DC+DaS#(xHzO!z|qO-Fo>a2$>I_pKgvp&Y6v%VB{ z)?XH#4J6mu8sA(R;rXK&%@`i$5ymo(2|UImp5RF)^EA)$98;ObbY}1(FEf)@c#T*? z-sCN2^A7Jamw9|ZtRWxs37;{a&-sEye8pnE;airllx6(La(-q7zw#S@@E0p7M|mnz zi7HfOE!JUO)?#39Y3{bn=I%i$ zIW$X-P09cLpEf_=)$vSeJVs|z$anUVvFL0TMV-x&MQ87k@9a}!(b)ouI{Qu*oqbQf zvtNuwXTMX_S!G#tR*igTHH<}P8&cF+U0HOtCArT2)6Oy0ke%3>U1-K`G-nU?VsG|k zKU%Us2hf@}9K^vK%3-wQ2s&^SM{_J4Ii3@VHKa2ooXjblMpwFVCb5Q`!?~Q#1zg0% z^q?obxQxrWlHT;;8v1fA{kWbRxQScn&j1E;J9ls=gSne~xsUr9%5WZFBo8v0hln-g zQN}W!2|UK*Ji$}hHS&DA65@u!Yr-4pN_acFM&3_J+)zlqOv%?-@Et^*&scPJAw`{CA&btgBH!6{#-g(uDe7#HEIPZ3d}qUqMQ0-@>TH}WI-5wYvo-Ga zXL$Zg%w!g?@)~dOCU5f&bC}C}yw3-G#K(Nfd={{fFZhzL_?mBtHDoE@^8-Kf6F>6{ zzY%N5pZvut%29zzRHiD`SetdI&U(~f12&`<8?yTjOs3 zD9=BJjvU7coJc1+(}hzwm9Cu58Jx-4oWprsz=d?@VlJU4mvT9=iuC3xuI3s_xt8m= zfmlUu<`!;c0Jm`mgBZ+R+{3*L;eLkk03#U1gN)%J9$_rwn7~9P@i$ssXTkCg14B?qLWO_p>>$%XG6(%HriNp_Ao`AJt2$ECX?@Mnz86?21T8{ zDT~f#lk04ayZwcpzlg6`%r|_?5|*-zA6d@Ntl(FE;}8C1CFLkjMJiE+s;tF2#2T_5 z>r;~r*oa!xW>aDf*@8OMqdpDTnuauH8@6M6cBBbAvkT4GjosOUJ=vRm*^idA;s6e$ z4Q)A?Lph9gw5J0{ax}-%k>iOqJ3L&PfbC}SDV|C%MM@AnJ;*QOUde+Dn{GP8Jv*LZ_Bd7IhH;a%Qi z9v|=#AM+`nv4GF{f-m`suZdOUJC^W0%lMI>h*jhltRnGY`c>(}^l@9^m7#i?*)_76 zy-Awcaa$p2l#*?;q-jdxwnEY(CHr^zKlek77rRPsERDzL?iTXh4Kfzp-9=G%BV^It zgXFs#XDqs#h%5YWyL-;~6rN`qt9Likd35(GMcvJnMR)I$?{2=a=x!lJ-7S?xcR!Ht z?l)t<^Cz*EtfCwhs7Ph1QjN7)hw7|H4K`pyYOyh!uqm6f1$C*!vvOTeu zG+`&2vJ1Pi8_n61SWEU{Us}+T{W*} zPUCdCaVBST4(D+`7jh97a|u1Ulvqoy;7YEd57$u2wOp6I0^FMBeB4@?^Fz{{AC_GW zV^R{g7Lq4Z@^qF=Psxi}@>WXT$&wFK@=2B~PRX}fvOFa#vZQ=UDrL#KDOul%T~`lR z$7ZGR7@gH2-&sRr(b+Z>b=FK4o$XG(v;B-kXRRpe>@Zn$)}DN49gRh2Cs5Q`S6Os+ z2Kmk|FczJ4r>L{uvgqt;a-FSl*MGa`4`MKPaZmc@-1yfVlKxHq@Yr{_gC5I%Cf^%WryX;4$GGvR>%(HZ>U%{TB+=?a@k>( zvcszBFnx1w)%bSFhX3Vn&YkVQ$q(YL`8#&oSFTX5T&>kV()Uc`>?3{ue1Wdb#y?-6 z`_C8X{__R8|E14L{u{rNFMWaTMh)T%bYtZnW*vWk5sczN#_$l2@F?S$z(gkTI8X8v zPxCC#F_q_;&I`QAOU&dIV&C^VZ}1jx^A7JamwCL;hkV2*e9C-2XCaIDlEr+@w=7{P z%lLui{KN`=;Wz%^Pgb&u@>HM_RjA5Z#J+D`sd*no|wMQt`=Gd3so5cQ}}17hFT zkVdS&huG116LzL4&DfRLL+rtx>`m?;+YaZ_B~NzHjwCLm~<_jTkr zR@*~VDM@X2F?tHI^Xtm##4h42V&``*vGcot3+c|qTtY8m=XW`=^Xtu3#4e&QvGePP zo!{T?A_f}2jXTKgBGMh?5aUDb8g0`qF)<%5jmMaRW64j!Cyd1uoJ`RaoFR)T_%it^ z_@=R#g0m@_f*;Fb3Vud@3VvlQrr6hA zV=)D{plAvTAO~ID3n1TmzAgfQoL!HMIY)5-Ka3rfw!Q-9B z6g-Jel<=p4+{1kg z!36w|C2^$VQH;)RWybrTKPEVR%&A+JDd{F-T6U8$E8S$ods~wCQu0BTEJ(>0S@L~K ze$0~JQ}S1qR7=S^S+ZeDHqMgbpP=vQ`q-;99%JFymsmJfZ>_cSXzd_k;rP4Ojxrvt z9ZM`6tGCwKd9-#iv26TZYv&k`W#fEe*;u``p3Y<0xQtjf{;suajmNTaJvXp=YXh7| zYqt~2#^1Gezwu~oI1jK|YioStX_Dv0D)JPuiaf)!Okpb1c!5|&ULsbJS-iq)yiTkl zZ!?=Yyvuvc;{!e-R*_Hnj0Jqo7c3%Hk*|qW@Cm6W3b zv5Hit3e{MPb*N6PA~mSVhHS*f)MisQCsvWV)MHB;ur-Z{Rb*RY71@DUMRsCmc41dy z6=|MbB`wn2{nz_i|0BLcx0fsA)zWy3-rgYJ+gxMO+xrytwon$meM!EzrN*MSA1LbW zPg(S~ihOTXjYV&3Q`B26S@gCE`QGXqi{7@PsJAAv=&dQa-q!f0(*d5}nzkIoAsou# zwBrblumw@I2Faffsp+nb~#nR=Q5&eJ!sTpQP1)ezy8APD#A4C0UV@-?F59O5%Mj$@(eT zAWJq&Nu4a&CMDZvNwbvf?tSLCKwXcmx@^^Vp4anr;$zAG=_lzgb%mTz8jsOiC-S{@ zH5R>{K~ZnrWzpLueGO&Xh`rs`WN-FiKU&a={W*{}wB=x89XX7{Y0nWH$7AC1bN>a!Q`fk{Ky^IZI}zsn zS{jSa4xp&BcCzTK1G&!r)BZ8mkP^CZ3a8SQZk)kcoXxqM#|2zOcY1IMy||Rixq{yG z;cEI)N1~Hhsi8bUthA@<2JitgsF`6+v%p;6t920nqNj$-m zOy+5xWeQW7#&l-zBL5G2cLKgs+3yXX6dFuPG#Cqwq)9W9p-GxGxeTGvP^1y%FB(XL zXeOB|qIr%cO^Ql1lcKq#H0S;N&b9CTx7X3-z29R$&wifcJ=(|dx$d>j@5SER_gv>X z*LDB7USuvW^D3|LI&ZLmH+h?PSj4-;8u9@j@-a*Jgira571=fNU7DfsSmD0#XPUcZ zvbkF^CGl7x**qm%WJ&#$Y?~!bQ?hH8?30rHv!s1W4$YFIQ*vCEbWO>rS#nlN`Z#fo zT;%Gwuq0livrEW#Hq2ObHiBZET`!ByZY1B??Z%?B2^8z>URiW@ANkH6F&3RYPO;8j zkVR)Nk?(B2vFPkAigospEIM0CuCsOS`B!=WPyEa;{KoJ6$zPOVJ<72G<*CSqY|JK9 zrYhB_&Sq>*O}1bwVhyQ7UFy?-ZD~kjwkOt*o!FVC?82_>&K@*pFZN+y_U8avav-f~ zLtEN&Fo$wD9q7oB9L+Ht$MKxNNt{e)3Us9#r_z&NoKCDEXK^-t=*v0uX8;4UYh-Ym zp_gTIcVwEoqqDg?E+w~Q$>fww%aU0sc`!?!Ny)QW@=8kPWy#`{yq_h@QnEZtR;J{~ zEcqiPYn-@7E_QWnR1&YzStatF)i4&F)uLEu+sLA`M&vtdVk|mqMzPNJlSOAO$amJx zSafy>#X37y7M*n>-&q%9(OGwjb=F%Jo%JKv**f?8L%n|(!x_PqT*XL6F`DbRo-y3W zIBwz=Zsm5yGl7ZR#bl;1jeCeSWCr&!i~D(yhj@g?h&AL%p5hs1^DNKv0&{tZS9q0q zyv}^y0g=(^7I~ zmYkcC^RlG)Uu?X@)p2u4yhdlYk?(AhvFL0n#X5UH7M(pzzO$!|MQ3v;*4b;a=pJoxMk~&OVbxXP=Yr?0aL;*(!>4_LnR=D@(4kb?)`6dw&gTQj0CwirUnn9u3%r zhBRV3wr59nq6xdujNRCsJ!#I~>`Sa62hf669LPbmp&bVkYsg_7&JlFvD30M+j;9kR zauTP|nJ#prJ3Z*hX`IfPoK0`~(vNfL&v^{w0xsfW1~HgRxr`DnXE;|7Ysl4%)&pjc-EWYO9AW;2K9c%B!T%gek0di$?FD1e z+e;Md?JZgK_73^pJ~9@)Eu~m*U(2Gm@5uM|v$5#yH;VPPfh>BfNWQnK#-g|C6zi>y zEPAU?uD5mW_jmLDJ!sBe?8Cn7&jGaLKw8s=wzTJ94&^X9(2*lKnqxSQ<2iwoh;^hh z1-jCWQ|Uo3PAArpvpAbR^yM7-Gk}4d&xKsXB@AK+mob!KT+Rrt;3`HkiqTxl_1wUX zjO8Y7;Z|t)GCDXEktwNkQGmTZ%fMp@DGKS^KMW zD`a1#TQU3LxcEW34NHGcZllt}jY|(VDLt%IdRV#iuu66qzggAN(Q2iKo0c9{FFo9> z^sq*D7{9~jrK2@V4{OC?{;PCrtoz6E*8IQ!Pm>MSEAzpB`N#PF^^<#NtY4-~i!#;f zmbJ7@sJoumW_8PXol{p(=E%Ah-ZD$;)-CTp`qi!AwQSvrUazgYq1XC#H}X2G?#6Zf zN2|JsBpOrkwv3)w&d{$^}<=!4+PxyDM0o3s&cX9bUl- zU9dtI?D7g$>4H`IpRdyG?9ZS;7rN4o?wm>wdeV#2IGr;%le0LR-t?g_{Wynn>CXVp zV<7*0mF_=ZrTcIE%aQ+$pW91crCX;#nKC>38|Xu<3x}rH!`)8ux7K5g#jUjy#cr+L zWpQimN&eQ_+gRLM`%&!Hda*2St%J$mT8A5pTkDk+yS0vy#jSN5`CIFFV{vPpNU>Y% z3|ZV-XOX+LuJdD==e+*~=JFD+@GA3oo%y`UTP)-q7V|Fe^8p{RgrzLwQV3<1tmFrNs&g&8fu}Y(;JAP>=d- z!?rY{G262vJJE!uG-FqGXHS~5H?h^*kNs&uOAh29+R%>n9AZmT?tk>Fbce=Y8%f)s zIcZDte72=|Ep2JuNL!kXE_I!}R}!z$-G}76`^;E$_c_J7TP2I`R+I1UFJsYNS&DVH zi7dLSLcY72#-h6|Db`&>S#-A@`R;Zx7TxVevF;9#MR%>pcXzNc-Tm#yGe;XgmgDKf ziJZhKbfyd4=uQuMavG;|CTG!`zVzc<`g0xwxqyp^wPX;3xs=N&VHm@?f-AY2kzB)Q zuHy#AFqU!L%q`r;?TqJ6CNhc1Ol2DPFr699WEKzbAP@5hkMRUg@-)vdhi7@77n#e; zyuxeD;|=EXCU3KlMcKCh<1|C#6ChjoZ_?cTKAXG0q$EB8l9W%$hFMZACGiQ6q;5(Y zWXTRG**Q!0O3A)i(mEw=v*gH>9Frv{r=;M-M@W~sI?gDG*XZnQ@|_JZ7M-0>vCf9d zqO;4%cQ(pcbapMpI=fXCo!vpcvnj@+v%4wQ*+a7E>{0Ta%{CUDJx8(5UYA8@3&?f0 z&W~r7dH-ju;B&s@E56}dzUK#4@e`~0gl%*W&Q=STJ$i~DPQkg1LV^cPx z1~u7&SVOj^Hg%~-1Gc3hjoFSJ*pZ!S!Y=H}ZtTIH?8V;f%l;fdOIp#IgJ?@T4(3n} zqXS29Bu8-!$8kI-5NpWEoI-&vbmLTd&?~z}`lJ~ep8%Qfm!!EnB%8YLpCyl{Yfrwjj>e+1 zqbb%|XIXUCm0V}*{AlJ}?;pTG&gVid;t~cigv%JpFfL~VS8x?qGm6n%%k|vAjf~|c zZXwo?+qr`Y+{s-`VhYoUHRN8Vb00Igp9gt}M|hOSd4i{Sn%O+dbG*Qdyu{1A$~<0Y zJ_~q@w|R#}yvzH1z(;({Qa<5Rma~E{h&AMEzTrE*=Lde|Cw|VZku_Y@a2~QWBs3NLr-iz$`f=C5LB8r<9zOCEZifGfVoVq<@xNoRYy# zTq7l}jw?&zH98wfzOymLqO)-n>ujPdI-5+svl+&svso1D>`7U4_6+&X<{FF6UZGfL z3uV#SV)C6WF&3RIqgZF(%A&KCs&g&8fu}Y{k~p zp&s?whHYs?W432UVhw3RQ<||WyR!$)*_&8H_G5oq(2@f=h&HsNJ%?~8htq+M9L3Qb z%W-t#1Ww`nMle6eeANp|){W*_;TtKWL7jp@N8Ny|hFpS~ZHF8aw zq1R<|_qH^5$7geQYD(_Ol800BSeDF5Njy%tM&3xtn_2Q+NMSXn zlJ&D>lay3(;u;y|>e#X*UZbM>+CRDban*! z&W<-0ot;Rr&U(nAv(w0T*4J2cb}q#_8zhU)E+yC5I`{h5d;b{5GLDPxB0Oc$VjRfw{a)tRb&4k2jdlo4n0J7O|N3 zc%KjXh$Vc&GCpHDpYsJ@@eSYdJu6wokF4fre&u)m;4jutmi1Vl@>F0$Vh!1ZN>rgL zo3a@-sF__Ob<+%u#|iU&=QMYB$>#1}DT&7kN!yehoFzx5BpxRug_Ly5k~30rc9xu< zl8drrXi6^6l510PLzdi{k~^HZMlN@C++7l{(b;tJojqhMI(w92ojoUu&R!(n+3Uul zvjr6E>;qYJ_A&X+Rv3%UzNA=ZKgpuAU&wb>##nS#j$)lvmPKdP$aS{Pz5X`d-;l;^ z#}4es&NN{cc4asAU{Cg9Z}w$B4xlBiXw5;ir5y)zD6xig;0TW7D30M+j^_ko4LO-p zDA0v&oJtRRaT;fECTG)|zMR9k4B$M@=K?O`5(Y7ZOBqTDmouCzxQeS8#Wh^Zb=<&> zjO8X`4Y`%uxP$TB$z4oh3R7Jt*%#>kYr7b;{;4#BXJ<3`r8I-%p+fRbO5V+qr74Mr z3dwgV`5{YwOUa*EQZXePXG!&xY@Q|cQ?hNA?39wGPFyL&T_gLH#A`ISKl$d`7>nlG zQ>?k8WzpPm|vF6T_MRR?~H#g8&GdtHC|^v3wVpSd51;3 z%lpJi@(~}ilu!7S&sf11#7got-|!vZ^8-Kf6F>6{zwtYN@)u=Tk8*54c`C9Y8&iqO zRHYi#*^JGp$rfzI*3_Xc^=ZJiG@>!v6D!G1>`YU3VOMr%51MCplNM=)#zTeqet4R@ z9kaRHDJAhxA?cZt)3c;sO5&kHGB_odWyzH(8JQ*HQgTa{Oian-ESZ&(2eahKlsx0a zH8R50@k&X&MrZTLcec=2bhemcoh_3^XUoZV_N}q#Y$e4y`$HC;ts&o81!K|KMilF; zhAcX(MZU9o#-g)rDArjMS#;KnTxaXt@wfK=HngKXhj1u|(}9j0#nBwgadhGYPU2)b z(}k{d=Tv&qi_#i~D(yhk1m@h&AL%p5hs1^DNKv0&}x##;r?P=O7Jbz~DNQH82(%4XD{Cbig-t*A{M>d}C0 zXhXPrQv9aiE2a0vJhb%hVi+pD-jYVgzDb`sBS#)+Jxz5(P>+kOUJ?O=0oWYr#O>g>g z4(Bp}^EjUixQL4x#1JlJC?#CZaIWAgVhtI^HC)Se+`t&dauczJ+{$g-!FcZEE+#RB zsoc#yOlJl&xt|Akh=+NU$9RILc$(SF;W?h?MdtD{uksqN^9Boelec+?MZ8O_As_G| zAG3r{_>|9BkzFI-#SHZ|x-l(Rm0UU7e>5!P$Kd|+Yjn3L{pq+ZOAoio4&x{3wk{p5 zU3yrj^ssK}VZGAB`q^Rp4h>32w<$f`w)C)J>0zVN!^WkD+hvEoM%Ph?^#6mutNy>{ zYjpiaYyX>jZ#VwuYjpql8r_0DbHV+t;C@%IZ!Wm!72NX*o_7k?(1QD3!8%&7jut%k z6x{y`?tcaQ=z@D-!9B2GFI{jSEVvIAJOdT1s|EMMg0;0^Z7ujDz2Kf$a8E3FJ}W z*XZun;9p;(8>{qci}%_agg>4CMH$Lcj`fKRLPa)YV`5WRnfwM}b7M8xg4oopy+Nq& zJT`UP5}Uflh__F8-%XLyKyS{4Z>N*VuR3!zu6%88r`e>iC!p;Ey5+_w+O?G#TH=%#kL67 z%VLXgBl#`D?Z#q@Fo9xQgnMPNMYxar7U2})}7dywP)n+&SOS4XD|M4MjmK9W@H=Mvi6KT+V3kv*OF;&fum`gb$3zwrSK%(i5M(?dgig5?opWO|$!ojp#BOG$i!C7GO(X<0HW zCGiQC$lrCgjq&KL5wVJ_-B}ao(OENM75Tf)_A?%WS-Z1#&ZDzKh*jk8 zIy=^QtRkH_fwep9;ygO*POKt-*I94lv5NHL9Mwg zYseU44H?Hx+`_HI8Zw>Pw@=1d6wsi zHDoR?@d~dpkJp*co5UKjkat+jyS&eb#2T`Mr7Yu9ma~E{_=>OjmhV`}5B$h#Vh#C~ zSVR6G){r%nVLjF-){qL>HBu$b(D($)e6OA6ZoO>oZkLky1WU4Km;b4+(H-gfxVa== zqqW<}w>HUGv^JGutvw)%)*dF`+SA6OwK)`P?KN4n_6GUZ78#4y-lJG+pUI-N&&jv; zy|HL*6~$WnOBSt_CD&S7^*1uU36-cqRW_wMHK<7~wqz@6Q-^vqU>h3Ji0#;(9odN{ z>_RhkV|VtXIeW7&v5Fi(3tDj?2hoOh989bthjBPZ(2=7!hGRLNPMpX|oI+>1(2ef& zpeLtsI%je=z3EFo&ZR%+F^~(mh>ID-U@qk{O1PZiTtTcNS2L1p7|nIuz!=76SIHge zT8PiDTnp3FRWdWXN*+x~e10W)F(of&$%2%`=U0-CQ}Rice3_DOvgDVP{GKJ{Qc^xk zs-zOy05qO+kC>ui)PI=hx!XY2fMX`=T}VhU5an|qkf3}$ja z5AYBV^C*w;1W)obvzfzlJkN{F7iB0*Io78<71)rC*@Vhep&FaA8Jkm+Er>N_Yid)M zdNg2L8qzqsMw+G>x~u<^!=e%Y!r}huUpQ=;&ENJZJv5uy$EBHlLY8z*$*Eb=Cne`( z$%QGoBuhr5Me^NMH5T1fr&xD&WYJxH za^3xx9b~K}P1%KAQRRPY*MFE_-+_)C$S4+SPGLNxUBF|Hi|~cXq6? z=&TdPI_oZr&U%vXthce~tRKZXyI2;T4JO~&aAVQgl@#l2j4V1EN4~T1#-g){6zgn; zEIOM-uCsOS`k(XuSVQI#Ysf3S$~<0YK5z0C3wejdyvzH1z(*`$Da-hj<*eWfz9QC; zZ~2au{J@X=#LxUntRa8!Cu=CfdaO^ZAr*)BL%Zx6IU>!_qq4bsN}9V}vblR& zO3uuZ^HOp_mRy>Wk}SCv18jt~n`xKKqrg zrC<4m6IaT$u95dj;x(H4kbHBW8H?sVr&x2VWYOGe^3DBaESf7zvF0|BMRQfiH&@eG zG`A(inrkSF=C&i>+%CqVx!ow%+ySy^t`)iF*17XP+WU{?csg++Cvgg$=|VTU(}SLz z#_62NS@fna{WzEYoX0>e;38rr8N^^N>BBlW@tQEnD3XQxjQ7AyCYH(4;GReQ*u+5Oh`#QSV->k30Pdy z_h-M~<0*S8%U(*q>ecL5y_J%8vSevWKFyM^Q}Ue?SIPCRkKan-HCp?Vd}|vRi`FVq zthMU0Xl--yt<^CWt<|SkYdguJwWj1-Yi=xB+lOMUwUI?@?a8;+(O9&0G{su$EQ{8< zl51_9d;W91e*gnHp9{H&OBlouE@LRexSSDO!Bt$%C`NNF*K-3mGM1aTg;+&y=ME-t zCwDQ4DNG|)k$aiWef-BPS$of4^uKv}*88911zzMOUglNi@jCNaz+1e{J1pW|-sb~8 z;$xQb37@i@6?{RgB46_j-|;;^@FTH`{G83|HED*%V}%)CDb3xg+1#y_l6b6;G)l?# zS<);e@mL{gk&*+m6eoJS#oho20L+$+~DfCvLs%kvytRG z8)Gaw8%MFuCd#6-$>ckmVJteEg)94SJA2ajQ#`|L*6wVs^XTjqigmV77M(37-`Nsl z(b+PJb@r_+I$KGuvvuzI%X$9>RG=aou`!jXOjS0eIyKmwT5Q2qY)u{NQJ-ztmPRyY zdv+w&kR~*x8N0GOd(fP{i8W+D_NN6cIgo>BLp$1Y2#0bw9q7nW9L=#DM<-6;Bu=3- zUFb@8PNgTkIGr;&i{A91ALr1Y^BBkl#2Rujv4#w02$xa9FotK>$Tew(#$$!~ep{Nm zrzuX`i?x;L}ry_9^IC7-9{t1MZSlGRyKHYMw4 z$tEeO;>2|_#uc(0z_d!(B@ccPl;IJv)rw zVUN<$JxdRpmmcm_dboEwOkbzFclwz=U#Dw!{xA90`2Oqn=-&SyKhyWm_vrqg{!uAA zl7byc!Lw+=9j;(kQgD|mxXTqhlNQ|R3OUjdb|bvP9`#m$xLM$_i!&Wn8_?2;6Wbd5gy|Sp5$qsVGhsoJTEYpmx)c^Ys}*f z=JO_RvyeqB<~`o$Lq1{&pRkP2SkC8s!B>34w|vh^R`DaN`I%q&oj>@CHI!vN)~7rb z*pS%tZ9*lgP?b&Dj2hIW7F)6vv3aOVJsJ?3zJ@en?ajl^&YQ3c&Df3IiOs`a?9IOH z#{slp?af15=j}L{*z~Qvc{tK}Z2FENHhss_iM2Nm1?OGpMr`_e(399aoIz~*&L%c} zed))!^yfUzCpLW-aWR7!Ol%&85}UruvFZEU&BL|Euj2-Cn}@akMnmk*ZnTrQyChy? z0!}AC0Ut6J6Yx=rO~B`5F#%sBKLKAi787s*#U|hfvY3D$lb?VqjKu`}l429^Cs|Cu zU&v3uGR9&8mZR7NtSpNOSdIJy+`?E)z^y4Z0UOI=0`9<$tUUpDbsiIN4~k8|ma>?D ztvQIbC*YyZV*+;I2#(|^)}DYTIFAW787s? z#U|iYva1=zHLN`WZ*)GEo4A=4z?|AxKXoPo<}e+1b;@ zOX=w%KG5>4@=i+L&61@li4U|S-=*Y-Ecq=Ze`ZO=lx&{Al2v2g59EF5e1*2a1C)}B~6{;s#9jYn_C5evuKy>)gTy>%rPj=$^eEaS0o z^dT0GwR;=rJQj`%iG}0udK+du7LE~I!P>ozb{@T5Pb?gN*W2yJqqhm&QoZ z%u+t#Q$8cskuQjK&Q;*OjCAYS9T}Xk>=Ucev33i;{z@8{qQt* zJ7#mYQ%d3kElJOmoSr59QW76%Nd~9nvMjkWB_p$BTuN@ql8GsqoF%hT@?e%cnUZIm zxJJggI$kM>*XV2>`OX#^i_R8Ptg~gZ=xjOp&b~Dkovox;XMf0|vo+*9t6(fT+lXSF z)sRJJwa9l?&scP}4aGWZB8$$Nk?U-opEkAj{x-CuJ%?~8htq+M9L3Qb%W-t#1Ww{) zI@5)&bmvrh(u>nMle6eeANp|){TaYOE+E#Bi-|R4FhjVE5{5CH5nRbtjARs}xsK}@ z!;OsNCT`(2Zf86bn8;mBW(w1|hkKd9eazy19^_#j;W1(jd6K7ihS@yJ^Sr>^>>7C^ z&CvKT%Y6SZ&D|y0-2FTy@nM!^bxMBClCmj@53?jyQnG25Y?+eUS+ZS9cFdC9QnF{3 zv`WcAS#nrPj&R}{xyjXWVoAJ4XQz@SakLP#X5Uh7M;x@*V#HBUf%Nlg)Cw*@9{n#@)1k; zgk^ljaz5t^zT#`X<$G4LiXU0c&-}{o#2WG!YbeWltj`8iU_)XJ*@Q||p(>lQ88xU$ zEw*GUYEy@LG+-MV(unQYo*mhlChS5pc4K$;q&a)DFZ*!-EojAo97J2%aWJul9LC`s zK}U|_7>?!m>>4Sg8QLwIyJx4l+c%rL=cnYNEV(=-S7gbxDY+p_?nud_4P zQxcC8lBOxyHB0vCQu|*I5|On}*`Zl>boy1tWxuLxN>0s^vr^K>iL2xm*T;n=@fxjN zLcX9nYx9jo zYj07kwU1=c+EQ|@t#h}(%KLxfXMW)~e&Y< z1zQoTNFC}@p9XA8LmIO^v5M@(&NO8gc4c?=pgDW75Bst|2hfrOX-ym2(w>7kl*8#j zM~>uZj^Q|t=LAmTWI9uzE8RGip7i2$Vih@yv*|-$&Y?d87?@opgVPL+2MV)#WSYC9 zv$;DiCGkKZnVgboSu!gn@jxMYCMC~i$tx+DmnDl+@_v>qOUd#qS(%a_v*eGIta0KR zxz*LNQAxZ;XO+lzR>N3yR*PbtZ6k}$8j&^^bk>htXY1VU5B2_G3}*yaaup*P#b~bMdd6@gBv63J7k=6Xnuf!Vi2Y<4LGOWk?Y(RzV8mW?I zXgpAu@3qt1t(VQ+?NSmC6p}r={7?O@#@k#UH?z5I&mT=aSEO3LN~h8gPxqm>72>g^rkQUIG6sM$3QONA}(eSgSnK; zDB*I3a|N-AT+K+XVKmoq17jGQT_ty7iB0*Io78< z71)rC*@Vhep&FaA8Jkm+Er>N_Yid)MdNg2L8qzqsMw+G>8jlp_`~GR}w#?>k`;^23 zh2*%DoRB45QxXppl0GRpCrd6&$t77bA|+R4$@M9@F-s<-Ug{) zUZb<8$anUFvFPk2igosuEINCKd}kjSi_Vr(th29W(b;$8JNwyKboLv?I@>@NomC{? zSyf}vS#^qaR!0_{)hE~4I(PfKdH)_XXD{|)U-st!T5=$*X+vAub1;W;7#--yksQr2 z9LMpTz)8d!(wPEX>Bgz_pckhTYsgugO&|Jl4*eOxK+fkvF5(gfF@(z)$}lcx1Xpks zBN@eLuH|}e;6}!B6Sr_Hw{r&*xRbk>%oL^(YskG!=RRg~KM(Q{k7U=#Giip#1BLlM zFU{Tg+1y>6l6atyEKkW7S+X)E@jxM2lalqaWTTW+%92_s*(yu6NlBwDX_k`Rvt+-N zv~c44bH}g!{keN*-=Dir_S10jlXLr){$SjGrHA{M9v)D7*rN2XW$9t7>@a?_14~C+ zmmVHedf2A)ux;sKyX-K2hxVnT2bUfm5{Lg2-=F(*)~!E`CIF;#^To6iDI|b?y|VG_9TC6?QJY> zt^Fu=YrR+&x7NYrZ>__P#jW*9irrer$l}&Ij{L24ys@~oPNdkab%rc%t+UA8TG#oZ z%yZuV0&{tZS9q0qyv}^yBNbg#3^*93*G2W4|;MMr*kG}(VM>X<6Qc49s{|6i-@&k z5QDjt%P3(O!?}Vhxtft&!)UJK2F5U!aoo%;+{W#U=T0UviOEc58uu`r8O&rB5AYxl z^9Yae1W)ob&oGB)d7c-U%gemNYs}*f=JO_RvyesEw*TX_>5fl-Y~jC2+wt$S?f5S# ziBEqdJN9ppQkVk|nln_`_kB#X`- zCEwX>W6{}j6zlADS#-95TxaY2Xl9xBf5r+v=S#lg8@}axeqa?pv6^4_mEZY;zbHdl z%CSD>slbM8OspZ5sX{e2Wix6}lP!ofWNT_umwGf{TN=`s?bv}G*_kHn!mjMb9_-0p z?9IOH&jGZg6|FglwzT754&^X9a0Ewk6vuEJ$8!R)hMdeP6zD=XPNfIEvTLMInxXOO zkNJK{n!7`?xjP~y@#&A`#+2NYB@-=!$T<;&iK+fkvF5(gf zF@(z)$}lcx1XpksS2K#yT+8*`z>SRMCT<~Ck=wb03EatDOkxVth*jiXrgIx%98FW>6s<{Qqn(5E>6i{C$5pZTpd@I#A|dml6+@lj74YTDAw6TS#&m;d}lL^ zMQ5{cW&dqwPa1!UXPC{}oy~O~oxMV_&KAm|v&G~)TVgCaTSl?YzLiC1E6H`X&Ru^w z@85t5RAeJIrV^E@%BEDO2AflhE!c{!sY5;LvklwQh{kNsj>H<$gr+oOS9WI)nzJ{t zhU~}ww4fyiau983M|%$8P!6X99XX1lIhNz-#0i|lDRia_UFpuL^rRQ3b0%len?Cg8 z9Qtz}1G#`$LoO!PkiiV$GD;Z6@a!77Ce6_6vblR(n!Dq(xjQu__hiY#DS0eQ=A`8L zEO{d(Z)VARDfuu+HE zyOdmK>)h>M@BL#K%Q$Z47H;Eq#&ahVnZ#tKGL3t+CsMboL_o&R#bboh_hPXCKI-vyaJlw!&C+_9ewS`$-m^{X)L8 zGRC5_aun;VvMf5QMy|7U?)JCw{)RMWJ9c14cBTotuq(T<2Ya#?d$TY5aR4o8MQaYC zE$uj%Ly0w{14nQqM{x|tay%yxYskr*LV+%H<5YUki_NxSZi!!Bt$%D6ZjJuHy!7WGpukYsjtK#vP33PVQn7Q<&;H`M>cSbf>sR z_9=9<`;hBcmCur z%CH{g*nsj>WJ5Nl5|yb+HL9~2n^Ti5*ov*GLtX0AfNg0+W40$&lAYL@rtHG5?9LuE z&+aBI(pnx5748g&r&YdVw#s)(Njy|YdZy&`Ea{h$c&LyJPRV6ia%D#{| zyVU;IgF^hOSt)xk%brZX>KP~QCsSP?uav}Vv^I}?YYUA+Rww!!x-x`b7 zR#L3BKV;F`8uG1GFcz(CM6uRt$fC7cUNvBsjen<>`YBw6$}m3(hAjYV${P^`D7WzpLla=oo{ z-~X2PFJuvmd5`z`kdIixCoJPLmh(AZ@D*S4E#I?}Rs6_me&$zxC)Sa_SVLLXV|_ND z0vi(R$R<>x3RT&Z&8R_5YOy6-QJXr{qXFB{kVb6B_Uy>cG+`H-u^YRyC(YTLec6u# zXhACu>Ki( z{bVdU`-Nhim6Jth<;ize*;sT|jbfc`EsM_TlJBgsvFL0EigmV!EIQkZd}l3#Kxbao`U&epl_@9zCQ=*4NA!I_**Z~Af$=Q4ovIG+o+h>ID-5H4jXC0x#MuHY(S z4H?BXT+4Obz!=7I6S0Qe%5B`ic<$sbCNYJn+|4~qX9hF5p9gq|hk2C8c!H;Rn%T_Z zIiBZ5=JGPH@*1!61`BwTw|R#}yi2ShAMha`vxHChl+Rd^T_fMc4D}tlF)de>+&$ZW zG%Vvs;r{bGbPp~43Aw{c4-d}{5t&JN>uIHq*;*wVw} zN)L}OJ?vC^ctYvniP@p=&~@~`_d9f-ZT!!7=>GE^y8nEKZdX6L*^Ta;N)LL{i_55Z-=Q0;^lFRu+8cyFo&QA{ z%2JN?i48(UHe_RBQ&*Y%24Qn!HQ9pL)UCZisP8;Bb=wk~y2j);2u+Q}rfyeaQ@8d8 zVISwQsoS5})U~7)tvQIcv?n%ohZ38*4je&j5RN7`b;n^-_qQ8_uEx7@D)|kZ5Ooy}N#MsDRiW@H`eQlAE_JtMbw9$VI(*qOCwGqS(&0SwHx zWP{T~Lwtti5oBa~oEV)wPK--Qe1;{NoRVo-GAkwV36|uUlsuayucTyNmMl)m`&qIq zCCjs9WlDa`l0Q+I_plXB7fIe zZ{x9w^y3`X>TI1KFAeqHSVM+0f-AX-k&I$A*AZ*T7-9_>$4%VAt;8BKo(W9kE+#XD zY1~7sAv1_IWES`HAP*61$YaDB@+43346}Kb=ZQ6BE-uQHFHCk{z>T&y?()C9P5tpI-SjN2KJaEIBbHr)0@#DLFGs&P~aAS#oJg zO0wkYlw9M)H8S1RadSz$MrXH??`)E>=xi#*I(tACojpvxv!{(kXLBgl*=w@s><#jr zEix9Jy+^UmK9fafpOf$Gdt=erDvEXXmn=FfORlqZeza8G`)g2>T5QQy)TR#gXuvi! zq!HV(Jv*`!P1uEI?8ffwNptpQUt$e8fEKjkKn|h}?KqfNLk=U>kR#~GQ5?gu98V`s zR?oIh)?}r61?gpYs^V1zg0%3}P^sav3FD&Ty_E){v_i$u*4T zI&NSLW3y}Ijx#VgbI%`Y5vku0h zvm+_i*~zl#tU$iAUdE!cGbq;C09kZ)KKagu7>mw^QmnI4vgqtua-FU7qos-7KZz+! z|9B!RLI*SA4^_e9sT8;wM(~3%~L^fASY)C`&okr#uzdkd4`d%2c5mo3a_3 zQmacBa7bZlk4rj>>XnrY056_%5LmI zbM|5%_GNz#pd|;=nl`khJqL3rhtYwK9Ldof!*LwX37kZ%Bb_PGm2R9$4|;Jrv5uU@ z+4P|==g^-44CH(+Pt zopqN*XFbVx*4tQg){kPHT`Y^v29xh>xUuN$N{V$hMi!loBj4G0W6{|}igh+a7M;x^ z*V#Jv`_Fm*3(VyuUg1^d@jCN)lebvNJ1pj1-sb~8VhKxG#-}W21z+$Lv4(uhcdX9=2v13`GY@MLmAd%eKw#171@Z5sYGR}vMJT6!RFLr3$~&*b*M*uwqaWu(U|So zk)3ElQ<||WyR#?F*_&8H_G5oq(2@f=h&Hs#u8||s42?$$^Zk@Gce`YB_q3G6BZcI= zlw6P{m!>2hDJ0jVR?oIg8%(r61?gpYs^V z1zbd|A%hsqrCde{!x+vL#2RunBe{mrT*nQJVJzdgnOnGx+ZoTDOk@(1naVWoVLCII z$t)h=K_2E29^(m~xi8bUk=J5ved6TzU$fE2T`8dtcc%(4jze#iV z`)uz1l9G6&kd#l!hFMZACGkihshg4pS+YY)cFvN$QnGKBv`$IeEIBeI$7IRLDJeK{ zjok0*IHM$9qqDQgcQ(LSbap<)IvXmB&Mqh4*(hVt*|ikw>{eNHb_e;+rWlLP?xt90 z56PmlN6B|K+gNn=9K||&T^5}!AlKPC_xsDd|1(zbIbZS>-|#Kp^8>5+iPikVul&v* z{6!hcQjYa0PX#t)V`2@dOckoJDVtG)nruO=AzM?My40fq+tQH6Y{w4l$j&rj7j|Vg z_Fzx;VsG|ke-5A}t!T|bw51&fb0~+=fg?DQqd11+IGz)THRNPYp+Fb9aVkCNm0cr! z(hQA93iJJvGx&P# zI({pO*XZm|@||s9EIO-5vCgW?qO;A(cUH$(bXK2Yo$Vxx&YF_%thur1Y#)ks)M$XIUT7Ge##ojaJoo!rGFrZA0IL+)id_c4?Ed60*AghzRtCwPjdna#62#|ym3 zOT5gh%;RrSVO+%8@}Uve&9!b;^*udS(9dU zJWjYqDy3OnHJjD7QWB37l13@nK1-UVBpxRuEmCq|mK>6j!?UDQN>0j>?kVY+CH+#; zKT9r7$zUh$BM-Vdt}Kby=xikU&c+yv&c;!!vx&0kY%=-IW*CdkW>KuOCuPyuGvqs) zYb-i@g<_p8ltpKY$#=HISai0GVx4^}i_TV(>ujBS{c_&F0TrmoMr=$aDpQqBsZI?x zrxshV6demndwxtn`*`6JVHKYkmX~wSX&K@*pZ(n9KxX- zP6s-26i0I`$I*!sIEho}Oc%P+om1&aFHYx7&Z0Mc=*Kzq=R5{-0kMW$%q0wF2$xa9 zFotK>$Tew(#^Z$fep{Nm;PlYSu2Wlc9<+WJA!;?#~X{z zPNZ08J!H|@Y2-WWYb-iDmtvg_l0|2ilIv`pd;ROZe+*+8$Ia;*bK_6*w)AKEpWm1p zKMEJ$n0r#`Psg2HdU#6dVdv7rLg`_b>@a>TuWRXOx6;GzrH7}M9`-0b?3o?L@6fAs z^t95$(@PJ}C_Owg9j0&0J@bF>H|GB9-{1S^8*}Y03hq_~cdLS@l!9GG!7iiVNu^+? zQLxh}m~{nru7a6YF!KuTUInwSVAoNw>nK=R3U(d^JC8z{@l4=OCUO^(n9LNWGL5^r zhkw2?w_vAJ@U&EL=PcOm6x=-v?w$otO$EE2f?ZF+lT*RYr{L+S;7(fbsae5pyx<9{ zU`JlCBQN+Q=Ko>u&%<^q_y6%|D|_k$w$ z@FMqwBKL$M&jSCEZ_KS)JH9bDmh8b6@Fy6;P=+y_5j?}QjAArn7|RQcV>~bM3bEmv z#AIIMb>84jrt>zj;d_r6yw5B?;3MWRmw9~3XUt~-i};eoEMX~M^9|pzoE5BO6|4D) zU-*^Z`IEm`!&)|ALpGuu<=K?j@NGc_wxS|ivn`dWLREHPM`H6(gPPPPHhgucOXCM9_&SS%OI=Ak>W;p>47-#RxBeU10y zesY_K^uRdC_+vJUo?ynAmQNSQYfQkAZ#$p0apwI-IDvJp?jr;_hX)Gq-Yzj@l z&t)+I7m}ZV%Z$YY{FXu!@Ml>}z~9JEz%s^S0+yxF1l&><6R;Bb3Anwnn1DM`Xad%g z#RS})Jt#c^_i`Q+a9;{dz{6!R0gvKnN>9KOoW}%g&dHp@sg$08XFHDxcpm3ddIDbL zJSJd!3QfSPWHA9dlb?V$8;c2e3xy`&-LiY=&ApVKfDbqyz=J%*BMia>{I3P^NykHZ zDtm%?u64D4eMk|{BNNk8$CT`;uT+C;TWn*2f-EKUVjXSuL(yjGz9CbSLt+)# zm~w2wrfg0HVil=KCAMK(wxbH$vm>#JRHp{DsLd|aC03E$h*e}yVijpfBlcn+Vinmx zyGoj*85$pDxe`uFbGJn{cUz_;KFX4`OUWf!(kUhJQI@1zN^Z-NyHe6CO9rOo;Vc>A zYK*Ff`LFe-#-!|pEPFNmsmV@UB@wv>EpD~v^J zt0>gkU$SUzE&0~U8;jO9qfl#=Wzkwy@~zc07Om|}q1GD6qP524T3hcYO-FhEF*M^? zj^_kUqB$)%mD4zbGik}$oXdH%qBR%LhKp!NdoJNJVioDYm2~1NuAwtsxSm)=ZX#BZ zZrs9c6mvU0xr4j7n_l#$5B<20{ye}y9^_#L@hF3NoFP1ksTFJg|IbGFIb&mZo);L$ zcwQn_kyn|>WTx;sZ}2A5v#aF&G(+RVEOU2$n!Ag#x%+iW;=?S-FDdywOEyT!Mp?2| zO193D9a2&)OLk4k9$B(?O7?5LdAdB;`%CjLxkAnHOrhScmPK#Z zlJBjnvFPnq3iWo6EPA__d~XAcMQ;yLsJEfA=xsP7c!p;g#b~0pu@vfUk}P_Aja+Z* zeSrDU`{yv1d3?%e%x3|M_>#peVJTnp4d3xSD_F@YR`V0T@GHObC$WyKVJ#c5AsewV z<=K>2N4B5>TTzj%*_O&wp(;DDBh{!*O=`0XR4mtCdw*p!}-{khZ9pF1;4 zE=b8mS#o(wu5{u$dBqiSLvg%DZ#R?g?RI0)+Z`0@?LJxb_5k_b9yJ!dJx-zCo|Q#! z&ynwKys_x*WeWB7rYw4Ui+pdhj74uBQmD5Dvgqv#a=op0*T35Pf96+y;}8DiZ`M$T z4JpgUlw%V%WplP*ODa-{ZP=FWsKWN_NUS5(sX;AjvkP^o$8N+rvM2RvNF(-QANFN` z4&WdT=1>mfaE|0Cj-e^XavUdc63uDBDV)aXoXJ_7&AFUMD~h;)3%Q7PwC56H9l4w< zxRQ=s#Wi%MOLm=fOEWYcEX?^{Y3}yP=I+3h#Dj%oSV~4@$(WSHV})dLN?y;BcTzGV zOXj8Ivn*MhlBHR)DkVQ<$zLg1>%=wks;gtO;&_eDDvE)Y%!b=&U9A&RQFb&e~9@vktQ8tP{D;*1PBL?)|sZ zlRLPJyXi%5`p}R2=+6TTDPVHdYp$jU(UL zBxBLpYZU72Jy~@2KKah(8jH?8rBG)}WYO8z?y)u~BscBT$>*_GYcgFR_LBO0?e`>-GTb07y3Ysg_V;Ruf8XpW&7#}RAD ziJZjAwBS@u=M2uGCFgK1=hKSTTu2+*(vFL{gv+>s4s@gwS91;5(uM1}k(=mBH*V!N zis?a5?j+Wbd+5cz^r0X3)1Lv^HS$=RyYWcjN*I}D_2_I?Pe@5TQb?wy{N|tBIZz=gROUkCCT$WTy$+lUtQ%Y($aUYrF>e#(FUZb=6g+sObXG*Zv-ZZKvr8$|S!Y>vb{+Z7ZZQ^}b*E5gy=Bo^ zUvizTcfUWx`-k!r!+Dx#7|AF`^E_jDk#S7mC0^lGCNYKAn93VWV>)m1F0qEp_?mC|j^(UiB|oy7pZSH~_?CbS1In@y_Q#tW!Ffh@kk-LG9_1K$qgyFIZN(H$=z9UUrHXxlE+i>WR^UelIJ|ws4;$< zak8uA<>Gja?k1A&?oDIS-CGpu?n7C0_c8hI78r}}zMxQd-^-%AAINw2tFh?r4+?d+ zu`IgVgnV}ujYW6cP^i1=vgocBx$f4x=il4=_ho+$;2;j>P!8j8j^rqgp()3594Bxh z&1u0YoW|*#$yuDuxx`x1iXtxHLN1~$?YV?lOD^XMuB0PZaSfg6!gbuhjoeIEZs9h% zb2~k_gFCsKUi799eYubOd4K^t$iqCsqddmrJi(I;<0(cEYss^WNg+jB3^eljk8itdV%ACl`(a(HFQVaJlgP9=v|l^kB3 z9mYTGnv&7ZC5P9R9Cj%=ysqT%`s^_N2{)9C-dJ*YQyl)^`1aga>;3cXxvh)rE{d!Q z|9pGy|HuBSIICBY)vL%(sK^RdWCbho6kKE#E3zXhvXT{9$%^cXimYZuc1Hhvd+z_> zCnEoizk)A)dv49z*|+Cfs(pKIyq@HClE1Z{VJvQ~Eh%(sZ6k|YYdi9{)(*zv*4l|e zx7M3vack{H{?^*lSln9gqR_3izbtO81Igc72OEo9>ktavT1Uy^);fmVt#!R0%}n+F zH<`{`yu-W9U?#KpfDf6&$IRmsKI3y1u!t{M%vUUB8Q<_7vDI6_53J%xe&T0-<#%GM z_ZNS&mNIO}Mr=%ZHeoY1rvh72k*(Q=%4|nfwr5AGQJtF9VrO=tF7?=rJ=l{5G^8MqPra^)Lk7}bhj({?iv}3?)IiocZbQMyCcYV zcbqZZt@9(A(~O_NS+wLF&gFbs(V7csLtEN$F_&-|m(zicbmD5R;aa+IJvS0-NmsgY zE4NY1?eyeM?&2PLaW8%7$NltY00Vi5hZ)494CV=jFqB~oX9UkMl2MFi3}bnLag66B zUg1?HF`3tRoi~`qbl&D2-eU&uGm8)Sh&jy7w*3p!raL|bvV~usw&N?a?f7pgiBEwf zN=ef!IVB~hXUTafDRSatq}N>?mlnrs zban;#&N>^5&aR_SXWeDdSr77^^)?or^`%f}kI164$H;f~l(FdSX$p1rqAWU_K)$mn z#-g*S6zXiIEIOM_uCw)iM6=lYm#~bl`Ihfk&I(rYBdhtDU-*sR`IEm`Lm4)pEE`dd z@@&fH#2T_CTTzLv*_O&wVS8c?*@eGNm?8V;f%YGcdfgH@C z9LC`s!BHGdQ<`xcCvYOoIhj*9mD4$svpAbrL(b!TifGM+Ttr*iXV=J;X@0}S9n9wye1M|q6Ld4eYy%2SLW){tiz$#ab6d0yZ}#xsGJd4-8g zVhXP_l{cBjTfEJ?%wQ(7n9YZL#K+9#6F%c}7O;>n_>!+!$}+wo){yU6&JV2QM}FdG ze$B3twP}XNr$6R?l$@I- zZBo)MOFE_Gnk=~~CEc93M&59B+*KT}(OEC@o%J^soeiW=XG3Js*)Z~*jWQOUjiFFy zugapc$>ckmZY(-`heDmrkws_o$al8LSai0SLY=LUMQ5wXb++C;e_8L}nDT7GW^7Ic zwxl9kvkjHmj;d_Wj_gErYEp}x*@e2)V>k97){q7?q%nK35Bst|2NG+@Ask8*4(CXY z<`|lBEXQ*KC()c1oXTmO!I`w=Y|iC;TG5&dXv0Ocqdk{!8JE+6E9t~lT*I|=;d){X zxrv+U#x2}NF}Kq*yGHI!GqhhecL$}pJ2;!W!&CB1mW)fuOIh+-O5Vtl_fzsgmVBC$ z`C0OHO1{gI)hYQUOEyT!Mp?2&O15(18hO*zu|sjZMrYN?cUH$(bhaynI@?IL zK%vg2%A&Jrvogk_v$7QGY)e^m zR*76^>)q?`?EQ79$FA(o9@M7+jo6F5*_Zt|fCD+0LpY4XIfA1&nx-`4I8Gqekmj7s zDV)mboWWU~O{^j3aXv+~=0Yx_E$z9OOSz0I=s-uV;%Yi`E!S~9H*zywxrJNlPBA^` z$(`KIJ@n>Y`qGd4d4K^tNUR}`Fo?$(%o9AxP@ZDA>*Rmqcjr!bjT}@QuhHD0k|mu|5)T!UZYjAfOYTZZuPhmul83WoNJ@rf$(WS9kR`9C zWU>=?leb(Q?-a*tbT)&0XLF22XY(l3*ElD~v^Ft0>gjU$W?IE&0yM8;j01 zf}#R#6^Sw`_3V|bny7{_>CB36-CnaE_O z@H%hsCeyR4&Xe zdb^i=Zv%`)Zx2zZx1qA=Z8-VfMjMOX#!{%aNwVneHFCYJci;b^_s?N2^Z1m{n9l+h z@g<8{!cxBG8@}UvRQI+m*^ND@PXij!n7!GD{n(!aIhaE@j3ykxksM7^nsFSlj-1Fz zoJmVBO)g-+Z}-f?w&TO6;^*>duo{cJ2c`;9`Km6b(j<;Zuo zrLpL&5`{Y3Nfw>eAm3R%W6{~}6zXhWS#)*)`OXeE7M&eMq0XAiqO()Tb++Dpe;e;_ zOM5QnQZC~PI?$1;xSGyf%XM7Ojod_6ZsAtCQ%nzfawm5aYe;YIr7!)spZ*NsL1GPg zgh4#UV4mPfhVm4{d75V!$tXtiJY#v0aZKPPUST4Wn8IsJ5$dl8$u z(i?<>oX4i_P-0VeI7e_4N7IyJiA~)J#HOw}Clecl(}+#onb_2=bAxb!@e8?#{08B2 zW3fTFl67nle0T1<{*B%sjV;2>D2#*?zEyCjz+9EtF zi!H))WeRN(-ju}_;Vtr8gjvR7i|`?Zwg?Mku|@cT+!kTIA26-<{-61k zn2~?*C#7fPhR$O~ZcI5gAwMH48mq)MY)k1Gxuf%#k=3a|EoxJGM(*Z3wyb+npVBjO zALlV6_vZlCH6xES9y9V7no@d3p5#1cWD5$-$a7`q(TXBU&&YPp+j9xAWnI^dyvBHE zx@23jZt0;RKEv_|(kneq^vND42BsuF!;%b3$%rf&lalxhOENhnuV=|SDVdQa^HTCz zmMl)m(kxk(lAp5VuavBH;<4pDSIB0?@fxd01!5H`-C1Sl(OFeu6JqC+ z>CPHBkIotstH`=KJH&XbB29=@q;zM^oJVKJ6RXI&Iy=L7tRgKro6?=Nb{?IzAy$!f zb=JXntRkJbic+1e_v5AR-WzL3PwwC@?xq*L=|ewa4e3v;Ap?1khk1lpLk9CWLwJ&5 zJjDo}A=Z#l#2PY&=Xrq_i8bUUVhwqfiA-h+uk!}6hD_%z-r-$lFq2t)K&&Bi_?UTo z!e`7U){sSf!D7B*Da-hV@A#e-{J<)H-}(P8}F}76{@lWJ5r76)TA~$Q-`|j%5Lnzo;08l zjoF)h*pK}=kb{X;$`ZFNAN*+sd zH$J~|m5fZYdUQ6cC!{1kzmiN#$=g{nJ0on1n)4U`jYExy&oqj*nqNZL^;Z{DVwteTe20E*qUwGjw)k z7TtY8q3*tyMRz}t@9tM)(cK>u>TY9Mbhiol?kXCK?zW*&chzOlT`h9m{kI)ttR)R; z#9pZK-`e$`=+8H&1*dQtr*kG}aW>}?Ye_4LxPS|}h_SV)$pm>NvhQUQh79@g(w{onb6GYe}Kb+Q_1_ zcH}$jU@SW8M4`@Zl0|3T$amJ$Safz5g*xjmi_Qj;?`*KK=xhjuIvXX6&c=}IY`wew zsoo!J$aG>2d53qI!AxfH0Ut7lkD13Oe8%T2U=d%in6FsMGQQzEVhvfr53J%xe&T0- z<#%EY`HR0qONOW9nJgKbl5tt`T1wu?lJ`>bz7yBTY*)vp#qk=Q%_ra4 z5@XTX*A(h(wJbXOg?wjgj74V~P^hylWYO7Hu-MQ6K`@2rur=xlEa zb#|C6Iy-_~XY1YbpXU8%a272&hjTffR z7Z}HQUg8yAWfGHljn{dDX-wyBVhwqZ8NAOdKHwwfFgLqK7N!{*j}@+j^5&aR_SXWeDdSr77^^)?or^`%f}kI164$H;f~l(FdSX$p1rqAWU_ zK)$mn#-g*S6zXiIEIOM_uCw*-`4@Zt5|;5b-|`*HS;0zvWHmqY3%~I@fASY=D8mMn zWh2T_o=w@DSVOjCD=M)y+ftb-Y)`BqJ5h}q)TB1MP=|W#%I@qzeHze+z1W+5*^dJ_ zkb^mt!#JEHIEtfbN;8h*1Wu$mCvys?ayn;n7H1P{$a$Pk5v{q9i)c&x>>9Z;&Csi| zxqEY(yZ?Xx663%2aq-#L%justG5hDemHv6}X4k@pDfu`{3jZ48hpvx5isLm}`25#hLx^fG*(Vg4r!5!Sm-P}WO`p}pAxSt0Yz=J$Y ztRj!{7?1M=PcoFJ7(uKe&oYwd7|rv%z>AD$0x$Cl6Pd&mUS}$AGL5%*n|GPPOlC2g z5BZ3Xnad}9#^)?xAz$z%U$K;Bd_$}v-?N+_Sjmt4#LxViT_tPN+>HkccZCXRR#(hs zb=8!_1BIk+N_NYV#wm#h3Q3cc9GNA@r{tt8X_=C9v!qQ*+GRIST6L*r2 zTpf26$7^)fi+pGOjYVezDb(2zS#&mxd}pJKMQ39u)Y+@D=xj3i&ZZlS&fcL=XLDrH z**x-{Eix9JEv8UsD`e5xDsr8zceh{G`!}XMo3I(1Q-Lk1$kuE_WwxU#+p{A(QJtF9 zVrO=tF7?=rJ%}}=0S#%)UhKoZ?9YM38gdAS(uBh~lA}3>W*p1$oWMymrv;~S8fS1O zEjgQWIiFUv<^tMq5$$NtC0xekbl^%laTV8aEnT>tSVL~&X1Z|;w^7XP^vtf2d(#Y! z2MY6jP@22>Ut^5rAU^vVm;S!R|NO5q&T)n8P#mw(TQ%~%)iD;m?Mk8E_LfC&`;qVM zFk{i%5ftj}L|OE9GWp)lG8Vm^L!sW<%A&W6$@kXLSoC%^g?j5Mi{5S}*V}q`{P%f( ze+Dp+htl`x#=p%$>EG#peUEPZSX_LMZm*J`lK{;gZ8gN)895!}LA6gZ}v*-PT2BQIT0xWCu}X z1t_ut6xl@-Sp|x$0!4NbMOK0$D?yRnM3GrlWHl%~DBD;zrt3r`gp~%jn$jVS; zcTr@P6?v{KavRpiG(Udvs&T z9&7=Bf*}lL7{eLCGq^MVTOZH7>CaE+ZDP~+9y55KS$x1p%waC`_>|9>&jJ?lC5u_Y zQoiOJzGFEnSV?U9R`V0T@GHObCx5YqwQRtKY(zQAvnjFZ+ky&gMMbt|TPjn9s_ekO zHV-u%*Q7SF>8nFsN^c(OJC99YBVyB6dh>9A^VswqOl?mRYq zJ+SFp=jNfW@qXM-Zu5};U55BOuRk_5pDvEqn1mx4#c0MbmKPbv1YY75US$%Kd5x*O z!8G!daHg@CgtI9$2|t&`BwR>-5-u|qlki&#O~RjLF$sSoKMBhii%D3PLX&VySxmx8 zGTM{maxi^sZpJI#3Xb|$fSltB&P5>v5ve+tRrvnHt+Htv5w4QHXrg4A2XLv_>5Rb7O;>n_>!+!LaZa- z5bMbIEawMS@*_VH>&UPC#vlC2->jhw8xrft#*||dHf3`v5bH=qDzOdQvK>{}o*jvG zq&hXIMQwJWF0qd6Myw-yQlEx2VlVa~){*_QC;ldBhQ^0lu7s1)+-;G~-Ighd549xi zQgTU_bV^Bls3qx^lH0Q6u9Wo3l7T6CI7^14WLTDrNy!UY@@h&ZJ8_N7b9KB^9Iw&Y z4Dy}LF&3T8qflpyWzpGE@|~?P7M-o4P-lP1qO-N+J1cK2I@^pwomG}aXI05}R?}E? zwljq~Yaolx8k6g6y`MN8<^9LdjAJ>T6F7`4qQnmuHqUx(}nAaHRL8@4e7=$+(t3C(~~>6i@WJXZ~D-W`{>UD4CFx`W)P1u zn8z8ylMLf2M(_;JGK%LI!}GkrIL7l5v4*_LL?$zZ*Lj0CnVwxE@2461K{j{ir@6Z* zo4fy^U!sre>WK8;b9DCKb7J~maB_ByeB$ajr#N1tv-8P!*49{bb}@xIyIK~VT}!^R zuEwIXTPf7pJ+kQRUhw z4s)5ur+mhI7O;pfS_L4R(1^zD%|7hM{v61`9KvBV;Ruf8 zXqwWDGA&DHr{tq7`8*{How$>H>iYP$I9{W*<>XuY z*;usp8--dcD~s03k#B8FW6@eA3bnSAELy8UzO{PBqP5*A)Y`tXXzc*cLYp0NF?Z53DV-+c)H5bx`wzTJBF6A<=paUJbimU0&wOq&b+{jIIpAf6a=gemzi};eSSi-XGD)}MJ(0HJ*!vCG- zZkcTEZjzFCppa~rlI^pkR!ZW5LeelLdu7Q%t*ia(Q6jQqQ+7g@otFO8nc1JZASD-N z$>k}z(uu3&GuOur#qk=g-Aul<+l@tQcTlLc`()AD1LRwK)L69kIE7k!Ru-*2N4~Z3 z#-g>CDb(7VvS{rs@~zD>7Oj0qq1G12qO~u`wYJ{<{%Y_4nP2&hKlqcsSwk5%q%0d# zj!oE<&Dnx2sYoTZVOzGN3fr?Iv5Hiu2DPZoF4UnOyAi9%p46uyjo6EQ*q8k|fP*-g zLphAYIg+C|hNc|Lah$+OG^Yipa2ls`CTDRr=W-scDB=PxTC$>f2Cxt6W7S+u8z%$<25>~K)$oe#-g*T6zXheS#(yHd}j@eMQ4pE z)Y&1j=&T9(&YBsE&W@*0XJ^QwvzFvLYi%q#YeS*VI>@54PUJdU?|#3#_uo!W?%*!& zrWd{GLqG1LKMydF2YHxBc$C3B&Jdnt7*8>RXNWaq6wfh+=Xrq_8P7|^8uBU=namVk z=MCOuI&bj~?=pj#%;Ez+WDXxQk5BlF`7B@&U$B_3SjsZK;XA%(1wXKgANh%2_?6#@ zHRLb;W-Vpdkd4@w^4T@ARhpq&XLGk&n!7c#xw~sh_Q;a`?5g(nrw+{i)PL}|8t1z{ z9x9I4Xl)Sr)`l93)`nB4wXw2jZ5;X5CK-#?UZYTJ@5!RI_sO?5*I2anDTP{FB8%3( zCg0jhW6|1b3bnRI7OibSuC?{<__y)?%2c5$JFp|ws7_64vom$5%dYIk9_&d28qt`& z*@yl3KmD(F$A66XHRCv96*-ZUIGGll%ITcJS+wLF&gFbs(V7csLtEN$F_&-|SI~iu zbmD5R;aa+IJvVX_UFpWH+(t1y=*gYLDsm6KxR*Zk<9_-xAe--x*&z*f`h-*Wg^}qx z8J%4x6Vi1O4;7MWDS10fW~U?`DkKY2@@1BMo08>O@>@#&%#yMxDVHUcQnGE9?39uk zPTWftxIT6-j@M|dKKa)6H5RQMfU9<$tsQ0jXqu95t+}yi?Gy^NcAhL+Dj(lsk7>m}rQ>eAxvS_U@xz^UZ;~(PvLwSnfJk2wVWE7)$p0T{hI41BC zuMlhhB&HCn$W-278q;~3cZpSGChs$w5BP{V%;ghe75SX`EMyU1@)b*1#@BqycPwWG zEBTSt{LC->#_#;e->jhwv5J&sBg#>pP1&3+*pjWN#MW%fc2r?|VinnmYSf@6wb_L_ z)XT1thG~X+sEB3b|NY-7i{8GV zP;cMMqPHK&_x7u?=*^|rArdfSA2ZxxM2Z`)9)x9YO!trof7*1P9dVBYS8m}p zVja1i9^Ap5+)Xcf(}%v?$NfCO03PIF9^p|Q<8hwgNrv$hBZzh6Sw`|4qj{beh;?Lq zcAZR4*GW89xG&5|Yy7Nijh~m2c&v~tP02S|vMMF7lpH=; zayT?QjDOg$lF_G14u_W@fZb&z6jiEIAw%hyOReM|VoSf4)bz_5X^W zhq8hc**_LpMT+bpi>xF?WzOS#T2Vx6{`qU;{>Oi9oYm`}@6r7a{6yrx@w0pBdvtfH zoqdn4rP}xC#_LILC;40J8OGw)+LA)I);6-ZwYDRFYwchxZmpdtbZfmy7Pr=JIU zhj1uOIGiInnqz3ju^ewpQ}%z|_vn7%3i-4+UZcDDB*hk z#Xa=mUi#3F`{~aB2J#ROGl)kS%o7Y@D8m@e2%cdiqZrK?#_|H=7|%<*!mCVTGOzJE zZ!nGNyv;kj#|++Q79a2tbC{cL`xmB7cYFe53%@*V$5&?C@!wJsp8!e9rDW4Asg#oV z1V~aNCAG6;_mtGnk^@q5aF!gElBQX5N=i=8lJinhu=8;j2RQmC^>WYO7UoS?v8wSjN|U%Xchi1uOZH)%?sa{KoJ6$zQCY3>#3EjVMQXHf3{S4cU^d zsKnN6OJ%CCJ+X%DL^WzqliKV;9qO?wyR!%NX+R_PVsG|kKMvqP4(3n}<8Y4PD2}En z%{Yz|IFaU@%qg78>72<~oK37D=W#wowB|xCqAl&SYvjr_L$Au_?#*fL-jdDTJ5q9Y zmOPM>2eahylsuUw&!yz~Ecv&80e!Kn<`pI~i7C9!RNiD7Z}B$oGJ~1SVm2T05g#*`Pxy?_S-?WR;7h(@ zDa-hVSVO*NIX|$HANh%&`8B&n)~5L$pZ>TfR7mr^Vm9BarX)W7kzO(+uqO*Y% z>THNCIvYm5vr)#PvoRFv>{VHGHko{9(~U)E?@*|-IkM<%9{J7|8H>&qQ>e2Qvgm9T zxz5(R=P&F18&jT5*o@7oz?M{GYqp^>+fkM6*^!;7PEBgDGrLfidhEs?#2V6ohBRg` z_F-T4=Rjf&IfO%L!r>gr(HuiFj^%hx;3S&Uf>Sw-GdPo$oXxqMPb*q;0d2U5cC_ab zF5_}Ka3!6%ifg!*E?iHnAvbX|-MEF@DCTy0X4lBQX@>U8=I)?0cL!&4cX&#kX}x(Y z2md#J0ey)pWQXE-jozw}@2!rp=xtXD^|rSxdfShDZ-*I+-j1M9Zzsy4x0A{Dc9yZ| z?HmgA)>an1T}-~Wj>e+5t0~l5S6TFSE4kj*yWhXh`};G1fjq>+4B}A+^8`Z}$}omA zf@e_nzqQ|g)1RNt+r&EZ9y55KS$x1p%waC`_>|9>&jJ?lC5u_YQoiOJzGFEnSV^oS ztNDpv_?6%JlfPKQS~g%qHliHm*_2pEwx9xAQIW0LmdaGgu9F(+YKTV)_l5fDI%$+$ zCkLb?9w{VEQ*vCEoRX4wq>vP)V^bK+jI z)Yb8HalA%nBN@eL#xRx_8OH=(;uT(H5|eq2sl357@}12z7M;zeP-maZqO*nMJ6mQf zI{TJFo&79}&VD1`Ss7!|Sy>8owxujOt3`Sa6 z2XG(oijL#vxzn2JkFMQ`^}sJBOD(c9zXdmCZwX`Ut4k>?oA^Ni(1#xsGJ zd4-8gVhXP_l{cBjTfEJ?yvIytF`Ezhh>w}eCwxY%BMVr_7ktTAEMXbn5bMbIEawMS z@*_X-Gr#g1fAA-NvxYKkNLewS?>~lS9Lw>Xz)3Wx1*dWvXK*GhIh%7ik5;ti0@`p9?P$*>T*l>e;7U4i z71z+2E?iHnA~$g}-MEF@DCTy0atC*DH@)ahANp}0{ds_aJjlZg;!y_kI74`nVLZhM zp5a+W@f>4#o);L$cwQn_kyn|>WTx;sZ}2A5v#aF&G($hg=JotEcNb-I_v@5=mnFZX z+v=`Y$kI!piCF9Lq! z3OT1ZUZc13$@kXQSoC%=g?hVM7QJ0dzPGN%qPJTq)Z0C>=sY6|M zWjFSqJ`HF@WAaWXA9mD4$cv$E@?D9zt^ zu&~Bok!E(sZ0=r{l6bI?^hn8_S<*Kp@n9i&%#W%(wlqfOF!SVbOT5RdVHW=VeE zzs~>P>3Q!T%ZrR-0x$6j6Pd&mUSle6FpcTF&AYtEOx|ZUAMg<$GnY?@RpfK#vyer6 z$ydZGvMig`Kg106UAi$XR~LUf#edW(q?>$`NHEBP6@(Itmtvcvd!y5~zq$Cezv zP;&TU$>F$?!|~Z+{1YaWjJ{NI_;ShNDRo`Yv5Z|Jm=-eZAg4-=+J{ zUlRAvcj^A~Ly`aEABy}pet0i^mu{`v|N1W7Sfzilc$eNF{Ox=VW!QkSY(#7jHepjX zC$@E4lHVX~XRHd_6WhAd8-!ZUV_UZiv8}5|euL1^SZwR|BDQsDC5!<>mv8`L@2H^tZ7jhB#4Z`KdVuNrc>)0UpF5U0^ z8@)jqTZEg*ZxL=c7F&cnD6~bmPZnE*2gq*`9yJzQgvYUwT;~?yS>q#lj?t9fB8+z) zTZES>v_*JR7F&e3$Zrv58H+8#hZNc(ERe+(;R|wGg!O*JwA%ZB=2v1y{=uJ=o{<|m zj~Tf!<=BM$jI3y^65FsXrDx=h&SOSarv|mCP3alAoAcPR?n!-0&&Yk8$Bf*c16bFL zJkof~$YW?q=^1&F^O%t>C^RF_m7PZ`iYPrJ+c|H~CB&9>T{H3;mmhW95n-#}vtRfYNRit!hm7PatRf$z(U7hW0JUXjOtRkg5Yv4ROYfP*n z>+0+fGgMb_0>2jj7dbmA&X zb++CQn7Vs!tRX$QgS)t!Ui799{fITBKe2`kG)%;OB;0|s z?sGk+rVq6KgTHgW+|}_=alA%ngUEL_)L3*joI;(Al|^Uc$agl$SakLpg*tmr7M;CM zzO%W;qO(sa)Y%eQboMp*&Q=Xvo*5lYy)zgt@p#FZM?rSRjA4i>_|1LQki%%g5gf_U978jXBi4`;i8bV8T5u|-a|UP8 zl5;qh^JztEE~E`@X~)G}!ev}R2RhP;tGR}2>B9Bg$W3&m8@F;B#q^*jcM@yJJ@n#U z`p}R2>Cb@d8hI>TBTr=4$jG$5kIt@y2`PzBuUtLTQu20|%uY#sdL>zyk}tF5+mtNN zlHXGDXO@&rNx3Ykl#*?;WT%wWaN<6)!qu^RalA%n^~ra(ud(Rt019<>lq@=HO1`t^ z#-g)RDAd_`vgoXcd}r;AMQ4{%sI$(p=Y)mKPbv1YY75US$$fc#WyN!8E4xHt!N^$V}d6HXra2bC}B~#2WHB z^I6CuzT_*Gu#B(ymhV{33RdzXtNEE<_>JHBlfPL*88)CS8&Qt(Y|7?r!Io@ACAMZ; zwxbH$6Klv$RHFtpsm(6bp7ECGqpd{%@9NQ}Ub>*T@g9j+cw$H9DI}zOy%t zMQ3kOsIw1c(b>o3J6m8ZI{Sh`oqaEh&VC@@*{{Z;vp*=**~YTyY!mXGRWufzZ9}2X zs>`CYTI4$WZ~MkrLmJYEz1W+5*`EVAh=Vzl!#JEHIf`Rw%CQ{B37klCT5t-daXM#m z7H4xVv4*swhzq!oi)c%GE+N*C%ejIp>Bv=FLua~h9XD_zH`A3{xQ*`IP7m(jPVS}` zz3D?=?&E$QU;q#DFpuykkMTH9@Fc@{iV?&b@+>2Hj?p~N3%tnq>>8PzW@tQ4nC~;v z+?|!p-FYdA#|g>OlzfvVt5On=6Oy$l*)U5sOG$++shW}mwYQmC^wvgoWG`OZ2Ri_SVxsI!}7(OEb0o%J*po!v#D z&ic!uvw`G08*D5(8$zMZM#-YHG2}X1?_PhZ_rJ+>-r^nJWd<{u#Rq)I96n|qpYR!< zvw%f>!D7B*Da-hV?}#;I1wXKgANh%&`IX;^HRLb;W-Vpdkd4@w@@&FpY)%EXq#|3h z4VBrBs%+1WRHHgIsm0FhLS5>y8+))P4QNPX_F^CQV}A}L){sLulqMX`ksQr2G|R4$ zlhX`6HJiKVr@7lYo4XgM_^GQ zwyxr#W?YuOmeMz}KlfhxbMHHGovd<&d|Di@(c66Ty)7{oy?srg-d4+^w_nKjw#HcW zwgH8D+d>w-ZAHGfD#oI>9VpaW9a;3YEBW3U8H?WbrciH($)dL-$o00~{r+j*e+FmK zl5;qh^JztEE~E`@X~)G}!ev}e2RhP;tGR}2>B9BgNUS4W>Bgttb?q47xJzOX#a-Idwg{VgT&NFgbgl1;OuQcB{HLQ*3o zwXFmrde`IN>0y`^HNge#5MAxtK-t*c#Y1kAm3SMW6{}l6zZ(I zEIR8!zO&xOqO-mf>gq!)@(~#wqtvCU?+BF7j|Vg_Fzvs(V4y3hyB=}12~9-Ih4aVf+IPaV>yl! zh&AM7PNA4?oXY9+pjUQ{oS$ZB+)|kDSEsq#FPpplQxdlnlK)-*gmI;-FMzbMq%zOv}73;E6tHx`{8 zMWN2R%A&LG_G~3Hdq#&4JF^%i^ig}5ftj|Em?Fnj(lg6jYVhgQ>e2~ zWzpH^bVh!1yJ?Ka$_F^CQWq-PGAO~>>hjKVaaummK zEXQ*KCvgg0=|*=><8*q`i!(W!b2yLl>CJ^)#3fwH<-{6t6<5=jeq2WhH*iySjog`L zXxvhm?~kRqJ20EOPp8B!MI^7KkdnBakW5d>M_KY^N@i!tl9c?AB`Z_1I!nr? zqTCyDbhb13&h|7Gopq*AX9vrov%|=D zcAT;3>_iH6)@6R1q`sujwr4J{RK770M;l$F1?_>|-V|kNGN8c@dIJxxUl+uUql|Fnw zdlNGN|ZO$M!|1 zIZh}#-SLQ`9**-X74`I=-bKB-6`M81W=*ko2a8?p#jf^ZcOAv9_+nRlvAe}$GpN{A z|Ihd5zT(f|RYvj}qZrNWyuq8i#Tdpij`2+3Z6@*#lX#cOOyND==L0@uD*t?cZgqbO zHK<7~Hl;Ros7pQS(}2y`oQ7;cBN|gg6PnVDEon{*TGEQvv|%f@W*h#0^8LAWn)z&X zTC4|Jz@KC=Lm0}_Ji~K5&x;Ia1h4QauQ7_(d6U@ijb$7Yc$;^a#AMzhHhdp4mFdjj zBR=6%X7M>+@)fh0!#uuW0Sj5g629XHma>fHtY9U-u$nde#vlC2TGmmPjVMn=Dp7^l z@NGghYEY9+sY6}r(}2xsNNgU8XhJh$!`FhAtiO5K)_GgDqdhyYBe8kdgu(;8a2^}JqlpdQaU9S3n}=fO-8hxl@b#c4v3WR)*zlc8Z1^sq zH+{H>OSp{K@Lfr4_^zQZv3az=^V$fbWu@fYXe{1e{5s3HY@vCg5E16L7Jyn1J6?XacU1#RUA7 z`~)mxEGA$%3QfT3vY3Fi$WOq{jKu`pf_55Jji)Wz(YBVBRG=vC*TRrV*;McDXc#MPj?;@uos0U;Dxf7fESaWfL9xf3D}Q9 z6Yy5qZQQ|~tUm$ocm4nm@i33_7*8;eCwYn?49o6dUP|{5FK2faW73_)`0UPNN=iP+ zlFw4|MV8D@$+ubZQ%Zi$lHXJESC&*xN!2W=osxQ4(ljN_vt*l;v~%JbS>x*XS4kXW z(b$7nG}iBIU+2+T7h=))yUva>9-SRaEE?-~*424*)}2^1{;sogjK`vJKCx)5-`S&(UfL1 zrzNq5v?11zZHP6b9ow-zI}&S1hwK{Zm}Y3ak>!s4kTiD>&*tv&DTz0-Bt27dW|s6$ zNxYFI>6?=4vgDSO+@2*5rsR<<8Jv=#Su!FeuV%?xDH-R)HS(*g5Y*)n6%*$N7E_NOd5TSvaLO2(qIjVaVwU0HP2fP807j74W#QmC`G zvgoWmxz0BDF4Nwgzc2gKg#$T=LpYSfIg+C|hGRLN6F7;J=}I@ca~h}9lU|(3*~A)h z9_Q1W3%Q7kxs=O^HRLK{4e3iiuA_t-xQYJU!mZrS9o)s;+{1m`&x1U~BRt0A3}g_4 zd5WP7;~Adgd0yltM({GP@*1OfomfNOVhrOL&)dAiBqnFq$n-QrG8V1)^;J^S|?-C+TIju?NC{?b_BWB{@d;`R*_=5aVn?L zgI=7$S)9$eoW})RNFOfd5-#I%uH-7Np&!?BJtf@8P29|_#42(JcQSyxxtIHRfQN`x zI!&t^Mfr-4syG-UiKHx*9F`b!w z#3y{lEWRLCk*}G}T;}l&-?EU!*;VpWn(=W{;g#X9bS;$0u7%1eiJJ;Zy_9U0B~4Qj zHx-h0DcQbT_BB^N(eDL{bCEZhUdX}7%lJlL|b^YNAxuPVF z(c9JJd%M9{^wyt3z1<^=-tH&g+vCQfw?P!@?Ri=B_7eHtMj4CV-k?x#lVs7`6!N{z zFc!UiOrhT9$fCFTqujYXi77h(}GsCA=Z&?*p_x|$M)>VPIO=w{>5(W!Jc$tFZO0%_M;02a1e)Z zD2HvP^hylWzktn@}0Fc7M-=HP-nZ#qO*?VJKNt_bao(x zIy*)dogGiUvu?(sv(qTl*?F?)tT(yNHn{7*-t%wZCi-&=w{kmoa2I!T5BG6D5AqO? z@Fjo$=O-bCnc9; z$+amd$&%Yra;Fp5$X~9GhfCrZojpdrvmwT!v!^N4*(>^1V8jWrgXO`uR`AIhS$ z>Et_`Wh^@Tl0uy=ltpJt$al8fSai0MLY=LZMQ3Hnb+*ABe{IjNOMM!!ISpw_0I`M~%pn}c;T*})9K&%O z&xxGGDRiY9r*axS=*bzJ$=RICd0aqm`fw4Ka4DB_C0B6`eYuwFDB(tK;$~tExsBVo zle@T^d%2GXvTNjtZvTgUckWu($5thAjMla#-`Y;bqP1Np)Y{&%Xl+08tsQDCT04S5 zt(`23){4ou*2`G5b{2(NyI2;jT}HmOe#WA;>nYUQ9kOU`0J+vSxZ@w}`9m1S(>%*_ zyugbL=Ve}DB(E`=*Ljn-7|VDjFp+n7m&v@x2gE8ejp@wfBR=6%X7L5FihRv%<}#0O z_?Cq%W(nW(14~)Pa(-qdt60si{Kg;r#ahZxmU5J*B9*8@RW_kIHK@g=)S({r*^F34 zwxAJ3G@%*IX+f*(DruM2@&CN3@Z%cyNI$NzQ?|-?Nom|vcr`gTt^X%vN%xe*O@-wA zlw6o4SES_XEa{(;TeIYzl-!>sgHrNTmOP)5mz;PtS?3CQqa=>e+Zgh_O)?g}O`%Y4 zAIqY*&&c;S$5`|>pF+L;D2v{HBH!B@W6|616zZ*lEPAUaDRXdTUCq zw+-(2ckuk3=)f-gi{03RJ?X?=?9IOHM;8v@AP(kG4(AAt;%JWLI8NXsVjby9G2J

    N+D%eaCoxteR}$8}uK4cth7Zst~Q=ML^-0QYb&_wxV` z@d%IdI8QK$CwYpY4C5JM9eJJ?c!}Y>%&UxKRCb+=OEWZXD$Mt(Y3|O*=I-YyiJJ<^ zqLh4>B`Z=AHx-g~DcLAXHcm;kENPIEhFP*@N?K+~`;_dMCA+7jqZ8kq>*9Ei7V#7J z%1qC`J9kF*skrzA-OSPt$bD4$@Z-{lpOilQwDjR;r4MIi592rcyma)7(uZG`KK!cm z;n$@PXJ-%NcbHQ;I=A%Uym+{Ag>tp3FE5imx;LxL*JaAoowx4a{GYZJHu4*7RJLq+ zAI|fKRJP3M|M=+MSw=eg;9ZOkDvCeE_C@6!Clm>M@XpFxW`0poMgQqtRLQYyQDw&g zMO7S|7H#Y}qNr;2*}hGj%q*(r*uAK_J7q}cLNZ22g* zc`UYs6k9_6`SRQc{TV#O!#u*HJjUZZ!9WJ_B!hX1Aq-_0PxB1V@*Mwsd2X?n>S9~0 zVlUUlUapJXtQFg8729eRyJ0J~-72=-Dt4n??4`TdmaEvycd?i6VmI8ywqC`yUd5Ja zAJL_3x8i@}=kKL2&)uR~_T{;j1z(;U$3wiHBlF&3|_$5ZIF^)y+$w)Q0d+IpU` zcx~-Xq1V={WbxYCm;7t%O~&H2^%e@fw%#X;*VYHgzqSrE7O$;?DfHUeOUYYEzebG+;9t(ul@1p($I^oR+kr4O_Df zZD~h)wr59nrUSbYd%fM+gN}4!FZN+y_NNO6+S8Q#KkmzO<5l^~k~l_pv&nb2&{%Z0 zghJh|ltp)|$#=KbSaesGLfvg5i|%TW@2zkP@1DC5U)9LIAaCvgg0>Bgy?Mh|*&24`|M=Wreu(3?J7#3fwHkv1dK2 zB#zP9x#T;$*jRLS8HGB#UKX9*NWQZ>j74VyDAd`bvgqsy@|_Jc7M(pyq0UCiqO;ND zI~#8-I-5wL&Zfztvzg>N+u-{%3p{@zi&?_={J>I{v7Db-$tqU!E5Gpvf3lV`l%*Wy zsYoTNP?cCis#AknY)T#KQlHI;HDn7KQA87((VP~vqBUEwHQUmb?bx0j*omFlga4abI;%&%v&P1vv!)d4Y#Uj0){cB<9gIb1 z|DsT5`^uuTF628q+*ovW6ooqLDvQp#lk04Q@6Gh_{ENAi%eaCoxteR}$8}uK4cth7 zZst~Q;|}g(0QYb&_wxV`@d&YoJkApg;z^!j2*Y@WSVNxY1zut}FY_uR8O3Pc;7!Ib zmhrsJL?-brQ+SULn94L}Fq4n@gwL487ktIn%waC``G#*<#A3c9){q}r%1Mvj-{E*uiI2{&JpQfl5?nW2#b( z>eOUYYEzebG+;9tvIUK4LQ}S+IW1{L8@49akhZj=J=?P*JJEq%i8W+*_Mju3*o%GG zm;LF&fgHpk9LnJw$x$4`u^i6{oWv<~r5oKjjnnB#FV5s_&fz@Hr#BaJ5tnc&mlJEq zRa{M9`f(j4+`vuQHF9T~p?7C<_pvm02WE5k>6AQ|C9kFA^(>i?l6SIXdP+XZk}p#- zJ4=?N@_E&X;_}Z00bJZ&<)W7O{ly_<^M?V>v5W$uF#C4ZraRf3lW!lw~8z zQ;|wkA=Z#hs74KHvMF__Oa1H`DM~XmZYR7ZY@6opcG=wBB_(k?A=%F-YWMf64#a3KUnm`PRx9i`L3f zsI}^{Xss5x);74?-_rA2(2CY<#nx;~Tef3+c3>xVW*2s4H+E-FI?6&;bJb~GA`#zuI3v0aV^(V!j0U- z&D_du+`*j;;BM~aejeZO3BYz@_S1D%96?{shTCVQ&KNWnx>?AmTZ%fc1~O+@s~;e zDv4vXwg>sv_B9r*b-`8px2+vz{Ai9P-&$8=(OP#3wRVmyT05V7YnK{})~=vXYd6TE zwf^K=yUSR#b`OPGdt4T+4IYL6F`74clQE2CJa03RNxaJx-s1ye?VrXB zViozAPxy>ke8E@5Dl&(;%;y`vWg(0Cj#x#0WGO$foS*rHRjlDxe&-MVVl8FZh;me* zB9*B^RjN^)n#3wnn>y5^KAX{yEoe*;O=(7RTGEO(#4554+tQBh*q$BPi4NIS(lO0Y zw-m8##8-75l0N%!cs75JPifp%xEgwWX5IdPrDU$?$r636ImD*4_%H5R>nPNCiw$fCDJan1wI|ox2KW4G{I~Y}C;RnX=|*=> z<8*q`i!(W!SVzv|e0p;s7jZF{ayhY%T*cM&r61Q(LaZY<5$nh;+{*3T!Cl-|`bz&WPi!qF2Ja6+3v5rj6u9N9$ zhQ@7$`93?%-FeyEU6PWxt&prv$!}RwHYIUeA*qp)O|xY4lr+kc)+yOKOLj=f&RNnq zCHrK_!6`Y+iEAW2jBsK}98dCp<0<4j>tQT9JA*=<^^rwqmyqx58e`GfwG`^?Hd%Cb zC;84EFczIXOrg$($fC2S$#*u~SakLZg*qE6i_RvH>uiI2{*OIB){t4m8uBGyF`GHe z;~N&RkVP!vJAU9tma&`_tmGF~vxeXJgIGh>vW~KBM0qMui7LbzvI*6wK}|NL4zY&R zC)SY7X-Fd)(}ZShNef!inl^0BwzOqC+Oq>YvNIjnmEG8#J?Thi_F^CQV}B0dKn~^* z4&!i+Tv~EE$lJxT%mlk&-8~g-Tiban)}&NjH?Kh5)d z(2FxTi?cbG^SFQu>BGfb!ev~}m0ZO&^y6Btr-U21iJQ5VSVQjMP6lu{_i`T(@DQQNM2(!uk$8j7|VDjFp+n7m&v@x2YkphrZba| z_=L}x#TUdH@-?%W%RIi}TNbi7yGDMB8R|=OV_L2(*?7GFv?wz#KK|x2ZzC#{D>J`V z_4xGLG!MTieYhZd7$2njwsdr1>BB{(4;Pm{TvGb*yX;~74&RrK{!sex$I^#OOCK&P zefU%9!{ymSUz+Pt`rKX_TgR{C|A&9?e|&DwZ?yjB_GY#E=Sy?{`O@6~&;DdMubuyV z81jGk!;t^RhxXE!=C*70pI@3AtMqD%_xc-zznrh73}q=td18Z5nJQEzHg(m>ZxHGk ztIuY{rf&TWLR06lscTMb>ROTCAha_Uo4W0ZP2Kt%gnv1YP2C>Ermhp6*_(aXk1oWf z?jT}QcPNJu8-$~XP2I8B)cx%Sp}X-@Ii36l;T&VJK{%hk*&z7R+^YUWuaL$T;cD_* zgd2>-7NI|dwg~sgVvBG;`7OfZ#$t;wh(cS0=Vh@)c!~TLVU)4hBD_JNEy5&OY!RlA z-y+N~7F&dmDYQkHBa1D!GjI2OKDwCg)HI3Dx zHg#BkMmBUFGqN#7G^H8q&&W2;W6Qb?+p_+Q+|hZ=$PVnn-_6LqjK_@Jm;G3OMjqlk zX5`@%nvo~TPNplxtUn`rI`74q#Fq8%X5>Z2FXqy0OV&5tG{n1CZb5EOw-a||w-XPh zB;LJ}3{A;1Su!Fe@$QvmTuR=~lJ`?GHA_BE$yZsjASH{kWJOAT$&x=)vd)RymiR{n z8<)f}R*`DNDzbiOb)83N4Tx3b?>gJkcy!j1SVh+FtgZ9ttUa-c{9R|e8;@0_Be9CC z-`W1oqq75vRpjqFJH~jdBFA$A>vz`8d31Ifv5Nd%XXhD@Rirl;vR-E!d~4}?&y6+Y zCi-&=w{kmoa2IzIYsh`X8uB0y@d%F+Ysf$bF_@`7GdD7O|M`_<3UP*RN z$*x(_IVJJ#mE^FL9GN92rsR|?IU^-!XGx!wT#_Z%rlcfGZcE9XPFy4LPi`JAiDPv3 z82QeI7>mxHrch_E$fC2?$agl@SaddlLY;jmi_WH#?`)Q_=2X*>Ypi z*-8p^wpJFMl_l5N2H#q$?fG@7PXjimA&qEE6PmFlEoez=+ORd-(3b6J&kpR!&U9c` zb|co1J?Thi_F^CQWq%GJ){ujVHRLc3=SYs`7>?t3PUIv`p)1`umDA`!PtM>>&gNXs z;{tlqhl{v`OSzmYxr%G(%e7ob2{&>RHxp~fZQRbC+{NA8%Y8hMT_aDV85-|ineQ*8 zxjQ_YyQ5R$-K$s*;xAd=O@GPqUY5>GX}p8w*Ue48?wc(6J|#=D|>8C$5wDM`>G?#4&o?mV9qJ8H?U_p-^vo%c8ga$oF=rvFPmx3iWofEP5*@ z-&-$Z(c4)R>g{4#^mZBf-ufAf-ma%mZ+FO|w*lmO+u++vgFSx;!+4r!d5#x&k>R|| zD~#kdM)NvvqU?X`?WIrs`dNHItRr7Do4L&68@^>Bi&?_={J>I{v7Db-$tqU!E5Gpv zf3cP_#5z)r@>HY}RjA4)RHp{D*pxcdqduDv>&O-~qKGCmqd6^Tm0c(8(hS``o4b3Y zx!Wn5yIoRpP?j8qr+4;2;j>P!8t^j^b#J9~DackkVVQQMgGqO4Sd78sT_mp&W;`PKoZ1x4Zag5#$A>Z3E z#-g|5Db(9(vgoZR`QFYm7QOYRP;XbsqPM=}d%MY4^mYq{db>{+y*)_2w}Hl@x4{(Z z?L}GiHiCR_uN!-Vw}^FQ9OHSLiA-WLQ+S^bn94L}@DU&LDWCBQJ#Mc$8kI-auTP|m2RBMY4o5cXK*HG za}MWm0ln$NMO?zAT+WqT#WnQhTCSsn8@P#^i8bUlZs$(!;%@HcJ|5sf9_A4q<8cP^ zB!d~kP@d))p5p~xWH=*ug;#lvQM}HZyv10?F@d*vhj*FGd&C;@Ayb*o3_ju$K4n&R zjm%9mG;S-*_oZp>F3;xfuPKS!3Q5J3RLPQBDT&((Nl{9gWyw}4*)~gdNy%GYsic8#2$W@y}2nD1Aox!W(ByZuuVw-u86Q}R%j3`$AdR!Cmz*5*I=atmtA zvJLQ8{Wbw9o05Lj2idRsI3=HD$^4XjnStlG?71-%H{ct^Gy5wF<_fwaOG~ zt+p&$t4F@I#>S$xrW9&z8(Fm0j(lq!j74kzqEKu5%A&O{i`Kf6 zYi)x&{yv_6F_&@~S8yd)a}E8tj_bLB8|lx@+{$g-!CegC9`5CS9^fG!Ay$#cd4fSa z$x{qr7|#%^$n(6wOAO~_US%Ys7|k2J$r#2mp0}CEB;I8T@9_asnZ^ue@-d(A8MF9; zulSld%w;~`@GXm2%y+~p@*_+6iRJvvFRWrsc9pD4Gc;}}%=l_)?$*raZiAG>4TYp- zO4?*e`;^2Dg`{Ij_Hy&>nvQ#%1JgauAz6BSN>9pu-D&C9^~{pqDY+<1u1ZN?C$5t^ zu8>??=`>-$j(}e>$h(kD(!#R?pIEG_6 zo)b8UQ|L-Jx^o(*)01AD$=RI4d7MvgF61IE;ZiOq){(2Yn!fboI!d^Ko3iWV&NM^g zroxuiHN{(C(CJ|5sf9!_7O8-JRQr9adE z`U>6nU|f8K?uydS%KcpWaAoPkUrHaYDt)**dl(GiPvM*OZ+xEbXa5J(`>)?1ejHz!dh36z zZ}@+FtnZ(1(zVej_M%nnMXT5?OR)_{u?RRj$)gRVw11f3s|uUSZo6R^P}Uw z@MrKPU-31wnZsP>F`sW(z_%=95sO*EcYMze{K)@;A06kdnc_0#C{G0{Qi;k`VPmSY zNw?yP|M4>Q*!Q)dCF}1Ywsqc??P$*q>`3e(c41d`V|VtXBkS)W_H({J2N3(d_4g1* zIFEhb(Zs&*IF4uiJw&ndZk$T&`+Crm*h8E}?EB6o_I(%7n?78`C0s`A`>y0FuAwin zhq#{D_uYtn-{0;b1{lAad&%t~*8fKhu}Q0ET0UD6$C!XGke`4fjl~2UO`!=mQ5F;M zUGfufnz5LGGbuCyzm~-WoJ)QJE;beu@OuhPz*VxCfWMNTfMtxu1T06P30Peg6R;Nf z3AmZDn1EYQXacs9#RS}ntyzBpZtpxM;7$~pfSqJ90rzGf)}Md}Igbf=D2H(bN3#9| zJi&QPz>_(J^(Wxz&SL`hqR<4qP!<#LV)7I4YGW}0`%!2D-YUC|JGhheC*b|gAK)P# z=20Hw2?p{cPcejH*^SIg={Dl!>;_{@y1^Kq-C#^fNxY}!cIC5_e32#dQxflKNq$Pn z&sp+&O8&}{$|QO2XQV~Is${m!~NkIuRii^kt|c8>8_G|nd$jrBXb)Ojo#R}hQF-*t9_@mMta zb2ICAc9-+$>>gs#_`A*?Hy)i0BG=gl-*9@x^J5JeMXVuj@Frsz%Xr=<){sfW8Zw3V z_<#?IHDm@e`It}mj9GlaSHv1Jhq=t>8@^>Bv4(s{tRX+Ll%H76&-_BHA!~>=40M6W2%sSI7G$ag5HUlJD$OW6{~?6zXh&EIM06zO!Y< zqO%ng>g-QhbheIsXO)aaXB$(fv%0eAtO5DXniz}Dwxm#JZDr9}dvcv^@Xe;ZJ%3;J zrwa#i5QlImhjS!HaSX?DJST7xC)1T~bmufqrzgEQle3966Klv- z#2V6C+@Wm6LG zXGvY|G#-g)pDb(3*vgqti@|`_kEINCbLY)nfMQ2Zw?`*iS=W1KKA@inZ@UP$ydx~4)gei1uSF{OZbi-_>pBSX9X+yh1IO#H~t{jkhQF% zEE`dt3RI#Bv4(6yHEK|kO{qg&>eGPDX-Fd)(}ZShNef!inl^0BwzOqC+Oq>YvNIjn zmEG8#J?Thi_F^CQV}A}H){uiagu^(TBRQI5I4-+Jiqi}|HJiKVrn!4THg_*e$(31h zV@ht$k^w2XH%p#K$&*>~Y)W3plF=!7vs?BVWPj~4G5xj6yIDFjrJrQK?(6jH<~nhm zZ0-vAz9f#(+fwqqtuhw9{Ys(U%E_X)isXB%ZY+AMMWNodkVS7r>g`Zj^mYWf-u~O(G1ie{x^XI}(Su%`!C9QmxtzxZTu2`-<`ORB za<1emuAv{-ay=#7$W7eLt;9NV2X``nySbP9cz}n9b>vYV;|T`xBu_DfVLZ*VJjV;X z$Z%ff6-M$Jqj{Y-8N*n{Gl7Y`!@Er8JwD(=rZJtFe8eYw#w@-d){(E7&0Oa34d1em z#o2Z8Q<|Z1OW}3luQYedWOKK2O5&D6QZFT&Wl7VN#4UxST}rmkl7Dq;^q)5qk#$Mg zL0NWG`c=nfzp8smPS28aQgXf%S4l(H#}y@UjMlCu-`WkvqP6}MYV96bw01xF)*d$& ztqr13YtPG~wU@}ZHp*DE_6CJony5^KAX{ySVbCBL{pm4oEEgA4Y7)B!?v_z zJGN&>cA^8j@Go{_5B8)Jd$BkBvL9VIfP*-MLphuyIEte=mg6{qlQ@~K6w{qkIh~&L z;!I){IfrvOp9{EedX32>uIVDTZNXgk*(kCUCWXZKDDan%CQgWvg*GMB*$HOIYjLse- z-`Nmj(b>}!>g*L+boLtg&c+&x&L&W(vkzs_*>v)q%`z69eMzCt7RsWtCFDC>ZY(-m zNukcx%A&KfLufnC{+ zSVQ)tBc0iceb|@%Ie=J04(1RJ<8Y4TXpZ4Hj^{*9;uN~ljZ-;|9`xi4&g5*)OSqKFxst26hQ3_Ob(C-;H*qtuhTO*O+{s_LfCw`;qVLP-D^A5ftj|WLb1pOun;T#-g*cDAd`- zvgqtG@}2cF7M)#Bq0a7*MP~!Zb+*CX{$S4^!Z4oZS)StsUSv2g^9mz*jnTZ$o4mzX z#xsG5yu-Up<~=?j){tpTXC@!<37;~HFNihdYi2W-d3?jSEMzfD_?{nF$}*PoGb>re zYJTN6{@^dxQiigWqdXO|ekVR*e$#+)MSaepKLY+01MQ2UPb+*C1{tlkM6CK!vf3X{TuqU0^i@n*G z{pi909K^vK%HbTrQ5?;&9LEWqM64lQDW*H8aymWe#hJt!at`NmJ{NEy7jZF{av4`} zC0BC|{kV?nxq%z$&&}M*?cBj#4B#H_<$fOEAs*pT9_I-L@gz?%lwmwWtRc_y0xvO~ zmwA0;S(K9RvSdX{;&wu^E+rdf$;K(EmL&~R z(lAT5Oi9ZuX`hlEvt;*_badkTbDNYYGsOkcB7XQ@nX=jU=WdjJBrZNa=R0Zt^9S*j zFMU{{^kK!)hm}enRxW*5C3_gZ*~X=#RZAakQu?r3>BH)!4{Kx(<9Dc8I$Epr;imDh zYK4vd+t=sT`=9tRd}aRo*XNFJmHimLf4)A~s!(iID7LdGwlWl38H())i>(gDR)=D{ z!eT2#v7KSDRifA`QEaDCY^5l+QWV>56k9Edtro?0iN#ioVk<_mono<7qu9=4gP(x1 zg8cIn6b1l`rJ~xhscsa?xv>szDURsZ*&`axS zvUq9jN&cnvJY(_F+M7Zztyjt7rL`~lm)4t%#Y^ig6nbgBPZlq&50Zar9cV0GS_f0; zrS(NwytIxW_tLt-w`3-I{v;+dh4=Y@sZ3)AAMr7t@)@7=1z+(sbC}0`7Vs^LSj>0) zKy3Av@e?cfnO|7N8h#_TdVlg4>nOuUl&1ofsLaMxr5e?#$)?n%F7;@@W;CP`jcGzt zwxl^NX+;~hW*gelj`nQNj_gbab|toYyR!!!>BL^_!@lfK7Y?+gDfhqi>vR9}9i7++ zO-P%Xcd|{*hiOwYJ#A_>Zt5EOvLuet-fZ&iEi@MGEum0*D`nB%YVz%^H5ToarBHjD z$fCU( zi@Uj(`*?r{d6-9djK>+slMH4ELwTBKc#ao%k>QNs6<*~vM)5jt@)lzm#{}Ny9o}U! z@9{n#GL`Af;3GcaQ)Xow|G8;~#`{0!?$R`OmuGYL*ObKjKaz?msgfnNQWEd~NQzR@ zEK9aZ$+lUtOG5CDxJZ z)SwodQirM^5Gxis{CwoK6pVW!K61X@>?OzmXZC_cm)`fg)hZ~F5j-pU&U1iZ)cXF+5@GY7?o_{fy zav4`}C0BC|{kV?nxq%z$&&}M*ZQQ|K4B#H_<$fOEAs!)Ck;i$0K|IM*3}G105Ua@Z zyueEg=Ve}HB%>J38@$OF#xkC_naCvGWeV@{0aKaA3}*5%pYR#8_=2zanmNp6KHu;y zi&)He#47S5OZkcA{LC+`Voi3HtV=UK-UTw_tEFq9W_B$!NJ+d4Bx#wFHd)d>CGjqh zq+?3<@-pF?{vY`l=*?Xrx0J*&db^!`Z}%CC-X5e-Z-Zsg+feeoy=W|Y8$qGo-jYRc zD|I2xP1u9XQjj2jCs#B9q zsZCw#(SXfp$QCrF2~F9O=Cq_0ZP=PvN7~Yk_H56N>_i84CDxJM*@KRBVlVb#U-qX9 z2XYXHa43g!Bu8-!$8tO;a1y7`m2PzBG)|`{y*QJzIfwH&pWa-^MO?zATu!VbS8+9c z>Bn`Ha054G*U6n}&flHQ`Nz_nADCSYPp9NL7l0)p_DQd$ebVb$Iw7TTbKzQCq-D^s#MOUkCCe3opIk{V9zx>~qGHZO@|^wx-cZ!L^PZ>=fR+YYkm zZD;bm?P)A}>rA2E4wgl4hmr5?IAhVnNeP^hfRIh4aVf+IPa zV>pfzh&AM7PNA4?oXY9+pciLw7H4xV=WziS(ua$=gv+>`E4i9$=*P8OPYE}26E|}! zw{Zt|GJw0em-~5uhln-gQ6A$71`=z?Qw(8Pc8$E0X6Vb=+#QqV?)Yr(PD#lJS@Ky* zzQ~gKDfu=_eoD#DS@L^I{>qZdDXE$zwNp|rOPZ#nd6sOGl6Fp9BmOeVKLU(nbhZci z&h|AHopqs5XGh7Rvt!A3*40>a)}2C~og<6R&L`j5rN*MOD=5_24YKI0Kl#q?G8UcP zL!r(dmqllT$aS{CJ^w47Kax?5<_+Ft3}YG3+e~B^Bu8<{K!&%VmUwa3#(Yeul&v*{KZ5D=5_2pR(v|9r?~G8H>&~rch^fWzks! z@|`s?7M*QLq0ZXMqOi@Ujp`?#M6 zd5A}NjK>+sAO`akLm9?1Jje6A$V-giWnSeqM)5kahP=fX#xb6^d51|%&aRQ^X@mxXrBG+L$)dA6$#?dE zvFPk!3UxL_7M(p!zO&)RqO(^h)Y({BbT)xpXB*t>f9(06GKr8QWCclk{eTUbCwK9N!(6Io=C})S@LX3UdWQsDS0zX zCZ^=wESZ^-PqO6el+1PF8rjO#@qI}gqqC*tJ6mNeI{TGEot2YCXBEkJR^3>1R*OQN zZ6S-!ipY1?%2;%^6@@z6Nfw>$LcX(3#-g*mDb(4avgqsxa-D5(uYa27_n;SNa298C zF6VIp7t)7|xrEEOoGZDCYv{+dTu%u%auYXmE3t;$!JQ1?Ztmqi9^fHj4SAHuc!GgE z$x{qr7*F#o&+!5;GMtxrg^|3*XkO<{#xR!gOkg7K@Gg^ij}Q2eX-sD(AMpvFF^eyV zHRNk%GnaXM!?!GCadwUT6f@M<=*F~MS+do5|7lUihvEL~YjkUuenzfN>BG9&!}vU1 zz0%S8r4JjFKHRMI;pU|e8)gsVci5tIv{C89#-$I7N*^{Ueb}`0VYBR^uhI1=`x;$8 zGw*-qYjpia>;JsH8E%&1C#3c&U#3h)|Lt7#yyMKG7aY46z38~2=q1N%i-wmeQ&gr_ z(FiAlie7eXU-XLOgrZj+k0=`HIKQaqHUH^dG|I7T(P+m3MXx(HEqcRoMA4f*n)DW9 z7|S@uGl936$U98pT_!Vy_jsQV_>iegV>&aK$wz$5Cw$6h%;IzYU+|GAuU`NBSh#;a z6nVW_P{Iw|$W8R;W^UnDZsY&K9}M|#e0VSSHM+4%ueNxvzd`uR`C7_QmU5IQHVBoe zLRDf@SDpL@p`Nk&Y({MA*54pBbsn3#=ESD175NQ9J7ck_+n(6et-nF|m-E=v?Llnn zI?4VWG8S8e zHz>44m?VoW!W8mbgc-(Si|{dpwg_`%u|=3qZi}$Nx0Y6V{wmfGGxB%-VEq}nk@J|5 z6{tvM@-wogv0Buo4(rdzhR$O~Hl~QCG-Lf4*~WQnS+`+Z)}N6(I*%FIfnE5!8M&A7 zn34OkAM4M^L!8HqJe)!^@+8^GbfuW}XJk+3y*QKDvi@J}-3PE$RhuqqFo0PxVFD2) zn20K%l0C>mmu6kClIo^fU#XH7WW3Jwt^>;IJi1DEe&yHkgr<;cO^vW&BW$AWeOm;hQ zZA#+PE6Jpk+>s^srX)VSl02D`*;(>JN?y*AcT)0xmMlogms#>dN`A_cKT`6S3%4!1 zSRw0_#bfLu>l3@k%AIZIIy&2e*hT)Xv+a#XXSIo4WaZB4xsJ{n61&LXb+)(h*hN|r zyU5C&wRatz?N96?f7e-Oc&jfDcb|x{IJGhJ3L#7dX$i3Xh13XCV zA&(Gy$m2Z0lgwf^&k%da9Om)@FY+?4@EWfZd&pb7%{#oy`+P|3A)oLm3;3Kb`HHXk zmhV{1_x!+*{KRr%5BZhYL;fK4kiV$FYOF!*A(gW=vSFH`@#&TMUMs$>5X>%aU_aQkEr`rsQ%L*2u0_$Mt3L z7@gfjzO#wOqO&O!b#}ijI(vwGXETjOXHQYo*-NtM>{are%{LaEy+=`JU&x}fh2%S1 zVk|maN>OJkWYJkga-FU6qoqx}elx1F1zWK-)u>JlYO+1Gs7+mVWM_7v9t~(nV|HT? zn$V2Bh&`kQEosd@>`PnPvmddC97yaT9XW(UIh@XP2&r{uFN`6eZcvgDVP{GKJNr=(JrR7uIES+Y$^ zwsm2R)U!HvDvQVHY*+G~?QSeOYf4dPZDi3|JMx_!Y%DtKL{VqQ$fC0n`Of+oi_T7< zsI#H6=+D_HK@rB)S^zd zM(U>-+9;d5&C}d%mCfA_DLEiZx}@aDEa{n&W3yyHN>0g=(^GO*mRy*UOS0sulw6Y~ z6H;<}mfW3^dt6u}^{tLa%i=LQdxCst&l-!)=2Fzz8?xx^ZStLcWGp)Sl%meQlSOCW zlke_%AV{+ zb6U`feP}~l+R=giIDi8=m_z8qVH{2ux^g5(6MIN^O7x@`$I^#>98c^aCvh?Z7|1CM zVJO2nmD4$cvlzih&SezmaXuGv5tncoqZz{$T*cK~!#J+v25#hLZeaqqayyfl%pJrY zayL`Chw0qM13bvX%&<=W=Y4%{11n_zvUrT%4kF)MXJgUZ5ft^-TNb_bCEr_rW6|3n zih4U!7QLNKzPAgEMQ;~V)Z18D^mZ-z-o_h?-fp9)w`sEI?OyV|J!0%p9w+vZCz-`; zp5|HRFqap2k(YUe*La;bc#F4rhj)3O5BP{r_>={F&X;_}*L+LtBa8W-ANY}0m? zb5atw6q3tRa%GlWpOTxhWJ*fz>XH4NvGsU=kE;K=Rfs?NRLY;p{^U#PPrmBHJ4!=q zHMdk2%`GS2+zMmSTt$kSTTd3vZAh-UN>$VUbC9#KWLp8Rg1~u7%TGXK~JFzpn zQjZ2SqA|O(2Tf_lUNol#t!Paf_N5)|*^dJ_kb~(+Cl2Lsy3my)i9O^Px>2GBy*QRW z^vl-BfHXtn*1~*0E6v@J+1$M_C2?yZxh5soWyyq;#I1$oo*w_Tzr@(s`uMFZ9;3BC z$+xzqv1n~Aidx%57OibgzP0McqP6WPYHb%;v{s*dYfX$rYkN}ET3cDP)`5I$hZu|2 z4x^~G?y_jDC%M*EdGa6Z^+OrXshrLkoW%%6axSAdkMp^Zi@1bK8O<23;3}@>8pd%Q zHxRqX&D_ESZsm3+GMPJwUF2@2au3tFj|X^=hnc~nJjN5uWEQh|nrC^AxjfH{yv!@S z#ysBOP2Ofc@A5t$@DU&LDWCBiPit7S8O{j?S~ z%GSabDcL$pYNuq!ENPgM-Lj-*O7?NKvZnt_eu;56E9By`c#PgIBj4LtW6|5S6!mtS zEP9(nzPD+{qPKe~>g{n^^!6nA-sTvK-d>=nx3^@`+dJfY`@~rEwt%AE7R#cyAISB# z%9H=#Wm_s;}!{|&Gj^Id+rW@VqK~H*fEPd(6@tnv> z^k)ErIE5h$V>qV~`^cG`#o3JHT*^3)3$k@`d7ATabK#xgrZnfrXRBdKO59vT@=!`1 z$&#m161NqSS5xwOmb{me53^)pO1{mKr72mSB^6V$MwYCXk_}xraqVt(Y*iMI(OEU} zoz*fHozR;^p z*T2kHyZmXL@~3sPr}0C2JC={`RQ`15@~69$Ki#$bX}#=e{1@t%k2WZO+OYg-qw=SX z)6?`dx{cG%^Z6Rx@^8WY>;L!v{J+0H_woPr^L+n&fA0V3ACqz-DLIjp+>4gHyOo?u zO5Wj0-r-8_NlQ*AC8v{;J*wn{QgT8mxz8y%rIegf{`o=4Kl~m1$qN3W0u}k^2POYE z{Oghb#t-$S@6X-5W_*8cY}w;&;J0!c6Pd&m?%*!&W*XDEm-~5uhj^Grc#Jsk&E!d* zVm8n4EOU6CIPks1%e=~Kyw01v#eCl3J>KU-KH?KTV*y|AB@6kQZ&}1*mhb~h`HAKH z%&+{;AFSXnDzX}DP>IT{O&s{vV|_McBQ|DJHlr$AuoYVqhlg#cK~3VoSBu)Le0bQ^ zbv+u;kjCsr93Gm|jJ=2hUkh5Y^5LPK>-OwN9Qal~Jalp$2fo9J17BB;VCBO@$#oBU z5eL3L^d$}tClCj|lZgZ0Kn5|GAq?YG;=p$Xao`)l*~H;t6mj4?9|yj_Jv>}t{7SAS zcX(L&w;AGewufnXXIVVP1e{8K0zPOgCg2Q;Cg9Vun1IibpMb9ziwQW7q6zqcEGFQ` z#XZz@6Bc zl_y{$*D(Qir)UDUlEnmU!@jIM0S|B;6YyX<(uqS^c>*5kIws&TbYtZSc&zJ~fc+?% zfTze}0uCiV0naiP6L2I&6Yvt*rHtlsR-S;@xE{xKT+fZ%#4U{HR&Hk^le0URdwNv+ z*9R4GKk`Jnx0sdPTg*-O7B6OZ9dD=P-7NVuC7)->_bK@?OMXkqpINe2O4iMiO;WOX zmTZ@j9kOJXl+<@&l{B$F_AHCX*fyFI+s4YRwRIh>bs)BlziaI<7e&cukWCayijo3xjq!MefHtVuJ zv5Ra(6*gg0Hm53EvNf@bRA*bZqb560o7hEmBzBQqh+U*U4QRw}#4ge#TO}>i4E@)~ zS^i0)gVH}qbVxRTk4Wj!+05>nX7=$}GAJcOvgGWPoSP*Vr{uCMxi%#?WXWwQnUp2> zrsRPvc|0Xgy0A`~S|Kl##bfmLGWp)#G8VnPLs4%FWYOD~?3Cq`^edhHoF&;XB^zhSRw=2L zC3RD>bCxtt$sRsljsM7yvgmCJ`QD}*i{9?1sJEH2=peEZ>i`vv>M|Ngc>d}CPG-fyUpb5>`i@j+< zOIouJ`_hi~>__Y)2XYV{IfO$woX&L3)=4SN&|cZhJ~_?of!W+WH6>?c$@wX{C`+zL z$<o}HA`ls5Y*)n6% z*)J4zwz@1jt3mw!qNuaoWzktv@}0FZ7M-=BsI!A* z(OD;Qo&BftW9%U%deDpB^r0WeaRMiDGW{9IDGX*P!#I`GID<18!AQ z#2zx5%ejIpxtg(z<2qswxsjW=h4I|V?M!4cQ@E46n94M!b07EfAP+HvM|g}Un8_@j z;%T1YIp**@FY*$v@GA3ooi}-#`MgW)As_G|AM**H@i|}cRklXHPct-bE4(lKndWYV zZ0@d=lDMsqY@U)Wvt+xJ#BGJ7eo7i;$(|``o+TYpazK_GmXa=6(laH;X30q@8Q{Vi z*~{uUy(}K1v$M!|cAl~5>_UnUJn~gHWr;dN>OLe z%A&Km zr5zpEj{`V}gE@pw9LC{vp({snG{?}L5yJ0_dE*QO+HDI}9paz~cjo07PtkUW`^*;(>JN?y*AcT)0xmMlogms#>d zN`A_cKT`6S3u|O=t7DzAc#O{0C*Rp-#-g(=DC%r`S#(yLd}sBHMQ05u>TGXWbk>r5 zXYGweXZussS!Y>vb_Ds(dKin&dQ;R{e_3=kh+Jo@JnxV4`t!Je3%Qs}xQx+^;YzMz zEZ1-?*Kq?kax>$Zz-`>lBqnnQcM*HYH16SE?&AR-eQ*yZrYoxi=aeY}lMrSvX?`)#6=xho_o!u{s&K@G)*-T^6 z*;5pC_L3|*dzE}=^NmGk?@`p*7qaMVA^Fah7>mx9Qqu=)qn^Bc5 z*ov*GMs;dXlkKTRZR)ZkJF^S*Xh1_6vm1NRgl6nT>>({^No)3DU)s{1{fIr}Kn|iK zhj1u|)0wUu!BHGdH@ee~>fIHz$2XEK7bIfrv8<9sgQ zB4Q7@l*_oBF_PS57pZT)@405 zU_+{~F`KeERoRl*L$;wB+fsv?>_9E*WNV~;nxSz!VZJv{bGKDCcRQpcZYLyNQgURL z^h`98c^cCvh?Z7|1CMVJO2nmD4$cvlzih&SezmaXuGv5tnco zqZz{$T*cK~!#J+v25#hLZeaqqayyfl%pJr&ayL`Chw0qM13bvX%rG(k$NgsGYOPlO zF5Sl2cj@ky{ajr94BhVKKO(nB`O_xlPn(uMZC3tt&+?~xWl!Tjws-kx^YW)H%Ad9@ zf7+`2Y3uB1{1^5qA8k|qbl-UT-|=0#Gym7m?)~#!x^{+tzDxI1e+Q>=I%jYuXEB1a z8Ob@E%l`%cY@8kJpPz?Zraj#4?uiGr#aFzwtW-KM(nD{N!HxF5Q|n zv+vTiRr@a8cs$74N&fA%v$1%4J%XaQ*WR*td+kg9?X|zLczYd0(c9~pvUqzvoBZ4B z1;*m-^={F&X;_}*L+JH_ZIU#Kky?zv5cSjl{oJG z!Jqs^1y*AX)}%6Pu@399J{zzR8?yeOI6wr2-wQ->YdnO&$yeHzk; z-PnUBG$W3Cd()hjv|=Cjr7i90!2XUjtN-_Wmu?)d;`rnj(MQE^jilR#r_wFJGubV` zOX(KiRTplPTU#aXmBnN9_aXWIzAzU3Eu^TwrLyR6Ir;up7>oWYQqfGB9oZH9o)rKrZJs+xt|Akh=+NE$9SBXJjqkc<{6%24$t!fFYz+3@*1!6 zCT}s{+}$uf3G#1WyH`wecTt+VOR~B9OG@ICAUDI6QnGfIR7pvE5+vC+B{j2Tr}iTRdqozV%_G;@DnF)K;Pqed z6$|->Z&}1*mhdA>S;lgH;a7g=4^~itimc8WRH8C#vo5iRY`}(8VPiIBGpe#Bv4?C! zHMXS&HQ9k$)S)gru`|0;j|McNF}t$|O=-qnG^YivXiXdTr5)|rj{`W6gXu^o4&`vV z(3K;JJ>(d=QKAREIF>&2%ht$%G(+Q)AoKmKGbSTp9;36% z$agl@SafzRMV;Lyi_RvI?`)c}=@8Vz_73^ZJ~0-Z zEug5g#j@z^2XdXQ^4!0=*RM%s)?yvjWqmeaBQ|CeHe++PU`w`U8>&--?bx0js7)Pq zWM^UzsYiVp(um#IohCFR_K>}4PD@&`5Bt)V_H4&WdT<`53$FgnwPBRGY z(39RAOJDkNJSTDz{TaX@PGJbc7|vDc#rq_kdOF;&se}0 ze91z-=35rAm?iwcQhs7NKl3ZU^9L*Vi;Aqq8dRb(YZH6OdaTceY{bTF%4Sr}*2uPL zhQ{rL`MztKyA86r+cYI{J0WS8lKrxzQ%d4?LQ+aeuPiwsB`0UesVO-lOGc&S{4BX5 zC0A$3jVZasg*DR7>bSEk9;36V^=e@~pqT*Vm#Bb=irX*_C=Upb?GP zojqtuGxlO{TF{Etv|(S`(VqP{fY?J0rX!s=l*8#vSB@n1kYngZi5~RgSo+Y9<2Zp6 zIhp{%9%(OPrzt+h24t#zQNwZml5S{L%IbvG8R^`xk^lVs7_ z0P?L3Hx{j(PEl*;$)dFj$+tGfShRK(MXlW|i`FKPYi*UM{rkQCK^|rXkMbB#Fq2u# z=4qbgIp*>_FY*$v@EY@YgEx7b`Mk^f#4hp?AM+`n@i|}c6<-s($agH_dzSDcKe3FT z`Gw#3oj+MY1y*Bq)}#_^u{P_nJ{zzRRoH}0*_^6u$<}N`b+%g^9%^!69|-YOf5-qxY0x6NeH+ZN<|t6?m9+n%D{>dB(FhU9u%<%wU7 z|JI5B7=OMyJ?Keqj-@aCIGz)UeWX7F7{n?0Qt`^d#y z!exwR3|A8S$XKr7TCU>;ZsKOfGlAQjQ4({S^rg0DVavu-y5D)VRv5!2?6FkW* zX7dcOkIc!|$*XCd#7%|M(}(FK^htIST9}f!sgNvB$*);bF(q+RA=xk`8)wN@DXEqv zbyKo)mNZVu9@b+l&{h5t;C@!fQDyOXwEsK1k?*aKvFPnMih3I?i{6Hj?`?#!=yBgeB`zh*erYw4Uid=82JoUff^|6o4C-#x| zc%KjXh)?*81$@DmEaYpxQU`;BsHnESa$NFr@ zMr_Qc#6D7$*hjWvYpPM58q{QaYEhfI?8wgSN!g(CZ`@dz^Czd7JusWur=}!sEF|Zrl7M)e0sIzTk(b=}-JF8*2-9P)`p_a4wgk{oyc{z z%2R)DukS-Yj^hMQ=E^H|fT-Tw1pOEdQ%k1wRV+AK#?g zw)`jL+Lb?TpFNGArt45Xx?lOz{mY*oQ2zA5@}~!7PvgIEaQSG*@~4NCKkZch^w9FB zhm}7)JbUV!bUjP|@?QMAd;eR$N%!?S|9q2f$=yiFY$|z2Dw$CwGpgiWsbp4_yfc-& zGnL$#l-}uqJ^i8@AYgVYRqm6l4+NGD< zyjMOT{ONiH6{yJStU(+Q)?#heB@T5PkUt=7ZmcR>5{J5#4+z`2jzirJ#G$SZ`2#|I zV{xc!L>%f?J|OJrIu3Qsi9=m0TGNJoX-5a*PltT=^o(k^>k?*5zZoiL^#h_91$+0=!kHYERG1*kUt{aY%Gol z6DT?&+%1bE!ad}V2oD>JBf_H;9TA?D#SvjH`6I$>#^Q+Z21Q4Nk7RK~_>|lcVU-^- zE%o|k{7lTq-}s%CXXI+GV@9q?CDtN8BR4Wug-zI$m1pGEu46`4XIr+TCM(a#9bLzf zbr*JJzI*EXv*Ks$bF2*jBHCgR-TauxsDlm2t_mUXxTAzr^L!LvajoY98Vls z|87POF+P;x*^%t*bklHdb~|xdx}6x4-A-Jak{hyQQcCW~l6zC~K$bk2lG$1ELP}oF zl6O+_ewHjq$(LF3LrQ+il0Q=NmkYNo2U;QPl*ME0BI^^o$jY5<<~lmtg4jj=uCwip zM`yK(U1a6X>bZ{28WOw6-*vXP@z_OL61&LCowauzo$XKTB7fIeXXCMp9Kn&S+*uFT z(OGX|7x}x+`Wug3WDuvYQfI6DcxjZ^#vXD37jiL|a2cZ+!>-a3d&uKF!IR8lHqQ`y$Q>;1>-u2HL_uvq45cp+w^K_?$*fWZrzl`Cs>j_Qqn9-TBl^+Ea{k%L$lB-hz0KU&(v>o=n+Td);d zQ;q7>peEZ>i`vv>M|Nfx>d}CPG-fyUpb5>`i`YY2(2~~d!@jhoJ^K-R$brNj(vd?r zl*8#vSB~H)j;0&k=|L}g(}%ts$MKxV$@FI+gBZ*ZhB2JeID<18!P%U{xs-7}7jO}= zhg`~KT+SG-3NZgk1R2GlX*{%IvYh%XQO4&*%jnETjfVf zw|V_UCNqUQxr?bxV>NEqsEV(cxmt@IRDY+&~CZy!{EV(-+_xOLZs4#x#yrUKJXjwc)Z%>f# z?O9{d+gyrzdqWnzy-mKikBmicpHkG@ce3d1d-A>gY%F^FjiTPxltpiAk?(CIW6|3t z6!lhJ7QJmpuDAbmc8q7bDy9f~&ZiYZ%9M+`x_8%q>jdR&Hk!levS~NA6}S_b{FNcz_3am>DMK|G3{dU*`}j zWdE{wjNT3+-&<#6(c2Le_10S!z4ayETYqEG+aQX1J5v_DolU;C3yei?7gN;RSXuOT zE&1NY8;jm`@*k_K_!<#cZDDS>`a87kH7Ed4<<_oi})kw|R$m zd7lsXh)?*G1$@qze8ty%OY9?y`JNy6k)K$`&-_a4BY*HGe^G(eSc5gG%v!9&x~$Iz zY{bTF!e(sF7HrAZRHHgI*pBVlf!fqzM|Nfx>QSGDG-5aQpb5>0ePnN%(~?%~!@jho zefECRG41AYTjBiFE$#C?vVH!zl*Da?WLQd0%aU_a61NqS%Tsb?mRz5bo3dm|O78MT zVZHu;_$9_pR>*s0@ff{*NWQl(j74t?De7&hEP7i`zPA;|qPL0^^|qcYdfSkEZ&i&& zZ(C8+TP<1iR+oHl4U9!^jVbD_g)Dk&O|G|9p8F5;`p$Ia2#(@ty3w5;^rAO?=*w{& z&xxEwe+Dv$!3<#-!#RyJIFk{a%{iP)8Ru~U7ZLl&rCi44jNwYIW-Q~lmg~8Jo4A?r z+{$fCWD--jgS(i@G^TSe_wxV`@i33@7>_fPCwYq5Ji~L$;dx>od5M>KmDhNkH+hTs z**f_+&Fr|fFy|MgnY|>N^S`7dZY?C0QnGfIR7pwPT1d7{NzE+TDJ8pRNz;_OK{WYO9ANcA#X`Q}TNbgH zCH%-zma&{)_?6%JgB4VuBCE3om8i_xtV`@68?Yf&*qBY(jH+x&>>=AwjcutxO?IFb zb*Rfu?98szqXCU*%!?Lphu-bmd554>^Wz zl;}Y(j-?O%vNbXw&Cs~DFyGHgb9ZDmcP~sy+*(MkNy&9tG9e{#YazKOCHG~?qbYeJ zOXjBJ#VmOvC2wa*@h>qRW_A2l7LU={pX57R(^z!27Db(HB8$#8C*N6hW6{}m6m_a49SI_p5bvqOwUXNOVLS$A1<){|Ukt32}$_WGd==TuJT49;Q% zBRQ8*oX7cG$VFVjrHp0_S8x?qa}DFTjvI(QnK(P}JLES@iY; zx!zWJ=3m|G*Q7FQu@399J{zzR8?y4 z6FG_g3}6taFoa_A7;tIlzf{dOH;BuONxJ)v9lGjRarbnZ`H{6 zR?ArQR+pmQ8q1=$J;?Xg!dUdynxftgltphH$@kXPSoC%jMZNWrMQ_KE?`@#5=xs1X zy^WAXZ|9KfZIx&KtGs?J?7D-Gxj15eJyCo%7=$` zuG_O8ap+t5@X*P19QqC?4t-rYf|U;sCD%RZMI8G2(3dzooIo7s1z_V93p@hiES+~FbJINoIZ76(RmFAv+@MI#`QR^<9cr7CQQKp*br~`d?J&xyO?{@oke`0yXTmjlK4PN z@^(tz&5}=3@_CkgpOPQ5+U)_>q%@Hf7jVb#$(eMKx`T-cQ)L0 zY#OH%o5tUDcAoLrG%n;KR_<(!>*(w%V$=A$&TcjyolW3YR_bh(pElj^wXughOza_# z@)%DrlUd9r_K;_ZJ!CG=^CB-1d&q0d;|<>AZRYbX?-P5-M|{kue8%T|LF^%46MM*a zEaH2X@FPDFd&tkk9`YN%^Cv5)z-q)EvL=;Si?vyo^@%-XBdV|oo3c4o*^;e^J)}C@ zvK=+qf!f3#vLmsF>_Y4z^=UvOb|dzXCfOQknP%v}KG6Cf@k{haSRpTz#bfmLGWp)# zG8VnPLs4%FWYOD~?3Cq`^edhmx1qo}jNvgm9W`OZcdi_XrWsIyCD(b?tXI~!*#I=h~t&L+yD zvnk{|n{F&RyPu-YX3Cr5_1Tb(*qBY(jH+zGR%}f*s#AlSY)>s} zQl7M)e0sIzTk(b=}-JF8*2-9P)`p_a4wgk{oyc|epU#f4hm`0+FM895ejLXMoXE-aXCS9A zn4t{gR8Hdz&SV55Ifqe{aXuGt5tk5q$Y?I-3a;d8#xjoUh&|*+ZsHckb1Szqk;zQq zPVQnV)0obE+|PqN#0(zcF`i&1vv`W9d4}hh!}GkzOT5CX%;R<5oW(72`WZt-WDyA`szyH-l#mO`?5O18|B?NSoA6q5QWX_O^<_Nex+ zn~BIer0jq!J1qUFF4>>znUZ6(#(g&sel}Aw{iSC5zUs zA>Z1~#-gfLs*^Zj*KrQO9 zBe9F@!miY(0gc#=-DyHo_GB-b(}Gs)LmS%Cjt=a{0UX4^96~1!<8Zprl_NQtW9Uwa zp7i2a`qGc%iCyF*PG$fDIfWq%Wq7tq&Q3G*+-&Y%mger5Z0=s0k{hyQQcCW~l6zC~ zK$bk2lG$1ELP}oFl6O+_ewHjq$(LF3LrQ+il0Q=NmkVp;7^`EQvUrTn)+gWDX2znk zEhy@2ds%c=n|x>Wj74V+De7!*S#;Ktd}r;AMQ8g{)LCa)ban*!&UzS&&U#bSS$|n{ zHi%qjt32(G^7`|*fD5^pOSp{DjNwYIVl3BiE!S}aH*z!MnZRw_&Lk#t2X_&B$TaTZ zUhd-o9^_#jA@-2Rd4eaI#cZD8S>`a87kH7Ed4<<_oi})kw|R$md7lsYh)?*G1$@qz ze8ty%%Xcj1dw$?YequR4^DD83{K236MFm!44c4S`wnjEgGc;}}?EKZz+^vz#-MT4> z8w$xDDQT7^ty2;=6q1f9IW$X-N=dgYIW8q9X35}`49k*pQc{*Bm!{-$7uHBOtK<5z zc#O_&BH!6WW6{|ZiaNVr7M(przO$LeqO+$c>g*+1boMIw&gL77&fcS_voB=P*+TN2 zEio3IEv2Zl6|(58BDv01dD`E^>o=n+Td);dQ;q7>peEZ>i`vv>M|Nfx>d}CPG-fyU zpb5>`i`YY2(2~~d!@jhoJ^K-R$blS0M-Jgo4yQ9+IfA1&nr?Kb2fgS`ANq0}$8#bl z)1QG1VlYD(#&AyK49;W(XLAncQpWjQz(vF!aw(T_Ib*nzs~O9nKKW@y|{nD0~5 z+?}4y-5Du~8w$yDDS19i=A|TVC?p@JBEo+YcNq*9htNy(;JvQ0|1 zbzzNkw>owzi^u3}SMr_hZY(-$N>OKRWYJkW@|_)QEIR8%QD?`{RldjWiaWjiRWt(X#053UZyT^0a@O*H2_JQ@E46n94M!b07EfAP+HvM|h0K znaM1k;%T1YIp**@FA{smE4<1)Ugu5TVm|K@d&mcT$j5xbXMD~Ve8ob(;ae85m?iwk zQkJotU-*^Z`I8k?pdzcY29>DH+N{fZY`}(8VPiIBbE>i>v4?C!HMXS&HQ9k$)XCOJ z{WL@4hQfSrp5|_=Z0>eQN!(6Ix}@aDEa{n&xSfy;NXaQ#a(YV6%90CHa!Hn4m6B_+ zWI{@A&yu@Sa*qpZq-1qGS{9Gd*%RbDd)8QVHkYE#-jGFSZui;0{l;FuJ56ZHp6o?)TF{Dp zXhU1t(SiLqfCD+0L+Hd|98MRyawJC+dq{Um^rRQZ(uaN=PwXKlaWVrK$SDkAD8o6G z(>a5)7{N%+WfbReJ{NKkmv9-Q8N(G^#noKHIIiOcZscZeVFI^uJCm5q9mF1TH&eNX z>D25+0*!s^)DYCQ2uma`O`t=Pfsa-Iyie8|AistqeIJ|4vVM%e1EPT zx@3ngIpvkC_>x_^WYw3f`jXRL$!=Y;TbG>pN_Om$Q(wsrP;$3la*8N9`Tbw>6Hs=L zl9OY}E>d!OEZIp)6^@}B-6_$7p7f$O$I^$s^y4^==LAmVBu=J30~p94PGK-Z7|Jk) z^UwF^mYj%6?u1L;VM|U$CGWB&@3JL#S0$&TlG9Pi9ahN+spN!Ia%Wufj$3j{DtXr} zdDktuLoPWjm7JDJcEpksQ^|>`K%sRLR|Q$-8pNN$P*ZKNtCL{IuSG`u<#7weQc3$Ai3`y^s&g?=x>eG-$?8Y86p&4=1+neUJq!s(HFKua02ljWQS^dA~`*Y*_ zNAK@Z?O&hh#6jq(bf|eIJJh_C4mGc)L(Mupt&#W2;xXF$kbHYz7>o86Qq3t6<+ntXfv8Pne1 zemHZO@y>MR2#(@ty3w5;^rAO?=*w{&&xxEwe+Dv$!3<#-!#RyJh}~oaXLAncQpR~) zz(rilrCi44jNwYIW-Q~lmg~8Jo4A?r+{$fCWD--jgS(i@G^TSe_wxV`@i33@7>_fP zCwYq5Ji~L$;dx%*C0^!LUgLG%sZ?k_2c&wnJ9QnGfI zR7pvE{v+8oB{j2Trcj5 zYAiZCm7>l@$)dCK$#*u|Safy;MV;L!i_UH#-`Qki(b=68b@revI-5bhvsuQXv!^NQ z>=jvbHji9qtNd_gf!BY*S1jZkzGV@MS;CJjWf{x)gIT{&AP-M zvH=@Xg^k&i&8W(j#2&H@)!3FA)MN*0QHQ$h#LnzWJsQx6#_Y}>G^H7P(VP~vqBU*U zmv*#gKMvqP4yGfWIF!TbLRXF?_K;)fMu{Hu;#m67FIyu6(hQByf6Vu@(%c=H&D{%A z5}*G_u1U#tSu!Cd@%fMBo|N2|C6A`$i7c6$k{7e&jg-8dC7-6`^DOx;CEvTSMtWNv zzm>&fboM9t&ek**ovlStXPd~Pv(3qOR^3>1wjD*C?IMfL>XYxRiLvNxPl`HgD~rxL zknijeW6{}R6m`~J7M=AZ*V!sRoEhx(LmAGgoX#1X#Rx`nE~7Y)^SO|VxP(g?%^0rW zDz4@l#&I1t5PQhY+`pR+acSDK;m z`H%U&eww=*Wpj6nl*H#hlG-WRF-sbzBtHL&*qdYeSPw`sHg(vMor!&< z9`$KRBX(nVn$V2cNA{*UEosF*>`PnP(}DdtfP*-gLpYSf=u8)m;7E?98{O$aPkM7K zed)*XoXAP^X8?mZg&_=MIHwW&$eEnQ*^J~|$~cb;vUPHKn)6p?bN;3@=f`KOVMod5M>KmDhNkH+hTsyu*9E&xd@(Cw#^NzTit1@-^SG zh{Y@+_K~Ih#BzS-SAORYR`3@US&cQQL}k_{_L22ipAFfFjoFmVsG6;lZPRLq+Y0Xu zyQX#0AX_I*Qxdlol6EQCFH1V5ByKAtrIhr_k`q#La+aK$k~6YoR7%dzk}Fbjb(Y+i zl3QFjbM>`4?ktPP=xi#}n9jZ2&x1U~3?AVz9%m*`@)S?=49}78>=k3t**uCm`#=_* zeN4WyuZ%@!-%!-qGFf!?3;E6}7>mwUr>L_HWYJj_a-FU6%)hOmm3lOw z5slfM*h8AqjJ?>K7PO)@ZP=G~v}ZpKAoh@h=}0FI<#0OFl_QBg&yn zdh0^Ix9-NGx1JRBc9JZ58$iCd;l`r3(<$ohJX!R1A^G0M7>nMnqNul`v|`_K|y-&VAg^gFMU(9_2BfU?#Je&C@)~bIj#=UgRZS;Wg&*25<5<^Ldx|iGAcF zKIT(C<8%HW_U-~WifwK8c!ImT1$TERxVviycXtmkxVyW%y9IZ5cXxNV|My)7Ch4N{ z-MZ(qy0uT$Z}#+h2H1yZ^_tbqu;&3D;R$3Nd5#x&h1YnC_xONM_>8akh9CF|4+KF_ z1V;#jLTH3Vctk)XL`GCZLkz@3Y{W%8B!CwZAu*C7IZ_}MWF1M1bjW~=$c(JWh8*U- zq=3#)E-hrfm({sj!OYznn$$K)6HS_%q`fAcOww1A{w5iw$w-q-(PX+w=4-OZBx^O< zV3Hl0?B;-Tq#0+&QI|YQXD49q>;hxb*=0C&c8^RtdkA}HFBy~0-oUA|?_|>1FW5T^ z$(VE&22PztCzH-%!QNRy#-y_(aOx~QnRJ#3w$1|G^B3mzMNu3jPzt3{7UfU@l~5T~ zQ4KXv6SYwX_27g0XoyB=f~IJWmS}}GXp8pffKKR)uILU~Lwcb%`ob6e;le-+#t;m{ zaE!z#jKMgJ$3#rR6imf*%)~6r!CcJ80xZH}EX8uHz$&c9TCBqcY{F)2g{&bvuoJuC zhrQU312|;PkyAQD&ziY=L+9>oGj|_r^2{V3HThx^PfdcEB%&r!OcFcZYxW5%SjW^n4PBbjv81@_MRFeaV#gHvZC z$fUE;uy;0@G3jg?oH|=bCY>#Ty|XopNoVWf)Y&dF>1+>dodvqzKga7Y;1Vw5Dz4!M zZsIoX;vOF0As*uip5ZxO;x*pj9p2+3KH&?#LDrC;_ytb{K`;bINQ8#0A>j}n5fKSd z5Dn206R{8naSK9B_`b;Ouznl1J(61?-)DU`#su45!Y5l1XPF zVDBsfW71htXXVJGvx=~F7U+Jz zA+K+Yrf7y1Xo=QngLdeEj_8ao=!Wj-iC*XfU-ZKOxG)HVF%-igYse^!##oHQ1Wd$a zOogl=GcXggF$eRo01L4gORx;fu@bAW2J5gM8?gynuoc^}6T9GtJ=ll+IEX_yf@3(2 zlQ@MlIE(YRh)cKvSwpVl25#Xt?&3Zk;E_2;UdasQ`*USlzH_zk;~&X9_$b_;@6YY( z{)AjN_tWm?seGEQhkLZA`)M!t)86iT*9F=zcoL zJmvdydG=rX{kg|e{PX>}|0_Na#k_va2^7Pv4FgD@CFFciZu93${Q_@9OR zH$J+j-=CW%@$c`?l~wv9i?{y`!Vivr!ULWNieQipLMVhrSjeU>0_+=v7>vb4Y{;h0 z{{|ru$FiwQ3fa`9fPI6Ifice2`6@HwvOKil7)uKsI%yA)C5# zC=b~nREBKos)0@2UpEN#8E=3_ux}7rF(w;?w)o2if$z_4$?s?nQrRN(hJA}LkTKaJ z41v=YVLX{^5hlUDMVQ5yY!T+dX^XIeOtuKCVc#NbW=ysS+u*cCI6x*_gu}3J5l%BE zTZD6P+9KQ}lP$s>*tQ6P-dcLc>p$QVWJZ3)H~62CK{%Ef85|)H3icTpiLuCtifHgZ zBja)`Gcp0ZkO+z4e@3R_ShlQbkq-W6WLA!4M&>|H{B1@SVq9iqQ51v!8CjNNnUNLX zG$U)1)j>U2@IND)aNHElAzRkJ&B#uScScvUCF`pf4RZI2OOTOzIWfjuPE656?p~2B z(qySg)@mYmuSj-lvezU>H929D%bHv>$vsUTn&gcp?@jVulV2Qg+0u$LB#cWQWfchz zSw;MJ7M)}1EEZ%H`CDg67?;kHLsk+0ou%hkI?DuEMgG=VUdCk=DF9hT{C8HIW9h6E zWEJ^aXH^-ORip-L!hdHz97|^nA*;yWI%~tYtRn5v0scA*^w!baQU+`VGH$Je==(9GSGn#kQNlI%XIe&1Y> zkNy_aOzvNimDe9t$^58Vn$$H(Gfi5Wq@yNXOwvyimq|uwGMWRt<8kJ zwS|mHYfIqN+Ili+Z4>OR?P5$?+XJW8j+04ir(kdG5@XWZRXDZwfJ|C@40~&@8I#uD z!Kt;MWYU@^Y^?=)b15pXkB*p#g*b?d_(*_+NQ@*%hU7?zR7iugNRN!jge=I4?8t#! z$OBnL@}mF>q7aIpD2k&bWECj`Sw+gD0xF>js-ik-pcZPQF6zMt4bTvc(FD!V94*lr zZO{(w(Gi`{1zph{J<$t&&=>vCA1(~SU<`$Q8I?D;C&I*%BXT@OetQ=#~Sw%Q?R)w6f@N5al~{!}Scmo4h)vjnt=Nto z*abiA!9MKAK^(#n9D}SOCvggAa2Drr0he$EvW8s84cx+Q+{Jx7z#}}yQ#`{9yu@p~ z#XEe!M|{Q?e8Ug?gaCY`;4y|Yh@NoQZ-)LC#c=`0lNoke0yI*SUY&JvJGXNh3zEWplD z){qRyh|I`>Y{-F}$c;S6hy3tHArwYY6hjG=L}`>kIaEMJR7Mq4Lv_?dZO9r@4;Jd9 z0UDt(nxZ*m4QYkeXp45}fKKR)uIPpy=!xFw17GyV01U(+48c$g$4HFA7>vbuOu!^e z##Btl49vo8%*8w`z#=ThQpg&z0xPi^Yp@O*uo0WhIkH>N1i7H#J>i6&38&3Da#<6( zpdfju$rF>j(L^pNNPcMW%f32%~En#46p5>1kuB$FmtO_EoW0vzx@(vGvEluI6^ zv$C*vR+Ta7tOlGqYe*)YHG#deHjGJU?cvl}FEZ(@FYKKSW=uL82B*#@l1XP%VDD@W zW764tICZv)OgdW&TW5jp_V@An12}}kIErI9fs;6mvp9zfxQNTRf@`>ro4AcTxQF|A zh(~yWXOK1IC0^kT-r_wz;1j+;){yV`fnV@I5ClVTghVKWL0E)G1Vlm&qP2_TdWV9yZOfpTAnI>7H$#Rpd_xZp4>x=CkFwB`w?*20lVYY|~@Ehb~qS{yjFmW)hVO9^{x z85xt-vcRde{AAKvLD*Ugbhlrb*H=Y#)IcrNMqSi{4;r8$8lwrCp*dQj722R3+M^>n zp$odAJ9UssgK?OEiI|Kjn1<AaQ; z3TFHZo!76;y#B0-Tu_jN&?K};B5NWS6eM1nBsNKEP12bprzUw!QdpB>CaI`N6_eD_ z#NvQ=k`A05EnM;_owbI&v(Ai3XWih`*#I)>Y!K|7jbcnX8w;n-W{^o|vtjRSF=Nu% zGB|a%kxV+<0()nEj7ewv;MCbkGU@CLY@G$V+rP)_AK(!l<0+ou1zzGc-r^lT;3Gcc z3%=nye!>Hu2#R0`fshD|u#hz*0wN+Zq97WgBPL=)){uCJ4=*G{VkAW}q(DlfMjE6; zdSpaqWI;A$M^5BI9^^xQc%vW+qX>$jI7*^4%Ag#|qarGy3aX(xYC_hKI;aZ^K4^eO zXpE-j9BHdFw1b(uy>;&Tnz=hfli?3WYd>IbEjVM+S|~WR7L`m|ivfFU2^f>s62Ympv}Dp+2H0E6 z!I-p`8&0hiC6m@lz}{K~#-z2%aB8g{nY30Pw$=jO?|0<&ozWHD&;vcu8-3u5{uqFP z7=$4his2Z6Q5b`<7>@~5x@q7G`5E=3xOAVlkFNR*@B0iPiYmEb+hJcl^_) zy}W)O4&WdT;|Px71Ww{K&fpx*<03BM3a;TgZsHd1;4bdtAs*ogWEFXi7kGu&c#HRt zRpb*`MdZWuzx2cOa#_JULwKFpk<84Fr88SDD@c-SlFB5RG?B{+k^-6(^7+sEON^a3 zONP1RQMwxmdv_BVlkTR#sk`}P(%mB1yIaMWbhj3q;eYLJ2je@j8-DQL-64*pyQ6UG z?gE)~cNzBXZZjs`-GftiFUh34H?Vj2g|V;r4p~cn!2>}M6u}V^p%4aP5gri`2~iLg z(Gdf&5F2q34+)SEiI4J-iQ1@(dhkJgG(;mbK~pqGOSD28v_*S#KqquTS9FK0CB4ua zec_A#aA6<@n|FZGI_Krmf;m4^=lmRVHZ0LZE-gqlX|mNMdo|_Bh{>3O zY5L8%^1C@xe^38>bFO?SPQE#Ju={gzL)=e?x}OenKOOFVI>J1a59p0_kB)Lb9qoQP z#{G1x`{_9IRDOo>?$HVErxV>zC%Kjr+py!B>34cl^Ll{DKEO z@y~}O3-P;97)4MN#ZVk2P!gq38f8!x-awAr@f?mSQ8@^;n4*#2nbR4HgMr1-3WQA-Vav~S< zKsJ2&Q2_oo55+hxj*^fKpa0E6MUG{|R|T@+tBxA*w|NL*Y1=-GHh^sT8lwqh`_KZi zjuP0>7*9Uze+lK*=E#Dxp<@@XQVJze0Fafshga5zFAp5f} zOw462d6X%*680&$kujNqTi`SW_mRmIJP7*~Jjs|$!8350g4fAp3f_W!3O-^?rr=XJ zO~DUjG6g@wJ_S7(lPMS!PE#-fnM}dRuus9*jL8&?2d62Rf=s4hYNUbxDVUjKnS$Bi zGzGoMWC|8W5%`~ir8$--OcF|yuqKJBNeq)X|JC`foFBPe z@+b>OKFGr1zqO(qOKT+{3&-DDtIW8xRt>Un_;0Nq$I@DT$g=Ub)><(x%SKzsvf;nA zt{ls<(F3w<{H?WtjLWhy1ViD!wJ{t^YvUoy#@||-#kjOK7q->{z2mf=*UKuh8M2CO z!*=Y%F8EzTpRc!UI7dt4MH!Kq!PpScHeHB9RaoQ4tL>5EHQx7qW^Z zfEN-WF_I!VWEDvTSw+%9R*?+Ih|I_eSw(V~v!sB|-QPE~{#Sf^Za2=5qb_-r-cG>Y z+Xcp?x65$q?H-x*_7L{oUNR=Vy@6A2-^rx6U$FNUk}>Hm44it4PA0v@g1xtdj7e`v z;M7}sGU+W7Y`q0~x2Z6%FN)$Qfl?@qvM7fNsD#R>ifX8Vny8IBs0Sa^M?*A16EsD0 zw1li9ZO|6&(E**%8C}sGvX1nEtRsEli~ew7AO>RyhG95HVid+;9L8fJCSeMuVmfAG z7Up0s=3@aCVKJ6sIaXj5R%0#JVFNZ{Gqyt3ksa8H-SER+?8gBdGUv%DJx}C@7Vj80 zboIY&R{zJE$PF!$kD7cjiKixVLyIJ$CQ(chN0ay_NvTO1lVs5(J2#l+1m%1z=#yl? zCEm}&%IA>-{1y7{oFTPb@+iI4g}t}Nj7e|J;M7}3GU=@g?7j72OnU1Fr`|@8NpGWJ z?`<+;(%UpR^|p{qdRqc}Z)+Hn-qypZw_Rk?+aB0@3-lu99IwBCOSp`yxP}|JiQBk~ zdw76{c#J1_hUa*R*LZ_>c#n_xgfI98Sx0{27d#OJ!4MoF5gM|NghO~lL?lE(G(<;C z#6ldzMSLVcLL^2KBtvqfL@J~~I;2NNWI`5XMRw#sF62R8JNWT;8TYBIqjvo)D#l4Y8#G|3iC zwwq+1CI?M&Mw9afR5;lF6f5t=!stF17GyR0Jty+gE17tA#2DejK)}u!vsvkWK4yu zAu})&voQzrumB6O7)!7W%drxxumlg=i=-q|e1q_eqj>TCs>bhaAy&Nee9oo$0tX9vinv%|1=cA7Ei>>QjryGbUU z-GQyMK==Ibc>M=_!e@NNH~hd)cpwOZA~-@I6hb2`!XW}8Au^&O8e$+OVk0hO4M_km zBtl{&MKYv7D##j=7U_@y8Ic)TkqtSJ6SR`D2EEDh{~vf zYN(EysEsRQNlFOQ0Gs!(o9-8EhChtx1U6WrNaE|oi>&H%13R%Be%OosIDkVqjH5V)6F7;}IE!<*fQz_{E4YRm zxQW}igL}A-hj@f1c!uYAiC1`ow|I|__=GQzHRL;f;1@g)1i=s-A-JQt)mO zU*~Q@Gj~&JB9{~-*)_>!l7gDZ1-41o$X>wI@<%M&W@8wXQyEA>=I+r*;P1o_JB+}dklML zuNjlh-odG}pJdXRCv2Ssy4R1&>!TwkVj&LVB0drzArd1Ak|8-#A{EjgEz%<+G9e4H zB0F**7xF;Xko+isf+&O{D2n1J30XtRpe)Lx0xF>js-ik-pcZPQF6zMt4bTvc(FD!V z94*lrZO{(w(Gi`{1zph{J<$t&&=>vCA1(~SU<`$%5ACW)*`G?T>B z#ES#oNBVGfq;|=pbe0bG&ayEko#lj6XNAe6vtqD!R*o_0tRkE`t3xK8S+IB3lrib7 z1)MtTOeURmgS|6f#-y_WaO!LnnRGT5w$1|G>(A%)3$YkWunfzw605KV>#!ahu?btS z72B}`yWodC*oXZ%h(kDnV~{oEBu?QB&f+{S;1aGt){yJCfm^tZySR@Bc!bAzif4F% zmw1i0c!v-8h|lN8e$+OVnf!Dc!&=#Bt&8) zMKYu?=ST*fp>jFFe9xzI*W1k95}L^61W7ebYMP|JCUQAJ(pHlWCh4I`Z<7qsWVlJj zYck0sb2V9Dk`SFrc?i81NzE1Y@@PA0vDg1xs$j7e`%;nZ6KGU+W5Y`q1#-_OG9vmpm^ zA~*6NAM(Q+g-{qpQ4A$e5~WcF8B;MGGcXIYF&Fc& z0E@5~OCjsX3arFxtid{Lz(#B~=gDrJrE*EZoIj!S_q3V6mo+AnJbSInd(4AsF6(^sdo9h06 z+%)&o>F%d9+)rn^pU!eWoo$}VPd3LrI@kSlp8M&1_tORLrwh$f`56|uM;E)FE|I7I z9p9n*`;&Vuf_Zop@QCc?$ZNs zc6S!5v&HIcvBR@ip)FQui(Q_@Ds8b!|MMNX|9pq8#Y$y)%)wmD!+b2jLM*~!EWuJN z!*cxdX-JEAYKt9~#k;k|yS2rYn#E4bVy9(swPvx~ve<1|TxDClb6f1V{=-j0{u`g$ z)9=ttkl4c`9e?%Qmvy1EK9=R}#QxS=l`(m1tpTUE)`n#A*4hO2x7Id{$y;lCIK8#@ zB9ph)zOcWw4rWZ=T8F{ut#u-qytPh&{jGHlWAfHIA5L$rtH|W7buDaft%2T_*~jY- z;1CYuD30L-PU1Aq;v6pEA}-?!uHiav;x_K!9`55I9^na|LAH7?@d|J77Vq%^pYR2; z)%%Vg_yrFHK`;bINQ6QdghhBnKqN##R76J%#6oPuMSLVcLL@>GBt>$hKq{m`TBJt? zWI|?SMRw#sF346dFY=)Pyio{6P!z>c0;Sl}1pROQ4&4Fr_eFFYv`4oz`^}c-xNd1q z>6Ru;KhBe@E_syhZouB%1IDDg$8hTI9hr3Z5%%tWGA7-5!l}D(WYS$k*t?6#m~MlQ-bXO4e?n*L7cYnP-QO6imZ( z%)~6r!92{zLM*}(EX8uH#44=8TCB$gY{F)2#dhq#F6_o0?8SZ@#33BPQ5?q!oWg0G z#d%!7CA00nr889S0I`LCp>y}OnY*7gkvl*nAv6hXlE|9K9Uu}fO%j_VwI=CIl2emB zCMm2*F_To(q>4%EXku}|Eu{XO9W7k)D4n&2y|d1YNoU>Q)Y$+s>1+_}osD8lIvWe8 z&SsEFXR~4NY%ycf*)lkFwvkLa+X8!MevCnZl1>L+YR|Ecl=S8lf?onscPB&QQ4n#C-3qbJy3*-65LD9UziPnoKpxTutN-5Xovy z)|q6RCOb`XSd(KWIj6}*libndzDb^I@`?k_kpY|?UtRJjo&A8lv*3(LXQANKSyVFV zEC%eIC16ZCO9ZFR(vnGM8DQ@$2V>G%Za8&TluSA+0efc^7?aK_!>O}+WYSrE*g6aJ z)=WoU-x*!e4L#5kz0n80=#K#yh(Q>Fp%{)47=T*abiA#XcOsK^(>r9K#8m#A%$tIh@BuT*4Jx z!*$%mE!@Ff+{Z&a!V}0E@*FSl3a{}N@9_bj%sKK)XQY>G3hKNoI1-wCY@!6y|es`NoNJ&)L9ua>8w2LomFQ{I;#bz&Ki?R zXU$;mtQ}+0Sw}c^)`v_w>jztBf$sIk^ZJRHj47Cg>6nRGn1gwkkA+x-C0L5(Sb1+#}I@?DkogIX|vy+TTXJ_El*>y7M>=x{u zJz`8cdkUw{K9EUgpJDIJgE8qWD4aTrKqj3cWB#8lVvxqbZu9 z1zMst+Mpdepd&h?3%a2@dZIV_z!&{604@x|U<}1@jKC<2##oHQ1WdwYOogl=GcXgg zF$eRo01L4gOE^!=H|PG|F3POmsWaHm%;3X1gXN-vJ43 zh>Lhg052p$VkAX!q(CajN|F}okO3Ky8Cj7HIn29B0iB_8QNesKt8=%4nY%SKk&6nF zCYm%iNqbG?qJpHaCjCt^Op}o&nWD*blg!s-kxABSvcV)fG}+Ao=g1Jwj-xJll+I4T z-q{7lq_fL#>g*nwboLPT&R#MmoxOomXWz-BvtO`x7LqaPEDW4Fi%uq;#e%)Fgp5gN zN#N93dNS!O6KtIYy5ld*>x-f|N}v=pqphT#~AQ5b`97>|jVgejPc>6nRG zn1i{Pj|EtS#aN2vSbZ4cLUu*a}%gc3>xV!w-A09|v&AoFk`nhRQ_+^Zka- z-P>mFKGsAoDo8$R^2H>cn#e^3NkmPem?VxS@lBFalQbsDqDgk2|BJu;HqsWOb%DLNK8#6k{ovHw2r}tyH0-@iW=wjU2B+Q@l1Xn%VDD`W zW76AtIQ6!ROnTb`TW^8x`p@zD3%G>KxQc7Ift$FEySRr3c!bK@beV5fY&x>qt0+M?^$I6huRG#6&E_L0rT~0whFYBtbGHM@pnZ z8l*#dWJD%pK~`i(4&*`}yhMHuoCURjxGFy{*CRwJ*N|S8SWV=cBX>!mcXEZr)lIxn> z;(+&(VVoULUGgZMy@0*54~$7?pW)P5P%`N(1niwfU`#rT45!ZGkx6G>uy>Y%G3hKd zoI1-!CY|Mky)$pdq_e_s>Z}}@bXF0z&H~-_H{|t=(G<Mjc zJ<$t&;ER4302c;fFot3{WDOaG(HM(yn1G3xjH!?{WCmtpHs)X+7GNP3V+odFIaXp7 z)?gjhVUpKfwL-Ryq4#XRNvb9tu!%{`A8mpra}-2U}%{x5y- zApAr@JUxT)(K~)`JUz~*_~-j`|M~u0i#@Z&`<=!6oyES{;yuseJ0_+=v7>vb4Y{;h0 z{{|ru$FiwQ3fa`9fPI6Ifice2`6@HwvOKil7)uKsI%yA)C5# zC=b~nREBKos)0@2UpEN#8E=3_ux}7rF(w;?w)o2if$z^9&hKasQrRN(hJA}LkTKaJ z41v=YVLX{^5hlUDMVQ5yY!T+dX^XIeOtuKCVc#NbW=ysS+u*cCI6x*_gu}3J5l%BE zTZD6P+9KQ}lP$s>*tQ6P-d=jg>p$QVWJZ3)H~62CK{%Ef85|)H3icTpiLuCtifHgZ zBja)`Gcp0ZkO+z4e@3R_ShlQbkq-W6WLA!4M&>|H{B1@SVq9iqQ51v!8CjNNnUNLX zG$U)1)j>U2@IND)aNHElAzRkJ&B#uScScvUCF`pf4RQyIOOTOzIWfjuPE656?qHED z(qySg)@mYmut;`mvezU>H929D%bHv>$vsUTn&gcp?@jVulV2Qg*)oDNB#cWQWfchz zSw;MJ7M)}1EEZ%H`CDg67?;kHLsk+0ou%hkI?DuEMgG=VUdCk=DF9hT{C8HIW9h6E zWEJ^aXH^-ORip-L!hdHz97|^nA*;yWI%~tYtRn5v0scA*^!CyKUMp+JU<|=9497@} z!WfK$tRWL2YseH##dOSotRZtS7xS?Ii?A3=u^h67tb(i|Yq1U+uo1F`Y=x{LJFpYG z;fKB04_QMF;V_Qk7*60MPU9?O4Y`1exQr{fh8vJIaQU+`(eL$Je==(9GSGn#dh2lI%YJUEiNO zlJjGlOCF`QnXtFEkTGd(37lG6PbRHxg1xm}j7e*I;MCf2GHLA;?5$m5Oj^4Nr`8^j zNo$W`Z|yZ>(%L&Xwf2)tTJwahHC^??Fdh!!5fPCP1yK0011&8NstW5 zkrJtp25FHV8IcKDkQLdH1G$g~vWnzK0Te_b6hToGM@h&kQU+yF9u-gtRZtbxQ3JJ5 z8+B0+K4^f3XpAOkhURFA)@XxvXpfHQgf8fc?&yhL=!3rKhyHM35C&r?WEB~Kkr<6J z7>5a%h{@(GnXTu7+`r;nSgB{p8grIx(M0ZFksQ?Ih)K?9BKNOIZfSDYBu_PYVUo|9 zd^1TFE^4tOUS#o3YCC6CfsI@mkQ#+Y=L6Hc8KCX>#J!QNRp#-y`~ zaO$iMnRI5s-dR(|q_Y-q>Z~)Fbk+^_&U_h@&IZ7#vr%Ny*;v>*3-spFd|tm0i?IaD zupBF~3Tv#-4=umxMO9XqfKe%OP3*pGuagd;eH<2Z>^ID@k|j|;ejE08thI&R<= zZsRWQ;{hJwF`nWXUf?BO<1OCd13uz2zTg{v;3qubiJ%CE5D1CT2#autfQX2UD2Rp_ zh>6&cH6$M5!wU(K7)g-~Da<*NL1$=Y{*Z%3L;eeg`SibV=xye22~A6znO#k1c1@Gi z*QAk2+G^6lBt10gZIU6H3^&PmO(vOSt|kjivO<&9{990?{EhR`oF&^_@+jTyguS~1 zj7fKg;ndwZGU@Ij?A_gDOuD-Rr|zDUNq4Vc@9q<0(%n}$br+mWx(fw+caa#A?xMn} zy98v?T_V`J3$TNfwIl;FA~UG+-`e$;;m4Ol1yn?3R6#XVM@`g*tR?kep*|X*5gMZ@ znnTu-R%ng3Xon8y1X)YELe`QV=!xFw17GxqtR(|62tzOw!!Z)0Fa~2W9uqJLlQ9+3 zF$1$O8*?!a3$O@_u@thFtiVdF#u}`{2FO~n*_(%BT)JDbCp zbT%JOovk91&ep=#S)jZAeY{@QkVB9)$&mu7kOpay9vP4cnUNLQkpsDq8+nlr1>lWBD1xFWZqAYNIzua& zxm#D~u8*0!%`|Cgk}jHbH%UKDTqYT<$vBft(`2SemT0n^Hwn&F`AFG%pP0Wd7v${P zqxpXGBaiEkJjDTL%2>{kt1fwz=5D~=+ylm>xyNv7?j4yl_YwByeljM_dBUl=aAeY4 zMA(~)$(S@32Tsi;Ba`M*!roj)#-zC{aB40;nKV}rw&nue`B&!kRZ$%^Pz$wD7xmzS z255-JXo6;Fj+SVJHfV?T=!j0}g0AR}o{*KK5Bj1X`oo2R7>uEim1G1)Vl>8J9425Q zCSwYwVLE1F7Up0c=3^liVF{LEIaXp7)?h8xV*@r}Gqz$oc3>BFV-NOXKMvv$jzCtD z<2Zp+IE}M7j|;eD&Xij^L*?Rvx%)!r?rSr5KWicv7bGDx32l2By}{gIN%%^$Jx=sC6CfsYuG#M%$Ri64Njd6Ad}7p!QR;@ z#-y{caO!LZnRGTA_RbbFCY>#VQ)e5=q_Zuscjm{KbhZypot-3;&d$KrS)e=rd%XSu z9^o;b;u&7xC0^q#-r)m2;xoSB8@}TwJm86-2!;>{iO>iOSwkWqA|fLSq9HnBA~s|V ziHG>`LP8`)QY1qPq(o|@K{}*IMr1}7WJ7l3L@wk(KIDft3ZgKIpcsmyBub+U%Aq_e zq7tg08mglvWDTiV*mzX5QbnVhGPUqVGPD%JSJcgCSxk5 zLspSln2ouZhXq)O#aIeiMOI)XR^wl@#Q&b(@lT%i^7?%^fP*-UBRGZ=IEm9ZgL62K zi@1a=@>f zN9k-N?43 z*=5)}yUmz%b`MUSy(E*)-oVybpnLwHygoQWA{4?PEW#rKA|VQ*B06Fq7GfhV;voSN zA`y}xDUu@vQXvgw4M~p-$b`(uifqV%T#z*+FY=)Pyio{6P!z>c0;NzIWl;_lPzjY$ z71dA!HBlRNQ4c<-kA`T3CTNQ0Xo*&6gSKdo4(Nm~=!));HKZ404e1MC^oI)rG1#0V zqjiSLWd-wnrq10tX6`Q05n^WlB=5BFv(+0o|)vG zCLc}WsYx)CgwrG<2b?DpIYZ*Oa8)E^wtda-r6xHy>*0BZ+*z5w|=no7U+(DJg=XK$(Vv^`aQbx zyE#*TPyc+6u6#I7zDIYf`}1g{%q`njL(aX;Pbe!9>7 zbia8jKf?j{=t1|>L++=C-A|9`Q~e&@Bl?-X>mKr{zW}hiO{G$HPJ7B(LzRB19 z&V2*sJI&%eK4z`V^jlkffg<2`Ep>lZTrNSh>wJ~{9^_YRo9EM@W?zjNP!`T7nf zKlwZN3z)Z^X7WG(ejYUg{QCL!n%wXAkM|Cke|uu`KmY!|0rTyVbL!8x{r8Xe3z+xL zW%4b*bB|g9e*K*FO@8`!?j10HJ;daH=8tc{+;5G^|NQ&=1xqJQx z%=f%BxqJQx%tyw^t-s!%+@o%QU%z;Mle_1Cz}&Nm$=&lmV18kw$^ZQR_yx=dZ#4Oz z`QuS9z^`BQhV93D2h2kT&!eyZ(~ob!{6JchyXSwvyk8ZQ|M~axumb%0<$Ia@&;0QY zn1^3r@<0Fnz5(+S$4tKOcfWoC^U?22?we|j z{XH7|{-yPwO=_C_&(H52FptsC0>$Az-^FLr7 zEn$9ry?g!#%pVsw`JeUMFJKA}p>XEbc~F>`E=}Lt9+kTHKMaxWcu#!nL@!X>n)5;?9J{{Y{Iz6Bc(T zEOx0DSGyKFRg0af#TBo`Zq?#Wg~go;i>ncfyA}UWAFbvNhQ%EWi+k4=SHu=~F)Xf% zEv||!?qOS88C(1bro}al#eHmxI~o@EMJ+xHXK_E<;(oTpRkFoBZHp^qiz{V|d!!au z%NBPyEbfz9+~u&i%VBYU+v2L(;!cOfm9xc_v&DUGi#r|`cRVbvjx6qaSlsonxOZxC z=fmR8hs8Z_iz{i1yB`);(-v3L7N4N7xC>%&7sTSvV=V53SlkJ*_|vq1{&L0tw|}|f z-}s9FDSvr-c!W#L-_FvDyE$C&&BJ^w#3C%gQY^;`til?s#d>VOCTzx5Y=_(=+J)WN zgT2^~12}{ukefuuaRR4s8fS4H7jOxeaTV8a12=IScX1C7@DPvj1kdmSFYy|0@DA_s z5ufk{-|!tj@e7^^f?x=NkO&RANfZv@5fPCP14F%b)K5EpW@!3znI7;=*+8Ir^Q z&4zRwr$SQ_1l$6r3Am3;Cg4HX zC*VoOWCEUn(*(RuCKK=$>=W=2V=@7s!f672Ad?CB8TJY2!I(_Ipm3Ui5y)f$MuvR? z#%4?=U_3ZYz!YRM0aGIl{7=Bl9LogE2B!(=O(qktFp9wc1T4+5Ou%v|kBX=S{}Zq# z$1(xypf3DRz(yR)1Z)bY3D|*5CSYgSCtz>JWCHraX#x%>8-Y<64gV8x633G<71J;S zGroi4B3?!Q82LBmK>nk20z893lPnamlI+a-^(aO8t59R7PN%CYoT z0TxW+)rTw`f9tIkt>);STQNJ{~~UktdLKGmAnQnQgg_{SMp%T0tRs;S8Bq}pF%T265f`$KB!CwZAu*C7 zIbp~-FzI7g;%b{uudqjYux_RcOaCY@b| zQ)lFf=hI{Qv0o&AEnvyhBQXJO#fS#&b#EEepYC1gxGO9H3P(vwMN znPBTI&_A6j%@+qYmo92ldeqjnD*5(Ht$&3T@C9 z?a=|9&>3CP9kPb>f~+BZ;fwxoVIT%$2!>%eMq(7kU>wF{A|_!9reZo~Vix9LF6Lta z7GW`#VmVe|6;@*{)?ouSVKcTu){q_8iQVwSUhKyK95Uy~DV?G6hhNP18#;Gyo4NZ~ z6Zyk0l8>5vF^Q)p@`qm}5jBZok~o^gH%UrO(wHQRCfQ9=P?I7iDWgew4md}qa(2{m z$)j{u7xvB?GbWuigHvZ6$)vL`uy@vnG3l%yoH`ppCY_Cjy|c-TNoUjG)Y(EZ>1+w? zovmR^I$IB?&UTSWXM14lEYORWbG-fnF5xn+;u>z?CT`;{?%@F*;xV4!8J^=MUgHhk z;XOX$6TaXZWDWU=U+_c_1VeCyL}5v{7kqKFl71@ykxsV5Wksk$65QR_##ZVk2A!|q(ltp<|KqXW`Ra7_Uh@~^M zftkClb?&w^bGMr&Jxwx5lc6RVtH}hD%+_R{NtS7{(j;3n*=~}3njAFA8BNZcfR5;l zF6f5t=!stF17GyR0Jty+gE17tA#2DejK)}u!vsvkWK4yuAu})&voQzrumB6O7)!7W z%drxxum6{-uT=FQb^@hE*fs9FOL*Uffcrs~i66~$b zVoX|_3#ZmrkV$K+VQ+0SW766-IJI_wOj8uo-I;%=1oz;N7GatsJvxac$tPPoT z)*iOb0^RKo;PnGB7(*}&!!Z)0Fb3l=9uqMMQ!o|NF$1$O2XiqW3$O@_u@uW8Ysf0B z##*ey25iJ;Y=x{LJFpYG;fKB0j{`V_!#Ij#IDwNmjk7q13%H2OxPoiAft$FEJGh7Y zc!)=Mf@gS+mw1IYc#HS=h)?(eSwp_#2Y$f=K@beV5z?F^5p{;j1qJgxzRul*X6~lc zL@p>uvitmZ{Z|lXa(+y6$)mJ36ZX~?GA6Apfm3Vi$)vSSu(!60F==fNoLW0hCas-< zy|qh>No!Z()Y=0wY3(uWt-WSUT6+hl)_#&nYo4&R7U+IIDzA@@n23cqh>Q40fP_el zBuIwjNQqQPgS1GGjL3v6$cpU9fn3M~Sw-@r01BcIil8Wpqa4@A2dKiG)5CNLvyr5YqUW-v`0sDLKk#Jcl1Or^g&#AI`p%+_;3E-5${R_a-@#+)TvG?7aRl7pHYG07QCG)- zCi$$%HQ@ig(`fOnEvoE@oM@+h69gT1qCj7euX;nZ1SGU==s?46Zk zOggIwr_Sn-NoN-9oi$}lI%@%^&N`DxXWd}$%$G6gYyg}(8$~9ajfJhVK==FedHq5x z#u6;Ua;(HEtid|0$3|?z7Hq|K?7%MgVGs6UKMvv$j^G$%4LONZID@k|j|;ejE08th zI&R<=ZsRWQ;{hJwF`nWXUf?BO<1OCd13uz2zTg{v;3qubiJ%CE5D1CT2#autfQX2U zD2Rp_h>6&cH6$M5!wU(K7)g-~Da<*NL1(C3QZV21>D=`;bGL*hazQ~-O_Q1?sjrD# zP>{6Mq=QL%XwusxLo^v~lJS~MGRa&`7MNs(CaXE%9GT78vCSoq(%DYfJ3GLbbaog{ zot-0-&Mv~<*-gfzvpaC=>^Yfq_6qjSJ~1YpeT7qJ!O5hvP_TCvi81LcDx5k?Kqj3f zf~~Vacl%j*eKzDkPUJ=&bU-I`Mptx05A;ND^now>V*mzX5QbnVhGQf~VGPD%JSJcg zCSxk5V+LknHs)d;7GMz;V<}_}S%H;UjWt+@4cLgy<{a6rGgK}pnC~Za?w&St_p&B( zK|%6RlP4y5qlsKlko?jlh)Kd|65b@SG>L1HB$^~QNhVFQ{vY=41KNse-xD<%6iF(A zfvA9}pqMaY#)KKg01HJh2gDozb(=*+MKGW!qL>g27)d5fAczD50wRb31QE>ezW=Oy zdhc70#pr(L_UQZGv&Z<>shYnf=l1!{nl)>=*gH%1NJ%><^=4KWQm)*4E|6yNvwF+D zKX>(6l`EF}Ap7=weAE8J(l63~RC+k6^l)Z2As(LNosG8UQjn?tnq|v%wM>VRR zeWhhg`AZrZe5 zxmJEe%@FItq3N}Q+e!Y`+R<3tT02qf*4j-Lx7HryZ>{GUi(6|?irreTlEtmH5BXc` zjmF~EdJDyFtpj9nYaK-X);h#k+**fH?AAI$7Pr<>eQenwOOAHsLMvwXJa;{0S#$P6EbW?R~@Jv*{9ZP}IB>g~av zv}14fWq%H!JqK}!EltIlo)YU^H`6m>+MaYyTaB*SR^yDc)i^s#E=kGdS#nKEO0wjR zl-!ji_orlVmJCnH(^>LDN=9eNgp|CUB_E~aV<)!#kGM`gFNxRaZZ`Su78r}}7E!Fb z<+A8*CHd}F8H?`9Q>?r7WYJwM^4--l7Ts+^vF@75qPs20cekCf=xzs!b+?x+y4#0* zcLy8O-5NidImUQLj;9kRauS{CLRU_u8{O%_8Jx-4oWprsKu>yc5tnc&mvbetmh`3% z*KjQ*T+fZ%%q`qTKknd8?&2N>Fpxpq&jSqRA%^fMLm9^74ChIn=2=ECl2N?Ci;U)F zUgdS(U@YU9z?;0yyG-PLKHwuJ@i9~Qgio2y%xv2~C(Y3K^v4!{ahkhJv$^|QO5)QW zNtKkWlO=Uh5}*D^8l|LZmTZ-hR#~!3N_NkZ{ZevZmK>FmW3%MsloXt}Mjmx_oK+I9 z(b>7=JG|yeqJz*?5dx~P6y(EjyULoJv zcw^DoTNLYTvMf5AO0KiDel#=R`xo#ni};=&Sj-ZZ@-xd>!AgGPcmCurR#A@fRHPDB zsLDE2C)SXf)S?dSvmte<$Hv4OvKb9%L}Qw=1TJj^3J%3}=U37#ZYk!N_8=lG9VQuf1{ zqF)Vo)BE4z9p2?V-seLmF_|e$Wg4F{gPDBB7ktTA%wZm1^9>96mhXsF5}*G_nx~{?mb6JpeEuV8my&(6eQenwOOAHsLMvwXJa;HGaAyECTz|YG^YhE*_v2GTC*)}*q$BPiMH%YtRZ`_ zC+*mqec7J_XwN|$!l88FaE|0?j-eyR(TNi{iOzJPE2q$n)9AtJoXOdo!+D%fPcGyl zF5yxxC)SXwh&7}SeYutruII+=8o49Q(0HIQ-v_6;J0zRC!&4Fu6q3;?c{NKWq$D0F zBp;{blPvi>C9|_+QA&QulI1B`nI+{@QYlN;OGzy!u90D`j!jD9H9BiRzO!b=qO&b2 z*4YlS=xk^5o$X~TI@^b0ogF5N&W<48+407rvlA)SS$A1u&#U?;pTG25~_fYCwZD@7{N$J@d7V0nwNQ%*NHV`EaRBK zo4n0COyqrH4f%*ke9RO+;ZvqFlUaPu7tH1>=JGZ3S-?UT@f|;~m?bP_8O!;FmHf)@ z{K;ReVm0NdKqabBm34?UWIbw7i`uNuhSa5Ac8xSjGc+D3%=cDl?rxXO-Ca@=4-}FE zQ*v;Y9F>xIppX<&a%z^Gm6CI_&K~T=-t5DE?9YL; z=U@&c){w(Ff}=Q^W9i88oItE0C)1e%T{)H0=+5b!!C9QmxtzxZTu3i2<`ORBa<1fR zdefI{xQ-HT;6`rdR&L{V?w~(+aS!)0ko$-= zCMDZCaiu)r8riKRUZc4^$v1a^v1slfiZyqPESfuxd~;olMRTW6thsY!(cJmuo4eFl zGW&D})4xjT(Tb9Ym$xrb!Y+#}?hd(zlbJVUG`&oPqcd4ZRBnOAs?*BQfD z#`7j`@ec3u9`ExZA2FFJOl2CMGJ~0X#uvm&@)dKK$Jczr0>0%tVkP;J#r(ule&!ce z@GHOZ2Y>Q6t0+eWDpHv$RAU{gQ-hk+rVbmhAsbPTjoFmVXhyjLxuU?A5-B%v!rKA;-Ny)CneWr$t@}A zmnDNz@<5gh?b_g94+`;5jY`>zS@uTyr^Y#Pl?-=%d{7dv(b^>PtxY!;t<9oXYx8B% z+CuWJEio3YEu&a#f61b?)#O{NYAjk?mtw8el|^gy$+y<>4@>D0PFyEXxus1UdK*r@ zw~@x8w-+eZ+gMrjHi2AkYu)!x^Zx0~WEP+E1+)2zxqQuh7O;>-e8&&`$P$*ajOF~o zN`B>c{v_6sRjj5w6{tjIsKD$l|X@qw!&C+_8Y}It0;@ks*vxjrm^U(4#hg#OctFrBHvjHW6{}G z6zgm!S#-7w`Ofw>7M<-!vCa;cMQ2Bm>ujz2{%+piozppkvpAb`IgbmtkX~HOC0xek zT*+1RrZ3lU9VOhrjoi$w#2RutchH}^xQ77@>BwgW@xpa)3jV(^3-^GPt=-KFHujL!P;mtxO)}cy$aKb8y$kM}1^3N@ z{dd8=^PjIo{uh5G^56LC-d29p-n=GF%av6I4mvKxfIov)%C<*7&|VuMhP zb*N5k>S~hTAZ%o;9vc&zy3J@nY!I3do4PHCOo&Ba?2O#edCbVR?82I67gM$!}18y zFFj7&nLSPnN=bZ%C3!q0PiD!el*A`kl5r_{GfO^5$)qfqm69*AWPVB(X34UY{E{Vq zrDU}ek1esiS+^u!V-=}EtRiJQtLr>Et52*VYwB!s%9Vauc_3EB&~gJGqNkLk19Q$RO_H0UjjQkRikxGL*-7oF{mar-?OW1hIyU z;(1==C1MSEl~_aGU<~6J&zrnWtRWM5j}Q2eNla!6pAc)vbY?J%&-jAb#2PY}SVQLX z4GZ~}@A!cqS;9{&<7a+hC9#J5POKq+5o^e5$`NZwC1MS!nq4Ec(hQAHu*~-cY3?@8 z=I)j$iBGU3JEvsVEZHX|@#&T1h?E?iB`2n&bC#TulC!g^(d0WDYb-jOK(Wp~l0|19lkaS%vFPk`igmU? z7M(33-`P@Q(b;l}b+$?tos}oo*;+qZ+Q9qkQjhvBU7{!lhi!m0U${`fv@`Qo;?~$j!tWavS})gFCs4dl>7DE z&CvMt%6xw|&E1jN+ZD}DEZHn2jhwhf;?a1kl6Z~IT9NN;Cu7msE)?r*KUs8kAo+E(}bk?6-XKVdvX{h%P;|YfI6i@RkBN)l^ zyueG0<`rJ$b>3hsHVM&xkeTOJ*~NxqQtxEZ|!f z@jXAVm?bRbXO^>qmHfu<{K?;}q8#O^NF}OJm364jdeo#Aby%Mb*@${Q*vRJT#=Hiv*f0f z+?pkKr{vx&c_bx|Wyv!sdCtFNQQ`bLGuMp|k6tT@*XV5w`QF|!7QMYkvEHW1qPH33 zd;7{*^fr%Tz5OVQ-hLwA+por=w?8P>TV+}FR*igbwT(q@8&IsbhO+3b3Ax_>)7~-G zk!{(I?b(5yXv;3_#_sINUhK`j?8gBd$Uz*;p&Z8H9LZ4}!?7I4@tnX(#5&T20;g~) zr_r6$Ig?mN&f#3n=K?O|A};1qF5?QW>$!oOxS3n&$L-unf9~cU?qwkN z@c<9<5D)VRkMbCg^8`;4>&P=a%X5t6d0yltUe2zQacP#uBZd3Iq%?o0Wb=1cO5%}1 zvM?pzWy!LX#3O}dbxJB^$+{`2ktOw0vT2rVo|5KS(k3N4X2~8YY3Ibf#7C?CDr3Ax zXC26Q*3npW)`?=Bb(2MBJ;--Y}J#-g)ZDAw5kS#&mtd}l+9 zMQ1}P*4YSIbT*1yXKUT>zvcb!Fp>B8fDf6(WTx;5)0oZ-X7L$c@Fia{mwC+R8y4~{ z-|+*nhAiPHmhm&cu!3LtomfNu;%`<{jtW$wGF7R@x>TnIHL1<|Y(QN$qCOk5DGg{y zW16rzThN>qv}9|xp*7pmhV9vrooUOi#2T^(d(w`**_Zt}fcDunazvV;@kn95cTRJ+ zYc_Y!NJ%_WNG?gquk9!I$KG;vsK2Tv+@+{Y&}_YR*QUR^^8Sln^3H? zX0qsPOY)sA@MC$=RI4 zd0aqGdT|k#a4DB_C9#I|rVrO}EhSvfjoeJEA-BH%QzA2Wqd_>}3)%&w6+ zX@=?mI#egtkebw@4(qcab*ab3#2T_04QNDTnz9AWXu+0j z#n!Zw6q0*Wa$lA_l9G6&kUW=?=d_}tL*)bIBtcxr}!_>!-f!#uv` z8y4~{-w|ubk1XaVmhv;du!3K+Yh-nrq479jzSl@|w{|vn>!&0hCnU{N(lSfhq$D0E zB<)hNZ9Xi-7WvNR8jH^6Q>?Qkvgm9X zxz5(Q*RSaPm8nWK)}=Z%s7YSy1WuweUFgawbmKI7a5`sl zHs^32=hKr5xrj@+l*@@V>9Zv&Cqz9Fy9BKxjQ7AyTelwj}wy7 zDS0(ZCZr@DCnO)I#VyhIy-}WXBQZY z&U#U-v);1k>>6^Nt#z+|xAzZVAcMHSYvuJGNdIdNPOlH8pTD=%H%xsMu0iFB<$fsr zcHEDphl@)Omy{m5HJB+X8Eh`=Ux%6;(>ESP>hbu}CS7wLtC;VDE`djJY@1=)- zlpg+>4v(%}!9F8>gD-yi-pv1!Z}9np%6^0Io&Wd--#@=G*Y4t<-{J>(C zu#{yi=NDG;E5Gw6f3b?yl&1ofs6tiNAvS#LQG;64W_>oKF7>F-CTvP<9vab@ro@J? z8O`rX>_M#nSHxCCoZ_mNRhOg}A;V9>^;X9Vt@EuPl z%5EMC&bx9dvEl1Z4`TCh7O~+wm)P)KKu>yc5tncovEjRt*zonH53zZ;j@aVggR3 z*aZAi787s|`3d-~v6z70Q)~jRki`W2jr;^GXDlXQMT$+pnzERHb;wV^jg7?w+>Bxq zu!Srp;8tu+*$KG4^O%4;QEUS4EsF`bANx~w0v_r-Cg9;5!BHGd*$H@p^O%4q)0wgp z@HFQ!0Z*sc1iVld6Yyg46Yy$dF#-EhYy#dYyN%nqgR&FwUgrb3kNbI$!92_m9_2BH z@kI6n^IX>k|N4+3o=3){XN&RKv&DPq*&;s5lFUfSXIU~YCGk;~GM`H+u@Rb&cN znZ~EgU?!jO1+j{J#T@4GHQ%s+SVg`gR*@fB%ug)kXMQ18kza{b znJQFc9ja4!(=6i=UcaO~GZl{#QM_G~{DLFGsdZr{k%98X+$+cN>OG^4>$)J=xkR?N1 zjd6iK?!VSQH7aE4TDZjn*cSZ*97&Db`wRS+v%MTx)Cnq-j6zKY;ce z#339?2M*^*j^-FTavYsFfs;6yE_CG-x^WskIGr;&n^;B8<9vE@As2BmmvT9=id;pk zB7Nw~wUlr@H*yoVa4Y?|ojbXUyBWZ}4B|c>U@#9cghv?4W0+d8_W$2D!p|8S#q+$# zXkO-3VikFVF^pq8Z}K+pFfqGIK29?#(c2~D zd+Tj1db@^Vz1=2@-tHjZ+dyN{+x-;lZI~>28_ttF%`=Q(B+=Uo6zgrQEP9(juD7*5 zz)bW0>C9vnpYsK?`HH!G&3qQHkVSmQ5B$gyma>fH{K86p<#+xh){#}LraTp>L}jY7 z4zZ4`M-6IGoAud{y40gSo3JSjXh>t4vN_FY&X%-fYg*Bo?P$Xe?8wfvWmk4*5B8!R z`>-$jb0F;+qXS29Bu8^B9XUR`P6}!Mo|?_<2_r{vKrc`7B(X2~llc|A+sO3AxfGBqWiX33W+nd8L0B>o!g_a*Tfoh>Hc*$QLP z*>4omUF79Cf1G$e_Lmp%>4>N>Ed5mE^!Em19X`W>SBYB<|c!|-x!mGT_7{)T5 z3B1MIyvs!1=R-bXG9NRQPxzD>%;Ym-4f&GU%waBH^9>95HoHcCN;5PbD%=M99ENPOGc&LzUo09F_BwW$)dw z&ynx#WnnMfQLMMGWYOC^a=oo}*T3BRSMV#p@dtnMH>)T| z1u9aRDpX?~sen#$$!~-XP80#@XE6G9~d?A=x=4yJpEgDcL_u zj!4PTS#n}ZI%mllDLFe!dZpx&EV(8nC0TM?O73vt8X4p2xW6P`qqD)}I~!&!IvY;0 z&R&p3XQRn?Hr7~lHi2TDeI$#{J|^GUOk>g6=M?K~fh;;(M8317#-g+36zgo2EIKPs zuCul7_c!qVy40gSo3JSjXh>t4vN_FY&X%-fYqp^^+tG#{*pZ!S%dYHBtRZ{Rj(ymd z{W*a4989bshtYu}IFh3|mW~`xCr;!fI@5)&oJu#k(}OcOle0OO^SFSX^x`5e;ZiQ= zO0J?eeYl2eDd7fgh+0C5BwnMl zR^&U|$yju@3&lFyPZpgWNWQbfjYVfiQLM9*WzkuId}pT{i_XrXSZ5c@qO;4$ch=Wf zbaoxZI=fvKo%JWz*;@DeL%n|(PcWRPc$#M!!APFx1zut_ukb3b^9ExX&jjA$ZQf-f z@ADzChD_#Trt%4&GM$-xMyw%UGMhQfvz!&I*CT}=*0^W- zVU2rd^Y@^X#v_HR;kY!jPsox}QWB38lJiq?VU}ExlB=`irj*>8C3mOf-Yj_}C68sv zGbwq_gN+*FZ!?Z_mAqCGuhHEY^4+~-EV_G-V%<%XMRzmEclVXC=x!dxy8BTU-Tg$q zyI+k(cYjck}ecDg;P0=?wrn<#9DF==W;$5a3L3QF_&@~ zS8yd))0@6r%XM7O4cx@d+)6)g=T7=_H}`Na1G$d}c#wy9m`8Y&$9SA4c#>F4p5a-Z zVA}{fBcCCy{voszn%=t-a{!Yo}@2r%>V})d4O1{gIWhsfr3d!n}RLGKbQ&J;K z>ZfGWEZICI&9kIUN_NbWJyO!niQk?(zU*(${WJURxqoHfjEis4{ayMcxmBfyt4j~d zl^&KaJ*-fASTQ?{Kde&eXywwwDy4^2OAo7+91g%R!}a1Y`|Y_MUF^+@ z{Px^w{(yS_>)-0@P`N_6|NKjI-}sL&@%{5lbS(}Ai$lRiqhNt3SRe{Ehy{y8!6H$x zNi0|>3O0%bi$%d=QLynSSTG6}jDpQa!J<*HXcTN33l@%og`;5OSg?2$Y(&=jwI~b8 zKR+?4~+tzbbb*c=rsY6Tmlf(=r^gK@!) zwqTP~aI-DA*%mx(6>OFYHcJH$Tm>7Zf(=u_gL1)*w_wv$aPuv=`4&7d7i^viHctf$ zW5EWhU;|a~5LU2>D%eC7JUkcNj0-kW1vlh^8*;$|+5azoiEiVj*)P$xV*4e!@!G*% zC4YzQXe{oqohWvP?Iw#mY!C8x*z=6V9kwUM?yy(M;ttz~{2lg2V{wPQg<^Nu0kXKm z4kCYt9bzo*utO`LtT_Fzxiu{Zm&KL^mBgE+*_ zrs99QU!ps~74msWyheAk$#=KFSai3DV%;s5MRzO7celz|bXT5Y-K{5!?rM?muAZ^z zZWD@i*Gv}OZAren?TkftJ5a2Stk+)nOf0V>)boMv-&MF&=&Z<$Y zvkhd?*+%3$YiKMwYeKQkwvk0=+mi3Bt+D8AH;Q$3fGj#Yhb~bnGrzAcE zk~B|A%PeV=lK2ov(k>5wIzQgTw3bW2H(Ea{n&i?ZaZl=N}p8hP8*aZ5?O zMrZxVcQ(LSbT)`$oeh;mXOENbY=p7sY!t;hdqWnTjU(ULL}Ss}2NdgUx-2@IMZUAS z#-g+N6zgn>EIM08uCul7`73&VWvWt*b*WAbYEql^*?_uiM13}9Q#PX^jcLN>Y(aBc z(2}i*HKaA$(uVEXk)3GEuEZL$2Yb?vz1f%jIe_*Y#339?2M*^*j^-FTavYsFfs^P= z7rJr^-8hXNoX(k?%{iRM`Sj#MF5(g{<#J*Txr(dlLtn0?gzLF6yGHIvGxV-(?ha0K zcStsOho|J}EE%1WSF>b7O5Vq?Qxc z$UCl%O-kZ5I%`0_vu4Jkvn?ss*$%SkY-jSF?PV-F+lOME9VUy;jv(LJ@y4RF6DihN zcUg3H2Kmk|FczKlqF86WWzpF+j`qYPyjk29Pnd75V! z!AM5&0xvR}mwA=fi8W*_HVMS$xhH%;qcR@-_2W zz(N-B9Y3&`B`jqb%lUmRy&T8?vN- zO76*$2UGH}6W7SQu8yZl;x#&ZmV9R~8H>(dp;%{c$)dA&$#*u{Sadd(Vx4^{i_Yed z@9bM+(b@MD>uiNAI{S@$XXT7VXB8>dSxs4VR)<_?Yu)Q_?)}YZ!Io^r*0iEE+p#@6 zuoFA83%jyAd$1RKvk&{RKL^sDgE^E~Lk{N%j^b#Jr6b3401Jc?jzQa2N}%6 z4B=58V;D~`+;#Hb@mJ_hbdBs*60gzRp5&W5z*sbQ5XG81Mi$K-N4~i(#-h1XDAwFL zvS{vn^37dpESkH5V$EGIi{@@3-`t(XqPe>%*4#s~Xzmg6%{^)CDV`x#lIIx7^Sr=I zyv!@S#_Nn>EaQ2Tw|Iwld5`z`kdK(m6s9tbPnp3?KI02wCHaau%;RgmVFBOr9kG)9 z$YOqCDL?ZIEBKY)_=7+Bn^lyf0u`xD6{@ig)u};EYEy>|*pQ8=$Hr{RW;CP`O=!v% zG^YhEiIrpmIoh_qSXMf3}v(@A~t7Pn-v`s7<{Y%E&a zoMNrDmPKoA$hEfCJ^y~*e*o<{h(kD(4jj&r9L+Iw{$9aM$d75V!!E=n_d0u2RFY_v~ioC%X#xb5Zd7F2bm|Z0wr#Tvr6=wYGG<)Y} zvv*NS;;}-qG9|xfN%@q-V}+zvO4iSkO;XYzOSVkO)>*PcN_Ou0Kk>H#-*=6iSQ4+% zTW9jUbvG8hok6kQddZ@m$4$ssYiV_VN)8=kj6A+bDGhdEosTtw4ycJ z(S{w^k)3JFuI$bp>_t2FVPE#=K-zOKv5p)@2ae!Kj^^SM+#U+II;%pyvzo@DvpN*(Y%^JO)`)y(EsRBHTT!gD zon+D3F62Ag+gNnAAH_O5To#=jMXs~8?)$rWe|Jvj49?Fp&F*HRM4C^Dskrl*bsx6Ab4mp5|FbFp}qa zftMJ~E4<3FpCv45 zteYLipRiHsXuZI}`7i!LZPoN&zd|=w>6I4mvKxfI zov)%C<*7&|VuMhPb*N5k>S~hTAZ%o;9vc&zy0RODCeCA1w*|4OYe9a4u&uG!)NM~} z>dI~qc5@z^x;=?a-QMiOe(cYI97JsD4kb2qhjRq6K{$rk)E$RS-5NItrx-t#)5vcS z&M_7ng!5U$2Enh;{m}oSS4d-va5ecY!u7^ti*OUgwg`92VvBGu`7Odj#$t=`2*tJt z&&Xnn@ErLq!pp{Di|`u7wg~UYVvFz|`7Oc}W3fe;MzJlzSF+e5%puYItZl3g8?Yf|XXK{NV@5Wl5lv`H*%{f=d2Cs?p%rCk z-9;-+@VihUdS$pTv*&)O#vZl^D8jn?^6DLr%v#!pgvu?yH zvZl_?Gajo*PcEcPXKVd<={oO?HRMKa;udbDAGdQScM)sI0AdXp#C<%#gTxv#ghv?4 zV?53iJjv6<8Zv@dLq_pDFY*$xhP+CwA#X5-ag66p-X_+NiM+=Le8?mwGlfrxHDo$7 zn8jy&!E9m;nae!p^9>96mhbq1A6ddrEaPW>VI{GK{7$SPe-UfQYRXZ8O2iscHM>S? zr5PHZV43f0{fp=S`fy=>t(k5;9(LS#(m!=Xn(;?xGycSsbk349QgU{d^h(JkSyKG3 zo=%7Oj;h*Vr#*UY{I5ApdpQE%H}kqIa|__t=Wdw zY)2b*U`KYQExWQiv5M?PJN98;_U8cFb1<=r97e1nM{p!Zb1WS>o=%*|Npz+QT{)F* zbf*Vra3*JSF6VIpJ?X_oT*9SX&Xrt6Z~AZz*HXd_+{n$uDsmhBxPv>ni+dQr!0ak{ zIL+Po{K{4GY?{?0vswL0O5*b?$-60eKTD>jBtE~A%t^`DS@L~K7H7$CDfu%?DyF1L zmefhfhFP*%N*XzFCz{lj>I;XK9DJj)11 z@;oo_5~F#AS9zT`7|VDj@D^|LE)#j54~aEoG9NRQPxzGS%;Ym-4f&GU%waBH^9>95 zmPLHe4=iR0OZl1QtY9U-@jHL=H>)T|c`8zgDpX}1su#DXx|>11 zyRVEzck?LL-H)>9?kDoy{c0?_`-5WLRhC6})yQ{O+gNnB0mZs&D2wizkn8S0?I2?< z*_Q3t9##HZyZ*!c^M`XJM{x|tavaBV0w)n`Nf!#7!l|4_cTVR_Vl6p`b2*<2xR8s8 zwd7J_ExCd#xtiYeq}kB_1r?8z!Z7eoD5^&q_%=SV$J8uZQ}-u><+eIvR`4I#H~% zZnEgC2l>vcv}IRzV-NPE9ecAc`*Q&8vuostG(+RD!hG+X=5E()?w*m7c&w0I zl9J1_S#-9Nd}phSMQ7zH*4cWp=&Tm`&gvP9&NiV~XU$~M*_Pxx+s;^Y zwgbgF+e;Rm?L)4!weIO*IFqwEhx53Ap7i1(F5yxx z=SpG?=}jN5;aW<#o*TKDSVL~3A9rvkcX1B`7|0;*=K%)u5JPyBp$y}3hVvv(^DHA6 z$tYgnMMm>7ukt!?FqUyl;7#7q$_Uyn; z?949g%I@sRUhK_2?8p8bNP7{lG>>4>g z&Cm<8xqEe*yZ_67iSb|ixcKbrwe;tW$^N|e(x3NXb}dXx$&4&1{?{0%xjz0ViPvcD zZ}P2GHWsZ_qgZPj$fC84$hX$eShUuJVy$f>i`KR!-&$K^(b{elYwZA8w002r){Zn5 ztsO(L*1E`|wNuEow$|N#FYmvYOSz0IxRR^sO<%6%I4@?g};1 ztgfBS>iQ{(2MS5^l(fu}HYte*3Q4<^?3*Qrq@+WZbV|udS<)>fJ+h={N-oNht5VX( zi95-su8vzu;x#(!N4~QG#-g)96zgoLEINCfd}kw!MQ5WZ*4Z1f=xiMM&L$d*&OV@6 zXVYcT*(~y%%{3OC&8JvrOJvd6GIE`*b+=#9`zuqGYOG6jYEYBftj`A2Wh3gdF`Kd( z4QWghHfIZ((}I?4O{^iU*_JkJ&yMUwTXrSZkUiLwcI?f*?9TzT=O7N@P&#lpM{+dB z(2?Wl#0i{4XS&dpQ|QKN^x$;P0$HI!xp87TV{vxC$uab-Kz9(>(aw*N)KD5!}RNPTm9?T>Ha_X!F#Lo z|F3oB3gybxEBkG}u`RN1^ZoPdbpKC(Ny-xR&rgl}=WCL!%!1Zz%XYM3dv;()c4BAR zvJ3x*es1Ky@s++ZzfL!n>>(ELM;Xd69%ndD@-*(u|JKJd@A&5@@;HVMS$xhH%;qcR@-_2Wz(N-B9Y3&`B`hU2earcUmHf)@{K;ReVm0NdKqabBm34?s z-+I)b7PVQQ4XH~#>az*|+B`IJ+?b}srmq>zDZ6=SZ2FERHhss_iL#rAg7dDNN^JVN(}UPNoJDN<&LuW|7m(jP zTxKjbeOD5jzOtK#>zv1??*?r8*0_1-Z~QLqA-8$R|6PXo(bqFf&8JG@H74P+j9?_A zc!8G~%`3dh>%75O#xa4nc$;_0Pr}K@ViHcJ*d+W?7L#xe`APV#v6zJ4Q*08hki{hY zjr=4mXDlXRMT$+rnzERLb;wV`jg7@5+>F@4u4xjsFdma|E4HTWB;4M4Ov0Ur9qcab zO4&)cxAT42kNqh-2@iE1lkjkk;3$r!>?AzFc}&8S=}g&4c$)K=gr`$%5?(0l#l>7g z*-3b{^O%HvxrQ}O!ds2s#_ilef9@hC;k^vxKJMp12JYKhqVmTS>e|Z+jApN7>#Ea2~xKL@XX_>g^cg(c5vv;!(D@F3zL3 zQ;5Z5O}(9CJQk1hiN&LAZwAU;Wc6%8N*n{^Cq#5yhE%b@9{n#@)5C)Okpb1_>>vU zS<*8l@u8NaPfD)Ml3P;JFG~icFC z%#t@!GR}!>WR|PrgOYfS&L)xXY`U@NY!<~jn=gyb7LxC5iLvNx8O1vLOBS82Cf`|A zW6{~V6zi<6EIO-CzO%;0qO;8@)>&&=bk>GkXKVe$X+Q5jfc6~3Ask8v4(CXY<`_D1 z9Gy6UlQ@|!bmbJfaT+~1oijO`b2yLl>B)s$#Km07<-{6t6|si&p)c1`!u8z9P29q* z^y7B!UbVW6{|)6zl9ZS#)*>`OXF!i_Y$+SZBjz(b;hFosBdW zoxMP@&c@23vkBxnTk8YNH1D6zOlI*pUoe}mn9JA9X8{XY#CQC_k1Sy+%UI4YtmIdI z=TBk{S;cC~Q-MlUrYh?YYsh-kpcb`RpAD%?J?gUwo6>-WG^Qz=(~Rb9NlUh-6|LEh zHtfKT>`YsBWq0;qFWRvW`?5a=(w>8fHRLcla0EwkG{@4B|q~zu->7SB&vgF~eE&sKbi+>0CRLY*svRBeS^?LSCy_J%8vt(*YKFyLZ zQ!>YiJIUv+kMB$3HCkItzO@y`qP5>B)>=hbv{r?DYc-8UYjr5r+Get7tr7XwS{RGg zwxU>TJISK8UC6h#x3Oq#KZ><>xGY*bid<{|Y3CTLNP(`LN;kUyU+mp?)D_j9E^3fy zAc+VDq5>vBOqjt0=B!|1p$HfeRK!G3J^=#=DuMw;kz_zHN5F^)#E1z+kzfEp6f@lC zH>>-6bX^x?oUwbK)AtVlcve@`$GZk~6d9yp&wv#8vXC>*Mm0c#YQjkZLrYjcc6YwuC4wa;bI+Lz>7Tjzd%rT72LFZ{~y z{J~$WrVQ&*jtW$yGV8M;8&Q?&)SxDtuqn0Kj4g;&q#pHYNF%nUF-_T)SVeZA87

    a4P=}I@w zCRUO2IG+pX!G&B*FM4NJNxw8higngq7M=AZ*V#Jv z`vbiH8U`_#>$sjF3}qNMaWf;hl~LTr9o)%XjNu-}av$TFz$6|Z){x0O%v2uXF&^hh zo+j3i=Xjp!%-}^{<`ri08gK9>vzW~s-sL?$;6py*V?JdbpEI8?S-{sUVlm(F9pAHz zA6UVU{KP7L;WuIp`IEm`LmAeiJQb*vT_e@f4Ba@JyLHptZII2~ZBnv*mh5I%)ykjR zBl}bT#{a5up6lcGl6Z~QMw4%CoUv$aBE?#JR2Hp0LB6$V#-gg}+wX)<|Tj!3yruWyPHg(vXEvQR98qkQXXiO8f zVOzFm2b!}ZE!mk}*p2__f1Nx2gS@XDhY+jC;T*wH9L=#D&k3}r11EC|r_qs4oIz*0 z(3P_|n{zpz?)0E17jZF{(3{J+f-C7uKd$0xN*Kr>t|eBH8yLck4C5wlVFV+y`F^(@ z(io@rI(1)oC|xI0vg_ojbe+UQh2+(gyq+cRq$D0HBwwWDt1S68B}=p9*OdH`CFN35 zF-vNsWRon}G9~q$xR-qH`q-`{UZb^UKm6^QGo5U(Io40v~cX^)=n9Ik+D)Jfg_=5R- z#n&ukF-!QC?^wz*mh&Sk`I%Mx%5VI^U#zAKv5J(VJQbnW6|4d6zlCh zS@iZH`QAP^7QKB*vEIIyMQ=Zl@9h_3(cAA7>#c$;daF#nx9Y~Cx0)2|t)47;Ye=rQ zb?*7q_%H4G5BKMf`@*cW#?Q&t_>WQ&j}?+dDfuQ#R-_~zDKbjT9kfCZpYHY zmZgU~l^*U~dbmsJ;jYA`1amEzoTnE_^#o&aYwbX>TWe=o+*-SmzqNKZ z7Pr=(6uY%vDT`ZcKk~QMLB`_NdL6}Xts`V{YaK=Y);h*m+*-#{?AAJ27Pr=^B_?ks5<{Q2vwtCC>fffA7 zPyEa;{6=i`{^T##P=@s=Pi*xn5nH_t*pMnzr8*l^lUi&_9X4YN>QaveG-NBbrU^~i zmhIVr=Cq(CJFyG9(TY9TlfBu8*0f=N4&)%(aWIG4(v?i$OYyKTsKx1+J>ZfA;h zx34U^+mC#AhZxh{-+n-IobeNAPX|us6i%Zfoj8NebfGI}aW?029^L6dPcGtOE}=J< zaRsrK^ratHaWy4e!yvBZI&NSHH!_TyxP=jnWE8h^2cx-*G2F{o#xb6WOyU6^Vlq>h z%A-8S6FkY&Jj-)TV>&PJA}{kQGkKjic#B!Q%^cq4eLi4rw(b8SZMx$VAY1sQX*<3= z+m8R5lK2EjQZXg#XGx8e#3w+K`YCCYCEKN>S(faclD)FzfRwb&l4DYGe3qP=l7bVD z#$UNQ&MAr4=Gn%s_JFzpn zvKzaz2Yay(`_hK}IDi9bOFItXFb?NPj^Y@O<#56~C5I({#S*XZmo@|{&M z7M)e5SZ6h5(b=ZtJF90bI%`O=&UTPRXD!Hg*2-9PwkO3pJ4hCt9ZbHnqm4yp$5E`a zj;aQ$%8Z&s2mw1I&d5zb3lUdAW4)5?D z@ADyZ`It}njL-RkFZqhES;S(#A=Z%ZS;`MA=SP0xXMV}9ku_<)$EQE;302a3ub$2K zIw^@ye;qYJ z_7VBc<{OL77Er9SWwPjO1-Z`Fx#utE{S~N0Wj0_#s!)~cY)nmRu_<-fj4jxbdNiOR zTd_4wXv(&1Ppl!$X+cYNVi$I$6?+hC$lmNjYud0s2XYYYIG95@j3YRbqdAu2IDr%C zz)76KX>_C$r_-4;=}I@w=3LIBI~UNC3%Qs}=*?xs8geCl=tqC9ri5!4lwBh?rWtxu zHg`v-xjQDCyAxCLK&K63Iru;MAD}OEg=}6DuhCmw^1U@S7QJmlvEFu;MQ^*2?`>aW z(c69$>+Nt^^mY{a-r5_B-cF`iZ(U^3+gap$>tQTzyiTkmZ!wFvnZvuh&j-xqBR=6%=J7f6 z`HBTBWD!gFhVNL)GL{qT$Vz@<6~FKsfAA-(SwmUYqdXO<#QMZKvJq9NMs+r36KYXA zyH4t-t05jK+!vaq>tx65I@vuX@kk+Qo03Da=+lhP0B3H-#CGi@aJ;Y?DFqKDnoF{mSr+Jp=n8tKo;3Zz>Rq~z9 zHWr<|L$S_2lSOA=kne1&0qbXJ30XY1VWZ{_`s zY05Ti$M!U%IXkiwJF_dXhV0HB?8V;fOB?p%01l)r?Kp(Ph&AL$j^Y@O<#eolddd&XYxN7m)9*x3TE$a*FkKjVyW_Oun~a#-g{IDc0Lvvgqv|^1V$m zc0Ugi>&U}Q;SnC?ah~KUp5a-ZXBsnjk(YRdS9y)sd6T!8%^cq0J>KU-=JGM066?t4 ze8HD|#n&ukG2amD$oDMe2bS|AKk+la@GHOb2Y<1eGOR~ADo~Nitj~s2p(@p>K}|Mc zQ);srTd*bds82&0u{BL-%C^KhvIEU%!H(?2F6>IH>|WA3t>p1o;l6N0TH}w-*7y!7 ziN^{_*OZ){B|TFTj}?-BDY-gJu1m>~EE$!OJF;YKr@H_7s1Sc@YRVqVvggvDn(oBi zWQptJjgokc)@G4!?E_=c+D8;?ZGkLWTSUIKWyYel6%=djPg%6KhJ0(4j74i3P^`6D zvS_Ui`PLd3i`KTHSZmE?(OOG#t*vv{e}MNNL^}@VP!8h=j^t>LBQ-D=1jWMjk7tI^XSe6^yES=rWd`rj95jkq!0b*&()N04TBiWbzIL7hBAzsxS0{$ z$|!E*4n}hqW4MR0+{bt(Fo_3vkjXsER370mp5RHICRUN>c%JFZ;6+~M6=r5v$=hj$ zzMIYKd1>y>&*tuulzf*Zt5Wh?mXu9N`7EiHl8v)u^OV%hl5J8F-`MpZKJA>6-8|>S z<>@cl+AsY@TL)(8fB6pqzj1|}ToSL*+iB!`>tZZ=JBwnyT_lU%E+OArUt`hRRTS&( z23hoWBl+G&8jId;r&w>}WYOD1^1V$l7QH=6vEHW1qPG{w^|sDk|9js50dx6?PxzF1 ze9nBnVgU&R->P?q&5PX#KmKCzB$L=~!0osHRq zTGXZvo3jOVsYe4Eu@#MJ!ZvKn_B5k8JJOP!*@fL`#UAX%-t0?j_G5nzq%G|@gjh!o z=LnACXpZH0PN02uofOjijRy;B{P}5S_sHh%r74LA3(3HgT$?4sQxXprlDmCnJ+A9} zvwzAEwTk3ht7d%-{0B$yU>lZIEQmNpYHVFLN1~gmvAYUaRpb>m;PME07@9hAg<+lVig(6jSS}| zZeau?xs6yw?qoD~^B=P$zwiIs|J~^k?|+oXd4i{SnrC^QY0TgSUgBk5WhSrlCT}sD zw|R$md7lrN%g4kj@)`5^g86*K*TgEaIGfc!#0>RYx-l(RmV7(I|7l#tm*M``Z|Sx! z{f=Cl(!>3-!}vbk{-vV_lpY>fdU#OjVcXKfcG+S46AmsNJ*4#T(9*-hN)Hb&Jv^fH z@W|}YZ|OQp-{1SU{g&>FP5=2V-G6>dw_w*?aK|gS;}z_j3+{RacfEpVo`N;B;LcaD zjuxz=1S3HLD-HhGb#2&>12~Yj987HM4kNa8M{*RgK{$@s)}4rL-QR8yPB(rAXOiC_oM$XH z2p8}-8w9_l`<;KImrG-d(1-jM;TmJHMHo!6EyB&R*dp9Yev5FIvDhNqgN@|hZV?_d z{tyo{g|)W`Pdbk+!ZQ@xBD^AtEy8Q$w+M5L#TMZ`ifs`-m&F$0OLAL;b$-OO())kr z7h*>K&L6BjBiC~tGqM5|sZ4%GRyS6Inry<_Gja>(F(d0ypN2GI?HReP^VqWPKr_~! zk-IpL8QF^6`MVjpzwwxn2ho{L2ZVC@;%)pux+&k)B-0TAi))1EvAq8*9iQ26G+PGlZcG<0fJa89}TeqqvPbxRY2z#&8c~ zxsUNoU=j}yYsh3`4Vg-;A&>Dmv4%WNtRc_wJky!Mi@Z#%Av1Z6H+Yj-%w`Vn5^Kl@ ze8@+9%%{vF){yyp$pXG+5sUeT@A#f&{J;u+rtLqLn>w0 zNVPOW<1;MteVzZ+`GC0|Q_}}p|Hl93e5tGB_L6vw&PJ2(Y@D&^Y$C-vdsG&kJwd*+ zX~v?n7bw=*Te9fvZStMXH5Q$HLb1*k%A&I+d}BkY(-<5unpU?Jv-2x9cjtV?80ueVh{Er){uQ^&3^39fgD6T4k6Z% z!-+NID30b>j^_m0(}9yYh12LrC(fWVUFgbLoXxqMPj`CIlZ&{ROX$sIT)~y}r5{&u zH6;vW5Z4lG$PEnPMuu?{w=jZ{*)?)^x<>BJu91h*`aUJQ5}ry)e0t^Tc{L@kXURJ$ ziBGR2U!>%#EcrGiOS9zHl>Ct;_}tL*)bIB>{MBFRv_P5H)GM+ITY)xmn=HFlzeCXjYVezDAw6f zS#&m>TxaY2XlbnXk7EK8xt|Akh{;Uh5gz4np5Q5-=2@O&8Z&r-mw1_1naS(CNvt8W zd7F25m-qRAxqM8lA)hgiFPP6)e9b}@vxIN?j-@PPIX|+JpIODP{Kg;r#cIk>mU5J* zB9&O54cUmQRHFtPvk9A0o6U$dWJ~H&p9VByYZ}uuyGB~18M;$8clSwiw@o&84^GKp zS#n}ZPRf$gQxboPm22dJlw6o4m#3spmJCkG^;vRrN^Z@Pdr}hLH}*fXJeZP)ow!DR zaCJOW60gzO^W-~w#aMLq8pS$$PZphhNWQbrjYVf)QmnJ@WzpFWI?7!?AV-0D+j_kzF>`E(kXHWKGANHjU`*Q#X(UyZb zgu^(TBRQI5IF92vk@lR#Da0Dmkpic424~WRZk$c5A?I;E7tn(XxtLz`=29-_3i{BO z{#?xfu3;d9xt8l0!cc}WoSV6YTN%l1+`*mP#ogS)z1+umCNPOuLmuQI9%c%U@EDKt zWOj{APct+gC(QR*Y3|O+=I%!+iN^`aqLh4-B`Z=Aj}wwLDOoQ|Hb_a8EUA-{EwW^* zlr+hbmMPgKOZHAlYbUOe<*trHOX4*;JA!;?Cm4&)I#8^$&a&vNEBVg48;j0*QmnHp zWzktb@|_Jb7M)#3vCc-wqO(!tI~!vxIvY!|&L+#Ev#I1dTjyT?CGUTQnY_juyvZzP zGlzG1j}Q2ekNB8R`Hat*&zCIVYZkGXZ}^T_LzeLaEBKM0_?ch$jaWne{< z1u9XQ4cL$>RHZr_Qx<(Z)GXg+eWhJts42>Y8#8*Hm6u`jb+i>HspKT(OC4hGsSw_R~EhP zN3OSZ?)Q)L{u5|V2TtY`PNO58ID^h~p(|%`Hs^94-RVJ3F5+S?p*NRt1+k9wr5{&u zH6>ibAg(3WksBDojSS-^Zeau?8O80~!D#Mc4EHjYag1jolX!rKn9LNW@+gn-1W)ob z&+;78n9d8l$jiLSOkO9}k++z|+sxrz-sc16X4lCVX@v&vlAl?{ul&Xz{K;y{P?mC(ry`YD zpACsMq$<^@!NzPtEo!qFv4(6(UFy?-Mr=)Enz9Ysu|3Ub&W`NF&g{x=?9LwS#Xjsy z8}{P>4x}yZIE2GEoFh4kV>p)MIg$39M64mFavB9XaRz77g>Kn3azUD*@kn95_epcN ze>Qgqrz9RJB>$)W6ULRUj^9h-H9Gr?d}kGmMQ4>M)>%zibhat^&gvP9&KgpzvmIp7 zSqt)=wK5i+?MboD4w6M@2b1sYXk*dYaTM#Uqbxc*om^+@-0xrH{k`bTrCiPx^r0{P zxtal7!$1aeE!T4cLm9?!ZsrzlWhA$82eF3S#ogS)z1+t*CNPOuLmuQI9%c%U@EDKt zBv0`S&+MA{O%vv4(ul zQhs1LKk^el^GkM(tVvfwJW{w4s-$bAdUlP}Nl83XNSdT%+bn6Bl6a($v`)$XS#oGf zj>wV@DLExeI;W&-mh?=?#aVJ?O8Pl*ANk4Eaa~EgMrT9FcQ(RUbT*1&osE@6XXD9t zHrZHoHkD$XJtvFKrjze%rm^Vk4T^R4fh;=vhz=?F=Bu?QpI?{>L>CBmQr5k5+F6Ysm3+Ty( zT+Ai(<}zXpxspEgqd!+u!Zi%au8|wl42?$$^L=!hyJNDsJ2535DI$3yB~NF`3n_`m z3CY_jc{fWwNy)q{S(1|PvSejSR%J=ql$6hsjZ#w0iEHF%SI6ch@fw}gCEridU#yv z;qlpFd@b*U($N!358Ia>b|^hOsr2yV>@fZbr<9JKT6%a|>0!sx!$LYtzn@!3-{AB2 zod0`%Klj=H_y*rUzn^P&QE;~^xLXxGr4;Nk3U(O8!vc*D%g=1?8pl~i79xJDtMAA z_%x&PJA}{j_GkKlZ@V&(>-ewN(@;)Chmyh^_PnpN(%;zf>u#iP8;TyhVDa%;S3RdzH ztN4ZA_=7)L%^J$G9_6V>CDtc4d>c`PYE)-qHlY@^sl(=ML2MrC(||_AhOaSASbOu( z%z1Nmq$NAE3$c0Fojur#z1f%6ti5??>%1L@5F5U=HxI`+j}71P#D=du9awwwP;lOf zGl&ge7rGLghjWMx-}%IbuLnK3h>N*|ONkBN6s1Z*mc z3Ai2Gv-Sks$$3n`T`4vJ+sI-99>9UDJpm7M9ux3Lj^Y@OW$g)glJl5=r*ax=Prx&s z#{}#~u?cvgEGA$t@)NL+v6z7UDK-JGm)*cnZe;BVc&qb~+{W$P$!JVK`-cD5Cl>L) zjX#{8A0Ek`ES^tK7BjLZi`P=}W|n-Il8>|G%anYbB|oI($1M3hC4Xf}<&e#a+USrYNhgdY$?(87v(b>VoqVac~9cMf`JCRs4*6ysM z^XTk!V$t}!&dxI)i^c`SqOo>oy`9IRaXGPQ{9R|)7>`9`FxRqnXTzLFXEzgz#@}^z zm+|QA9`5Bn#xa3O+|Pr=8uBnxc!WoZHRMTR4S9xVd7f#^;6-8$d4*U*UgLG%_?=zdvrE;JESB&$dYtT$=O-bGbQmsmZV=wuFjI{QZgh+DZibhd_kXO)aaXB$wgvs$v~tPc6k8W@YtwxU>P&1KP9OLCp9^HZh+y#FBDaWIE+ z7)NjFCi5^;d4$J!f+u;J zSVNxUd8RXi7kQain3-K8Z>Jd=A7q*D^U~a%pUvGRDTxoVB&$;LTb7hfNqmqcsg{zB zvt;v>)XkD@QnG!P{BQgx^S`-5PA-Yp=&4by`4p|-Y$|wZyODfvBaKCGw^OXQakA)bBKh8?7>nK>rC4v%WYOCT5bMZl)=-x9C{G0{u|BbmY(y2RQJsz1 zgj&?54x6(Db*V=K8nG3PX~H&a%l0&*IXlvlo!N!mXvH4v#op{oYxZM*4x}yZID}Y7 z4(AAt;%JWLcut^wcAXT`%swNV+2^O3-6NaXm!{;3EE$-RYqMl{N^Z%LyF2~&{wMRl zyFR`xiPvatDf!lZHWsb@O0m|;$)dH2}wKm40wF4;D+L5wo?HF>c{g>TitRe+EaR!~~LO0Ih9M0u@y3>OTxrkm|!lhis z6xwNNIz7AmJC9x5c8rew1$X_%6DsF1Ws$xfZJpSkjlu07K?y7tM^ zgHw7~_UDdEf9}LAIXxw3X32Rexxk5C*B`Es%S+-ldh0{Jw`+_=Z-Xh;+s(4*?N;)= z-DNC#yN6=EJt&Lb9wy)0lg6UAXDHU&E3)YAHS)d9F&4eON3q^Mmql-1lIv}qyZ)8l z|1-bvE5Gvxf3cb}tVcO2P?5^4&xUM7RjN~inryIu@C#whW$B!gJ{da9KvB7!I2!zF&xM7oJf04;uKD$BLz<9 z49=u0-8h?AN6zDXE}#b&axuN=on0sW(hQ9U3v+%*n!CfYxjQN)@n9htpOQ&gGBqXf zU?G{Fk{7e&jg-vFl8;jIX_hQV$)YS-k&>UXapD^J)77y-NxVj9RmgW%%UE<) zhhm*=C5z6QkngOyvFNNN#X8$t7M-;w-&s3j(b=IC>+A$sbk>1)iDZ@cwHU#9*%DdWJBRVcf*cjNn#AaT|AVCwDQ1dl<`ojAsIqcz{?#Ci5^; zd4$J!oF{pjSVNxUd8RXi7kQain8|Cr!JEutHgkBF_xOMh`G}AClzDv4e7U$cnC ze8YEq&oX{s1wZl=tN4ZAh&AL-{$dSfSda2lpi*{?R7*279xTlFx@qn<$mZ@gDTxOQ z$!;mxBTM#6$$?pNR7#G`l9N+%T9%xZl5?}!p)5LELcX)* z#-g*86zgoYEIKPouCsOS_-lH9EoxJT&Dnyw)T057*oww9VH>t(dv>5XJJOP!*@fL` z#UAWMtRef-n*G?H138Fx973!ihjRo+aWuzrJSWhe4xG#>oJL1FaR!~~LRZe>Y|iC; zy3>Q6T*Sp(LT@hP3a+Ft{kV#&DPbUkxRzK$ZeR#EGK`zJg%OO*u93St{SW)?+|{m+ z?MmV`T5CqWwOx%xYr9jdwF6|)T3hn19ce6DJBDJdohpmg3glbsW-MAehhnYul0|Em zl5efQv1n}o#abIGi`IsdYi*r7{;}RajtNZUejeZcCCa?1*v5L&*ZQkKs-sc16@-eZBe8xP!U_M{*H49nH629d-ma>fH{K!gvW);8k z8-MT@t0_ZS%2A$*RAPNLWFx9ljT&ssCTvP=HX~M%EvZX=8qkQXX-w1XDru3{@&A0N z@Ygl&lm5EKHrXnFa7yE$!rkP=wEmxzC8wt(9x5akq~yXZxjZF(vSe^duFsO2Q*vvT z+>?^~vgE;(JnY2XWQ{B2nUZ*o-kvAl+bhPRx7R4v+k3L;?L+dteQqpz`;ub4eJ_jN zejwl5FUF#`-znBx1zGe~nS5{6jYV%YDb`y(S@hPBTyN{#@$c;YyV8o?*^|B4hka?o z{v5zTwB=w9;V=&8NRH+hj^lVvq&+8b3bBrKq`>K%!I^ZS8)p;i$a$R41@z!TE~Xc~ zxs=PffT3Lxp5bO4iGg4N_7i zOX{R#i!9kHB~7xVWlDC*lD$*X+KJ!Jb#c5-|AYWchJg%X zFxPS&*YnTUAq$qof^Ad5wyEIZt6=L?uyrbUXfC)77i^yjZp8(+;(`aUf^Ag6HmcxZ ztY9luu$3x!h%UG-7i_2gNBm8Z|H2pd(vRnE*(m$*T22N{cd>va^nw~mm-y>%4%d+Qiuac><< zv3u)eS=?KvlDoIA^OKpEy#Ezu@)~dOCbO8$9Ny(UKHx(>;$uGLGd^cNU$TI&S;S(# z;X7ilw~QZH!H@jJ&-}t~#9r@D{$dSfSda2lpc0kYfDNfaRjRWwHL1m>)L}EWpf2@j zKtr}-YnsrMZP}h3Xif`SvJ<7C?ZsHb3Fp^Q+&K->AF2-;#V;RSI zCNhZ!c!B=htIXte-ry}}@iudKm-qRAx!Jz|i?r+h zD%+1QP5bfX*?#=jl>Ct;6;rZ)mefeeCRtKHC5^IVyOcD`lHF6XSC$-*lD1iLOiGT= zl2cPsaN^lGHvZ?7#A|eRKKagi8H>&?rC4VJWYO6`@|_Je7M%^JSZ8<2qO-fncQ(OT zbap?*I(u9eojpaqvl+&svzI8=*=$*K_71tu*7^C&0`FhQVwUhN-?5ZsEayj7@-wUW zmEZV-KUqx~%2JN-!+spVfwZL^hj18&b0kM`499XjC(@pih&AL?PNP64&frYC z&@H=0E=V&pKK}8X+b7N4{@L6eoRawXM{;XQZp)H;QW78kNFGkfBU$oHN}kV>*HZFk zmb{me53}UUlzg2f->2jUC$5olu8!YJ;x#(^i+pDlj74XaDb`s{S#-83`OfMYi_RKS ztg{_t(OC=fowYI+o$X1n&JL1AX9tt->}X@r*>M!>tfMSCJDpr->-=oyBJb}-Z!YC> zuAmQn>Ce>+;2H)pm}|M78yLzkhI2Ewa4RFZjXQ`n!9`5Bn#xa3O#2WG-5AiTl zc!bAzoF{pTXLy$9nZ^uW-Rjxgt&@`Y_(#$tCEI36%ap{&Ka$od z**{ATP00~i(jg_MWJ%|gbj^~UDY-aHu1rZkC$5q5u8!+U;x#%OLcX&R#-g)P6zgoP zEIJ!czO%{3qO++K>+CsMbT*xQXETjOXKzrfvkzp^*+=9%n{O;STR^eSmdT>C734Zw z=bpcu_gA12mDzv|sX|q%voSTP#irC@Gqzw$>d}CPY{k|zp()$4J+X!~rv)w9iCx&0 zR_sBnA$zkAt!cyl9LPbm<6sWuFpl6zj^zQ8lBZ8-&td0 z(b+Z>>uhIPbhaD$&h|AHo$W`l&JLGFXGf9mti7@5>|}~{)$`xizwDv zUs-f^6}isVx!1qh`$sU6QQXcQjOH%Ja4%yS$9N_(i3fO)$xLA?kMbB#@FY+3EU|`6 zV>&PJA}{j_GkKj@L*8N*Z!?E?d7lrM%SU{|r_AGX=JOQ`SjZxl@D1Owlw~Yu1uOZ9 zRs6zl{K22BW({RokMdNc66+Id$VOD58r9jDO{hif>>8<`W@tQ4xF5^^Mwg{?sAapE@RG@jxLfq(5~=_NUHC$@y7wX-clhk^w0hm?gtga!Z!nnUcGm zxJoLzKJG7x*J$k_@~u5?ELwYtVy(R-i`HHx-`Z?r(b_u{Ywa^xwDtx0))pI!*1n}! zYd_1PwO`4%R>oMgR*qt=Rh30+HORHL&fWf2-rty}Y{PbJPcxdcBRjD(yRsX*vj=;z zH~Z3t{WyRFY0H1~zs}wM3EtP9lZaL1R8FHnC(htZy3mcYIEQmNpYHVFLN1~gmvAYU zaRq(oOMk9n03{4$5Z7`&H!zeN8O}}I!mW(tHewaIlhNGG81Cgh#xWtA?+^R##}ucJ zI5p#+PqTMMHhW)7vo{_oBp;^a<1G0yCGkih`5`4gX36g<`728*r)0w{shN^Zv!r24 zw$73rQqsbStE7_aW6zR!jn?)d-`YXOqP2r@)&6a3#~DAK6Un#M(O9&0I>lN$PZq6R zK)$u!#-g>$Dc0IGvS@8E`PPORi`H(YSZjC5qP2U-wYJXv{-fUiI8X8v&+shIGmRO% z$V@C)s$g9%29!eRAzlPqzYB3POKs|*@R80&1P)Dmeiv@4Qa&IG@&Wm6067# zG@}JOvJ<G-$da)s8J{InQ}S4rJeQK`PFyFIT_JCj#B206i+pb%7>nLMqF8SW zWYOCq^1UrH7QL;YSZ{yIqPI2Vd#hwDdfR|vz15OMZ*|D`*1%ZwwiU&CYc7l4T9WH+ zoqK*Y{!4rQQ~mjlbmDY6b0%Hs#@U=ptRvmIfSz2)#q^>#ml5m8mGq$>{kfVFVjUSo ztRvTPJwq7EFm58&krCX=C~o5pMspWqxQDUa$9N_%i3fO)$vn(d9^o;b;7Oh)){*CU zp6SfsMP4S>k(t?b@^+e`@mOKL&r5T6el~ZPq$D0IB&$;LTb7hfNjz3as-(=U-*qbh&5z2YbeWll&1of zSf5x!HlhmEsLsZ0LaZURi8W+%wxBNcXh0*jqA^X_hHcrNW;ACDS0(Z-bu;(S@Ky*zHs6i*}&EDZArXFXG_U< z_Or3*>{p6)R!$b3RV3e8Rb$av4T^QPr7SwDPrkFJ#-g+BDAw7ovgmAg@}0FY7M&eH zvCfW^MQ6v5>ujAn{?6Xtg>Ib1Ih@P+bf*UwauL0_giE=ME4Y%r^yexDP{Ke4aV^&q zYsgS;WH>i*3nLiGZNwUKC!@KWG2F|2jAH^5xt|Akh{;Uh5gz4np5Q5-=2@O+8Z&r- zmw1_1naS(C$y?0kZQkKs-seN+@-eZ7e8xP!U_M{*H49msT_Zol4E3YAF)de?Y%s(B zX0#f}!+xcQ{j)u$^q)VP8>{pxi}%_a zguk4xrVM2%M|om{P?`1Fkl55!CBH$~)L3mcBQ|wwZx9+fk4@dy#HOw(`3*t~W3j2* ziP+Swy+PR1d2H(TAvSew*pCA^khUC5Z0ZgpHg!jG6tO`#j@ZPJBCagUpw{RXavL5wmNF&ysk=r_tE$a?6 zW9=Eai}RR~t=OHvo00n)j~RIoZCQIp9^pJ@5C6lsbYD(hME6MbfyqG0#q-0i> ze3X(;vt&U^7G=qbl>C$>f2L%O6OSz$xk5H5iPu;~st~Kl+MU&M9-Y-8R*}E!Y%Al@ zSrcLvS-Z35&ZD!I#47T4o$YNrR*}}kDzbKG?VLwvhZ3vE-*t9^@mNJVa1v{G*2#Hv z)|psE{;sp`#$y%f$%U-d**ZU38sNRLh74jb*Ks{V7|JkiBG!-*#2PY++qi=}i8W*l z_b`_G7|#SI@c^-gOeWTlsXW4CJWi}3PZMj%b3D&uv&CvMt%6zYz=5B**?rxKk`1DG$TT1rGlKoN=pI%9hO3ATVa&k&e%aXHFa&DGf zl#)xbv*z+e_j#IvY*CvvJ0vvxyYz>`_^C_5}IPrWuRQUZ7ZK zZ^@#wx5;-l*I0D+3B@{FD2vXPkne1{vFL0i#X4Ipi_XfD>ujALE!Fh?TGXZvo3jOV zsYe4Eu@#MJ!ZvKn_Uu4&cBCacvkSY?iapqiSVQ)uHT$tY2XYYYID}Y34ky--qd1yl zIi3?}PX|us6i%Zfoj8NebfGI}aW?02KHcd-PcGtOE}=JmwsHu)s!%hL0n6$ zAvZ9D8yUt;+`>Cb(YCEuoGX_ow&l0UMfTuLftNsW|jk|kTFq`ni^NmW+pfl< zx7{h$+X1rZtu6W9jx-j%9Ye9+PL)M(1@gUhGZwv_L$Tg^$)dMQ$@kXZSoAi4V!aKO zMQ_8&^|sEBm&SVkI3_TW`+0zen9LL&;ZYvv37+CGiH_epcNO*VHAPRU_ea$-tO%97Jla%PrXkdh0t zm zYErDXda~%PA-UfE%l#mvT8*(1*VC=V}IU4Feg> zwOr2-hBA!d+{`W9%1CbG4({YG?&couRkEZ`O18+7ty0n? zOIoI6mn_*kC9R#fpHz2+99j~u(c2N^dpp5c^wxo5y>*sFZ(Ygv*4W6|4KiuE>G7QIa+-`ms1p5ZxS9huGyUgRZSVJ5Hf z25&Np+05Zx-s1y4B_?ks5<{Q2v){$lWzzTlkCw}G^ek0bAKlzI_ zlwm!}Q-MlUW&<{)3RS7j#?+)1n^K3(*n+y$qX7-simhovQ?_M$cAz;eXvt3O!fv!; z4`LnJn|)|a8}{cw4x(LlKRGJR(0H^k-%m?(w^KHE&q_%=T1YNQ$z@q`RZ8N~LULnD zZpxC|Q!+YBCZ^#+gBEy?MJS& zb?*C*^ZpZPPX|us6i%Zfoj8NebfGI}aW?029^L6dPcGtOE}=JmwsHu)s%1z zgSeJhLvCOQH!_TyxP=jnWE8h^2cx-*G2F{o#xb6WOyU6^Vlq>h%A-8S6FkY&Jj-)T zV>&PJA}{kQGkKj@L*8N*Z!?E?d7lrMn_VMcq!}8I73TZWGv&vlAl?{ul&Xz{K;y{P?mC(ry`YDpACsM zq$<^@!NzPtEo!qFv4(6(UFy?-Mr=)Enz9Ysu|3Ub&W`NF&g{x=?9LwS#Xjsy8}{P> z4x}yZIE2GEoFh4kV>p)MIg$39M64mFavB9XaRz77g>Kn3azUD*@mOKL_epcNe>Qgq zrz9RLB)6vIwk)|PCGl7xdAQTI|GAfopCEZAWzT2XYw1tDnfYLyEPwgDhHWLB6$C#-g=7 zDc0ISvS{sK@~s_hELuB`Vy$(QMQf*%Yi*r7{)@c77rnWZ%ejI+^rb&nGk|Lt$Y8GJ zdTwAS!x+xZ+`_Gl)i2|^Zp7{ zqB0w>AyueKbvC9Zwb+z8Y{nLBNj)0SkgeF7CNyPRwkOt+=Cq(CJFyG9(uzHZb!2b$ zp*3yTp949Fb{x#19L5nG$YGQ~RUB8$$>BHvjLW6{|~6zi<7EIPZ2 zTxaXt@!#zIBN)jjZcjg<8~-*(r+=sa>nC*Mi*fN2x>uEcSMKW4!vUp-C8dYglpYSu z4&zIDgGxsSmmXeQdU##w;q|45H)MzLPZ&}w=lka;bnP(y`3c?sAO4+jK9l+9i<1BCUzGe8zSNg~LU)5k@e{hSWRJ0c-^*CW zF`kJ`;sG9HGEPH_}*d`Z!?E?d7lrM%SU{| zr_AGX=JOQ`SjZxl@D1Owlw~Yu1uOZ9Rs6zl{K22BW({RokMdNc66+HizKy6tHL9~Q zn^23|)M0bBAT|&6X+R@l!`GN5ti5??=DayO(vqFoh1fjo&K~?f?A-^jRaKiVY7oUN zAPOd;k_UG=Pg&G9a*F5fZ68gscfYj5Jfw;!!o^YGB#bq5Y44t#4K9y+^@ z1K&}^f$vz3W6i@uiR-SMLLB(Ib2@Q&IEy&&ol6|}E}$p9xQL6nggEeBP8|69(vLVi zTuU7IuE&Az9}f?MjNi_kB0Na65`7U;|l9z#Z9%H78(m*D(Qiqi6!Qmc;~Y%K@x80S|T^6YwxP(U~Jy za{?akIws&roXnaN@HE#k0eet10WXxr1nfCg4>RO~6}Zw=#g+SaSm2<$4Hr za}W113={A_H^hfLAH|sL4rW5Se|S8*vv@AuSqXKQnGQDR82{>EUA-{9kOKSlr(W+ja0Wf_9~6X*fjPfHjOnqYv(#T z>qu-G|J2!$#-p=ih)rY7&bqjc&bkqs#y@p-j`7$u&L=jFH9PC$IyQ~Vh)v_4I=jwz zY#KLm6Ki%h&~%j-OlKyui9O^6 zVh@?mi@eM$#2)fG3we{bc$>w%%lpJ0@*zw4h>!V%6~rF$1+j;G%{P3<_x#9D#2)es zv4{N5AN<8?%CQcyhpb0MHef?GW>aDhsZ15BvIW(s&em*8>>)L&MIGw01NDhLq!F=) z>`d$-O=wDUb|vYKQ z_9^+!zBLw|{XkJ?f6Ah>zsYyDzOm?RBZ@lPQWl+UMZUAz#-g+BDeA1TEIMmOuCuj% z%GB2D+tGoJ9K^vK%3&PN5gf_U9K&%O&xxEw7rN4oQ#p;(>A{(tP3$4(aXvk{kc;R| zA1)>KkSmBiq#svt4W(Si4cy4h+(Lf_Fp%51gTdUzQ10elhH*c`8No;%Vl-oTm`8bx z@l0S6kMjgi@)XmEJ>*%QV+J#s&GWp#yljmuNHa7($THuTq`A8+o4cQm`fME+*euUt`hP)f9Dht1LRZjeKWAj74YnP}JEdS#&m*d}kAlMQ4*K z>TJ3!I-5nVv$fv1yy^9eSj;=T$NMbdLzeL|%UQvve9jkq#n*hx_x!+0eqt5B@Ed;+ zd&p}3rabGgF6*&A8xniSCTvP2DziCTuqD;mif!1I8q}mVb=jVJ)Tbeh*oj?eOjDZC zf?e62mh8#i?8APvq7D0V0PX3(fy5qi2#3;%!#RSZIGSU#HByph=qcIUJvYtW3$nR; zNlGrylIv4)Qp9KuR9Wl1EZ9E=#7SmO!*fh$CbO8s^UP%)FY+?4@EQwP$Q!)H+brf?VjuZ{B`jqb zAM*(-_$*r|-=!HEw-nwN{z`MVTsC(%NJ-pMNUEh|>ny30lDMUiG)YPGEZM7TjsLir zh^%AE4$iV8)1Nvf`%~Rga$1(0laljYSS8z9AD5NJW3+Z9`PQy87OmY#QEPX|qP4ro zw|2j=Xl(>Vtvx1-)+Uf|?I~l?+S3%Z_JS;0n@_&A*NsJMZ&K9Shq7qxBXX^+^~Ar@ z>wo4Ke&u)m;4fBFj&-QOdQ@ZsHe_QqVKXXIg{o{pHL9~U+Y-A-O=?kxy6iwb8qkQ? zMRsNvn$VQy?8A{)AE^-d%ay}PuAs5k`KG`bimu6_(RG8KM)7%}H&E26XiJJ<^=#)I1C6iJT zHx-f@DVd!mFQw$wEO{p-?`O&Klzf^cKcwWREcr7ff4i_owzE1mDvihJY*X@`ZD}kz z+lr#jwwFa`^~rbE*jRMdjH1r=kws^%$amJkSafy}MV%cji_VTC-&t2<(b=gKb#|UC zI_pWUv$dZ1ul4%txPcqFnOo@300we9cQBZ{7|Pw;%YEF>a7HkahZxNm9_CSE4;jw{ zCh<5=Foma>M(iQa@*Fdm$!wnI1?DlImw1_1d5zb3gEv{k+q}cOyw4IoWEmf^oKN_a z&-j9`_?mC|jvx4upIF5&{6_2{fASZ9Q;v05m-SdbTO*ay42_!#^SwrzyS1~q+b|_@ zQz6+sC3|K`o0P;&g``tTj>wV|QgU*ZoRN~Vv!qu_F3ysxQ&O5Gx2EJa7uHA(tK**1 zc#O`5k?(AjvFL0pMV(ESMQ2Zv?`*oU=xi26oxLK9&K8jGY_YNE>^+J)TOo_iJ}2MV z_r{{Ll@xWhS{9v^C)e3pPyAKAeoLye72B{aHK<8#>asocs82&0u@gJfn5HzN1-r64 zE!mU3i9KXLTG596Ie>O_;6P#zIfO&$#NiylQ5?;&9LEWq$jNk}E2nTO-8r2zIFqwE zm-D!Qp7i1(E~XEcayeJfmwsH$HI#BaH*gcNhulhkZet*~b0>otlC6;k(hQB83iEwj zn!6LTxjQu_ZYm;~o01o^WMN9;hC;G5B_C(Wmnr!sOMXqsA6Zf%B^9%zN=mlKlI>Db z%Y`*k)9ToW6@b>iaI+<7M+!l@2rQh=ima>eG`GggG#^-#=SA4^_e9w=p zb5sWe4ifAX_6% z(hQ9o3iEy6G0}DY-C9E=$RkS#o1aZpo56QgT<8 zj7Z5tS@Kv)Cb+OhYFQmmm&Rjs_8j@nUN9D&&8MidH)YY;+vGd@&{%Z#5k;MSEsM^+ zBj4FC#-g*|De7!JS#-7m`OYdEi_WT2)LBhgbXJF4XKOv{xA6MiXvrSz#op}8ezazP z+R~2pbmTw|<`53!a5{4&M{x|tay%yzdq@{b=*B6WMt6E}Cb5T{!?~Q#1zgBQ^rjD& za2c0#C4ISyYq*x{xSkuiiCgH;00uIMJGhg(7{cA$%YEF>1B~E79%3|Oc$nBj9%CF6 zn8@Qi!4#g#*2s)BL*sVBe1A2~-Pg0Z`%X&Yc0%%LO1{XFA5s#x6OzAEvQCz4l#)%e zWUG{HnEO z>kY=@t@UP#-dYFC;;nTk`M1{L#^SAYBt>tn<7M&II*Hs{>smjOnd9{@Fpv4X#LK+O zYrM`IyvZWo<{jSUeLmnrmhlnG`GimTj4$|#IO=`Ncl^MQ{KU`v!f(V;?@#{XZ_2R_ z>#`o}vjH2iF`Kd(mD!xCY)Lh?Vr#ag1~sWo9kyo&>eGNm?8MGArU}hx&aUiEOZFs= zdi$_1t!T~u96&od(2;{2X)63*{odUF_(UfTLbKAL=K1VU^GZ6@EJ%l%jp|q<@0G@5 zw6}zOdn=4Zd!JL(-bz`tw~BmwtBpl_CbHp1JM?q@g;GLlh@W-Jf$ zDB~E}YyU>`XG^Yi-u{(RPCwsFm`_Y;PITr7j^Y@O<#=KrIf;`g zp)03w8r|uUt&{W942{o#%=s(R%)Tm{yEmpJJ_C~6m6E%&WJF5hGa$)?uK&HidcM8& z@q1}JMr(hOZ*4te(b@(SwN_OYtyLr6T1{ioS{;g7+gTQ^H6h7#=2ek;fRv1Sax0PcVh2n99>U!*fh$CbOBt3(RFc zFY+?4@*1zRkT-dYw^_`)yw3-G$WlJyV?NS-Sk)QaPU$Ry5cbf6> zDUcbzX<7@Fv$e2QO5#%>N&S>G%93U&iBEwftx~eTw+UcqcWRQl`W~pR&33-Y)4IMQ-|%@f%-I{5jzq4 zNMoANjOOghZnR`iVjtOueQ8B&_U8cF(SeQ}#K9cOVI0m89Ldof!*LwXiJVLqy3&nP zIgQil!I_-RIh@D&^yES=;$r%6DY1`S!IkvmDz2fF>$o9XC%2_Je|t9Phow0`JX;N8 zQ}U<*h_`IM}iC7YzA zk_)GZLyCH9A&cI2C*RwC#-g`26!mt9EPCrizPDqIMQ
  • e&Y}RWHoSySajBrqRx(#MQ6v5@2rcl=&Tz>ot-0# z&dw*_Ss!E3*<}=UcAYFbyODfn1C2#zcTm*X{j%t61i8-Edgh<(^;39?sXWayJjZlq zGMhQPz+C3@A}{j_ukktyd6Tzzo5j4#`@|mdAxrs)kNJcZe8v~V9`ZHc@EzatBR}yo zzwj%+^9O&insTf|1=gb?8?Yf8vniWVnJQFe3#w6_t=X3Cs7WpAP?sI3PXihed&ti0 zLKB+OoL$+Cmf0F0p@(^GP0mh?=?MOo4>CD&xh%_-@h zB|}qkZ=PZl#(a1TF9{bhZ`w&T1Qr&bFthv&ORMtQon^)_UG=>-FvE zKt~SZU=HOl4(AAtBgy?#_9CnOwK0ukn=d7o?OU9^rjD&5_`xM zTuDE!;u=c1jvKg38nEEot;d+v+l;Cvok2_ ztd}f0yO?}ueT_wDS5ws4t+MFsHu9YfF&3TOLs4g=WYO7J@|{gI7M)F|sI%#^=xi3b z&enR?f79z1v6y#wkM~)^hb-e`ma~FS`J6BKim&;W@A-k1{KP7L;Wz#u_K?;5O?lQ~ zUDjiLHYE0tP1ux5RAzIwU`wjA72B{aHK<8#>asocs82&0u@k${n5HzN1-r64E!mU3 z*@yjTMH}|#0NT@m1BpH45DujihjRo+aWuzfYosL2(72s2-_K2R_kwKhUXqfyose9g zlAE$*P)g!C2wWP2Ps+V!W!Ap>iDuW9;35w z$anU$vFPkqiaM(xi_R*N?`$(;(ODIWI@?Yboz)`WSp#Fy*^U%-wwo+E+k<>(t&K%z zZ7J&PFj;ignOtXUJ?o$9_1)>g8Jxx0oXdG!z=iapHy3jWmvT8*(3h*YnrkWLdT!t* zZXx!N0o=wQZs$%0GlaW|J>))y@c_elkcSw>7{>Alk1~$&OyqGUGleIa$~2zgIi@p{ zS^4c_8y7V|E#hkU>ima>eG`GggGmaUQRVut$u+?bXtOLv^< zf9jRics&`}g~E|9|l#QQp1&{cGX=+y7cP@16gCfA0U-@6U~0 zdX>$4%>%+;u2)lz@>F15;()LL8?rHRsN0PE0il|)>TFFM>ef6U)Nvh$x*do^T?6t5 zgeJz~P}iI|)UA0y*voYs>h>iLb**VbTMnQ-9f?ET!Nj5NFgg(jgd>SV-7z@S{o?_l zoAFaPjr;-O9Aj}nIG=wwAo%{=o%|cUOd3aoE6E=bt}_-#gc~V3BHSU1Bf?$ej|lf0 zizC7aijD}6$>NAGf&3BSDPwU&c$%Uk!V9uEBFra$M0nj;91-56=!o#4ERF~tkvk%+ z^`oVgUjH+{5Hs?3{$R}+xsL0Yk?T>B4am>P%Eqctl`U9vMsDjmW@JrjQHQ#$IU^gn zjw9>N?82Hea#z2yY9i6 z#F6!%X5>Z2d($U7lJ!eB4e{xfTaf31QZhSB zUP{TUS@KRw-p`WdDfu)@en`nrS@LH}{&wNEWoIj7qtbYcU1U>Y7g@8jEnP=vTM@g+ zKXtaf@#w5Rv5TzPS!37HSuIc6}E**s6|A@i8eOT5gh zyvFOiLF^%mc$;^4m-ksh>>>=xCYot<|q4DXJ`CcQ<-P+mQZJ3hy^h&aOO7_f>HYtfuuOyvPazvJ#kdl+L z)wQq~r#+eQzu}TS-x8t7Xwyd2*et^`oV#UcV*P z*@|u0mKxNgHg(yadeo;Ojo68uX-rd^(SlvsotEs$-ozfVAFXJ^{v1F%I&dJdha5ue zA)Pp!BRGnqIhNx%ffG5IE_CG-PNh4ia|UN}Hs^947toVlT*Srn;ZiQ=3i{HItGR|! zuIC1BBKDA5>CbHphG8Ua(OHpS7WYO6m za-FU9qot8vKZ-GoPcoHhJj1h0XC||l!}H8#9xw7Tv4_0I0v7TH zZ?TBQyi4pMAFza_EaPK7VFjP@IbZS>-|#Kp^CK(ynN|GCZ~Vbutfn00sld8aWPLVd zV>V$kDp7^a*@9|RXKP{)*^V02qBeEefqFE^)<}~yLz`!Fci%L3TW52(V@eLrl4DYG ze3o=e$!S?~eo8LPlFL$ZWtQBSl3TLmj+ES$B_mSuP?kKFk_j%XktSBh)1~nkojpgs zvlom-XY(oQ>`hs8_BQ#>J~S4ceMC`bU(2Gi@5p!di?QhJcZxb&PZphRK)$oe#-g*T z6m?cp7M;~0*V%tLH^v^)gr+p71-sFbJ=lxA*_Zuj&Hl8d9qsAJfgH>s9LC{v=17j> z7>?z5P9*k_E|k!XQ#g(8^x#Zl4>^Z(IiCx-kc;R|A1>iCF6T=6auwHbE!S~9H*yoV z(4PSeWDs|7CwDP~ySbP9xSt0Y!Gk=+XvXj`v4=dyI3_TW$9aM&JjGP&N**?L1@ATThC5yFwPd^&{Wg4aTCknI^{{^T$IrX1_AF6*&A8?X@@vniWV zna!!nmQ-Uawq{#uP?Or!VS9FCNhc1Ji(JZ#WbGbS*A0C zS>`^{ zi7IT)7Hmm%wkGzF?WjR5YEzdTs7C`DvLidO3yo*z__o{5}-k6fO zwUFGElDo5HL`ve;LNcN2fA23bwy-{aFOA1&?Jx4Jt!FG++km3hs>-6ZYUEq1X)Ic+ zLs4rx%c8X=FR$-SA1>iC zF6T=6auwHbE!S~9H*yoVa4Q2C$RO_EPVQm|cXKbXi`>rxjNm~YViaR|nAk-gV;mEh z$m2Z06rN%#PxB1VF`b#rW)3efm-)QN%e=~Kyv{=2|LK#;=pj_)XJVsGO~Ztx~dWmefy4qbzBbl3lZ;RZ8}E zwz8)GTYiagS1aV^(s+#C`jhW%u(9ZEC`G-Eltpi&$@eziSoAiDqTZgBMQ=06_cqU1 z^!5@(y)BYOZ|{)rZJDv?Z8=4~eJhLJejwM|T2KBJyna2_X9G53V>V?oDziCN*^+8( z#nx=gcGRRcb=aOAs80hLu@kY6G^Pp7XwI(eMoac2_K|(qmsYf9e-5A>9q7nG9L%8{ z#^D^nksQr29LMpT$jNk}E8RGi(>R?ToXOdo!+D%fPcGylE~XEc68p#%TuDE!;u=c1 zjvKOda$B17adY9FVOW~;!?V>eHYIK@B6%_;)3Rh%O5(OcvLGdIWXXFeS&}86r{t?F zS(%bmSyDbF>t@L&DXHYbiEB5jW1G@=jLvG1@2sA&=&T_{owblfXSmw&QPf#qS#)+axz5&l;=jY|2Q!4B+>^dP zH~wu7OaD&)^ZRq-2jk-Vb0?SmtlSf2Pp6bUeX{K7Q)N%5W>4dX^rn@KK3(?onX;$P zmOXv0?CJFEY5Wsrl#R|TdpfJ^>FlznbJElF{ke1g&whXIvkl6Xi?2HW_xp35F#i4i z+<(76w_LeywKg*oDp8p#Y))0SU`wh|ovqlKZP=FWs6kC?QJXr{WqWp@9`$KJLmIIo zJFzpn(3mDPr5Vj>!LIDa?)-oJw@3c(et&MYy7B$Fv1Jdpfj`JdMlqVPJj|m!#&{+& ziOD>{lRU*Vo*@o=)0x36X7fBRFpn3B1K%sW$^u^J4c=lAi+P9lc%LPF$TB`=IV<>- z&-sF{_=a!!o*!7rPpsk>e&Y}RWHo5Hf&2A z9%@mWy2OF69`#xC@UV;P#x$iFE!dSfJnX@q>`ff__M;VR9v<4e?!bY>fp5*jLuc1< z;5&*q@Eyx>ta*4Saov?uhy!1DPA3izXAuX!bBP1r1@xpB7jZF{5C^`?i349>`VohR zYl#Ej^*HeTW9N*gzH&a7T7x%?a4tbxgqBD4Kw+WibKUasX>iz=K`K1U!sRbmj=woPfu> zjtO`YC$r`RJk51Xz#bG$zzbzD0eh35fL9ue33wGn6Yv(NX{ zPputkJX$-3*f!Q|t&8hutsAjz{8MY^7>{k^d}7;Jv$a01W81il*f##Dwd;(>ws9jj zv1V%nT}Nwo5ZlH-wRXSpXl(?!*4Fx2(`2uYUF0cZ7kQdzc#i4JWHzyjyg=+C^Lde% zd4|-}!^T zSWP+BA$F1VsK^Fv$i{3+>>`z^LRGe)8r9jFZHZl^Cbg(TU3Q>8v5Pb!c9ETlU8D(3 zY0j?1F48hvC9Tp7{g02c{FOw9roWQt@NE7bm(mlnnSFYi*=J@+&y-x0CH+!zO_to8 zlKxpTG$r?D$;gz9&XP$fc_K@mP00)w*2x}L$V;X17`?qpzPCljqPKS_>TS6!di#`o zZ{He=-hQB{w?Adk+u!7STi;mpwh=|WZ7GZ1wj$qKZDY~f_7wHjSQfoCBiGwnKWl31 z_3h|DM-Jj(4&^Wo=LnAEXpZ4Hj^{*9q6=N=#;Kgf>Ga@C&L;Me^EjWLT*yWArVp1A z`^Xi;f!D;4>6iCJj|m!#&{+$ ziN|?@CwYo##6I#Y&oP6U%;tGsU|zOP7Ni*(A7^TQ%PdK*i=w~5B0 zx5*UsHeD9I%_7&^T5n5Hf&1`YEqlJY)?Jv(~w5a?Z zDa~lXuIx@r_GEANVLw{YhW$B!_H^JtVjnq#L+Qlf9Klf>&9T`!DM>T*lx$|7n`ZU} z+1$M(C6{N(^(nb2O9rLn&MbK#B@brFBPkh|B~w%KOqR?^$=obin3A`$rAe*|8jneJ*0%LoWiMerw3|)%q3jP zIL0%P z$C=C&o@6T1c!uYg&P--8hv%8gJYM8wUg0$su#h)+i?>qVRjA4qRHHguvn{cQ)T9=5sLKx2qXCVGJ!EHgp$ScC&aUi6 zOZH$d_GVx9qc!`}mUgtKBL{LYhjJK))0rbVieosI<2jL&=t2qIIEB+VogSP?>>=lH zF6VOr7jhB3>65LIerblrErt2sKh52N+1wqPlDMUij84hJSu!alaZ4eYk&@Y2@={7( z&60Og@_v>qPsyiQ@1XFm5X~Z7# zEYC56nat*SUSJ;cd5M>KmDhNkH+Yjpyv;kj%ljoh>#NoxMjsi05*KbL6wqhH$r3N*rO_L$WpUK$@X(J7K<$OLKQ(Hg~6{ByJ}p zb5rtSmMlz3+)hZArsU%+`7$NnWXZ27`6Ejzq@-e&R7uGeS+ZS9YPqmR_Om*6ERDzL zY!~vK?Pe@G+k>Lc+RCD{_T)P|%vf~RnWD~4l0|1F>(epgrzLwV?JR8pYb_g@)h6kE#LDaEBTpK{K{|q!C$PV z9ObFNx>RI+He_QqVKXXGh0WQ5YE)-yVh`Dl8q}gTb=iS>G|1LSlQcu)cEWt$H_hGF z+1%}zlDM6a9Fvmcv!q)};&wuEeo8LPlFL$ZWtQBSl3TLmj+ES$B_mSuP?kKFk_kTb zQsMF{i#KXzg*;svkI~z6L;SoAiZqTb$=MQ?AD@9jfl(c4E9_4c(adi#!iZ@(Ce z-hQX3xAkPv+Xm!&t86TKt4dLCHD%FT9df;`^}OH0>vy9id$1RKvoHJ6n*C`@JKEEc z138#OIE=&T%#j?$F&xYBoJi~=T_~X&r*InG>A{)AK5`D{ay}PuAs5k`K3u|OT+WsB zTCU@IZsaCzp+5r{$RO_EPVQm|cXKcIaX$|*f(Lns(Tw3?Vjp>oaZF$$kMjgm zc#5ee=Kr|gY+R@Hn%|{6C;Kkl=d+)Si=Ux;q3lQG=9WF3SN3#%+0z%xp1xG}^yTbn z{KH-;8-2Cx>1$}mWH-Y6S=v+U_x@$~=3cj-?5Uq8F|?|13i8UFn) z-G9GJ_uuc*{mnY~oj>@KzgW%Rl%sstlK+jL+)Ll3TeoiZUAnev-=!Omhk857zr7x9 zEZ$y^qv-ARR9U>eo=*Pl^*m$o_S%!8x7RCV@%Gw}{M+jd#^UYuW{Tck2g~B^btw6_ z*Wt$E?R6wYZ?EHJ@%B22{M+j^V^8xeaon51OlC8O7nsL z9q7nGjx-hi?|heT9IxW|Ex_~HEx;@37GQx3x5;g+lJ`pEG5T9V zzP}a5qQB26>Tjhi`ddZ5ztzT~zw#9Iw}~wJt3c*nKZ7Axmo-F!nNWQF*yura991(HzThoWO~kOc%Ox3a8SY(>a4PIh%7hj|=EYFD~L@ z`fw?ia|M0r$JJazDc5lWH*qt!(x2NH$nD(8V1_W1d$^Zj+|O_xWF(^)%~&4hQN}Tz ziA-WLPw*s9F^y+mvx zp{TPdvgm9o`Oan5>?ooE!dLkY)$MT+fjpB)TS;wP>%*QWJh*l7aG%) z=Coipc4rUvWN-FmKU&j@ZyH{m%_r{dOCqa_CQgU~ej7Uj*5+s?BlE<^;>6AQ|CG%7Aa+bWA zlDD(uqm+D-C10oHI~UeSTdU*u(s+!{{vzMmdd8x&4JhiYsw_IIM!vI}#-g)26m_<< zEIMmKzO$CbqO-jy>a3kCI_pTjv%`%=XGc=hSr=J!){R_eYyF6(m)G~E50`Kmmvbe3 zxr%GJmg~5l8@Y*FxRn76WDs|7CwDP~ySbOxL+ywOX{bjQI<4I$*x(_Dkb}8$w4VO zG)s<4$%$EVYD!MelAbBKC`+zLNk13X$N^Tz&86`eo%JW**jW#s&HfxfJ37#jgE*K&IgGpiEIgyj;LRY$RDyMNeJvfuIIfwH&pPpRE zMO;iDE+zJmE4Y$=T*Wn%ave8hYvi^xLvPRK?yxj>hi7wlY)T%@k|$F#ElXylByK0X zk1R;Z8(H#RN|t2F=PCIrOID_2RhE=b$+}sxNlGfYutwTh9ov+~V{}%7d}sBHMQ05u z>a2w=z*uzFi=xi@%A&KY z$#u5Yv;G}kKbRp5QSJG{sHEa5|z@iEI;!KZxA7ktGxe9QOzz)F5%6~FKs zfAA-(`J3{r!@5*teKsWakWJW>N>pZZwqQ%DXKSQZnxSz!VZQH@=5Et$?(UJ2xSf!+ zPsxE<(m5q@J0U4a$thWKR!Yvzl1ox@d6rz8lIyc%P)hF1lKWEffD3D+z18tZX*@<} zn($fC2k7DDV#=kdT<73aW?029v5&Sz39!wT*9SX&Xx4# zDz4^QO1YjJxQSc1l>ywwAa3VQ?qUdc6MM*g4C4WY^B@l~iZR(5nb7rr*e?Ngus-%G zjmKzhU-GTBGZw9Nq^PwcWzpI(eGNm#4fTkyU>KDG-p?Kqh+>ATBUv54Ml7l z@k>Yl-~SR|M=Ru|(s+#CUM1h#B4g3pI~4V{To%23O1`&mjYV%iP}JL>vgqw^^1ZEZ zEPC6BqTaTYMQ>Y?@2$46=xuw7dTT6;-kOo?ZLKGMHU4j%_)qfZyU>+xoXTmOP7luH zY+@fdkMrrtggg#G6+*C+brR29PDW8(KsgP7k$>v$IO-gEHNyC)vlqD@vvb*&d3v{i&1bCno zazbf5p6LJ2lgani-B|Q?21UK~l0|P9lkcssvFPn;ih8?M7QNj@zPBO9qPKe}>TQ%P zdK*i=w~5B0x5*UsHeD9I%_7&^T2K9NdVTC8i-~>YJ>F*tAF_;(SkVfppE;Obo&1k`{>`qJeWN-FiKU&d-{W*a4bl^Y^;t&p{6NhsIM{zXAX6vLR z&EL4OFz3%rGy8&UW?zz$xUrC2pOTxhWKc@t#zOKyN*>ITM^Z8_OQxpenJk%;lDS#3 zFePtg$pcXALK~~3?rSTY@eM7#ppN&Olzf#m$1zB`fk$h*H8H>)UP}JFWvgoW9 z`OX>`i_UhWsI%Q<(b*p4J8Nw$I%`W&XNSq6v(Dr?TkEO+RIl$&56<8$&gNXs;{q1p+H|fT-Tv>Y1O#f4_oF9ez&u`LQRQ3~cZJhVttb6Y&stA;EMIG?$3eBGd8||GX^)d?J>!Rep5-~FGlQATVm5Plo)?(Q zJm&KvFYz+3@b6y`_wQd2SKFiG z5BnzFW_8PzYh*k4IqlM`Y~E`g5dLz#nsSt<0_ze7gbmn`jfq3uX5p0ZyKpg5CkUt%+-uH#U*FL9`AO&i*B0PX2W9O@1x4t0mo zi8vq}NgV2q!J+OS4+!0ipTcS64+!TNivz;>{KEmkH|ZYi-|J=4I3iq0{)lj$u{a{! zNYN4D4p|%#?jnCgxZhYD5k^pSM0iXVM}!IFj|fj0izC9*6de&>ki`*UKKUcU>&D`U z@Fqn^gb!tLMEHo@5n-(#F|G9apZSHDk-zf?YtG1ZT*r)DkBV$SenwU{R)wl;!J0F2 zTh}ooYf_6k)Md>X*~oPqS$Ad^)|`>Mx{evyl0EpR8M(jln33&h&zdvxP}ead52t8G zo+vwsE|jq5j6B_S56&cxtp7A4FEZYnKG~71U%F|yCcB;JpKd1xX15bVQ*v*Xj84hJ zSu!alPh`oAl+4bOms0X-mb{aa_p@YqNUsyPn~UVJUXjS>>_J+*4TA)){NLi{;9KljK?n0ir7Wg?5u<9=>~fv*?Goe7wO4`tkKz8KVG`lYhw?&fg8D*Tj3Jah_lbv4>0}_K;_Jjv35k zHqR4#$UNrr5-;;Aukku>5PQfX-sT+l7u@37Jd&v6P8mW|KXnca@HoZogyS1~q+b|{Z36^B{l0v_Gg5MPmh?)=#aVK7N=mcj)|A}l!Wuc$>bR#g9;35iEIJ!Y zQD>87(b<#aJDYASI-5mNXRpYjvjyZkTWl;kdyk^dR>-2W&&hZ8y|L(QB}JXBmPKdf z$#u5YkCv)>{gzZ`E4E=QJ;o1VkdT{F->Vk3wC99TCyj56MM*hw4x3B za{%q=z=6abatN`9bmDN1;3$sfSdQZaPUK{|(3Mj-mF}F*8Jx-4oXdG!Ku>yc5f{^k zOSzmY=u1DY<{C=5o*TG{*h6lmKesWE+qsj$49V8W18IiFr&m_OxHNYsW^;FHO5)Qi z$=sB@m?aBS5}#g4mZs$6Ecr4e-(<1+7vpp#4tgS3MYfrwj!;D2|ohj<Y z7kQc3LtbM63weXLSj1x9CH9aHSi(}4@iCvUg3tJzFZqgZ_?GYak(K<+Dt_fR{@^cG zQ;zafU|lM*J{z(zo3I&`sKVxKK{cwgHL-_mM-6IGo4V{kJsMFBps7=2O($o3iNbZSuW+Xe@gBh@#%UmPK#hk?-vnW6|61 z6!o^AEPC63d~cPFMQ>Fp>aC_MdaFaOxBqf>jD4gDO=(UGcB3VGuoru?FZXNbDnBD4`pta2nm|!I{K9at`NmJ{NEy7txzOT*75s z&Xx4#Dz4#LuH$-cg`ln^maP=-p(@?z4fH1w<~1P zTR-x>-C!(wyP2Zi2Fs$iq2zlTZY+8mNl|a(WzpLt^1V$n_B78D`^XGtGMhQPz&z&j z5-;;Aukku>@Ft6Rn|FAZ_xXSiS;j{!=Mz5VGrr&}VjuaI@A!cq`H7$Th2MyM#`o}vjH2iF`Kd(mD!xCY)Lh?Vr#ag1~sWo9kyo&>eGNm?8MGArU}hx&aUiE zOZFu8k$u>gR~ud+vm?nN!(UQE>6j%S#ots;doL-M^fH5R?Kps2U~WYJq2a=oqf+<&CkAI-5G z#|fOs$#kJBr*JCWIh`{&le0O8^SFSX^x`5erVp2LIakn^eq7BplyV(6a1*hQ+)96L zV<5M4CxaQnQ10PghH*c`d61EeVl-oUm`54McqTH5$vnZ6JjFDg;aR3LgIUbxdFC>Y z7m0o36<%cluk!|Pv53XlI$4@#cHCN+^WUVI{e3p)e@#i;T1YCUWW)c5z54*RqT2UG zO$J4hL`AYB13^&CfJiW*h+<}eikJ}*F(S%RF=5UL17a3)L@{F)6J}AwOcDVjyzf8y z?z7hEvU$5|-+S(>SDvbG+C6>~P8Gk=V~(DCt~IlyUP|KDLee57t+Ql%W|Qk|wfp{go zkp(PdF+a1EWi01ce&Y}RWF-}-NM))}jq0pHO=1nHO&#j7HVtSxhW-aYazKWB@blD z6DgUIC9_iUT9&+~IJwSNyXPO{`C}Q!37o{q zoXU76a0U}Ole3t_Ih@D&Oy(jk=29->3a;d8t|iux8@Q31xS3m-$~5jE){wiohx?e$ z13bjTJj!D{!IRA3X=d^q&+{TLF^gAtjW>9cx0%hmyvGO3;UhlbQ$FVlzT_*u<{Q4{ zdtwdwi3KcTF+cMQ%UF?JBdgNft(48(T4`3-&1Q9zlr+tfb}8wYC0$d}Jxh9~WIMYm zS9I*Ec1*t-VwWu4JEi+&f9|04=MKq|(J47DOHN72X->SB?BNPIw=^E3w+qPkcDb?W z?JA0TyG0hg-A2B*dyPeJ_fyo{Q?lso8S=foY%F?vm7?C>mql+MlJ9M-N|t9y@eecZ=?Yo5G#;b3 zX5@QoYb<)}Kv8eqWYODZiL&*C0B7x`Yzr0*StRcoBr2#>BdLn;=6R`mwj69o3g`i z%MQOQJN&-v@Q3U$KBo6$+2~JYhYQLM7nU6^Dmz@99me0Vq-^x(vcsiihrg5^E=z~$ zyL6YOPxO6Sp+e(173Qw`5C5-I)k^-NN);>m{9Z->Too%k`oBKW_s@6f+GYInUAq5# zRPvvXO8#3PmHZEWBVUJAmYy|R$9L(*l0C%&elxc)mD{+TJGhIxxtHnO&x1U~BRtCE zJV|W&p5_^zH=ch|R;{#HQ~kV$*jFqZz|-9M1{Frtf58(>I>eh|R-9V$*jPHhq7) zdAQj4C0s^s^HBch8R9Nxh-rCeX*|XRyodY*eArk_z{e<>fX~Zf0=`6k0={W1Cg5y} zCg7*Cn1El9pMc*QiwXDxMH6tDEGFQuJxF%}bWJ&Gn^ zds$4t4cL(K6L1sfF#$KFXae?<#RTlb_LQH1J2{UDIFMZ##O{=zfcrR)3AjH8P<{d) z>^vsmNQx%lv9g$eW64jzQ;o$0oIue8JWqB$lev)c6YvV>S8_Gia2?lkBU8AUTbatV z>@McMbY~H7Xt|4-k?uKWX7?PkQW9@yNj^x)M_KY^O1{pLMJf3?OMXwuUs+NkB{j38 zeo7i;Nvo8spCucmq_Y#($X>3FElcAu7L9F)MWcLYeVs>V1BgZA?>ZZ7JUSafEE?rI z8|pkd8%``5f7jWO#$(YKMJyWSI~(Ub7LAjLMdR-}JJWb98k0DO@||7eJUY9SSTz2w zvm1;@XE%}SY_;z;J?QzdhCE8FAy4omGkBVrJV&e{FA{6WEMDO?UMJR&x0%hmyvGO3 z;UhjF){xKnf-m`subEG*A>R{g$WJU_5sUemUx+ni1+j+w&L8~6N-9u^SVO8(jT)>$ zO==NqNL}htp9VCdG3(HjSVNlAf>yL|T)LTPY^wxxYZ!L{QZ*3^*t&=Qz>q@S-)xO)*$MgHrp8@R1PVB-!c4K!2vnNB? zn|;`i{Ta$IhI0@Ha|k0jj3bD3z(Tey|mn8qF4#ogS?ecaCjJj5eB%Hza3@)R?8hM7Fa z3%tn7*>&<(x=!NFEUy@!r8RzTw#I*#l6W&qvOFcfWl6=9RLPP$DOo#9)=f#XEa{Mv z4YQs`?BnX#w=^E3vjfO?Ho{nRb|^)ijgduX$CK}Dys_x) zbc#AVUlyHRNWQZxjYVhIP}JE}S#)+g`Oc;ri_RXTsI#YK(b==)I$Q0{%e$WcK6Chx zkNJep_?)?X#XRQo4d3xSKk^d`S(Z2FG^Zu4X+vAu(SeR^$i{S{3tj0(cQ&I3Td);dvn@U8&30^0Kl-x+ zv4-r-F6_!~?9LwS$zIttQj%uqf!W+WD$U(vvblRgN>0v_vr=+SmRy{Y%d+Igl-!&p z|M3sd@9XOLp)?+&vjyZkTV^ae`<0^3D$Am?YUDesZ7e#gM^R_%$)d9sOST-=>|Zw% zkqt=MPFXfM{iz|@pBkQ$gR|twl#FuXm1IBH$4RB}7_FU3zO^%rMQf8NYVA^4v~~sg z)^0Est=&XXYj?|{wfo4o_NcLF?Foundr=mx%_860+s2}`cPVP^b6K?ZCArpCyW?N# z`O8?rul&v*{KZNtP>IS^r5ZI@gPN>GZR%2w`ZS;sjai4L#46I97PO)@>(iF@bR<@h zjo6sZbYT;^vnf5;oGsakZP=DxY)2pZ(vJb`z)tMKKz3sggV}>2?8QFp$NmhZgy9^> z!5qR!4kK2PBRPsu9K*33$5_T?SIKEV7zO#nLqO&Fxb=F1}owXz1Stn!BSyzfW+gcW#^(5a} ze`C?vjudsarz|?#n|x=(j74V$QPkPdvgm9yxz1L*+n?z9XL2@^IG6LdfXQ6MC0xqo zT)|ab&9z*|4NT!CZsAsLV;XmG7qN!i%YEF>13bjTJj&z58uAn~c!rrg#|ym3%go|c zUgHhkvRO*D$dcYEi5m*Zt|{3)OZH940a@D)0%`q08eN0hj^JUT5cjP-;Y%DrkN>OJkWzktha-FSqw_o4$8`792 ztV>gx(VUjFrVVXrM+Z8xAsf+&E_9_E-Pw#DY{6E<8nP`t>CJX*Pha}81F?qe%r5N8 zZtTt;?8#p2&A#l%0Ssjr2XYW2ID|tvj3YRTqdA7rjNv$rXB;PTGN&+}(>R?oDCI29 z<{V-TIiCx-kc+s4%eb5?vuotWG(+Qt!hF9c&E4tQ+g#$$B0G5O9mH5Q$1PElulWYJka@|_Jd7M%^EsI&cL(OC)k&PE!G z&JL%jv$3-1>;&?iO)wUnO{A!^$+GC|Vsf3WcDH|v=TBuCw{s_VaS!)0od>$vpmlWyu{1A!fV7D@+NOFn|FAR_nE^-#2WG`pYa8A`HHWZ&$oQX5B$gi z7P6S1S;{h&^DDpc2Y<1W3RI*rRj5XF)}SV9QJXr{V{IDHh{mi#tRd^sj25({HS5!s z_SrSkInDRDp>U0CljeJ`Y`zajN!(6IhNNVlEE%4XxSf!UO3ATVa#Bi8&5}teIWJ2t zP01Bma#Kof&62xQa-S2gBfi1T&ojnjbT)&0XD=Fy&Sp{6*}Jmn>;v+heQqo|`;wy0 zev(CJi^zAj!dP_nJ4KyUl|^SY$ahxPSaepOqRyJjqO(@yI$P~tznkZ8N)I+?OSWPg zwxt)_(TBeDV*op_6FW1I-5A7R_FxEmu@CzZYsgSa7|wwl%m_wu7_o*N$x)2r7>?yQ z#xjl*IEj-vmGMmA3?_0WXEBL$IFAdM%tc(xrCi1pT*=j3%XQqqjoie|+{$fC;|^jC zxtn{qkLf(XLp;o**){S^nxSz!VZOhS=I-0s-2E^mpJd55DfvE2mZapDELoM3N?EdI zN@`_Elaw^gk~S%6mnB_O(mhMIPDxKEjptMvR{r~Qmu26dyFB|WTzqbBMcK#Vel0uv zt?cmkvco^h4*x7W{3|<*ziegM=&G{A3T1~C%ML4*9ahc`<8P=^Hd?jpuv#2u-=7T@7>iffTPS*ky;l~mu=kUDg;uAjQbLR3T^Z1%?_?GYak=XGqWD!gFnO|7O3VtJYe1Gy6tEfOF zs!)~c)L>0&Qj6NuWo_!ykVZ6N9h%aN=Cq_0ZCIanw5KB*vJsu=OjkCcJDbsiEr=c8 z)@(yhda)hb)0h4XU`IQf%KtN8qZ?mC`k?=%A~scz_hgd`Q=Kx9=#(^Bn2u5bt$fz`{<82_< z$f;?*Psrx`q?E+lK$0s`a&?y6l#+NGNOE6F9>|g>QZge;W~Jn{EO|F2A7sgwDfv1} zeoDzAC*DUo$kp+CX*@<}f06I3sY+%aRMiCGN&@037o-1&g3j6 zaSrEkK9jkKi@B7`xPmLWnrn$Q|B2f-m`sula^=`JPxqeqsTOSj^A- z!ZKE5*T||gL*s2A^SxG@yLGd<+ax9NHjt!UN;+mq*ObKDK$4y**>2eXzMnro*cEba zX*@=67m)Ama%0ikRTTAhi!6G(jeKwS8jIfUr>M85WYOC*MBSoHQPMZLW*i{3sY z-`iYc(c3(VdRr)q-jeOIOYEp~Z)Mahz(~w3qVI7*X9?fYA@DnI1E&H~X+3 z2QZXj4Cf#Y<`7157)NjC4i9LsSW&p1vb){#>VN9z&qugI)-8?4=&c#~-r5?A-a1g!TQ^zswi)@}wlx;L z^`@w|on_J6uH<{$%UJZbFGanLkVS8YlJD&pW6|3fih3I_i{4Hr*V}6M{Fi$E!d}x8sfIXYs1FrI_Z*KC!42at1Ri4k{z;SP)hd5l9H4hm?ej&H=Xrsb$anUp zvFL0zMV);ri_X3v-`TguqO%_;>TH=TI{TG;XBCV^XO$`HthOvVt4FT0)$aM*cz#>j z(}4}xkd5g?7dD|An-Xit=4`=MY|XaxqBnimo__Ra2X-RXkb&&VAa-XD_GB;iA=Z%n zIe-#|aUcgXf{`4`;T*wH9L+Ht%NWLTJST7>Cvz&}nZW5xq?EHbn{zmi^O?+rT+Ah0 z#uZ%2)x;Wd9oKUsQ;0R>R;DtI+g&GqOV54jxAerVh3jNSn!hu%`8zAk-?+7qe2|il zvgFH@#I1#7QA&Q!lHXJESC-UBNzE*&pOQvd(kdnEXURq>>FmUHGSU^YWobM{Z`+XX zt*^1@Z2(2R4VFc3L&*0w)L8U3oTA>2ltpi&$oDqRSoC%hMZKLVi{2)Y@9iRE(c7gI z^>%|Sdb^2yZ+95Gle>v^ z@9_b1_=r!4b>wrt;7h*ZYv%JU-xKS|Pb^>&i}{&fSjGx|<#+zzFIG~4N>rvQ)u_Q5 z)T9=*sY^ZT(||@aW*wTc9?fY%D_XNY?PyO&VjbCtjp6vDzTZ@?Q zyQI0hTQ+z1PRV{*a!5)J%aYM4IW9|1OUW5oa&Ag4$dap4a&4B}l9Jo9RpdLXZY(-m zlcLTV%A&I-cG(OFk=ovn7y-^cU&(w_nB$WH9SKz3ty2D2wa*qeRW zkNp|SFotsw2XhD`IgBGXlA{^LXpZGL#xjl*i8bUDPUSQva0aEE$=OWeT+ZVHCUX&& za4DB_1y^x3*K$2KFom1AgB<` zT_bO$8Tw8(cRx#WcWyR!ze~xFS+YDOzhz0qlvK%*Iw@H@OV&+Evn=V5k`1$@TS_*| zlHMuVK1+5^$*xXZBZs*<_AQOa=OK(WzktR@}1Q- z7M<0jsI&EC(OC=fowYX>oozr-XPe5Rv(3qO*2`FQ)`z0b2FjwdLF77H?SB6t&mX}^ z4&`u;;3$sf7>;EOV>zA^IFXY%h4D<_bS6^DS)9!|oJXu7lev(KxrEEOoGZDSSVOMk zdTwM2H*+gfna1th$z9yTy-eo;9^_#j;V~ZPNoMdgGkKQhd4ZRBnOAs?*Ljn-n9V!9 z#|O;eBVrBtl+XBrxqQXf%;($e8d(%G)Ys_7v|L(x_)Pz4Tfryd{_AUWtCxLHu14A6 z8rfldsBX=&(VAt4Yn2_=Dm$!Qc33AnjK867*=W78!?nu}>z5riC_8LecGxI8^fkJU z(l6bM&*XjjzvY+i`HRYbdhhl2|9p*ZiMx;zv#G=@Qi&N=Vn&sCRVp#7O1v_acx5Va zM^a*?EAi@7Vzn!=+LhSlmUxva@hVkfr(5Ecs>CZ*i93`MD_@CMs}ieUiPf*fol1#U ztrD+VC3e0gUb#xVa``+q6{$pJs!)|`RHp`OuqHKGYgkFOTITie7PXz#p)U1UoBA}M zA&qEE6V_o}nzA0vXif`S(u&r!VSU=tj`nn*BO9Ey~LXSfAzOR{s+HrZv($?@5>Ea|LbdXW0hWR@h-nX_{;f9Do~NiR3SD9 zHCTh1#HOw``3*uNV~tsd*wn2@Gh&0#irCbxPi*Siliwh8HWr(@O^8ih`3=IB&SO)z z4Y8@~MQ{4BJ^dI!Z0dF*HgyBpmDnH*CN_0Lu&Mjo4Z?8a2XZj^4Z@MeVuLV>zu6%8 z8r>uOi=HHnEyAhfw+Lq%i!H(=ina)s%3_Of1^F$)4aQ=Na1%vagu7+2MYxar7U5B2 zu|;@-qAkLUve+WbBELm=+gNN7-lb@Z@VP9u2w#%hBBWc4AB_LR0v55DpIOQ>RuD7t zcmAOKjI885W@J^WQG@)9tZS?u^=Ux)8QIi%%*f^x&B%_j*s^ZK#&o6&F(bPZGqMMp z^LI0HJL54U`_hl{GjbQ_F(Y@QXh!ZQ+n=G7P<}=p;(R2B5nI;3n~}#EAIrFGOLkhi zX^3~P+=5(?ZYM6vZYQovNxXX{xh*AkWXb(0iFdCg&!ptJEO|90Z)C}bDfuKz=B4DD zELoD0U$W%Sl&o^%w&h4y$eN|`7^_GvVihUhSwrX1SrcLv`Mb{A7>`w?9kGg(@2r#a z=&UP6ooy|PRir1eij?oHzw_v9M`9KEyUzAB9;?XS>_hp^hB=SU4kA{Ozw7L1 zkbAk0`+0zec$ip29w*k2rKT#v8oJ+q^@pA@B16AMz2O@ENg& z%q7;4d3?<`e9QOzNUR|XS;P{4<`99FQf4rsRk$8IzLZ zv*h%YlxE5KDY?*ztK=xx$2Fz#7_D7TzO|{wqP5#8YVAQ;wDt)3)}A&NtvyRoYp=_q zwYSK(Hpf`B_Ay1R&6h=M-;r-^v9V}vDMhWVltpV5$+foHH<#*renT45gmr03Gn&(q z*0iB5?dU*9He@3@(S@#bqdS|?gDu#KSVgv_C%xH@?deN@b|6-forzUsS9W7}_Fzx; zVsG|kKMr6h!#I$G7{MVN%3&PAQ5?-NjAjhSaXjNVk&`)v@tnr#oIxpPaW>}=tH}9W zz=d4IC0xekT$x=ZH>MdH?_Zhk_oTTyJ)66ar6k_JlDw3XSF&VwO5*)1$rma4DocJy z$$~8TH6?#!N#&GO%aVF2X^mv(Qq1K59^gS9<`Ev_ah~KUo@OS`@;oo_5-;-#uMum=o4mzr-r+soXAU0` zYsja3#uv=xE52qv-|`(l@FNRY$YOqGDa%;Sul&Xz{KZNtP?5@1p&Hd$gPN>GZR${u zwP`>j8nX_uhO9?3TF{c#tWR6oXV*yQG($JZ=I%CW?)J*&?tql+lqEw_@_+t^&PTaA zo+ypS=xhf0&R#SYoz0@Cvv+0D*$3o1``lP`_9aD~{UnRd7Lo64g|X=DcZxczDvQo) zkngOnvFNNmMV&R5MQ5$Zb@tzOj`kRv&YQ5?gu9LHG3aRMiCGN&@037o-1 z&g3j6aSrEk0h76ii@B7`xPmLWnrpd^8@Q31xS3nIjcMFLtRZ)E5BD*h2Y85wc{IC5 zo=I0i+)#K;cq3g2Z)exYhbf603duJq`94dQq$F-AB&$+VDNEK&Nv$ktl9Hxb(k3PC zvZQNDx@XDODe39N>&P*#jvY(mF*@6Yd}n(ai_Z3@sI!A)(b*y7J3HD~bT*oz&Q6g< zXQz?x>}+Gv*|`*TcDXD%yNY~gQ;bDtw@}pCy|U=+esZ0ycDMh$=fB9y%;HsE;|<>A zZQkKs-sb~8#v4;G~U#y}6m8e2h zs#AkCsYxwrQhlY{*7*qBC9Dgzjuc54IrIkgeH< zp7dfnwx=)svukA6G(+Qd!hAm<&D~+y+&wfUaXTS7J|!n+$>}MH+X=~qDY+y|u1U%D zS#oX2`(v9V}vU5Z+3D~r}TkZ-Mvv1qLuMXhZsi`IIRYi+f={lT8UCws9s`?4PgFqB~& z$U%(Y5Dw)qj^Id+<`_mZhT}M%ah%A>#40kL(>R?oDCJDf<{V-bIiCx-kc+s4%eb5? zxr%GJmg~8JDcsC0OyxFi=ML`T9`0p2_wyhR@d%IdI8X8vPxB1V@*FSl5-;-#v5LIT z8@$Eayu*9E&z$Tk`6A8hxS=rP7o>T;IGfkMrX+4CB-K)~MwZk|N!(CKTBM|PmTZuc zjk9F)lx&qHeNxgdO9rK6k1W|gB_&S0k{s*mIJ`6-qqC#PcQ)2obanzoolTTQXJ?V` zY_hTF>|%;KyG|CJ-AKN(X~v?nJ1OeyVOezc82Qd-8jH@Jr>L_xWzpGea-FSqw?EJG z=kqP!@dH1yfQ2mPXO^;z<^0NT{K22BqyiPGOckn8oi(UQtRc0jLp|1}0S#%)I>Z{X z9?fV$OIou&ZD~&jHef?GrW0M*gl=rgW^B$DY{fQgOD}rUhwbS{e|BIec4i>EGKk&T zgCXq2KExWbKL=34Fb?EkMldqFMndTcg#Pfc@oLN<3NrR2OUxgsT3XUR<|xiw4f z^R8E1(+^~S-xDdDk!7>epL#9(Q}3qa|MdqL$GARzFOA1&?Jx4JRW%l^)u5=g`m$)P z5&71d8;jOjQPkQ-vS_U{`POZ!?>Bd5;g6!$*9=r+m&Ae92dQ z%{P3@_rxml6AM_xVt(cqVij3|RU|%4zbbv0K5i?#GSo^lyKXkKo1~c?w-u6hDe0Ic zT~iXb6_TDQ*>2eXz8_*d&Q)@5X*@=E7m)Ana%0imRTOo1i!8dkjeK|a8jJ4k#})p! z-92S|2G1~)^4-1cJi2?8qVC?8MRy;P?{2QK=x!cG-7SgDr@) zWNWseC%xE??deN@2CySLu?qv)jolf{o(y4c_F+E`U?{^F&Osc^A&lfOj^Id+W)!12 zmg6{{ahyo3C8uyIr!j#uDCJDf&Rzj7OmjYNEzJ4r)104@T@AOVByKGvkEG=BEO|C1 zFJ#GEDS0PLK2FJJS@K;r|fXuvcslj zhwEjB@d3SNWuwi@4qKERwk$hrRd(1qJB+`fP1)%BWruCc4%?L-woixY3v}E6>kD*O z_Z#;9-S5>+U!dEh{Ac-|XrFzS@1HNw{lEPYDZ7#qyOI+3qa|M5O6*KZyuy`ug)4Di zTH;l%#5HhkOClk%H~e$M-|1F_*Nzj+wsJT`oL z5F5U|*qd^jhiWCM?O{d_B({7bIE2_f98PTcjv}^v$1s{P9LMpTKy3L=CboRzIgQvp zOeD5^XJO0tx7&w{jbFlL7|Pr-+c#T0yuqAB>iET-T~ z)zNG9%qx%*^gCW~IA}cvDOAK}tT#k}p&8b(Snj z$toB(c#MT(8)D%o-&$Yi(b@oF;rP4O1{;so zh7b!!`PPOykJg40%f{cecBJuGHbxQ4M)}spIge%IBx2e4yVlM$9?Qlg&Y^s37demC zE+v+YziaIVPl#3IbH3n9zT#`<6RXJg#47R=3s}Tre&!cq6R*}whVH3I&t4NRR zD(RW#?!Vs9`v1h2=$_yTd9^eiqqjH6_x8TA=uBbz{-nniTccP!_#4A>Ug|W6@h1ihAoLi{84D>ut5~HudrRzVv4RJF*kIFp%BY zox$wM5cXyt_G5pBGK}FI#K9cGNDku&VjVe}QHo&+!5;@^W^ayp^t#ctgu8#%F2upPQ}z-=!qp(2^`q$!}RwF(vVamZVNf*3Oc3 zQ_?I;I;3R7Ea{e#&Ah=J7pUv8_pmk-=XgD@8J|b~Z$CnRqAO(I(s+#C4j|v#2xHOP zp%nEtMi#vtPrkSD#-g{=DeCQfS@d=x`QENH7QJ0VQEyXa(cA6hdz)@7dV7$f-kz34 zZ_kqJZM8Qs?|T0G%;7^m<`X{SbLR3D^O(;!e8>0v$WJU}F-us=FDz#TzwrmLj;v%A z6{$oOs#2Xbh;?KwYEg%}tW5(N(wHW!OH-QBoR+kv4Q**h2RgDL8`Fs{bfp{J*^C}+ z!B%X|w)CVo+p#_U=+6$sITFY4bhbJ9&UzV( z&iYW)*+5xzHi%qj|84&mYe)&hIFN%F!AK6}aE{<8j^-GSWej6Eo)b8clR1U)OyG1T zQp#DJ%{iP$tRa)Rkc+v5%eb5?xtdr*uH$-cWC}NPD^r=q?cB*-+{3+0=K&t%VIJWz z9_LAB@H8`dmgjkamw1_1c#YS2led`7JG{pS%;6(q4f&MM_=357#n;T|+w2-ylxAq$ zR(M_bE6v>s+1#y>lDMsqG)l=jS<)&c>t{*llx&hETc%{2EE$lJow8(bN`_>~@RS^! zB}b-YloQv;$*zu*O5-s)JC%HAXBvynCQ;PcrLySk3i6%ZU@SVjiK5Q#mPKdxk?-tL zW6{|Y6m|BZEIOM-zO%QDMQ86))Y<2<=@Cl~kY-m8nWK zYOn@1S&Q1#r5^QZKqDHn4o!(Qq&Y2UMQhflE$!(@tRWk*F`encCUj?0dayZLvK8B~ zExp)|KJ=v@1K5F`*oA@Y#vlf>2SeD4eb|rv8A=JmIgo=ngpnLZtRY8o6r(tXV>yno zjLWW()6xt*Bb&Pyq`7-hHg~T|$+cN>TT1T8lKWHgP?kKClIODI)s(!EB_F2blPsB+ zl5etPNlJdnl0Q?j%86^_6j#TZrSTY@)gs?nLu1id6N)-(Ba6=3k?*XNvFNNTMV)Oe zi_UtI@2tPE=xj%dI@?ngo$XD&vth=fvx6w=>}XkZHkw>#tKIKU^!zh9n@OC@d0fC` zF5(g{<#MjzDz4^QuHy!#a1*z1E4ML?JGhHjL+<51?&kp>;$a@;abgX5iWxk^OrGNf zUgTwF@hY$J25<5<@9-|~^8p|75uflGpEH*)na9_B!?%3TkNm_!7O{k%`Gw`I;5T9o z`IEm`MFlERg{oA~u8}%vhQ=+0SBqw8?zYV4Zike_Ern#Wlx&eDy;Bmm6Ovt1vU`^7 zo00>vgp5KthG+|wu z(v0S`q&015OFKHykqz01PIRFw-RRC{^k56NBG!;?=}B+4V|)72pB;!bWM_6^S9W7} z_Fzx;VsG|kKMr6h!#I$G7{MVN%3&PAQ5?-NjAjhSaXjNVk&`)v@tnr#oIxpPaW>}= zYsmRrz=d4IC0xekT$x=XH>SB8w-e_3J!w`?&t~;wDT&(&$xA7DB}-%# zEcqcN3$oCt;l~YnJOX{VhL6)qSk`_+9j*NG8Y)~4H(b>l2JKNM)bhbG~o%NAL zXZ^@`HqcmfHi)9m_LoIxCFDCBX)HQBoTARg%A&Iq$agluSadd#qRuAEqO*(1b++2Q z{wW+u<_JTLGPFY^ko5o^esyv1zZ;XU4G z4j&O~$ftb97tG}=zGgn(@*O|$BMVr_Vt!^R%UI5@{Kg;r#Y!qrk;+t|8r4~Ynyf`_ z>QIlhX+R?yvktL_tVc6i(2~}yPg~k&*GT6yL*sVBeBUO`-Co(;9gvc^osbMk$v#;! zJSA~EAsLmDW3%L>l$@F+lTvbCmRy>WE3)LKl-!yncc2SeD4 zeb|p!M}|_ua1P{PMlh1Yh;`&hj$#zYa4g3$mT{cGNu127jAsI8Fp)Dki%Fcrd0fC` zF5+S?a};$a@mu9IidER9QYlN;Oi8UQX_AtrS<)sY?Xsk6O1fvs)+y=f z#8>G~DF0Qu?X$1a?T~#cEm}J7#*# z9bTnEg`O4awWw%mxv51Zk6l|-_V{cIL4`prEW8!IY|)~c|BP-?-DAZTH9TJ2VhxY2 zTCC~u{uVV`_)qT^Yk8dA;(z_7ILAv`)bV(Fi@FsmRQCVkpI;dFx1WWwf|S@jmRLnf z>>x|5BqbI0=KzLM!Z3z&AO~?UBRGVS9LixF&Ji5RQ5?-Ej$t&%GKS+A%l}V*VVu?L zpHD+B@Nck?MJ#3sKeLoySjKWz@GJkury>7?&+WBaRk6ig&0ANfu(2OKpI}|sDLwAu zox~H*tY2BNmm)3)1@zQz-`IpwCjm1mrXo_B1Pm#q->uKa)TF*8XFRkZN^wN5{ zEM8i#BLC7l#aO(w-a^q!>%FpgX}zD^OY3UimU-UuU*u(G@hY$J25<5<@9-|~^8p|7 z5uflWpEH*)na9_B!?%3TkHl7QA&XeT&-}tNR`46K)%%mbSVaXYQH82hrv__MlUmfK zE^AYthBTrH>(G>DG^Zu4Xv6xnqdgtjkd5d>XS%Wp-Pw#DY(Z@Gwq_f8(u?ibp1$;F z06W^!RQ@0R4&90I^CD>*^laMFypU~aUQb(^x6+no&C^{cAD6~sboUwg?&ced?!Kd_ zyQQ+|ZaMkxRvL@$DpJ(lTC(V_4*BjH8;kDNrKr2Mvgob@`R=+Hi|)En)ZMnS=&m>U z?shPyyT5&VX0Y)+*^9l|m;E?^p$y|d4q^m{a43gy1V?f-$1s{P9LMpD<3vs-){^m@ z#_60vDQ9vv=Ws6Pa{(7}5tncomvbdoaShjUJvT6go4JLl+{W$P!ClF+dw(b8S&CqxU$QFJ<+Tvt;v>Y?UQ_QqnI=2Bl<=EZILLB~HABbcU*Dq^PrpWzpGV zhgd`Q=Kx9=#(^Bn2u5bt$fz_!;~gOL z{nRvfCuDPXQcB_-AjuUexjIX3N=du}B)Kmo4`j&`DVdQavr_U}mb{yi53=OTlzg2f zKc!@m6W7Q@SI6(A@fe-`MZUAD#-g(t6m?c#7M(RB-&u2G(OD~sI@?GVopmPPSr22; z*_IS_)>jst4ItmyZpNas!4!2iR2H2LC)e3(-3a;d8t|iux8@Q31xS3m-$~5jE){wiohx?e$13bjTJj!D{!IRA3 zX=d^q&+{TLF^gAtjW>9cx0%hmyvGO3;UhlbQ$FVlzT_*u<{Q4{dtwdwi3KcTF+cMQ z%UF?JBdgL3jdy>{_gZQ0*3IT_la$1}KazGS>6j&5Qxfm~NP4DZyDZr;CA(zF-YMBH zOAboOAz3myCC6pSDJeP4iEE_P)p2fVJVs|1knikrW6{}F6m@osEIPZ5d}sF>i_Y$+ zsI#YJ(b+TPJA2t!boMGmoxLxM&ORjH*<54M**uCmTPTapmXPagwR`@`o?n&f)L>0& zQj6NuWo_!ykVZ6N9h$Np&1p$1+OR(DXirBrB-W5lbfznt(49@`!4||CvNhY#lU{7c z_VlGc1K5$B*oA@Y#_kMePlm8J`>-DeFqB~o=O7N|5JqwsM{p!ZGm6n1%W)jfI8G$i zkW)C7)0n^+lyW9#XV=JuX@*{s&E4zM+?|rm-P==gSC%}IlE<^;*_6cXglpujl)RHA zAE)HAEcq@aKW53&lq}DZiYcj*C2OUmjuY3&nXZm?OXD#*Yev4aw#K5f4it6PO%|PP zM!vIcjYVg@De7!zS#-85`Ofw-7M<-&QD-A$(b=KoJ3Gc$bT)>f&c@54v(w3Sw%Wb^ zrJjE|S8^5Ca4pw!15>z}TbRmi+|C``#ogS?bnfRt9^w%m<#C=Q){v)phG%(>7kH7E zd4*U*Ugr(o;%(mHJ>F*yAM!Du@EM;om#>(|e7@m3zUN04u#m+pVJW|`oE7}WANaE5zHgl7ZkKHCZl033osjfP$qrdE zC?#<_At_18fmw2RN{-5s6H;<=mP|~^Sy^&%N-oQi>r!%~6W7RDu8uoP<1sqBhkR!b z8;i~!qo}jzWzpG7A(hT$i{S{3!Bi5P1%gi*@CUunr-PtZ~Cx3{pimQ>_n^~ z1KE{9?9LwS$zJS3tReez03{6LKn`XEBRQ1AIfA1&nqxSYF^uJSPT)jN=2XTrfzz2t zDQ9su=Wrh9Gnosym`k{fE4Y%Yi8bUpuIEOka5J|um1*4WI?28{_g~w^nDsN#44#?I z;8|$~$4!OggOq%fC10i_ZYm^;Qu1?_{GO7(vZO{zYGz6Olr+kcRw-FOOEyYLXD6$O=?k_y40gS4QNDT)}blu(VP~vqBZN&j`nmUR+5d_n9g)z6S}i0 zJ+fDmo@s{0O@;ZsOPag8Wpj7$l*CPiTI4YI{SuvXA6x*XG`z&Awu*db)s01GYf{u%Ls@jzgnVZ$jYVf|DC(?}EIR8-uCvwd`1^Q%U-~nE9odOp z7|3qy&S3Ur2z#>+`>{Vm8OCr9;$RM8B!_VXM{+cy7|pR9$5_U3BC&>?!l|6b1kRw8 zGdY_{oXdG!z+^7s5-#O(uHY)J=31`j2BvTmw{R=BF^xO8i@Uj(`?#M6c!)=Ml*frR zOmRBa7aSC*Rw6W6|5` z6!mt#EPA_;d~a78i{7rGsJE%I=fsSm*#&n_!UFk-5HlqhyuoYXgEj{VYc5F{S`m+PE zj_k}X?8JR9V@ht$ zk~>p!PnJBEk|(p|`INkrC9_lVUY2~Ck}sTiEjicK@k41mMrRAicecz}boMJnomG}a zXVu7eR@+!~R*$02){{kNEy#D)-dJ?D0Y#l{DvQoGC*N5wW6@b3iaHx8i_Qj->uj~V z{)0S!1S2_=!#RSZIGSTPmNAUwcuwF%PUaNGGlA2YNGWG=Hs^32v4%|MLN4YKF5_~p zb4%sRxTuKWg}mGjuttxs&~+LPZPbT$^7x=n~pUHJ{dmd;~Sw+*qW>qT$+us!`4 zKy2!EA~tmc*_GHJ3??>pL$Im)+YQ2S;|Fpu`3=I6#$tmoioe+)`2O7U{EMC>jV;2d zF#{1)L+W3feef}$bsjUaIW1^KYs$~aj?QDtx)B>wenxh89y78BoAY-way#QOBm2^i@-uQ5 z=P@I9qi9C%C)=N)lu&*~9^!l?hY?%WznhWA86V5IY)f`px@m}au-t-NkZva~%5EpF zN=dwfCAlpncVx-^DT#NmB+sPexh#1#C2wTOhbj3aOXj8In=Dz9l3%jq&y=ik;75Tf)+8B?{+7YWr`OZ2ykIuRhtH|GVwzctCMS2pe zNcqnCJCDwGBvz5X>ugWsv5M@?K9uilnDglDAYv8yyUva_9;?V`j-^~@t9^TEqUXjM zayFAVm-D!Q$y~%G#2Rurv4&j5)m+PU#2PY%o4AErxs7Su!Ck}}axbxl+|L6%#KXiI z@;I@EJjD#2VJ6S<0+XdY?Sq^LxrN~D2EY1aGs?Z>*G%Q~J8+w*SgeV%7++k5}< zIoEmY-}AEW?f&lje(uM4U!2V5U1ATJ%LmNoLq6tHVh{P8FIdP|Eaq#z;XA%(89%Us zANh$@#2)e+v4{Lg>>+HsOv^I&N)*g{XYmbv}ZMv~&?FEWjdrKCry+gjWdB&o(k0@$w zkt|wULcX=-#-g>A6t%Wm7Oj;h*IL^3H#EL6m8n8iHf1xaQ-hjp#n#kfTWV8>9jHq^ zc4B9CWj7koh{o)}p6ty&?8gDbE^;tUIh4aVf@U=5C}I~mmX;hxYmVmxPNY2@IEj-v zl}>b~E8XZ$4^HO{&f*--*LrKq#RWzpG@*fqO%h6o%J*pot;ThXMJVS*+t|#8)z$?lO!)4LgNOGO6^~0qJUO$n0nZ*4( zz+|Q{m4|tR$9SA4d5ULvmgzjt3%tb3yvj^o=S|+?ZQfxH@A5u#na7939`XsFvVhO| zlCN0A*DT>%zGErNSk8~Es9+J)97AZX@o7wHs%)&C3k1ZgDH8)e+o6m-#EYCDtWpz9;3VG$anXO zvFPqKin@DG7TtY7zPrziMR#9N)ZO>8=?LP&4!t;!^XWtECH;uKEX7euZF_#aR&xd@>Cw#`|e8ED#VliLy z4c`%a$TEIl1wZl=Kl2N}5qrp={KemtV;$Bd_K-@%9t4uqS)75Bsq{2XYWiIfTPFf@U<&*2r;bh8~~I-BZ)t z?VQcs(^GO*mRy*Ui?ig4l$2)4^(na_OKwfc*escpk_Ws=SgY|XWsh{O@vqy3SY0oq z{N?N?-%3CEjteVgq&4zUX*@=ApOSBGk+EoQ2}RAVltpu^$TzpzSTt9jqUJW1MRQfi zH&?@0G`AH+&DE7fb32i5u92~5ZV!r@J6IOY9ZIgbwVwRjdi{yCrvoQ(GN;ms&UB?4 z-RZ&UoWWU~&AFUMZ!Vw@7t)W5xs=#R`ZItlxRO!^a}`$;JIQqn<9bGL12-{>(Tw3% zZeuKWFpj&Jz(nq068G@{lbOO)rtt`m@;FcM6wmN1)0x2wyvWPE%1mA-c9OT4#XHRA zUEXId^Rkt)AkEOYxiEK^rn$R3o4dcJByKJw6;rZ7mQ+hg++0YuOG%w9*(D{rXUV=P zIUq|8Psx#4(k3M*WXUNhDRE(q++cN_SsIVg**WAp>uW4JyNIIB2FaqcA>=z7ZY(+* zNl|CF%c8S8$#-_IvFPl6iaL8t7M(pwzO(0zMQ1Nj)Y;pz=xh$T&enSJU+DFV_?jhr z%Xchg8O!;RmHf;qe&sj*;7?Xlj`CDsT`E$E4cLg-LpGrb)!3BHsZI^HB=(SPsKs{F zrVcw&m-_6)F6_$gG@ubp*n_>;n|;}j12~9-IfO$woFh1r<{ZT_97`*XqYcM%0_|u| zM`8~-g;Ob^GhI22?)1#oNUtESrSTZ8{YAdD^^8Sp z>r>R)X0m8)3-YaPYb;vZo}$)vlSOL{$+xzTv1o07idt(Xi`H6@Z>_blXsshnJ zgwI&O7ktTAEaq#zA$F1PS;`MA=SO}bc9CDQS^amKp>bPb##c^rw`w+bYo;V_Dvk&`)< zPIRUV-8hXN^yCcA;%v^P7ri;3K3qsYE++Pn%ZNQ>00X&_QU-HXwnnZ`Gc;~1%=fWr z?vBgm?xd9b>$butV2`Iyz@Ey|7g8EG6@KoW^mFfK$ww*qG)tDGu(9avP>OmxRu;V-N4~fA z#-g{ADC(`dEP6Ygd~fF&i{37vsJH&I=}mX>-f?B4t;?RaDSLW++0(XVPfy66 z#-DIv*=W16r|rw0b|`z=F+EM+quVk4PTwcx;-=ph< zQQ{q|#5-1rdzBI=jS?q~689@5P8%go8zp94iFdCOGq1$VEAb9iV)m6dd6YPLl-ONL zoIXmNK1#}sV?6(hzbfTK^6!5+?tjC-9OpBcl5!PTm-VPfCDvyHHe@3IWzyIyXfBd&2{|o;fU-};1js0R@-LzScvw`2m1SWD1lemuuc#tVfWg3t0D39|5 zPw@=TGMyQ`z>B=hE6n6|;?VaNvv`NuyvzH{WgheSh>!V{&-k1#S;!(5vxIN>j-@PP zIV)JnPpsk>e&Y}RWHo&_>85e4@jD$Doz8A{lDNM#9%BMdCO-imGZqu@Ns1=mOR|`NuacjDZySpV zIESJM_=zkg-~#d!@M~i+0l%ea0{$$E3HU4d30TfpOuz~hO~6fLF#)TQpMYB$iwU?5 zMH8^TEGFPC?8=%Gu!-xKfO}Ci0S}SI1U#H0SaSj%<2oi_D~_WL$Ft@H?C3fs;3=HS zniKFe*D(QmQZxb2m&F9^OMU`gZY(C?K#C^dwX*9N&h@N00dICanp?P)+Zl@q=-lu> ze`+zw#LWI8{h)bnd($1sbLsBl`RwlEwRCq8A85H(`5+}9X2};Ri4U|SKcwWxEcrbp ze`U$~DcLAXHcQDCS+adfcFdC9Qqs_cbu!ut*}pU%W8*l8*f`egt(oiStp%}h{8Mjj zjYn_oh>c^--a5IC-ntMQ$3OLUw(;0FdJ!APn!WXN9UI3b#K!SYy$v=V8^=(tX3gG4 zxQ^a#BsPwJ>g^8W(c5_L;%+8#FZXdj4-)&xLrmpi9wGLTCy0IIX`bOZrt>^668p$2 z#6I#Guk$8v5&Ot&=I|cx^8xetkdKLd*X8)6^%o~8W2a(?6|Vjua1 z*hhZn5B_2`$3qHQJL6Bs#1;3*qkk>!Io@I>?7N<9otif9jQm`BRdoO z$ZqUTLmJV9J&Ao}pX_eGX_}$&ftLB+GR@uA+1%}rlK4PN(jz5jWJ&Lo#0OfE0V%mM zORh=Duq+vqlH0OmLQ3w*l4&V)WC4;jEfuB4Q~T*XkX;aY|jf~=E#&8R_F_t?R$9N`iH}`Na_wfJ^GKGhj z#=|_y<2=Dr#2)f2&oP7Nd6AcSg_+qJc_+=#_%O?S|1{0r&$GF^Bqi}-mSj~*e#?^b zDTxoWBvn$fX_jo2l3H1^Q%ZKtl08zgca|KQk|VO@*pwXS!Wy~7>Nu%19;35U$#>S> zSafzeMV(zBi_R`2-&ucS(b*Lgb#|RBI=h~HXQPcpXSY(+*+f}%Hi>*^Q;kJuk5JUv zbXj!v0=drCdh_z0*Ux1h^ZAI6`IOK2oG)3(A{MiRZ}^VyS;lf!u#%rx#V`EEAH*KA zn!hQ}I;_ijRAK{S580T?RG})HvN_eMK~1(|YihAAwW-4n)TJIfu`|1}I}K<=WA*zgavZHWo)b8c_SqUKNi(!-Hh0fSbN9S#?p~CV zOS5E1O0Le5ktw+;OYTg`U0HH}N+xH?lPP&7OI}LJt64H9CGTg+Cn;Ir!Wy~N>iD)a z9;36RSakL)MV(cUMQ0VsceaVK=&Tw=ooyqF&bA}pS$$*C*)9}ywwEkA+n0Q2 zhZu{_4yUNIRrkl|dlQ<%!bJi=o< z&XYXFGd#z1p63N#;$>cCCa?1*Z}B$oFo$<}pAVSFhr}N837@in&-s$CSj5-a8u=m3 z(72uOp72+iyXCUEyM9XIc0#g6O18|B?NbuB6Ox80X_6)TcdqrX8;QtTr0ke1Yny(m zUG`I5QgT|BoSl+hF07K=W z(b_}gTYJJ-wDvSbt-T_P)?Opu+H7Od+ItkW_L(eN`+{6+Yd!6+^!lIqgQbMbiCttjcBdhYXu_WC z#XjuI{v5zT9Lymc#^E&MNLp|d$Iy~iw5APhIe~Vxrz0nG3Y{pS3tc&l9`xi4Vi!4^ zbLho+oKGM6(l1*j1JVqQ8w#^}Sem;dvbj4ZC2>O`xhEy}Wy!RZ#0`aHMoM1Hk~dN^ zD@*35BVQD-@XO+o!R^3>1R+FO6c92D9^~iVD zz*uzFn4-=OltpJv$#>S=SafzYMV*}}i_SWb@2s=2=&Tz>ot-O-&U%yUY^|sLL0&(Y zs~E~PT+1+qGlCnqkx|^t7;fPwUSD49byuq8y;%#R0F7Gjy517w~e9WhO#^-#&LcU@#U-J#$@jc7ek1mfKlzKlDaSgj%X(DG)<~5!L*s_Re6N+}ZtZOD?v#?ap^)s|`Tx}4Y8-2Q z+*%rs(b`z@txYr*txck+wMS&p+T-L~n{F&xdx4_X-jYRY?~re8p0Q}{BZ^vEB#YLT zkZ*0dv1n~2MXjxtMQi2BwYJvt{$^fZof_0+E4HQ<+fti4>_A=Wu@gJ9E4$HvMl@y* z_GEANVLuKac9DZ=%Ap*_5j3MYM-jWov9#nkT5~)na3byLz)76UsdS<lajclkSs{ams#>{N|t8HuPON>ODd$KVwO}($>v$I zO-iSzlRnb`kl`1{#ab22s@6a9MOVl3ZtNJ?~HO`ib1jB<|+{CNqVpJj^3J#^XH6 zQ#`}7Oy_xC;3Zz>Rc7)!ZxVaR+q}aZ-sOGfGLH|5J>(NUWdWb_C10_KuUW#ke8*Cj zv78@S$^btCy<#jndyS&b-jhXVACT|tGh@-&7Zi2& zy(~KWfqZAb7>mw+r>L{_WYO9Bujy3{XM*XFZN+y_U8Z& z;$RNpFb<~~N790$IEG_sMQhs7mJ?`4dpdG5v4?b`gf4XDG`iE1Gl)IpY|fz<=W#xL z=u1B?;u0?9a{4onD;dOKhA@*l0CEJz?3v~;rnyPt@-`A9kcJxJt_NbxcJSvlgoZF?v%2p zr}lt+r(LqA@rQLS8|_y1^t7_4-OHZ#D0|v7dm4Yj>1Cs5ls!E&p8lWs z{@m&Hvwwx}-`}5WS17S7lsH+G*cnRf3?)v4C3c4ryF-anVTm20#L2M4E>U8aC~?v# zu~U@TDN39+O6(RTc8e0H#1cD3i5;WFNwLJPQR3uLV&^EabNu7)K-oe5{r$QB{w>H7 zC#Vu9s1kQ!B~DQ#PEjT9pG&+empDoNxA-R`{|mpbm%cx@PMz%gb8XeWKQ|s*dOOL# zwVr4!-da0Q^w!!<7H_RR$iKCoYb@ScdsFn*dYLTVS_hDSYrV=?ytQ6K(Oc^%S-iE5 zA^+Ao&RD#)PN3+mb&4$BTBnhFYhCMyGB0`kE6n6I-r!AU@iw!0m-m><2h8U~KIRiX z<8!`XAz!hWula`Wh@;*zeqaSZ@)JMv3%?OZy+8SjzbVH$tjl^-VtqDbBPz2ARoRry zsLmGDWJ|WD7TZ#r?b(4HsYiWwW>cHf^n-U|7sG#;b7Psw+;$XIl@gre?N%A&hfA*>x%&ByuGhOLM zcY1I-XK)s0b1vu6n+xc}h4kZME+zJo{tVy>uB4Q~T*cK~!*vYfdPZ;qH!+IQjNw*p zV=Q+tj=Pw^MDAe{_wfLenZi`2@d%IdI8X2t&+shMnZXOZ$jiLSOkU>=-eMN-Fq?OI zpSjG-j{OVLp*uePafDx*j^oR-npx=ei};!) ze9Lz%Wf{x)k(K<+Dt_fR{@_nmQ;zafU|lLwi4E9@*h4m<3f0(@&8bcewj}nDZK%a| z)TRzQQkVMd#4ha0?lhngP1u9I*qeRXj{`V}gE@plIh-RnlI9%6F&s-Pj-w67a{}#X zPe)=8IfYXxp)*}Mjqdc!)<~~3L*vsQ^ZoKPcL!#3cW6rD(;vyrDY+#}#-}7c{gFK6 zf1-#r{c!f@J)N@Wvh20=Q*UNJ^Q06pOPP3SS1szkKaqx(b^W|Tie!Hw6;A(t?ed@)*6y;Z69ON+Wr)^)=U<-!x_OyZsaCzW;C~O8?lSr z!JUlfF79R`_i`Vxi#*6=9^yY{$(kR|6#i z*pYhFXJ>XL_K*fNq%lp{lfBr7{fIr}Kn|iQhj182(2VA^;AoDaC9P=9@wDYc+R=fI zoXn|oqBC9S#%c7RCueXLXLByS=*{``;X?XxF|mhSM(iO27|4~BGMKBfHFABLp*Li6 zcWj!wS+`Dob8S$;(;tPDvs&akt7|Me+livi_K-zqdz0_%U}MqQp%is?tSmY^j(lhBjYVfC zQPf#?S#)+f`OeNW7M)!{QD^;S(b*N`I$P^$|3}OeY_AB|$${CB!Dp1tfCbH+4dVo!Et4*_{S7 zq6vGj7kjfW`*8pVaxjN*D2HX_K;IJl@dDBmDA`> zPfq7d&f*--|Yv>(cD4gn`>q)nrlH(b8ThOTs!j3but#sb)l%a zvt`j-FY?XxGZxKVLQ!*rWzpPF^39Df7R}vAQFC|5qPg+po4e20{X9tQBo8r_hk1m@ zc!DQ+nrC>9={(Piyu>TK%4@vNo4m!_%w`Vn@jf3gj}Q5n*hxNP0blSXU$Ka<`G(j@ zzGo>vu$&+HiJ$p}U-_Ls_>0w)V;w569u--i4cLgvY(iD4u^F4Q1vS``t=Wcc*^ce0 z!;aLWK06aT$!_dULmJV9J=u$WvUiiFX)lkP3hxXp(=OjS+vPi?ByK7sJyLQ;mh?_Z z+*C*gq~yvhxh5sUvSdt3Zp)GhoooH;Mj?J`TFM^HvS-sz&2ZuUWRmsqMrk}oYqQ9= zHrH6RHlL!_7RsWv#pGLCW-MA;K~Zae%A&Qu$+uR?ShThwMXgnrMQb(5w^rL&w6+69 ztu>HEYmLdZw$?NM;a=a2=Ct5wj-e&3XwC7o1KEJivoY;UT8+Fpu&$Pw*76i#*G7%;0%mxk^BvkbH0bjYV%)P}JLXvgqx4^1Y2V7QNj{QEwAv(c2{Q zy-hV1y*)xvZ_{Pb+Y97+TkE<1J+Gh3Jm&KeAM+`n@i||zkVPzJ3E%J?-?NP6tY9TS zv5H^#jX#KeWHo9jHq^c4B9C zWp^6Th{o)}p6ty&?8gBd$iXz_P!8h=j-)w95&OuowB$Hib37+-BJHzvQj%t9+*+9P z=cGA*UN(0xN=e*WNQR{3>MR+VlDM^y+?kTQvgH1hOwN)gQ}Rrfyp)nxvt&+6-p`Ux zQnJ8>HFCez@oi~5MrTXOclNWf=-|C0x$70uQHR@d6Tzzn|GMQyS&c_%;Q615BY>oS-|If$yY4m z>uinu5Hr+w=*F~MS$hBT{!iUWutw|o?ck?v|riNi^`r}T=w*m?5Xe2_3VG|cj&%Y|KH!C``_Xh zqP%%n+_(C|7e0*Ybb)ABOxd{OVr%4&CkR{Odb(W0ziK^Ir3S z@R#e=l%qTqSeG~;tj`8)L>%fiA%8&F!dMNqBo1|J9uT&79f!Idi9=m|@&|;5#^O-d zggDf#c|h3TbsXvrA`W$ja43g!1V_?>IMf|O9O_ze9C1KsOC0Lj;ZXOF2ZS!hyK)-& z1H#$H;(*YLe>foc4&4X*H+qRQjtG~NKOzh^7Dt4k6de(6l*JL@X7WdbJB-B7DM0kk&5#b4AaYT5Uq9ej9vN$5VM*fH}+gKbC-lOP<@R=-*2w#vpBCPcTrj=g* zGrtfs@^}7V%^A6l>zI-2QIYk@&&aCAs<9cHv*wK4+I7sxZP||Psl%Exa%b0ZWZjM3 zS#w72={jcQKJ3ds&B()y$Bb;ok*ql*Te^-J*_xsmd9v&jI#I%!GqQ*4o}57(S^sH9 z_A%aHr3`@5YBeL6xF)4}9uq5}S+2>@ff>EWnvduv$N{1qqCaCF7i*E?O;4Q zt4Hi2Yj)Pab#&I4*hT)SvjdICF4C0PMb_-Bx$EfcXkr)nr_N3^9=k{fIPxHt!O9 z$Xq^PJ|FTipAvh>=X}9JzG5+7^9|qeJb{PRV{*a%f88(Bge77bxoN zEm?H-4*AaJ8H>(7qNuY)vgm9H`OcObi_TV3)Y)oTbXJ~RXKVdvX)~{{P7P|Z6@d$KqCupb8yd&t2wnv&PEWKK%r(<{k>lzf>b z-=<_~mi(HMKeD7kN-AbawUlh0CEKKAI~Uf-6su#G(s+!{b|>H2UdE!ceJSefa9MPA zB>B!-8H>)^P}JEevgoXYd}lq4MQ3MH)LCCybaoN>&ITHb&IVD`*>G8OHj-RtYyD_x zg4a*vUM6ur4=|Z2Oyyx7;V~ZPNuJ^vo@F}E^8zpNGOsd|*LjoJL*C{c=I}1>GnaXM zNbDh>@F@%UoGy@BUFv6Rq+yz&O|rRrP@21kWOKJgN{-2rb}8wYC0$Z- zT9))m$@y7wNlGrylK;1V*E}kWZ_jkF)Z6#6=vZ|jrqt*Wu;Z8M5`+g296ZBMSZ|8#bYeWW3c zXu=-s#XjuI{v5zT9Lymc#^E&MNLp|d$8apIXiXd1asusWPe)EB_K{AM(1os?Mt6F0 z2CAXcHB~UUznBV{OoMb&reC*Qb-o3*l0CEJz?3v~;k{(4)p2xbJVs|N$#-_5 zvFNM=MV)n%MQ1(8cXqC^=&UzIon0o2&IXY0>?&i?*)vv`}?yvuvclQ8P(Z>nrz9|)M8s|vpqYo zBlW1y&g{x=G@v1kX~LfD%|7f$>>&qo5KTFR!#ILwG|$$^acPFeErt1hYMQ&9v$=bE zO5&D6a$!m?&XOxq61NnR>r-+=mfV_>u~{-HB@blDBPn@2OI}FH%USYPO5Sl{jZCvT zJ}QmJ=pJoh_lLvz4;wY!&&=RvU}X%2U+Y#mxfqNuaFvgm9l z@|`s@7M<-uQD+CsqO(KEb+*>?ep|0Uk@j@pBu?g3I?%<=N7PEMV*}Tj9%w=A-Mi!(Q8n+bY z`_eRbmuGYL*ObI9g`{FiHpr4{DT!MO$#yBJlO?;PWcMuDHzfyT$>AwEGE3T|~>jnb|?AH?ll&j z-A_?xkIAC5C&_pAys_x)C5k$GTNa(oA=lYj&-)9#ei2`@gm3wdr7UAPKeCdaS;epX z#vlC2YRXZb3am>-DzO0@5qrocRG}K1vN_eM!Is1xvJJJ^j@r~=N9t0ao!Et4*_{S7 zq6vGj7kjfW`*8pVaWIE)D2HCI5?m zeen^iTEMvbhZWg&bBocoo!E1XS>Owvxek5+s9aRwm(Ik zHIqeWEy#D)+E{efmZHu&$)d9^ZN4oENPsQxSfzRP03+da&$^sW=V&XoSY@yQqm(! zdZ(mMmRy#S0WQ3cJZg1ZQyP!a*)Z~*jWQOUjiIQs39{(y9`c<{F&3Roqo}iIWzpFT z@}12z7M;C8QD<{y(b;_RoqcXBI$KClXUk;K*$Q%=e8&R1}sLG~n zMs>EJCR?&K+psOQ*`6KPk$Ti;XLcp_kOnlQF-_Q$z1WBSh&|*$4x%ZCa2Q9>jOMi9 zXpW&Jt!T~hwBp7MP`Ru-KdN4~T6 z#-g*6DC(@cEIK=#d}rqwi_R{fsI&gE=E&fl`n0xL2-fztP*T28_?{Ccgzx|6*P9y*R#@v5@WA5%| zK?54nh{iNw5B6j)_U8ZczZUsl_%*)tjkz`J#5d-~mOah}eisv%$URKrJ|5sfrZAOh zJi?#!~rsl*1vfp23fQ-!K*%H~w31~u7=t%<|K zcGRX0ap0>`5FR_GLc~AP#&7)08z24@bIg&QZjHZ_UF)8`p8* zJApXxwWkAX9v(_uccv?G;OkBg;_z@Lao{_LIPjfEZ!Vw@7jhAC;JcJK@bzZ^ad;R+ z9QcOd!1s@bhmpo_;3jg1hc*BHLfpYTZd%@78jmpnCzGFmj~R;z_#{OW@FiJHz*osn zz_*RX1e`Gy#8>#RUA7`~)m#EGA$DiYDMDvY3F?$WOp6 zjl~4qhN1~rUltQ^7j|XM3E0GSOu)S;nt+GMVgeq{5v(}@k8vFnuocJAhT~at0(NvA z6YvyHWz7kAn(LT=Jt>-i=gVRO_9Z_7FE%I%Eh zPR4N;cQcWDvpblFI@kKwhZJ!?@?5&>cs{%9crD$J#79|@4^r}BmVA+tud?Kal>C?_ zzo+D{ELlG#8)eC6DcK@Rwol29S+ZM78oIDbp0GalFOA38HVz`TjWt_q<~mwyL2MiU z)LL8P(ONrV+gP);POhW1F2uI+PpzG8JhqKq#I~_!YyDiuws8ruZTwSfgN?_wF_f!W zv$YYfqqQ4}ZR4L>yTf?2HlAE-YyF(*5wDM3oD4`+UGWKICI!7x|0@e8HD|#Uf%C`G(jvu$&+HiP%McA$F19`Gdb$ zO*z&fc9Hd{$og!+MpPztk*ZWNK&*tuul*ETwl2s}BElbL$ zWZf*Ol9ElcWUG|a%95Q@vTK&?k&?YTZrR>kZ6%UO$(4%;zIM=2Jf7bG~FDi&)GOzTrE*XBo>`!AgE&6~FKse-Qh~YW}7? z>##2CQHc$RePm-QQ-!K*%H~w31~u7=t*OPf)TRzQP?vh_#Ln!>?lhngjoE`e*_(aX zj{`W6gK5g49L5nGNpp@O_K{<0$#JyicuwF%+Gp#eB+cKh*~~sC&Fu5CnSD`8F3pl5 zDY-gJMyBMZEV(l!cV)@_DVdxlPp0IVEO{vm7M)e2sIzTk(b;z7JF9OjI@^V!&i0Z;XZw=x>=0wo z+2Is*)=CzgwISEpe>y$J9#TSQy3&pA^yGBTiXxvnI z$M`GF-E!I7T|XspQz6+RC0l06_9=;*3Q5D1H1Q^3MaMr6wtwdugW}7sQraS=$7Da( zHvL??Ea{Sx)3W63l=O08ojhZOTv8g3(c9(ZdmC&ldK*enZ#T-Kx0}iLc89U(Z9GN2 zJt&Lb9wOh{6UL&qrzz^~6rjF9sL1+kz(#D$CRC*wo3S}tP=hVmn%GCSWjnT~4m(np`s_^XBfGIX4QWIZ z_GB;iVPE#=01o0{4&g8krx{1mf}=Qwmb9WZZD`90w4*&8Ihj-FL_k~~)`5IyosC6j-6-npTv>G1n_OpWJ@XIp z`oUbqP_E%xhB2HG+`x^D;%3Hh3%7AQcQB6eOyF+r;a=|J0b&oC!b42sVIJi%p5Q5B z4|$g7n8EYB$jiLKOkU#+-eeYUGn;pLkGXund_Lr3KIJn$=L;6{6^r?rZ}^VyS;h~n z;75L96~FKsv4{N0U;Iru)?r=Nqf)j;s-zhjw-x4ltu%LQXLEO_l*Da?Wbc&hmnDa$ zoYiT@2XJg5CHqlsgHi@Fn9+5?7 zkCX3gy0Pf&1&TU*OBS8IL%y?l#-g*2DC%sHEIM04zO&`VqO+A0b+%d-os}oo*;>#0 zn|Xb8YEYA{*qT~wOKs|~19hp#PVCIC>_!6`(U?8hlfBu8{WyTwLk^}XhjJK4(2V9B zMeHHR(vst7&GDSTiL|E!Cvh^T(uvM=r5oMp!RegAS)9YUoJVgipbr<)kBhmK%jnMl zuHZ^a8NyXuP3$4pF^uaO!42HRC`M;%2W$R~Wt0zT(UzG4wyvxIN?j-@PPIX|+JpIODP{Kg;r#cIk?o(il> zMJllY8?iB)P=#u2%I0iA4YnlqkZq{NcGRX0J5rbW*&1n>X6V0eDSYm9Q2NIj56R|l ziQ?hlI?2wXr zS<*NqduGXjDQW7$x92{;=C|iwn|*ukb=hym#c$CKEBht6;bl*+FMB$o?CHp|r#F;6 zy)kjaA4TBpe3t#ul? zx7M|OH1m?zzrsvj;|<0~cX^Mwe87A@L|jyUQq;|Eso zBR}yozwjGz)ccda_?vR9!@8_TCDvy{Hli|{P?b&DjOuJbO}1ofYOyV~*`6KPk$Ti; zXLe;b8qko&G+|HnW*_z=j(P`j5KTFR!#ILwG^YheJJMA6Z};uFFIXWTmBwRq_bK`A z78#4~mQd8)N?CNbihOsgjYW6mDe7)xS#(#0e0MdBMR!|K)LmU!bhi`v?iv}3?)IRl zyMtxX-J#^WJIa{u{_!K4w#HASJsmiSlR1@6bfzoa=uQt#=M2u`Y|iC8dUFANxR8Ea z%%#L$(w_lb!IhLUn5(#&Yq*YKT+aw@;3h^fnlaqUZH(m(#&H)Dn8-a$;yxZ=GETHlKIvYa1v*E^~vyl{acDpP(yOVrp_Zo}N?x(1; z$7IpjljJ*l-dJ?@5=EW8EsM_Pkn3!%AJHuI`bB)r629d-ma>fH{K!gvW);8k8-MU8 zt0_l$DzGjUsl*0sMC>7(P=#u2%H~w323rz)$TrksJ8Dyh9jQxwc48NHWp^6Th$ig8 zUhK`j?8gBd#K9cGp&ZT;97%JI;uwyl701zr<2iwLw5KDnhn&Kxl+c;3oJMzgW^1HZ znxXLtkokUjn!5wDxjQr^@d=RR=9Ju$CF4^Pp8!c7O3A}n@^ng`%aYep@@AI2my!>% zZN4oENPsQ`1D88G$n^+$79~3S#nuQ2Dq?BUbZ@}DUHYIY#8~@Mj4CF#!%GR1X*-;5Bbif z7>mxPQPkP9vgm9E`Oan|i%Q}R@nypWQYv*ewW zyqhH-rR38rS(1|PvSejSR%J=~l&qU28>gg-3v1*Rt7EIuc#O_!k?*XovFL0kiaOgv z7M<-)zO#dkMQ4Xn)Y-AJ=ot;EcXWeDd+3DmvJI`2jb^%45^_N9wSCH#$ zt!Moky?zv<8N;pI##ruP9CtB+iQK~^?&AR-WC~N6#v?q+<2=DrJVWdu)0x2wyvWPE z!c1N#_K>%j#XHRAUEXId^O(;^e9WhO#^-#=LKd-@C49qoEM*zXS;0zvVimve8-MU8 ztNEMqti!rgq!Jqtd&tIArV3Trl+CG5jcko0;IWi?j zWl5Wq#O;KnBqd$5`96`dr20Zy-L2bw~a+-b13TU6Ipb&fP80P8;j1qrKq!?WzpHM8EIO+| zQD>XTqO)q`I$P^me+RFxOMP}?7j|WL8qkO)?7?2_&A#l%0UXG|9KxX-&Ji3*bB^K| zVh?G>akSxhPT)k^(~;OiPT^Ea=uB5mqdPr0oijO$b2yjtIG+pX%Y|IT#azne^k*Pf zFo;rya1~c`E!Q!e>lw)n+{Dd{<`!ZPxt+1x$vE!fZYFXsldO~fj^CX-(;C^oG#;b5 zgUC16%vdznf}-Zy%A&b;=QFCX@qPbq=o9kyRn!ALe<_626xuN8n8(}P( zyOE;i?vO=uwES9q1zc%3(Si?^B0 z9Ny!7K42an@-eZKe8vL4;7h(@5nuBSv6FnyQhs1LKk^el^9#T7JAd#Ot0~7iRA4B@@d&wjuw~S;bA#f8sS;t1gY!YLaiQwy|h!2Z~y2AdA)-lWT3QXa2*zz8TGF!Oh&gNWt(VO$>LtpxFF|mtW#^ns)|6%V= zpmi+&zTp$mL?qF`MxnVh&zd!gMoqQ|&83n?l&zVlL~{~S5sexQjhcu`G?W^Xl5J?%YBNJ-LnBxs$u- zMQ{3WFZa=p2N=MEJj|m!#uE%=5Kl3Lp$y|$o?`^hGl~~@iC1`)*N9c*O~x>e@x0Bu zyvKy>D)}_c(YUQJ<7cGVJ3E`b3sVxe6_S-H`8`X@rzCDGB%7vWvn<&vC3Ui7`;_dI zC3~i1pEmz5ehu(L*T`8V@ff|GOTM@E#-g_iDAe0kvgqv^^1XF67QNj}q2BJ6MQ`_z z@2$VF= z%ws+a`GKGKnZ+z+8O!;Vm8{}-{v=kC)vTdB>rs*QsmzANO0o%6*pzB)#^%(d7PZ-m zt*Jv@>d}C0X-FfsX9sp-7j|WLny@E(u@C#QKL>IUhtQP6IGiInnr0kJtRp9IA}7&; zlR1^sI3v4Giqrg!TMKjk(loQL$Y%DnDT!MP$*n25BTM?GByKGvkEi6xEO{m+!?R>` zO5VtlcT)0xmP|>>7g_RcN@h9nYVwh*=Syf}v zS#=6^wv8-0DH5Q#6L7~o?%c8TE^BQk3hOvz2E#Bc>-e&?I@-d$@+I6I6#VMyud(9*-FOAm*Y9zIig_-yInbJ?Nq&UN(P z``x*d8vpa%x&M53Zm~UcvDdp|uXn}v&Bb2xioNC)yW=Ugh8BC>E4GdnTStrC`4oHo z`~SsX5a+e?pASU-Z~j2!zwwvurSHz&rNO_xJ2zJ8l@{-^8-%}|ucjR3sX#?ygRlV` zvN5r#t4e-@u!XT&Y)NeD%5D(qJC9A>cEqNxG5HO`ZpLC$w+FGQE4x8Bz+CY)v5Iu!O3HM$ z&bOC(cy6pAw{bgnau>bmO&{(h){uV08Zv+fd6-9tHRK5fGKi-b!cd0sEU|`+Al8sk zyueGmOspZV5o^esjA0z(d7F2MHDm%G@DU#~kx5MEGhz*y%9l*%E52a{v4+fM4s-dQ z`7GcEe&S~qvxH^*!mq3(){x(cHRLa14Ov4u)}tb^hE&e3kxkPKjd!rj_jUfp`M=&= zn7ipTFFkH{yzr!-Iw{Tgld~CrR!Yvzk_%FDah6<_l54W0@b8>YbbUNj5|7c^qvTr~ zY%E%PnnJC;D2vu!A>Z0qW6|1M6l(1gS+w>k`PQZxi`KrTP;2vK(b_`ttt~Yctu3ce zYpZ3^T6uDZwBTe;A(eC$i-aBWn4ig zuHtI0p$pe>12@u@Zrsc*lyEDzaR;%A+)Xd;p%3?RKmF*RT_umFxf}0axk`qoSv@kF z)uU4q?_WvYPsxW_G9@MP{*`1_O1{gIA5*d@OMXkqpIK5NC6%(IdP+9Wl5J8_VL2;U z$#4A5pZv{g%2A#QRHPD>*^rIdgsN;xbv9#jwxAYU5^Kmd)S-xaG+;X#(m1%MD7?Bp#!?G32{@&scQ#0foBzTo&DZNxr+8#-h796zcA0S#-CA ze0QsiMR$KtsJr!L(cK2*yQ^j_x~oB zE926&5;qoJ8z!c8esZ?XPftnQSV-ol}Z)p1-&JRa}=#}mnScABy1tQCbiYbT4&I*{+|GGozMCkl0T zqbxe>M!vJ#j74X6QmC_jvgm98`Ocm&7M%^EP-i1#(b*_+ovm}%|BmO!8Zv=cLq6hT zCNhc1e8%TYaMm1=B84QjFlwb_!bsY6}rQJ-zujz%P-ln8qO-%ub+*nu z|0$k-8fVaovpAb`X-ym2(vJ3Y-~uk>VlLq_uAmcFaW&V_h3mM1SVOwfjhnfJ5_)nQ zcMxmH-Spxf`fxAz(~tfP;2|F7Q6A$7o@5Y%8N$;H<5`9?f{~2kMPA|+Ugb63;7!Id zj<Y6napQ=!BnPY*T}3iL*ur>m9Qwy-KE*w{VgSNTOp~Gk`1$@ zdP?H9LQ<5H23fLWN_NST{ZevZmK>3iqqC%CN>0s^b5c_5#5MAntK*`Qc#O_2CErojpUL&R&*9XQRn?Hr`lt_6~(Q zn4&w-p z-F^KjmiGJ57M9aads_yo{}%Kr0}mXe(w7Cqa+@qwZF-?w!X1wZ37CmRznu8 zZ9%@Zy2hfl`V?wyXIZqi8~N7uH5RQMK%v%-l0|FBkZ-Mpv1siS3boc+7OkB}uC;aU z_OJ5%&UE2guIC1BqAT6Gg&y?eR&M7G?&5BG(}%v?$NfA&e;(vvVikFe#~H|zJjGy! zGK^S7o?|%AGm;m0iI;hm(Y($ZjA1O}d7F25kN5e25BZphOky%q_?$2Jl4*R!H+;)X zW-*8F_@4PJ;0IzA`I$v5VJW}xD=S!)T_tPM+>ILwuL@Pttge>L>e?xZ8wyFIlqdUCxlX4?U51%hR99eoes`T)M>@Yr}_hRYjOQnY|mma=SdiZMT z;pprz{)E>`M_(^Je53U6&CD!&y^p)K_?B_f-eFqYozO`*0jyB$m zV~I^)+08>s=dtNKmDu#1K`Y8`9*Ui}p)IlLYflGa^KcQd>ARHJ^j$%I^Kh-P*!0~% zZ2HP>9(p*BP2a89^sROC(AW6A+)r-vkpEqV_&cw^G&P?oiN~0P!x_OyM)4vq^9rMR zjW>9cv5ey_-r-%|CqD@%8H-6cg+i0?TUkuPS>z|-0%I`=f27bPTp^1|_#632Sk72X z!U`0cgjHoR39FNzgj*VmNw^KMgI(JsY-~Ix;g0M?*-5yE^O%Ht6Fb=b*q^eK@KEQ6 zaRf(Fb`l=%JSJgtPNF3zQ+5)b={zRkIh;$`NqD~Vn1mfEGzqViT}5ZEq3k5Q$$3n| z?%d4UCgEMi@1{5R(3g9ON%#Q$d60*AghzRtCwP*l7|c+fc8ikzB7Ki(Zhh0;%$RgH zGd{Z${~+Dx#G6{~bG}T;S6MPACEsVsl9c?CC4Z#k?=0CMB^zf+jg)MWCG}IXU6$;e zlHHuRJ#iML*M>&t)jv*G0we@z2@#yVzV(}>3TWjah+j+#|v9{hW zF&>M@<;3Dqwzn?Mqqpmc#ba%~^)w!f$L-uf+1~m%kKXR1P;ZaPqPKzMdmCo#8J;87 zk>`nZ5}KHx(><`ZHanamVE=L^1M8ej1Zv5w4S z7IXNH@0mxeBR>%9$j>Zd2}}8fUx{^O6~FNZfATl0DaU%mIujCxI33~nN70O9IF92vk><4EWKQ8UPNx-TayIAC znl_wAJI<#A9l4N;xrEEOoK9TH)pVu{*AZ*Tjl>$#jqcn+2|c-u+qsjw=tXb(a4+}K zj|UjQgFMWmJjN3YWDrj=grN-MS)OAA&ohb_c!^hdmDh+h>Bwr z&Ct)XxjQ4x-PzgP{crju`nawROaD7ZX8$`Ur2h|2%C3>GTpeeX#A9@JF8R*d8;i~^ zpipO5$)dAs$amJ&SafzXg*v-i7MIE1Dg#^D^v(KO>&VhuTg6FG?%oXn}5#u?c)Qk-UJ+w2;-G|k;BvblS0N^Z!K zTT^mJmh?@@{aNyOn;rhOmy7=f`Ao`&XW8iVQ*UHH^-fCO&yp!A`65fcP01`LUP-=o zef(GwkI~v9@~y2f7Onk8q1GzMqP0roTdQg;TB}Z>*0zyFYenQ+Yiul9+mS-8?JbMe z_9Nfgp~j-MBPi5bb6K?3l3Z*5W#<^HNHJ|_OFP=rkqfwpi@B7`xPmLWiq2fawOq#y z+(=itb2B|C;Z|1=xU+(38`q7^UiB;qg9_4YK;7OihFhhBoXLy$3j9?@$ z@FFks3Zr?AHyFcM#`6~M@GkE&fe-nZPng7~OyM)W;7g|Q6|stZ%M4~QoA3CZc`V4T zk|k+|#tnrP{_iw*%Vl$SgOtP#g=CAAY?&qXQxZ26lHF3WN0uDWrp~`^B_cZ}WyfdP zDe0$9&wlE>l$@U>m!#x!C$5rjTp!n$#ACE}6ZzJ98jIF$r%-G6$)dFf$hY>Gv1n}| zg<5+~7Og!`zO`44MQg89sI~WG(b@;(TbpbwTKk+rt<980Yjeo8w$A01o6}4&hJ^=LnACXpZ4nj^{+0(}I?q!l|6j8Jx-4oI`7hIghrSPX{`3 zA+d^F!lhi!6Hbf!yom2^upG;S%(>RxH?_Q~e%fRw~7g=9!dhGog9l*BECWL!$# z&XSK(GBHc0r{tR~nVXXNS+Xo8zh=o_DOuyhHS(>iW22IIjLxc%@2sY==&Uw{I@?wj zoi!rg*{;T-vnCYk>>ycm)|7l_&5T87$5E)W(`3~WYOBEf=t*w?tYvswcw$2@Y4bQJhEo!qBTT_R+)T060(vU`M z&kpRw&g{zWG+|HnVjupa|8?&8kMg``980VsCvYMs(SnmXmD4zbR-DDzoJ(uk(3Wyzoq2QEUA!^ zN?B4pC7Wl-HYq7`;tn}~c#PI|A>Z2G#-g?TaMiB0wIhrl$MJyZt-~V1?TqmZI!X;?GFm|w!SQS+kkv;)r>`NH7L|uU0L*2pImS2 z-1DpP-`ew^;OCpuf|i`ZshrLkoXOe5I?|eA&Z8~o)1Ho8NUS55a4DB_1y^!4v5s^h z){*PEft%<`cWxopk)GVj?cBj#^rAO?=*xZF&ja-5K_2E29^-Ka@+40&grN*0){*BJ z&hw1q1zsZ7kyo?pWL&yV;EYX@hwqdgzFT_uUUnFN*!!iU6G{(1C_VhJ^zft7!;iDW_!B-U9i3QuI4KVQPkfK= z_{RTyk8YcPzDM_;Pec}5&5G@citUPu-4Pdig)O!-D)uT{>{YhdJyx+DQn4LUvHPrI zyQE^fq+)l-#a?lX?UahW>K1#|Eq13|Y{yh=$5d=ZEVgSZwreVO?^SH)RBY!|?5?@k zt8lU1Q?Xa#Vz0!-?!*4C_#WNu8f4$2YpM1uJW~rL`4>URvA9;-$3% z`IpwqjKxc9Cknl^-YAQg)^6lqT5mHJFRgb{=%uxvEM8g%kbh}?!dSet4x-RY>j+uA zw2mV8(z?#KX5R7q*y>Fnwt64&F%y}@WIp3_rt&4z`HFA&mYK|E4s-dQ`7GcEej>Jd zi&?@le&JVEu!`S_t=?b!%^J$F9uQ}xoWnn_k>QAMWLT`q7^OJjBC1%40milMG@oLwK5D zJj-xKFp^Qc$VG0<}j(31; z;TNUt_|j}U{##1o9Uw`ilx&zK)l(Ah07;5c(jZHAOvx@;vR_IL%#tHga&(roOv$NP za!yK$owzmr&ed^INjyepmy++Sv$5#xS_*a6Ll&LgO1`t+#-g*n6zc2|S#^w$8U_=6e1-7O;>X`H4j=W+}h0oE5C( zH-6_&{$e%dC{G0{Qi;lJ$i~DPQk6}q&Sq>*O=__vv4(6z9g3(&1Gb|fjoF?Z*@<1) zmEGBcJ=vRm*pK}=kb^mdLph8iIFh4j#<3jF2{h*X{ayn;lCb5Q`!?_gGhPIqf zdpc&<$mMBUaG zW6{|u6zZ(CEIK=nTxaWiYvwA??@Sl2<$7-5Cc4s{Tj)VgZsm6F;4bc_H+|^KecaCj z^yfhyCf1P0c$|Se$x{qwD8q;~hm8 z$Rs8+h0pndFPX+ye8acQWEOMyj_;Yz0)8OYke^w^5|;7{zp{c=*)_5z&G&fs$7@2B zG~cUb^SyRT;@uxfqm=BBB~4Ni@BT=drsVJ}IW8q9W=X4*oSh}@QqmzyI;G_5EV(fy z-JEzG`QFuWXGuIpXT8XG*3VdUHh@B%4U$D?L&$eF!dP@Rib9>eDT~g=k?(ARvFPk0 z3UxMB7M)Ee-`Q+q(b-%Ib+%X*oh>8R**f?96+C}^DzgC_u`yMsN;Nj41~u7&+HA?z zY(rh@QJ-zujz%6AR%X5&~6{!jh_`aD<2RweNmz11P#TSH^f+x8UdZBJSB zwh#H<4lx$J9Y&$vPLM@!Cz0>%3}eyTSrqE6y)1gWfP8OP7>nMnqEK&LWzpNs+Y$+20|G9__KAt_Et+bp>#C6{K& zwJEtFOM0Z_)-36plKZpdk(4~{#B0fXSI09Y@fe*AX9Ob|#f!YmD~#qf-r!BfGLE-+ zhj)3Od}ou4MQ2ke)Y-SP=xi4G&K4Mp&VHm&XDejU*>B`KD`zY^t3aX7s>-6X>f}0G z=YD@%&u>U$wr59nVi$I0clKaU_9oVl{n(!aIfz3zl*2fJBRQI89Lw><8q%DTXvxW( z%4wXznZz1$4(C!#8`^R{?diw`T*Sp(%4J-^m0U$nMnr%-P_WzpO1&Q%IF^BK?o_Q?b2Vx!hnMEvNDZlV5D_F&E{K236&1%ZA9u-)hN^HP}Y)loZ zQjO}=U~{&h7F)74+fbJx>eGPjXhdUnAl8wc*@fNMojur#z1cT=Eoquo^0=+=x^QAz z<6C5Fe5;hiZH1&mN-oTjPAQ4o3Q4z=+>#}CrlePv3`ohtSu&_ioqydb#7~V%*-KgW zX8NgdPQ02dbbWkO5|7c^MDndoH5RQ+r%-EiWzpJv@~tg47OgF#P-}n5qO~>TTdQm= zTHA<1t<{u8YqiO@R?k?pwk?HP+f^2=H6hp9I(Pj?c>Yl|;~0+Pcuu4_EjXD|IE~Y3 z#hIMVIkct?=h2Sy=|D#=B4oyDsm$?(T(ohLJ2*&joZ1CyXZx4 z`fxAz(T@ihz=J%@qddkF3}g^bF@&KE<5`|#1kW>y7kG(Rc$L?PRpd>^FplxO&AYtE zgzPH$G|kY@vUxot&E47A++CQGpR#0SN`B9h@+qm9C7Y&Xvn<&vC3Ui7`;^2dcKzbh zo+;VKeNJ4S{-UkJ(qFW7WS0JyUj+QY6>?TdJVtNllJBj(vFPmr3iWoCEPA_!d~aQi zMQ=A#sJFXi(c3-bd+Tp3dV7dMy$zN{Z%>o&ZKSd2?L`XpHdYqBy+y9Kb?*8<_x!0$ zV>(~+4KtX@Y`$YI^O(;&OY5$Vs%|WKQKY&d9El;xvEb#=;tZX`0zrWOMi0l*EmN8IYve(If+yq_ggQu0NXe4CP4PQ04@==%7vBp#!+MdVvs zVJuqvjY6$ekVR{i$hTJ2ShQB1Lal8hi`I(Bx7OHLw6-IKTH9L|t?fs?wL^_XYe!J1 zwdS&DttGkE*17L*=lSjF$OT-)#azl|T)~xGMQ5(zTCU>;Zlo*SxtSi6a4WZQ2X_&x zNN?_;FZXgk{pinw#47R#kMcPGF-!9M{0r5mgCN{e^d4Z`2fS5uDiRG=cULD+x|*_hbY zRVBYc*uq#Xwj{Q7Wj6@*oyWFrJ7QbcnEVD|H)FA_+k@EFmE9m5;5@c<2NT=6Lph8i zIFh3|hS=5}Pi*U&a}u#ZIEC2OosMnYS~m#i8E?z^f1X0BELoGX)Lw~w^L|~aGxx;2oI3oB0Od+wg>~UkzDH*;W^{Od7hD!-6Fi|Jhlk0 zQ)r9uo-DQqACTW7Og0uSFnnhk$>(1;#*%`T)^O%wQvL9=kk%t?P z8F>^(Q+7t4=sad*3kuE1vt{Sdnqtb%$PUgsav`y0UE7Sj+IVNWWLvUs>82sx#c~tU zE8R}?$!;eGq$J+Ok_<`7uq+vsl6VhGGA<==XURt?nV2QhQ}Rug%uUJsELoP4U$f+| zl&o>$w&iD6$VMgc7^_GXVihUdSxx8BS#4q!SzBk@8jsEz5vxeq&USSkoi!m=k+pSp zknvbWni8u>+0L3dkIs%GR*|)JcAD{6MOtwtWjkx*JUVMftRidc>@wrAige;i%5=8Q zH<)^OZmc1y(o*$ULoJvSYy%ITNLW-6IpcjDf!N( z8H>)orch_|WYO6|@|`U;7M(4pP-m-U(OG$NovriDr5c`JlUmefE4HQ%b*V=KwxuDB z*q$BOiJjS%-D$#}?8QFp%l;fltRaWcl*2fjBRPs@980VrClG7MNwnZ(PUSStpcQ9v zHs{isHngQ3?diY;T*$>-%4J+ZC$8dZuAvLpaRWEfm2TY3EtGI8w{ZuthTKgr?x7F& zazFj(pIsx5r)%WN>>3%K*7uRwl`uLb@$QwY=lzs?m?cwE67OC~W~Jo2Ecr1di?Zam zl>C_`6;e_uORA@2^DNmWB}GoWjx2U{>{t?y(b+EKJKNh>bhaObIy*uZogGcSv*yO4 zvz8R<>>OEiR!qLLj>e+1izw7tXIXT1E&0y68;j0*P^h!svgoWYxz5)4*3ux)AIwmm z<{6%4I3pOz3%tn7yuxT+;|<?Jp#urRw8eb7>$hXX3 z7PI+|@0rH}7V;xMv53Vi zC0Az2^(nb2OKwleU0HHpN*>6Pfhmd48~e{J&!yyfC$5ntu8!AB;xRfKL%y^3j74W3 zP^h!dWzpG}1N7%X9_A4q<8cP^Bu_Dfp$sF|kmnfA^Ni#LUgBk5&90GgX@bRX z>gqVIBp#!)6UldWnz87t6@@x$CyUNHknij=W6@bB3Uzj)EIR8(zO&nmMQ3+XsIz{u z=xhM_&Ymz9oeiQ;XCq|M*(h?It#hycj_1F}1U}#+K4v15n9OH<&Q!i+I$!Y(-!hZg z%waCyGoJ)vX~_-;}?Eq1*`a-SVR8eZ`M$b^{B}DRAvJaMm1=B84QjFl zwb_!bsY6}rQJ-zujz%99z?B_m7KliB<*U2(h$k!$D7`@FP-`hN6(c3}_^|o9Vy{#nQ+iGLcTX_og zwuvly+mw87wTwk?TT!UDhO+2wd-A>QZY+A+lR~{6B8%P*BiGwH_xq=K{%M>+E6(C< z&ZRYNXiGcV(}4@Pkc+v5%eaD0T*cK~Ll>^&24WrQN;hui7E0*JZQMbuBX`q_d+5Wx z+)qFHGk}M9m`8bxCwP)U3}y&VGmK{$&Im>_iWhl_S9q1zc!M_?%Q)WRZQkX5Ch#G# zj(oyIK4mhW@dZa(hQAT3a<-`(%fB|&E4Np61NnRN-5beORA?NZYd;1DQS=; zJEmlpEZHw52WH6;DLFbzTBhXGEIB77#ZFu!zqmRsDv8JF>{9Zbbv72AT}z?PddQ-) zTgi9U+gNngmqMLAB8$!*C*RpnW6{|&6zc3{S#&mSaSinMlAsZ8GNL4naI-9XMHL1mx z#2T^{3d#SeUtwJC z>iDB19;36r$#=HCvFL0A3UyXP7M*QDzO%ZTG9ObhaD$&h|AHogF}-&W@5r zXUCB5tc9`Y>=X)h)>;;woky;-b?*1C^8C(p;aaZe25zD&-MNJx^yF4<=ML`TZhF&) zzTC(CJV1XQ%S>i5hwu2F`7GcEVh#D3MJ!<{zwj$7Se0EPYtoev zw-l~~D(M=jmR%#YQxdlnl13@nAxoO1ByK4rO;d7smK>Lo6SJgMO3u!bb}8wQC7n`o zb(Y+il5S4Cj{NHCxU(c4qqAP*JL_jGIvYTt&IZY%vmxX=8(}Ou8%3ec-jqdWujC-{R*DHK9$*kjo6qfRHYi5QG=Rn zL2b5VYqp^-^{CIbY)2y+vjaO3YsjwbMicg6FZO0%_9xbmgE*L`9LnJw$x$@p7>?t3 zPNX?4IGIy8jniqxnVikJw5AQ`(T?-!Ku0d*VlLq_E~gV$ay8e`h3kklWXXc0%%LNclm&!qu@=Njyepb;x(t&{%Y~J%u{kQx=`=L%y>^j74XM zQK+*MWYO74evJm5$CYJzP+F zxUlr_htk6zv%~lkekvXPx%6;R>EYti!zJl3eShwf|K9JV21EC!+4hG7{N$J@ggtr3a|1SZx9>4v5ey_-sWB2 zV*(!%8@^AN$fr!^GrnLd)0ocJe8UW8GMn$1%RJ_@kRSMoMJ#41%UI5@tYj6x^Cy3? znl+SXJt|U(%4|q%_%@*mn^KL<*qoZwqBdKxHL-apq8<&14PQeVQFimNi}PLCohIzb zUc}~MKlbN9V#9X`O)0y1INEtLjwLpHWj7BkoyUgnRAR$-2CXQ&c_?OpxQeT}hHHrp-wniuuPfb%%|j1j!*?q-d~4l2^fi7j_mkT^l>PmM z*qyEP8ud&`JjMhZPJRNuY%C_=XbMfhcVsaE-zPr-CmD+gIE6wJ@LO3-z**!c-~wYY z0e_^>1Y9AD3HTfN30TfpOuz~hnt)YhF#)TSpMYB$iwU?5g(hHQSxmqk*@?0fa1ZA( z0r#fR1Uyt06YvO*r0fJd-g!*G=A1-JPNwVxJkxnhz;ig4vJ>!p=P?00QfLBRDT@i% znfwI2$yiLl?i8AUcggOiH}_C>0zTlpKM(Q{kMJmu^8`=w6oVO>-N8Jc?jK&r?kvWn zJB#tzoy7+!`8Z3yOvzVSGAAY9XUUS3{E{Vqq~z}`*&rnwXGx8eY>_4PQ?gx_?3|L_ zoVZ3-xjGIgiN{zp4ki|jvYj2}JUTmuSTxqw*(t`Ov(t%1qikobokwTq5sSv!I=jSp zEE<;+i$>Ybx;T$T<9cGzSX*a3jmM&KJ9kjFvp&wFv-^leV{M&1W;{9@NUpPWzRUEY z=f@iIDzS#V&KrzjEaQ2bSVP_;){qbQkdOIr;sh*pQ8>LaZUxs7?(wXA5ev zC0i3~NL`AkPXo525wV8sK&&A<6KlwB?9LwSMXVwFX4gp5G(+Q!EO+cDrn%c9o4c)2 z5^rQlI;7;nEa{Yzcq2>FEhV>P$(TXA{YHHq}^kHl0G9&6P!G^T~I%*jRM7j6$9LC5z71kngOrvFL0g3UyXf7M;~5 z-&s9l(b={X>TFk8bk>AiXX|{I=?Kq1ie?wBbD3 zaXuaB$c0=?tRa_iIi0wYtLaP^t|Qiv8;Lcf8{N5u5_)nQw{s_V(Tm>n;a={e9}h5q z2YHxBd5k9*$RM6#2tyghvpmNLo@W#<@Di`^Dz6c1$eWB|9OHSLcX^Kq*){TMnxXMV zmiay-&E47A++CQGcq2=)G9|xfN%@q-8(ES~Q?gl>Y?YEaS+adfcFL0fgeGQWzpL`9=+p_~Z zu?xGhJ5AV=z1W9+*`EVBh(l<~VI0np98EKhCDxG>IFXZR!O5J;X`GQ=C&g)Ix6Nku zrD~R zXsr_Y)~Xtd)~ZvewQXe4S`qoy8XJq&cBD{id&{D={m8d=sIh462nw~yUSMXVy0q$)dOC$@liEvFPn} z3ibA$EPDHZd~cJDMQ@)|sJEH2=xq+U-qyM6U+(!USjBJr!JquiYRa)56UXn$C2| zu9I$QhQ^JBIo~VI-9Fje9gvc^v5*W&$*?RLm6Euzkc>;o+gb8aN+xE>^pt#)C390U zKTDRSAIogE~L z&YF_%teLUs>^KT_cA6|YYel}ZHpZf}b`9Om*p^I5Q}xoWaRr^YimSPXE?mbA+(=itaWl72 z!mZrK9mE=PH@&!rKHSUw^rL@vjXd7wf7o~Du6BLwSQ3xX+Aic<+uK;QwjYIBJ30DAZbKS+sU7`PRA{i`IHjsI}g*Xss`~*4DY> zALRLi8OqZhl@Rb&#MGKJ6hf~id7 zD`FM-mKn@qHsA3*^H{(_e&i<>v6!X&!g5xylHd59Klz*0l%qTqs7NI$vmqO^302vY z>TJg5Y(XuyBvz4as6!F;Xux(fq;YnY?3UK?f8A90>lzPEe_i9D*(!fbO5>)&tI6qU z{Xa8H&Pz$$R7fsQ$(31heM)Z1lG{^qSC-tDk_WP6U`n3KlIK$Lyc4e`Yg{3(m&9ZA zHimp}?-`5UKA=!UXn$C3LTCV2?ZlWvQxrH9|NAR8-kx`JxRx_AT1bWBsCyJdP^bIQxv>CQhalRq=RUQB{x2iZ=Clb5XT& zrIZng525MgALq4_~7-<%^!( zra|^4x|RiBq8pDVdR@uC#-3&@USnHP=ry*TEM8+fkbjN6%vij}cB0U0?2WQ`jqOJM zHTE`R@fv$4gKG30QuM0Cyd2w>>vug#*UE1YwRd;ud(ZVhvps6e~$@#z(;({ zL?$tr&-k3Fe93ga;v2qYCbOBtT)t;M3;2Pbh&|t8mavRp_>~o`;&)=t_ZNS&hH|V& zMb@V>8?X@@Q-!KjV>4<{lP##tmTXNO>QayTY|C~uqA@$L6FajjyU~O_*o%GGm;H%7 z-$5KqQx4^Dj^rqsaSX@V+f?`;_a(aVs{D0HJVtjj$ago-Sai3LLftKwMRzO7cemPD zbXT52-EAU^?lvXgT`gnL-BuLpuAwZt+n#)PyBmw{_M}jEhsdJ4!^n4ctTElK^_`ki zjGx9CwBjtz=3H9ShPJe$Jsr4!3%Qs}xQr|4#8q6)HFV)RZXni@u5{yOZlQ#p+{PW; z$=&qg9{O-E_tTI54B#Oi=20Hw37%vSgBilp4C7gbGlG$f;zeHK6<*~v-r!BfGLE-+ zn|FDi34F*$e8NONWip@f1yh-p?f++`-S>CdzI;*ImoLrs<-eum&n&5wk`1$@dP+9W zlA@F}$dVmXvP+iimy!ds=9XX_Bi>@h8l~`o}o}@FUz8{(d0WDZ!9`{heDlAl0|1z z$aS{P_i5&O{yY}2kRSPpMJ#41zp$JYtmHR-=TH7(HRUKz1u9aB%52ES#2QkSO{vai zY)(yTu_dvFY(pK2s7C{~qalsio*mhVUD%b~*@HdVn|;`i{W*|>IfO$wj3YRbqiM#m z9M1_f=OkKkGN*DnXK*I5hMdE>6w`*boKJf?X4lB&X@<@A0_b^ zo&8O|v-OQdXB$wcvl_DKYzy+8)ioBK)u&KrJIkW8-N<*gud(Rt019<>lq@y|<8cP^Bu_Dzp$sF|kmnfA^Ni#LUgBk5Wi+qz24fh@c;4n6-s62f;6pxU zB9oZR6h7w*zGNC-@eSWHlUdB+JHBT=3;2OpLw;rvOIXS;{K^VeW!K1>G(+QUAoIOS zn!DAqxm!CW@ivg8QA&2mk|rsMw}B*0Q*wBg9G8+4v!qo@&d!o{Dd~_UolY{uW6{|t3U&6TEIJ!UzOxC&qO*@E z)Y(*7bT*xQXS0n(XLBjk*)i8K@ci|u%m!@4##Esy)!2+0)MN{4vn5-z z4RxtUeYRyg8qt^?*ojy}c4ar3um^jwH~X?bv4$MP!8GMi4(CXYq8Z0<9LIAa&1u2O zoWg0GPAksjY|f=M{||fj9V}I~uM3+DMnIw>Q3)o3pkN}1pl%f~XBt$*EQp8^P?w5< zV8n!i2$)bXVj`F`W=sf*C?-Tv%y6IIn0KGG&Mca`Rr`GRyMK79o<4Jqw-< zY?{^m=+Chn$MFp0L{8=uPUCb2a|UN}7Q;A)SVPX|0!A>Bizwj|F3YZwv1x{0lg-@; zY3@$U=I&i7xi?E5P08a~GA||1Wy$=MyqzTONUpPW?)9(r{OcLdjoi#FOyD*qatD){!c^|!Ztmqi z?q>!wd5DL3l*f3SCy6y=HglNAGd#!hyvWPM8uA*iGoLqkn|FDS1$@9qe9S^VWig+# zgr$7RGQQ?pzGpcr_>q zrx_Zz6J8UxOLMnvHg`LvByJ}pJ$0s^vr}?j zmRy{YOS9zalw6l3x2EKFC$5rsv;3Ztc#PJjlW*-2W6|0yinaEvELwYkd~0tQi`L$v zSZkliqP0cjTl>mbwDt|fTKh#7t^G#6wQ|OywTcvLZDU!qR+C(7>)h>c;rY#JNh`Ky z8@8i0ZP}jo?7)t6VkdTCS31*`Zggi4dh);fU*~TBV9z_0!--YoD2}E;KaSxz1~8Bl zIEj-vmD3o+84O`4XK^;?a2^*hoRM6}#guRU3-N&IEf&L!~}t?fp>wI0TzwY_lFuC=uTjUU7z zdXzg5zwRVXtS{p^awQJG{&LEZ{>vCRUM8S;S|2&KE4@ zE50UHk?;7PA6UUp{LC->%5VI^pZv{g%29!eRHh2mSdR_Zh>fX1tRl6jO=UzOa7yB~LNX#H7iGy6DH)w5H>Tv4ESa2=saY~JB@buGQz@C_#B~yX-TF#N zJVtM?lke?4W6|3O6zgq?EPDHrd~eH*MQ=Y+thc{p(c2pGy;U_9y{%8N-s;GrxBBFJ zYho;V+k#@fwU$M1?a1}E&ON^x|D!$sQGUKJ{pioJ9LMnt8T$MZUA)#-g(eDc0Flvgm9q`Od~0i_UJQSZ7mY(b-+(JDXuF zI(vv>oz0d-XY1K5?q>!M z@(_>kD6@E+CwZFL%w-0y=9!>Xl+)k+W7%MRmDSif|1gVMtdOAj|HJ>0nTuzKlXjqK2u=Q>KidM`e9_euQ! z@Spup>&g}UL1jO;_h!p~zC8DzFVFqw!;t@c81n!4!;t^MhxXE!=eB9~?=R1dReF`h zyX*$xZ|AEiM|mnziP#`iV?8z?Hgy}5-yqaAR*y}IOPOX4wBk&TE|q-ugu!v5IsdR*|xu?dd!^`xmi_tgW+yjmIi-7>84~vwqH_ zvtx->WNn?DW;|Ar!JI*v&er+X(#4(|Ysh7c;tH;0G-DXYHN+ZnJ+X$|$W7eBt;8BK zkx5MEPNp)AySbNGL+&TmkeNKl!#qN)A&(Pl$WuJc9Om*2&k<|Li@d}uyvpmm!JE8I ztRe65J|FNQAG45HLl*NHOZb8>`HHXkmhV{35B$ha{LCt14f&l|L;fPxkTsN}0+on0 zq-u7JR8KQB-n}y48>YG2B%8afQWEc8Np?)hPFd0|CGqZ+q<2aV$dV&ca&(rQkdl+L zWJpTR%90CHQj#TCrDUuV*GT-6o107GF*=(-zOyODqO-dw*4aa{=I;ypaBq<>f4WE2Z%c zmY-Xce(v)u`6eabXUT6V`7=u@rld-i)J#e3EZICIjh(no;vc1LT@sJc+jiu8+re1$ z)`?=hb(ckNJ<0dh%UJZbKgD`GN*28p$oDqTSoC%h#d;emi{8#A-`hxI(c8ro>uroI zdb^rjZ|i(}X|m@}VH$UF5BD;i`JHBlfPL_Ibt2DNF}OJmG#(w4cVCL)MOKCQ`O2Dus;WK z5QlImhjS#cj`XEKe~#fe1~8BliFM=@PUUn4aRz5Hlwq9BxtzxZ3}+-4aWR*0DWkZY zD;dog#&I>*ave7?o}0LZTe*$fnZzC3$yBCsH?fY~$8;WG1`qNukMJ0?OiaJ#ZBF_% zZ*gnkwc+(Nhu_TR@CRuQ$E}6r%anYbB|oMlZY?BhQc@vH)=$YsSyDeG4YFj5l(fi_ zb}8wQCA+4iixaOW{$aB((v8RHZ9nq89c(OmJB(tz9V?68jwj#SX~v?r!4&K5d|C81 zf_!h68H?VopjdC$%c8d%$@ezVSoAiTV!hoji{56E@9lA8Pw*76j?7^$&+shI^CB^A7LvJ|FNQAM**HvY5|U!WVqWSA5O4#5%H^ANY}<_?ch$mEVbVFcl%{?_k@(ht%c;Ql$?_#7p5d`EhJ-8 za!r=poRSGya#u?3&60;w@@SUKOUZLt@>)vfJ8_NFbai}G5|7c@Lh_w0H5Q#MqgZDv zWzpFx@|~?V7M+!+SZ5o`qOKF!?~+1&jtC2?CJsgjcQ zvZQ88;-pWb2e{mnEH2vP+h9Pf5=#**_%*Wyw(~DL8SBY~t!TsU#kwvs1}; zHq=;jb~eR2yI2;TT}r;QF~*{^t0~smt+MFscJiG~GZvlQL$S^tkws^-$agl^SakL* z#X5UK7M;CCuCsOS`ImV9Qodpt-|#Kpvz!(D#7cf)6~FO2fASZrDMxuKQi&>5Wj!_^ z){u>|AgQWCcnk_Y;2_3yphlIja=1N>FLO+dl6Z{P{wCjAWnjW6@euinX?_ELv+rzO|0V zqP3kV)>;o)w6+)d*7_KW)()gtYkg(WT7Pn_t#ije#Pf$TjI%kH^SFTFjN~FN<`OPt z6qj=)S22chT+Ovy#|@0b+@E{NK2#+y~CwP*l znay0D;aQ&N1zzH1UgdS(;7#7*9p2@A7Vse-^9i4_h|l<(FZhzL_?lQnzT+L;R^!5Sy-WD5+-j-0Tx8<_v?MHIGt#ij; z(eo=)m1?Zd25iK})L;{8QHQ$JXHy!mIgMySQ?_7BTF{cM*oIg~TGNJhY)=Pvpd&jG z>&UL`Mi;uWJA2TBJ=u$Yu@C#vi#{B{fgH>s9LC`s$l3XU&a8 zXRRpKS$kP@wj=q@Ivb15x>2mNePz*EZ}OcTYAiZCf?}NwkVR)Fkne1evFL0F#X1`< zi_R`2*V#IE{MUN^^^E66ZcbmN8~-&Yr2nS>^;Np@!MOM;-I}GJmD{BBuvY0|?b5?K zrH6I1!}yS1z0%S8rH7lA9&T28*r4=q^XxGGgodS~jYO`qrU z+xXHi()-Uo&bP+r`TRj;KhHPge}A6upRdxj!zg&QDtNUjxK}CIX%y@<3hq}5b{hq| zje=QM@XA#%^9p8O!K+un>?_!L6zn_-R+fU@N5Sr+P;MfV_~&=V6}(awyiyk2Cl%~+ z3U)aK_e%d)erKHbWD4afQi;k`p(@o_kM-Gr4f_?U{O?~L>8v_6_~*Aq7QB`hyp|W- z6BN9j7rdSq{(}$owOUi&M{1hISLw!*J<$Sw2a}n?RPN$#?&Uu2X9hEQh=+NU$9SA4 zd5YQ0VII%$9MAJ2FB2QS*La=zyvf_V%X=)~13uzo7V;^J`J5#zq;xQ)Rbn+AM5o0j{XHjedJ}Zj}_yYL}_=d5VfNxQ3 z0)8Tk3Al*-1pLZaOu%m_HUWQ;#RU9~`~)m#EGA$@icP?cWibJ3lAnN^8jA_IImISm zOIb|7t=WdM6L5RyF#&g=*aYkKmDh+h8qzVl zM!KXK>dmZ}@B5{>+b5g5hovOm$dVkNk`uFJa7yBhEXjzJT$CkOq-1oK+?bMEvSe~f zre?{^lsudzPo-pz6W2(6SH~+Q@fe-GPQJ7Ej74W3P^_~hvgqtf@|`U=7M=Y_vCjUI zMQ3ZscUIL{bhbXlI;$g#&gzrztckJcYzvBY)>;;wwIkQrI^SjL?)g2~lfC#C`>-Fq z=)(aV$iW=KVI0np97SLH(Vt^Ej^i1~iJVNVA*XRVgE@mU8OkuuA=Z%di8W*dBe{qY zF5xmpaRpa0nlX&y8m{GfZs104;ua=w8xxttWbR}t)3}>^xsUsKfSEkV!#v7kJWi}3 zPw_N!n9DOf$Md|HT_f|;42?Ik%=d+9?k>*e?y{7`8(ET7DfvB1%BLjW$dXh~$tGE{ zSxOpaNvo7>lO^p_vSXHXOUWKtvTsUyJ8_L{>gqV6Bp#!)qsezRz*uy40>wHTB8$$> zBH!6?W6{}#6zl9NS#&m*d}rg0MQ1lttg|Vy=F--!$kMk``fm1fpQN8#^1Zb*7QJmvvEFu&MQ@$R_tw=| z^wynXz4eksZ~K$$?Z53EV;w2bk7GEN0Sx2>PU2)v{WSv@+<-ErC6y)h+mQz4m}lDo5HW=i6wLNX^M&t%CfDS16h zK1j*OS+XQ0UuMaVDfu}|{z}OjC$5prT^;L}#A9@}5&6#Q7>my8Q>?QsWYJj*@}0Fd z7M-=DSZBM+qO&gKJKNJ(boMWbb#|~UIy;PfXZ?&tXU9^kv(seJ*`HHXkmhV{35B$ha z{LCtT<#%EY`HR0{W`Q9+i-6q-GZIzO^sgUfLlAW@oTT0@l zLee`W2V}_+DLFbzPDshgSu!LgXJyHSDJjX4t5P!7iEE^xtK;U9c#O^_kne1YvFPkB zigosoEINCXd}p(bMQ8IU*4b;a=xjdu&K4Mp&OV}8XG>+#*)sB-tuPjyt)y6It7Xwy zd2*etbH`uH^XpKL`fNr68q$a+G-C^z(}GrP#Wrk9YueI|_HA5n?4-CK^)AX9L5nG$pV;RRaT*vi{&#sZ%(+rK93iEw>n!7WyxjQQ*ZYm;q zAtf(o$y+Ij8w$yylzg5g-=yUGEcq=Ze`ZO=lvK%*nklKBC7Y+Du@l!wBUi`PCGi-Y zZAZSd9gIb1oha5>cUg4SlYD2rj74YrQ>?S2WYJlHd}jlVMQ0~bth1rA=5Wj!`vLpG*5HQ9vP)TJJq5^KojG^8<2XvUT_r)74Hv`I5GZYa$6-O}9c zn$6w4QWCcll0#B*c$V}}N!(6IPEW}hS#oYlF36HmDY-IBu1(1eSu!alcV@|bDS5z& zYb3sy@`;jojLx1W-`VrVqO+GM*4aC<=Z&VC@@*{{Z;vp*=- zS!G#tR*igTHH<}PwJ6qEBUyCTlw4=)-0QdZ{2k~>Cw68RcB3<0*`4n6peK8=H~X+J zz39XK9LPZ&!l4|_k;EF(mjeAchT|B(Ku#pqkW)C7(;37WoXJpzaW?029v3j2kzB;Z zT*9S{;&QHJG-DXY)m+PU+`xEl;udb@Hg0DUcW@_Dna1738gd`gd4L%_$iqCsW7#z_ zC(Y2foiN{DPjmOpZ0>%LlDM6ae3_E3v*gE=#O;J+O-d?c$@(eTC`;<6q(PQ!k&+f! z(k>+(vSinkbaCPfbeohb_mT^wdHmMBa!s=@&~27|7A`(Fw?*m4;DFTa_Mel^w<(wsq;~Hl>H#mL6_bdf2-3uuXOte?r^R(RQVW+sEMsl`HsvA$?vi zeShwz{}aD|uiStC-rTt@v){k>&-dn96$(~`f}KUd%22Q}6zm8KR)>Pspokmr%|v{6s!~lyN!a?qF}Ws*d-RM7zHav!A`MY)hO6`6s#NtE5}+t0c8a# z*f|!gA_cq0f|aCD?kJ9?F9rJX&##L6=QEI>n+N|teg^VC__SX7-rOylW#5}?srJ3O z@wlItll)8T!N%gH^)QOPv>q#qm)7ISzqFoaEM8g%Q|zVnd|AA-jv)WidYQ3!X}yAC zFRj6{zw$e=)%%OTSwlH0P>IS^r5fwA z0UNO~HQ0n&)S)i**^~w}q!CSM$`))%3tF-j+psOIX+t}NvGzL4 zqP=e9+uPfi_SX95%z?%a=1>mf2#(}v`qGbMIF+QthbA0(c7iudmCdcdb^rpz1=E{-fkz~+caa* z+dUNP?Gah@Hj8|3bB#rB&r+BjIESZ#&cn?VOK)?Ule|o-!>*J4-c#PKm zCf{0RW6@eQinUft7OmAK-&!MM(OOfAwYIG+T5ChTwT{N3wVf%}S`S&Ywio%<`WTDW z4y0IXePz*Fe{!v@^DUYoo$Bo}cpmvAYgxST7wiZP7iYOduvZeTn& zaSO4E+{W!p;tuX)3e&ioSVit*Iu9^|2YHxBc#K&*!IM1AZ07O|&+>QI;ZY)S(*rx8tP$`))%3tF-j+YswWYueC` z?diY{bYv%D9od!L=t5U^XAgR?CwuWP_F+GI(T4*#kb^md!#JEHIhwxoqd&)T9LF<| z6FHevIE~X8%o&`?Sq$SGVjVf33mCyjE~11>xGcL)#-=%cO*ZEzq&Yt^yBh9F$-OQB zOG50E9!>kC$Fp=^O5^6jwK6~b+}m05QA!qO$+DDunHx`mYN{-2rlTvbOmYkiE^RndPlw6u6SEuBxo-v~YFYQxcES z*>vt_1~YkxM|hN3JkFCm#cbvM831Hj74YPP^`0G zWYO7g8EIO-5vCcM@MQ1h1b+*o3{}!I#oR+j=YqnuKTGN*8Y0nPC8q$fK*o9r` zOjo+mojvHup6tyV6z-t5l-9K^vK%HhNsaui2XpdZI@90M5037o{qoXTko;tYl` zl(RUSb2yI+7|uv8jOA*s;W}<$JU0<*$gND^b|w;Q$em1KT6T>* zkY?zE+1!0P&E2`#+t zO18|BZBx?5iEE^#t7GSqc#O_=Bi~sMW6{}O6zl9jS#)*?`Of+pi_ZE}tg};O(b?(b zI~!&!Iy;wQon0b}&PI{%Y@D&^>{^O-cAG3Zn?$a&b?*5e^8815j9EOvlRV9A=JE{B z@;oo_5-;;Auki+N@)qy#F7LB|5BZo_Lq25@pYb_gu#~U(npi`=<9mK!1wZjKzwj%+ z@dtnMH>)W}1u9aRDpX@VHee$*rUo^sMQ!R*k4 z^)=A*#{KsY>6y7`;vTT%Z){6 zKT@o-zhu$b8uFc0H5Q$%PqEJG$fC3Qon9Q9_Wg2&LFZXdj4=|Gld6-9e zjK_&JlO^p_vSXHXOUWKtvTsUyJ8_L{wHT zB8$$>BH!6?W6{}#6zl9NS#&m*d}rg0MQ1lttg|Vy=qSkkzcAJQb)! zWva3sv4(8OMpUN;n^2oN)T2I|(SU|Dq6y8|g66cK6WXT&5}=2vdD>RWNTN)Hzn~HoqbQf zvtNuwXTMRbvx>6ltP1(gHZ~TW)udQwo6DlJ#^gI|X)HS1nqr;pAdAjAk?*XlvFNNj z#X9RHi_Z2Z*V#Jv`p0_y00wdbCvh^TavFm;gCPv%EY9W}&f|QBGm;Crm=Z4KGA`#z zVhtI?Sgz(8uH$;fa}%+K+{y%QXCilSCsUZlUEIUHOy_=P@E{NI2#+$0$9a;cnay10 z@hs2r0x$A1ukspiFrT-0n|FDi1$;=XA)m02MJ(oXzF;X|W!K0LF++WSZcNLSC0oz+ zznYixVYvVL{@nJZpONcOdbmS&7@w!xv2?U!>0zhR!<|YGcP>5LB|D5iVb{{p-AWHT zmmYR0J?vU~*sb(%_w3O3=Q>KC-}~qLbG@@vFk1>{OTn(SV8#^8n1Z{Ff>~2AYYOf- z3T95ht53n~DVRM4uRsMes9**ayb2Y}qJmje@JduLlL}_iKi{AG&-ds4^P$N9;}1pt z2Or+ceSdDO(yJ`qWj6?aJ6}yX%2Sa_#0H@n>#+f`soR+R2BEI8dTdH;>dI~qnmUh7 z-Im0rt|j>mLK|bTsoS2|)Ro;J?Cd-?b-NLpx~_DiJA2TRy@*ZSKE$T37rluM!hyu5 z?htJ1*1AFHZ~PdJBfmj7#aL_*PG>C}1mB;#jsK$ON@I&~0r@S$CB|ZlFp6SZgllE7 zMYw_d7U4Eyu|=3fu`R-Vve+U#Kz@txn6cO*JVCK7!t=7&BD_R?i}0qg*dn|`u`R-< zve+VgMsAC+&bO9Udj2o`O3cVV_>;0TvV!xNk(H@JHS#mEhOwH|qBdn`WCQ0hBOB3} zrZl7MjNHn3Y+1KuJIc<;4$fmncBB()n~}R4j~Us6o|K)D`#Fyp*@t2?@<`cH^rb-A z8F{?(ft*NeS=Tlr&on-iVcC{!M7n8+cdy)nj83-`ZGb9ZRetYwPSZoE&Ar4LazC+#%;Z5H<`H5Id7M~7p5kfdFqda|j#xup z3iqqF3Ml$@L;LsD{9mRy*Uk}SC@C1ahqMz(Wx+*}fm(b)v@olP+oo!v#T&K{CQ zXOEKaY__rJY#zlrdrcOd%_ra40%OtHM-=O9sVq8MM!vHZ#-g*86zgoYEIKPsuCsN% zwN%UV>rjvSY(@hb(ugKBV+)$of>vzBHf&34+R~2pbYMq1vJ<-yYe;9h(2d>MgC6Y3 z-ozTRFR_O7rVj^j5C?N8hj9c)ax{JE$1xns0FLJbPUK`x>bR z+re0L)`?=Bb(ckFJ;`_0%UE=_KgBvbN*0|J$agl-Safz0#X1`*i_Xp_-`PlG(b>fm z>uiiHI=h-&XX|`xX|m@}VH$UF5BD;i``T)s&+= z6{$oOsZcN ziIRAX&YmXU+4IJtvzI8=**mi6?0xc`eQGQ^`;20peJ6{~ejwl3ug0RYKPc8&Wm$Aq zjeKV{j74X)DArjcS#;KvTxb7n-xzC18``ox?b(5jbYf?AVK+L{mEGx14|=i}d$SMw z(u+Rq&w(7oAsou#97(JpeJRkNV>pfh4CF*&4LOBVIh{eA!I=zY7-w@X=WzkU8OcRl z%q3jPC@$wpMl*(ST+Ovy#|@0hE@~@J3jNbMm-`l~)qPN2+*4weN=DYb?5JPqFSg z%c8q(pfh49u>P)6)!%TMP63 zf;4wWW^;E`O5)Z+azjdP%92SbiCYWF1O5JI{}E$**T)|v@ffZBO}@3t#-g=q6l<-P zELy8ezO_ciqP3H z!ZhwCR+0Od&I8QgK_2E29%B|y@FY(&o4Gv0vpmlWyu{1A%Imzro4mz4yvzG6;6py< z6Fy}TpYb_g@FidIHL;3($M^ie3Vz~ee&N^bDp`|ee1&YrZ5wH|QnI_fm23Kc>67QM|O-`k7EqPJHl*4uls=M>#V&j zI@^(aXPu2jXWb~)*}k&qtT*}24mB2?9YL|q2FRkb6UcWq$XIkXgkqfymqlk6lIv`p zJN|1u|9ZxABR8k-&yD|@6ViXv|N8#i_+VUoe{T2E&&usldf21%uxIJvo~4I-Wry)0 zy}e6E|5bXpPwC;lrHA{K9`?!(<4@>aI@+i7aR1W714<7MOo!?Fa}P|P=llQc`*WXa znf*S#f4)E04&$Hi&;93vlI6uJgA?{s$lGOW&Vcw^@9DZYuw%1*%ToyP>+fnpP|t1Kp9clMy{1l-4Y zOu%0BW`7Q#>;ydAc}&2gIGVB(@Hpo&0S8iS0-hm@2{@Ge1iZjlOu&&8n}Ao!u3`*h zDLVmga6X=!xS3m-!0k-r4(?Dzq6!TN;b%nS}CcUB~4SZWtME4k~U6UB^_NKJD0>`EE~HK z%SPGOdN_~P_9B*zwY7Gj@o4Q3V%aF$T3_eUT7P2MSX*nS7>{M+bYj^k+uAVav22`6 zEE{WU?God$Y>eV^%C;ew$AsO9`gKHMIIwoktcYPr5^vXzu(UCD~a|?zmlj=Hh&LG>5a@h|paKYG!J12~X_IfTPFoFh4kzVxF%$8sFUGmsNGnOH|o z<8%gd24^yqVVpy(Bj*$A$OuMq5hYy0WsKqqu4FW07{@hS%k|vAjoid7OyD*qGKtCD z$yBCsH}`TM_wxWVd60*Bl*f3SSVx}XY34AOXLye1c`>_A=BF7NZ)bTeS(xVT;%x3N zOG&()C0Uh{-?OBAO5*J-N%fR$k|mp^q+yn{O35}^(mo|SdV4u8P}gI(bUp5orTeC| zw-eXNPOgw6O5!nkJDPlN1B^v)Cs3@nA+qT0Eb_e#Hx|8JNU`3ol0|Q0$@eziSoC%? z#d@0}i{9=c-`fmh(c425>ut6ydYebCw{_mayyN-rv49Wwh>uywr!3}kmavpBS;p6V z%Xchi1wXQqpIODP{LY`mIqv|=l^VLMvWmUgtK13S`@o!Et4=}Z^8u{(RvlRep+SV#6{KYG)L12~9-IW)UY z3TcKOlg;c?)65=}&E2z8a$c5Pnv%=2{wXBUv~>=I+q*(i#2cC9Qr zyMcUXw;7AhCQ+=j`()AC1LQk<%vf~x1jRagUKX9bM82~(jYVhgP^`00WzpGZjw_6{tvMs!)yf*nkb$m>Sfi7PYBMJvOBQv4%9FF->X4mNcg& zTM=u>wrocm+Oja!LzK>3GcU(4iZ%j$tQb?wz-N`B6gzf!WsiECt6SI7D#@fe+LM82~+ z#-g+O6zgmYS#;Kdd}pnVMQ80O*4eJI=&TF*&h|7Go&AeqogFNT&JH8rSwCaZ*|8Ms z>@-<)Hke#z>)h{O?D>~)8Kby@D;dog#&HeTay>V2BR6piw{ja3nZ#u7WGd6Rn|p~h zD@(j=MJTLMRukb3b^9FD7Ht+Br@ACm4@-Ykfl*N3; z629O|zT#`Xu>zqB2#pYovObp>a!LzBf#Bw@Eg4 zTcsp!DI`0lWT!0YmXf%gkn~Q;0a+CgIbT*%SXA6u)XCG0lv!$}= zY#I5^Rv3%UR#L39)w1ZUJh{%+x!14d`E{sAeKw;34QWIZnz04VX+bNtVjH%lHEn4} zdpfWq9odOph&7}$UFgQ{>_HFqWN%^(*_Zw3O&<>6AP(kG4&w-p zoXE+X%4rN@Fhe+#vlzxXoX7bLX9O2=5hYy8Wn50IAy+Y)v5eyyuH$;fXV=K>X@5bapoR&PE!G&Mu}{XJcg1+12DaTjySXvgc1>8h3FI_cERPnZbiR#3MY) zEFR}co?QawQi8W+%8q%01G-FGe z(=xk8+N2p8w-e_3ZfWjz&F1c2DT&(&$ss8@JWKkgByJ}pr>EqMEIBtN7i7t(lw6r5 z*QVr#ESZ#&JG11zlsw>FFBLASxnTV+u8=26;xT%AntX518;jmvqF8V5$fCFR$@liD zvFPnHiuLxLEPDHad~d%Ri{AdASZ|eO(OWh0z11)lz15;vZ;fQpTT^nqt#iNM-t%{$ zBc0fpUD%DzbY*wC(}SMu#op}0zVxCG`*R=%aR`TUI7breNM8!{=NOJ-00TLZSVvCb zR8D6QXK*G%8OGV1%XwVDa7Jb+@E{NK2#+z##QcBmUp7|gTK2ni56r$x_n_=^aq$_tgG)amcSz~s zp{0k1l^z~mdU!AHY^r?YgMRU_ily2|*Mv63q!v2__IxF~PzB7zrZ51Skv42r6PiKt(W+#H=7j zFpCMZ7%+n@J&gZvAKPyX%&x>Y0D{cqi5=-qE8+&%G9N&j0$^y??$- z*UIqEcj*rDcW^G}aXuGtA%hvhP%h$PhEd9JMsNw2av7I%1^@g!5K z>DH^4eV4AK+IQ*3>tSvu`P=JJ#^UyR3`MuslVx#x?Lq$b+RIqnUi(sXd%Zvwx7Q)$ zZ?Bgai`(nv6y09O$l~^T1NqzQ1Y>b~y`7@l>oi&1UT2cOy*^^>Q649@drvTzr+AuY zna6xy;6+~M6<*_Y-rz0X<{jSUeLmnL7V!z6@i|}cC13L`vE5tB_x!+*{KU`v!f(WO z?@#_>73HWvB`Q;uYOKvVRA)VEumKygF`KX{o3RD8*^0Vs%{FXH0~)eDJF*jvXiQU@ zu?xGgJ9`q_y?xl17PMr44x}}0X~)5~G!_4QzDqZ@SFwHai|E7Rw?@)q!;|R|;F;_Z z;HC5k@TwD!$*o)^@0G@D^!Fk8{uUdH{=TB9zvZ&%Zw2}ORvL@`%2U+ey0YkRee(U) zG8X-9PEmjLWzpYu({{Hr3noh=#qBF;E9LLjzu5{xh zPNq9OIF-{lgEQ$xANtada~Qxt&gFbAU@$|th>Iy@IG1o4mvbdoaW$j3hU*x^SZ?4Z zZe|>}GJ)H;ok`ru6z<|4rZSBg%w!h#^B@oL2#@hNb9jO$d5ULvj(I%K3%tb3yvl35 z&YQf&LUVWh_$0``eeGE>&D|ww?k>yb?yo6{Pl7xQS4qiQSyD44@kx-RPD<)!$qp&m zIZO6P$=+FVKuX$VNyn5NnI$Krq{NA9WPex3>80@+ot;I#v;M}Svq2PfHcS?sjUeCI zNMq62Xo@3rzRV)5gSvB&4@K* zOKMYxy3}J^>eG2>36fl&k{h#RVoKtZ zAj$NU+?OSfret=O%umUSS@K3o-p-OwQu2A0e3z2%ow!C0aCQ7%8n4mWU*tQhY%Dsf zMp0)Q%A&JP$al7tvFL1TiaOg#7M(RF-`VcQqO-jy>a4XaI%`M1v%`%=XPqeOtg9?K zJCR&xYy60&pZE7?AcHuM^SO}04CP{mF`N-x%4J-^m5gK*qq&yrxSp}x$j!tWax3GR z$Zg!gBqnngv4-5sRHie7`?#M6c!-C2l*gFO9Om*APxCC#F`wsok(YUe*I2+Cyvf@v ztTo#=@LB6wj#-g(qDC+DjS#z~ zWP5fb){sUtrYX(Xgsj(%N!Zc5g7 z;u>k~>e#$AUZb^O=# z>n@AVP9@)2A7jy3KZ-gVEQ`)ABG=g(_xjg*{}{${12=Iq4 z)0n|bW^q3c@(_>k7_o-T;R&APDW2h3=J7nShP=efyvl35&YQf&Lf+v$-seL;ViBM6 z8H@RXulSm8S;A75@dL~Gi52|9Z~VcZtYj7CsX!&FP?fcaHDq0?vpzN0fQ{IgTG=&H zC(Y1!oG{;aPII?OHh1?(Njy$S+N9*5Ea{k%c$|=wq~xS5IXxw3WyzqFoS!AbQZgb- zMyKSuEV(5mVim~Wy0Y#mCAdAjE zCg0hY#-g)tDC+EIS#UUn|;`imbBsk4x|ljIfz4uHKaWq=*STq$x(FXSYi!1fi9HLjgvTq?)2nT zPUj5Hq8EKQn|}0X0E0M}^SO}04CNw*QOXD|;WDn^N=9-uqq&CbxSp}xNUR~ZFplv| z;5P1H5|guQWO}#%VZQ{}*7dPhX}m^j`;u?1wXtZe9Yw8ml0|DrlW(o7v1si?ids8U z7OnLr-`YT9(b{Sh=+NU$C%9= z=JFIz^DNIXpXYgzmw1KOSil>+$=fXCUEU{Fk&pP8PxzG2S)b8 zVrLrDgl6o*uI!#&B`wlA?tvnfjrgUb|L=bZu$?R9h0=J9-d-l(+grw>w|6M&?K4^Q z_67OgmKuxRexRtgKV{L|D)PNmH5R?CO;K+f%c8eU$@f;*SoF3HMZGnWMQ=^X^|rsprWC%mKm{MXLxrA6p zF6RoaVkD!uhFC|&a6LD0BR4aSTbaN_Zs!i}WHNVg5BD;S>CEIl?&m=s;t^sUd7Rli z!Cao=8Dbromt7~Xrt2ggD(s#&Sb&&xd@(B0l9a7V`yP@ipJ_9ZOlp4=m>=R`3hI@dvSvtYj7CsX!$vQixsg~yZebkbnZRw_!6YU#g}b?jsZ3)A_c4nHc#wyAgvXf89Om*QPxB1VF^}hY zk(YRdS6RU8yvf@vZb=Pc&S>>Bw#W~gt{jcK{O^x(Pvr+zs<3ilu1 zq}#phC**pR9rnx)k-fK3V5}uN~_=;PsO_bsqFTed|2rwS1k2y^gN)h}W&_JnD63 zoyYt@*W=7)4o@(bCwYped4^|sj(N=IdH(q(-4Z+E5_hwbD%JgM{__vSwfDEtfy3#@ z5p?26j^b!Ka}39F9LIA4UFb>)-T3F5bpPAFNw;aea^KlZ=oUf!D z<*7&|VuMhPwOEJP)U8K;gRqIQT5Lva>Q>(%Z0$TYb=wk~x`yO82#t-!rmh*Wsat)6 zu$S}L)a^@b>RQr@12~X2v?DfkhY*{(_H-aN2%U&c-OWrdHy$&xHEmdZMjqxoX5`@%&B)_rC(xA=R-chQocH83V$1q>Gx8kc{TY~T z$%dqdhKsYuiL27%#Hj3X;)aymoF#XrxD`f4`c#T!0I*hQT+S6-#YjeR4Y7udA=Z!^xRIN=g;+x-Fp=B2gFBhb zUED*gA=8L8WG44!%qS zpI~`Rubt*@-E8h|my-AdOR`%^_RNx2DLF7pI;7-?EIBSEU9#lVl$?lB=D#Mh_PIK%`q08JxNh#FUg{_SIKv_ z&{%Z#9z~rkmPKb@k?(AovFL0$MV+mbMQ7#7b+*QjmNxYMjj6?^Y|a+cW-IDak8P+= z1GZy(c4Q|S(S)WnXBT#3clKm&Vh!1k7PMl24x}}0Ifz(84kgx*4jj%A9LZ61<`|CS zc)HM)Zk)u)bf*WWavEoF7QN_0U;1$l0~pA;oX-UeW(XH?F{O;)5-uawkSn>0s~N>L zT*ny3X4lC0G(+RlD_6qQGU zoeiU?vyrmsY&5yf*7(uV?cP6$$xPvH z?qMp^n8AI_;sGAyVIJWz9%l}7d6K7jhUb{a^Snr`A+PW%3wWJ3d5eX-OROOu@F5?w zh)?;P#eB(Ee8aaaVJXY_k>&i%3V!7`{@^cGQjYReq!LxA%37?$x~#|g)MNuTViRhy z8L@_JNp0#-mwIeVeHv!hNaHj^n`Lu%-!ykyW^=b)N)E}Aqf>HhmYkT9Q?jIYO3u!b z^HOqQmRy>WE3)L;lw6-B6H{_WmfV|?>Hd!_DvaMb@8Ak~v@~9$x7p--d)8R=HlL#2 z-jGFaZnM1r>M8evgoZE`QB<6i{3V*sJE?T(c9MK zdizg%$5=-i(}ZR;XIFM-5B6ej_GLd>vOfpVnl`lKAP(VB+H*J^>BNy7O=phfcw!yt zN(m=&5~t9eo}5OkBWH3Jz3Ic*oI`&GGKljyp9>kxP%dT|!x_P)T*eh##Yjdmnrpd^ z>lw?9+{`W9%6KMn8+ULglevpnNA6`R)0x42+|L6%#KR`$|G3{dU;A)Z$ib!Y8oeDx zzPF={MQ_JY)Z59j=&c9&-g+5}-uhD1+Xb@dZ3y|^E-@CpT~1MNV`S0W4di>9U@UsO zoub~R$)dNJL>*rPm7tRqh_m#27|XPL)*Uf@Mu<`rJ!b>84D-sTIS^r5bCq4%JzY8f?IZY|JKX z%4TdqZMLE=TeA(@(tw6+&yMUwBO23`X6(Xl?9QIVIc#YmZB;VU&W6|4J6!o@T7QL+?-`h%K(OY?ndRtc(y{%8aw_3)cx6LW)t-dUJ z+m3v1O^iiv%_-__KUwtFid=7N-1m3#{-fy3F&xM7bfGKVIEj<#P7hAyG|u2mdeMiz z^y3@`FpzUOp9>hw5H8|kN*T^2Tt=)TS8^3sGm2}tjxmho25#bJ#&IhXxQ*MH#GOpx zF79C})0n|bW^q3c@(_>k7>_fDCwP*lc!uYg$MeKG@)9reDzEW6Z}Jukv+LyJG_&K; z!kk}{X7;jd&i|T{c(jmINy%DSQZps-Xd$VSl6qOPLrQkel08zgca|KGk~Ue=F(pT4 z$q6YbapKwJ2v^7HrSTe_okhO0{>GxSK@@d1OctGuAm7Yyr8>*0}Hg%=;JfC13Fk-?D_IEaOL(^D`^> zmEZV-KUqmR%2Sa_RG}(su@13@tjGG)WCJ#0V`{M(v4(6(ZR${$dTdL58nPWbup>Lu zh$b|nIlHnOd$1>avoHJ6l2#nRfwZA52XP38(w+`<(ZYPcFwNbe+1$M}CGlt>xjrQ~X34~q#G{2|dP?rgl1EcAJ4@!La43QIy;eEXKUQ^_w)Y#3}g`JaXuF^n4w(EForXN zOSz0IxRQ~KVl>xs9oI9K8@ZWSLvCd}6S<8$n8al6BG!<5naXr#a3A;c01xpnkMbC^ znZsP3;%T1cIp*^`FY+?4@EQwvgEx7bg}lrAe85M1%qM)x=X}AJd`+w&-?4=6S;mk2 z#LxVaT_dZ~+^vw!-Rfyp*T`n|rYYGXOB$qP`z&dil3lW-MN0O!t8zuhuIk|QPeUA* zrN^Z7`0US}oc>&oEa{t)bF$=ulnim=UUH->(`~db^W+ zZ_|uLZ!;(U_(*V;6R1clIRK zk$u>g7PMr44x}}0X~)4F!eO-MaE_o8M{zXAa4g5ug|2ktL{8=udeD>8ID<3kMQ{3Y zHs>&aft*XMBNuQXLm0}%lro%4vg_pPG(+RD!hK;}n!6LSxjQ8#|9Y(OL%t8DZ~Ay7 zOP@^XGufYeHT}8Qv*f*$e3&I)rR3WzS)P&=SyKGVj7PabHZP6W=&d&S-s&5R-nOHt zx8}0wZ8!40?Pn}{Yei9ShsvV24&;05Y%F>^j-uYW%c8ea$@kXBSoGG9qTU9}qPL64 z^|r=6|FzyfhOykhP3gOI<8O0Z`aAuP@6wGQjf?Nn?O*oOas$c^2bLWUDmy&4?C`wo zFn&z${Ibyt$__6qI~-hgIHc@wXm%L?g^S8YFD^SAR(4ogb~rp8rti`no_?b5zwEno zXZ)|9==UUo7nX2M+;WpJhXA% zmV<~*-|Cx(j?QD#cO
    &!8%zIiBd-i?!pO<#9<5Sxe7iA~>G#HOzged)(J3}6tk z={uj;^bKYRv3VFqZ2CrE)AzTVhtbBb;W~1ght+?dA)aE6HZAWijn|lfQ^`-j2aLr8 ze3+sM__Qo0;B(|B;48*r0xqCv0)8Ni3HUMj3HYV4n1J6#RUA7`~)m#EGA$@ ziYDNCvY3E1$xpz|jKu`plA;ONP!&+}eM)}JlHXJESC&*u$vRoGVM;d1lC4v+ZI+DwJ(b+_DovrcHrdi$}Ysf>y8uBQQF`GHe zZ(oq_ZK<*7?FWi_`%@OZts>uBRb$cH+7$J+u`GJqlzeY>jYV(UP}Ex^S@hPFTyJaq zwCMovZ%td;aWIE)80|TnBk06Y9L+Ht%ki8*SGsW`Cvyrt=*elEL98Ra=uKbF<{bJn zkaLN3a3_&WBG<_YHV6wmN1^RnyY)pVW2hgt3zAEq^aQMSf^m6G@{OR^#* zzhz1JlvK)+^;5DzmTaDq+F7z)N_NbW<|)}NOIoGmz$`g5B^{i&mmKTrIIc8aqq8pL zJL_&NIy;r3&icusvjOBg8*D5(yNIIBu9QV*SCj8-tg-0qCW<uik=FK>ANTP)-q-s62fJFZhbD`Ihfk$})anIX|(2U-*qb zh&5y-t0+$eDp8rLtVOIL>r$Qdslf(p#KzQOQ#NM{YO@t}smC_drvclsJv*{9jc7ts znzIYLu{(RRH~X+3EojC597r45auBhG9LiyI;Bb!MNRFa&c8!#z8G2GSch5?5w@)^A z2c_ivEE$oK%d%v2O0LV2@hQ12OaAR&pg+#l@l9#GMrTXNclNWf=~_ zY&~PqSxt&M+fo*t)gj+mLu1j|4it5^t1LR(gM4Q#jYVe%P}Es_S#;KsTxb7j?-*-H z3Een}lj%-RPUUpY;4FI4hqLKNe+Dp!b2*<27|c*EVi={2;1Vw53Stcz$<>VJ8m?mu zW4V!7LvCRl%7U^EaY8c4f%i%`Itp~%I7TR%j_EYKF#-dq;R+RE6w+E*?g~-l6a($Y?6}A zvSjOO~IaY~wH$zI)R|Lb8QvUVvuB+ELbKXr8Wr%p`CDOqx6N_snSCpq5rab9V> zMr#+6Z*91-XzfypTDw*jtzA#PwOfrvYZEDI?Os{5Hl2KH4;hQr9;K+YXJygaeDbZm zW-MBJgQC_xl0|EukZWy?JO1U~|1-bvE5Gvxf3cEsRG=c2sX{f@Vjb3HJ!(*s4cUlI zsKsV%L98NMQHQOm$F|g`A=?wH$WH7`W17&6UD%b~*@L~=sNN7I>OIi3^fN(m=&5~t9Eo}5OkB4=_Iz3Ic*oI`&GW>?9OG(#`W=I&K# z?vBdl?hPrqIZN(L$z548GbQ(D$rCAgDog(D-)TI-)vY38@ZWVxRnV^5?U6elLS#nWIO0(q3lw9q^eWZ)4=xho_oz0R(XAhF^Y>u($>`96`dr20Zy-L2bg~p<@_bBRYu`D|KihO6wj74Y5 zDe7#cEIKPsuCq1n_BZtYjj6?^Y|a+cW-IDak8P+=1GZy(c4Q|S(S)WnXBT#3clKm& zVh!1k7PMl24x}}0Ifz(84&^X9a5zVBBuCMiV>piE=|We!aS|ufogSRZX`I1X^r8=a z>Bl(?U?AsmJ{K^UAzZ}8lrn-#xQtjsuH-7NW)#og&Q6d;XC>r2>uD@HJDsA=`pcrTLF79dYAiY%Mp0)YWzpGaa-FSlw|~3$ zPhv7txSM;J$~0zhAG3IX2YHxBc#OxH!(5)^X`bOZ=J7l)5^KmSyvhPz=S|*XA@34v z$OnAL$1LJgK4&ps@)h6kElXI+GJa$^KeK{g`HesLi##2Cu|74~ zfQ{IMT5LwFAzMJc`3OtOD;{x6<8q$># zPUIv`p*uY}jaWm@RGaBO18+7ZBo)8OPZ!+mn_*QB`uuPT3Dgm>ffI` zJp2CK5!uhe#m~)MQubqUmzEt~R(5!K+2IvshgX&zUX>lje{5vg=+$M1qsk6PmmOYH zc6e=e82^Rq%0|bO9bO-Y|9pS06}rRk_MVi5*{w z6}!Z)ufz&a;%UFcE~3QFuf$HG#7?5b6J&`UV2K@IiKoaCyTB5=z!ED$iCsmBRpFoS z&;957b4%=qN<0adxWoSQ&qe;}@8B<1vWjw)?^g0}{IuSG`u7>aJKC(Gj2+JpS9wU@EDwf3dx)_Q>~ZmmPe-&!v*7Pr>RDY~_ek;Sd`2J*Mo z3C7~qdOJn8)@ic1waz4WYhB|^YFYqES^9rx=I&bh6Z}SfC@;)E%5sUbQ z&-k1#_>!;rme}eo<$HeMM}FdGe&IJ_tM?~=v5In3pc0j-N;TGI9jdb)HQ0a+*_ch( zl+DNDOAA`EKL^s9wzT75Tbhdh zJ>Q=j-#k zbT*oz&Tf%KXXD9tHrZHob~il+cZnIEC)?%&w8%X;#PQKdzAr(|jMA&G$=F5}*G_u20F0Su!yt@%fKr zdP?rgl1EcAJ4@!L%t!2?!JMx_!ZY(J3wOq&bjO9jdCf1N!8P7y+ z;|?Yg{$}^mZrt-liFg z-eywN+vBq6?FsU|%`+Cgy+BcKZ^@#!cgXj)$XN9D8AZJ0(vE{Ugu`gh;T%CHj^b#J;aHBR3tj2PiJZ(S^q?oFaRz77i{A9*Y|dc- z138yiM=sz(hA@%EH`iyH#3e~nZRw_&Lr++3U_f2%Ko?Z{LlLH z^LUDjK zla;KZJQb)!6{@lpv5u@ub=Ic_8?X@@Q!Bep>ZGe79xL1%c23txlk7U#BPDxhNt=`$ zlqDTga%7g2q~xS5IXxw3WyzqFoS!AbQZgb-MyKSuEV(5mB6ET^g^^*;J-6 zgPF|Y0UqRG9^o+_XAV#BBv11U&ynx!6=Tua0*X5OKo*^SOun-(jYVhQP}JGavgqtr z@|~447M)e3sI&EC(OFG$ovm@tzm50Try<+113R)ajc7tMnzJjhhU~$f?9D#xM@w39 z00+{Bwj9JE#2V6`4s_%Qj^rphb1bojoIn>!=*CH$LU(#{DyMS>XVHs3oJ~LaGk`&y z%lTZ$V1{xL!zg70mv9+Za3v$Tn$cXtbzIL_ZY0)_TNuZ9CJ<}L9ZX^}Q(Pzhd7kO% zpXZ533)ji)G=Jx2^LKulzwu}xc{?TVX2~ZhiAM{`_bK@?OMXwuUs+NuCF^9#hAG)3 zOSVqQwpp@MN*X(Ho%D2t>{S}C(c8Y{duwehdTU2fZ=Gb(+tK8E>uM}|JCUN^&Xh%O zy~+1B&{*_#9!0$kmql-vlJ9MlvFPnuih8?M7QIa*-`icr?&e-%9huGy?qe1Y@DLC4 zD339lIn3oLp5|GeV?NLGA}{d@ud#qPc$2qT$h*8xtRo-sF`w`$pR<@R`I=ZqzGDgB zvy314iJ$p}U-_Ls_=}a4qXHGFOckoJ7VA)*^{7EjHe@3`Gt{F+%=g36+&w&-yT_#D_$=v>lGC!JZ%WR|k|8O% zI7=>1$yHf$LrQMWlG{^qXO_%N$^BXKcuJmd;u<;C)$u}Uyhdj)lke;;W6{|=6m|BQ zEIRvwd}m9IMQ1-y)Y+f1=xi1F&Z-)V&eo==vyElZ*{0+>t7|Me+lHdf8p)!wrsO(X zXKv%kPA}4bSJ?P14oWYs&qBngxn{(*T zK+YxBkPEnwAq?eWN*T^2T*~EK!Bvc86xVPqW4N9hxRIL~$E{3YBDZq~cQTo~xQBb0 z#&l+KANTVh5Ag`GhCI$}o?tFd@eI#0FS|xwO*8cMZ0>%T=I)|w?tYb$Z?j}YN`A|d z@+qm5CF`eTgDlxRCAG6;yOivhCCyW^Tb8s+$$?pNXi7RbagChj>Nu`6UZb-v?Vpjn|9B%olvc*L=%&EM*x#u$-S*!7u#AAH*86 zl2w$a0+pytRn{Wbkaelf`qW?pHezFHu_>Fg1-03Vy3}JE>eGPj*q$BPnMO3BDb3l1 z-PoNy*_(aXj~29Ie-5M#Z8?ZoLk{III&e5ga3n|3IlD$m(hQA93ipw-(%kKn&D}vM ziAM^_h?HEGC8JXkj}(&eDY-36?oP?nEO|I3k7dczDS0kS7Nq3OEcqZMA3Jf4obKxQ zrZirovnAv^``K7@_A5o5Rg^_%RmgX?p0VhxCPkfXDT~hPkngOavFL0EiaOg>7M<-u zzO$CbqO$`i>a4viI_pTTvo-GbPxk)q^yE}d=M2uG7kxOJe)MMmgE*J-xq!h8>n|qkbG-hxgvv`09d6-9d zjM>a#E>H3_&+r`cc%Bz|iC1`)1-#Ciyv;)1CDxD+_>hlT#HW1DV!q6-k?&)M`u^OQ zmdi^|pX-0>m-C}=|MC5~W6OR*?uN3%8?(dsX}X)rMsF@Vyrt}LT-o8RWryRl!}u>u zC>xzvc6eLa;q7IIca$AYDm%P0JM{gzj?%xjS8lU~@{~A@U;6GHMeECYg z-`3wv`EoBc{O9{~OFV^?m`x?_NF`=ei5XSmu2f=HmAEsNxHFY_A}O)bmAE^VSnW!z zb|rSXCGJus?ouUox+U&ZCGJ!uo={4xd?oHyC04%@t6zyHl@fQY5_he?{edWVuaa^V zsYGR}P?c(|#oGMy{kezuTR4=%_&@kZ;oLj_`TpD+{2koLP29{ajN?|uGl7ZR#_im} zB<^G~Q@D$}x#!>d(Y+m3l`r?nj`jZa{kgG9udsNpzCrlQ`AW)Bo{Cf=HVD;Ni*<-i z-FoCV2%8wI#b(5&ZcAzt8-%TiP2IM{rmi9R4MJmMv8iiDZ0c6uAnfHlHg)?Fo4S^? z;s6e$4ef|c-66!Lu00)y4MHbkQ+G5rb$`1-IMMh?oI-wsaHg@?AoS*MHVD2y_Y8ld z=SgFWa3T3E!f<1;MYxorEyA_3*dkm{ev5FcvDhL^q-cw9uPn9*)5&iU9x@hNghwgb zB0MXLEy8^ATZGq)#TMZWina(J$zqG}3Arsodc^p~_;)PfdzSGd%lVmKh#C1if3W(D ztl&ImWM!&Qjr@$PVXP(_vJtD#$Ss`5jNFQ%8M(bIwyZm`GmU9N%*b7c8M!-q@OLwE zf8#MDThoTsXXIhdV@4iM(TqG^b^=`~Vf7i=!+B3mBetx6HzUt6-k*WlmTX9RXoyd* zJc3-69w$a+j}tefBtE^8+?kTQvSemT;?pb16DfHrOI}FH%USYHO5V?s&rYX)m9-TF% zsIz@!v5K@HR*}^^YwJ8ZJD6BS{;sp5jK?Z+49Bv1XWg7fXD1V@$lrC=%Xn}4ayF}V zw#JW^hIwzSA(wC|mvaSIF_KYSL#!cVh&AK}ZscZeA=Z!y#2RutcW@_@xr=*b zxm!1zyW6ECKE0Cc)@}QLeYg-m`r9gH@%fdkL;6!kWPj?olyu3GQ&VzAmh?->fGoKv zC8b$%WlFAg;wm}I^>I^ayhdx|$hS7hShO~UqSj`~qO}Lfw>HOEwDu%Lt-U0R)?Ovw z+CpQ|+ItkWwpbReeMP>tWyYel zP01fwQZXe}vZQ88Hp-GMQ&Pu?YowQ}V~5gsjm~x^-`TFlqO(0H>g)hnbk>G^XYGwe zXB{c(>;zeKRzkkBp2niH(<$n#zbrZ%M8313#-g)f6m>RI7M+bI*V!6BT)N%+Co!2R z+|4~qWg0WMk6ApxgFMV5JjUb9VJ=VdG|%uH^LU;Yi8bUEUS$EV^CoYxkavkS>6pDW@xi)?(UoBZp&=$woAz&S#oqr{_B6+ytk|4(b9O0 z&SsPE>{(;c*?fvRdqWnTy-mKekBmiUpHS4+C=69Agb>OcR>XoL$+SJ=lxA*_Zuj$^INbYueC`gE)jkY0u$w zq!UMSG@Ut?RGaBO18+7ZBo)8OPZ!+ zmn_*QB`ut|kMwbM99$Z&(b-|-J3Go)bao6yot-R;&U%pVte3IqtS?2KT_B6jhLG>< z5@XTXuCq1n_Mi6tXPL)*Uf@Mu<`rJ!b>84D z-sTIS^r5bCq z4%JzY8f?IZY|JKX%4TdqZMLE=TeA(@(tw6+&yMUwBO23`X6(Xl?9QIV8nO@j(t?)k z&w;e2ZFY@xNHa7ZC(QRQY3_E*=I*H}iN^`afRvn@B^RY69w#JMr{tO}xhW;%vSdn1 z?#Yr_-Tn`MeX+0W&jn+OS-`Zkh(b`uOwYFRqt*s#6+Dc>5T6v0ETUQpXtxvwS zTE?Qa%_(ZFzAReXj(lrPj74kBDQay$S+v%QTx)CG?RWD2qv*^r9LMo=p)1`uiIeG0 z4^HJY&frXX(TBeD;~WMskaIboSVaaigp0VCQigL0ml3PTm0ZQujN%%uV+>=tft$FQ zaooxTZsT?)aVJx_i+h;LG-fc9S=`TqJj5eB#^cQ437+IBp5Zy>@jS7Lyu{1A%4@vN zo4m!s>?-*<&FgreFyoh`dA%%~*T1GD9w;PLQnFT-)J#b{P)O>eq+XWnkdmFVWRH~W zoh1jPq)nD|Ov#a1azaWq&K{6OXAhI_Y_75B>}iTRdqozVEg;v~8h87jdH-U*L$+fFc4TK7(S&9+XIFM(5B6kl_GLd>(uxB(kT$gCAP(VB+S7rK9Kn$sO=pfJ z){qnELJ8eCiBsrK&+HoMoo49S+1$M_&E28d+`TjYS$)4>_4)j#vBI69dYaiavYEYUn%VJKA!(43?X#q5O5(9X z(jq1Mcl*EhON{5ZN-i&r*XZsl^4*Ow7Tw)IQFph?qPsiEcQ?&gbT<=M_}_N-xbfLM z!CY4FZl3e#?gfgvdrKDGy+gjcMaH7L&nW6{sVuttfqZwr8T*|-iM3=E<)}bKDpQqe ztj#)9XFY1L0UNS0o3JUHu?1VQ6?NH~ZP=CuG-P{rB-WBfG^Q!d*o9r$ojr-QWFPjW z1ufa118GfL+Ho+4a2V}5oFnMOQ5?-N9Lw=^p)1`uk&`)v9`xii&frXX(VM=U%{dHU zAm<(~sn)C5!Va|_BbACd0HB3oKJX%N|Ovxiz@?=V$$&y!7 z@_LrMmy!>&PA@y0QFb`9?C`$qF#Zd(%0}-mJA9z*@WHafhtgsC=G=$=Yri@7(T3U2 z^8NG8xpo=em=t;zuuRA@6?ZfHBmhUWL%h!j#^y3@`Fo@Xlolk7} z1~Y`%J`5wad?T>s``hiqXyey#9l7m8dUPCTe7xK2eO!gACsSgUmA-k_zgu<@Ml>}!C%Qw!E(l83Ra|O z3a%%MDOi*I6x_^MOu;QFnt}~wF$H&EM^>ML&78*++?Ap!*isf#@Bj{E^(lCW^O%C| z=|D%0VD%|@tn-+HC(wlw{xtzlaU2t{Cq)zRY*|de{^Td%g~nn64y9-UULm`ZkzCE{ z6YzTHW4V!=xP@_;fd8>1-r;x>le1@->HhB?Go0S%)U(R$^mH*dd%BpPo-X1;Ey>#{ zc{fWwNy+C~@_kBv%#ziBrOUXJ}vSCU#$&%t*sC;NW8v7BSU6U1t+n%L ztsSv&{9S9Ej7Mun6AQ=ct#x%Ct({0L8-Lf@nZ{$;=uIpetG71Lc`O^}5zEHkwKm*% zEE|_{8LPK8%6YVQEwOC;U2C@*kJct~8>_Xp#!sAPd2g&D4-u=#qddlJ<}jD1h*jiS zVilRs^SsDQ#47R{3wVP!d7Fj2%lpJC@(~~N37_&gi-}d_Yho4ojwO80GJfPIVioy? zSVey45B_2$<)}ccB9*B^HP&JssuQb74QjF>8?gzs*o-ZRRb(sbur>AAmIlNsvOTei z>_n_0jcGzNb|F@g-LtEtMVh<+`atV{#JA@Ta)rE58n4mY%jA1|%UJaG4n@6vCX3#_ zAm7_kW6|3W6!rF}EP7i-zPGBzqPMjv>TP3L^tLJa-s&2Q-nOBrw??w)ttq+Q*7#}D z0p8!5wzT754&gA`b2vxPiK950V>p)MIf1Tp<3vv86nfB;(>Q}zM|#nlzMRcD^k*RF z66?qX#5yvBp$&l?hDbcJAO#CUY0}a4*xC z&P?v(ejel@9wF9|$C=F&%;hPb;aTQo*U78tI*AXo+%Y~(tN)^G_5Ug*@qw0PMM{3l zlJY5u540rfr(}aH**qn+vt+xJ?3g9ZQ?i>6nBxLZ2!W6|3zih7$Pi{73j*V`H&V&3rnw^+zKyvO@|$VV*VQ$AxcU+@)Q^DW=8lx6(D za(-e3zwjG>5bMZFR#Bb`RH8CfS&LXl)}=b@Q-clIh>fYmrfkj@)MhK{Qjcw@PXo4N zdv;`J8qtKNG-nrfV|VssZ}wq7TF{F9IgmEA^dn)^Y^4| zW}lU2cAsqS4ob=SSu!Femu1Q5lw6l3<5O~5mfW3^saf)HN*>FSr&ID=mMlogn_2Qf zNcm&$2X<%8l5d6-`UT`qO)Hq>a3zHI;%pyv-ONcXEiD6Y)e^mR)>6N4UI)- zJ5bcwuCnNC5AvP0G!~s5Kv8GyWzktja-IFB{bQ^lC3NE?PNq9OIhE5ngR|&GAI_#9 z{TaX@&gFbAU@$|uh+&j6f=jrJD~L5@Bv&(boS2eRvgFK^^mgJJIp5WBUTM5WXBU$1Y`C%L>{5z4yH*yRT~EHVTa86$ z6DjKKURiWDoqT5x8H>&yrKq!KWzpGu@}0eAEINCGqRu{&MQ5Lo>uimC{^j2PGr#aF zzw-xwv66CBpdyv2LN(T69oA(%YEY96*@#W3#b#_ltRY)bhpnl{w$!H~+Y@WZPV7u$ zn$V10*p=PcgT2_Bec6we?9TzTrVZ^lh(kDx_8d+}I&maN)0tyAo)hRw2`6$Ar_h6* zoJOo6XL1(3>BHHaLw^Ql*T|4GLod$e?p0~-j>_il|HIyW09#S*`=TZZCX$F?ASz%) zF}n?zG3S7Zg(6@?5fCGwEVCe}2xbsTDhTEbm@tu41Ooyh!2p6NW_aI!^x0=|yKL&- zI`#Iu^~zKAO}oc$!rsT<=+UF+UN8rxWiEHE6b_4CPUVGlEe(POKqgc#?5E#WOt1^Snr`A+PW%%-|Dd@hP7(n=hHmJm&K?3t7ZBe8=}JfWYa5)XhU1hp&jk%z$sj$ZsaCz zA=Z%F>BAlL<4*2o00XmYg*s{ zbap8D&W8T|iN1-DJ_(734eXWh^@DO;KlkWzkuGa-FSp zuRqlLhcSYYJjUaUW(;F_il=#&=Xik^d6`#ujR{QT4c_E!Ch;!s6Klv6rZSxm`Is5Z z8rd<;(0H6M-}g;(cmHhe9+r}LoRFN7lGC!} z?3BdggyfQxT$UwQrR3Tyxj7}bWyxJBxhG48q~y^oc_JlG`qWE>^J~srzo#qYrP6qf z-d-i&+grw>w|6M&?IT(A_6hmkzBCrS&7-Kd?`6^359E9M#aQ(AJ4L;%Ba7bFCEr^O zW6@hJih8Rri{2WM>us(3{aw6&H}+sp{>9$x%YN+7fgHpk9LixF&XF9&F&xM7oXAO> z!l|6b8N@o$h7!)^9L}XZ9XX#^M=s)GF5yxxqbuF$&J|q6)m%#tdT~9yxq%zGnOnGx zKJ=v@{ke;~xrc$=%l$mSLp;n79^p}jGlEgXI`Ragd6Ka_#WOt1^VxMWKF!j2q%h|v zr};ZAo4>PC5|0#;g(>+aOO~f39w{WNQ&J&I)=x>*EUBB4&9h|7lr+tf7Ae^^OZG`g zODDcVx7V8Aq5FLH9l9@MKNS~0LHA)8#ed0pP1y4UL()F@Z3qW_4RZA+}mC9d!iS9potU5VAX#OhpPhgV{SF0n$F*yWX2 zrAw^R|9ppT8~+YUXv^80Lp#o;Jss%Cd7RG$T*yUSOeZekQaW=PUFb?TE~h*He24Bo z-=X`z@mEIv4}NYheTQ!S#^uWG;E$g7vMwB%UXOM=$=_N}HWs(m))d`Z+sWeA+JXG7 zwUe>9wRWcH)_RRBZmm7Z-&$`n7Pr=0DY~@|kj1Ta5cyl{U}JG>9ZJ!yb&M=-t>ehu zTG#rq%p2bS7L#~~_jsSlOko-y@)0xmgjsyb=X}AJ%w-<)`I?0+;v2prwt7qXf#v+j zPyEa;{6=i`{^T!KQ;rH$VjZfmF6*-aRjEb|Hlh}_*@U`m%I4IgJ`HKamTW~+nz0Sr zu|3V%krwRCuI$bp>_u$#_F-RIvOfoMFo)2J!#Kj0rs99}J9K-;pBG8npowWq^JccC z`572n?w4p8M z(2n+W;5^RfLN1~cm(rOobmelob0t?3Ye^4!avj%G$_?DaE!@iO^x+QraVK{(fPoC+ zKJMp19%3+$FqB~oXC$L|oY9P7EaP~ZXLye1d6AcSh1VF*L|*4j-ewZ-@*W>BnW;?U zLq28(GqY{~oHRq@6ChjoC28(1%jWK{DTz;jB$ZRLUY68MNqhn%X^@h}S+Z?PcF2-F zQ?hrK9F&qnv*h@coRlSJrliD)kC3i+bzD#yuhH4X@kWudsY^my+FRR3C5zcHz?|CiYz*tPOh`HempbZ`xmf? z#eBmR-%u0UcH~!#HR#A@fRHPD>sls|}K&&Cvs7_5bVq?z5PT(X? z;Z#l|){rwfixS#$4(HOIj@dPGNt&VY36S}IZJN8ivblS6O5zhB$vr8#H%o@3Bt8L> zJeiWGvgD!VM?ky zagE&I>e#F_UZb;m^$q1dyJ!H|@b>uo*>t6pZ?;pTG25}$v^B@l~m`51OForXdQ9RBQ zjA1O}c$#N;j^}ximx(pxHO4cM*Ljn-n8drp8u9^?naVUiymHfhQ{K22BVm0NdKqV?uh4qLvWJ9V_of>S!#?+=xc8xSh zGc+D2%=aDA+}$agyL+Z29w#J+rsVJ}IX)%vI3X!X$vIhaK}s&pk}Fbjb(Zu_$&Fdk zKP7i($pa~Q*okZ8MpwsUrSTe_jV9mOv&N#c7bxoN4Ow*dHu=t`7>mxPQ`FfPvgm9M z`OX#@i_X5KsI#AC(b=!$J1b`_I;%)gXVqlUSxs`Ct#z-zrS~_X8C$b0+pz=9*@>Om zh27YlJ=u%B*@ykup946EgE^E|9L|x%8gdNBay%z+5+`#irx9z&nVdxlZ8?W?X-`Ma z;{q<^Vmfgtm(hi8T+S6-$<5AYxl zGnhwslwpiur0XR6=G=d87h~4Hnr85XYzDuRW^g=INIpr)r&%&DCGk)p`5`4gX36g< z`72A-P00pXQY$5!WJ#lxY?US3r({Pbu9TZxBmXLm*Jy5E^35G$ESfuvqUKJNMRTW+ zZ?288Xzpx^n!89A&0Rvix$efIxvMB@?gm*jcQg6s`WcJn?xLu5!81v!ruM;-Ny)GbPt&$*n2rlO=;va(|W#P08>q8JCi0vgDPNjCbN1x!Kk6UTM5W zXOqcyHp5tSHjARp=F6h9h2%S1YAiZiPElun%A&K?J8Nhx zI@^+>&YH`jvliq!TkDShAn!kfRvg9=9Ldof!*QIziJZ(SwB|I<;7r=kma}Qcxpbf- z=W`(!(TPjw%w=??8{N5*SVOMiT6)rp>nY_1ZsKNc}zmww#IT@2tJ25~R<^B@l~ zm>~@1QHC>uQ9RBQjNwVf@f6SS9MAJ2v4*_DtBhv?uk$8vF)6!7rluJh4;AM7>@;`h zW^;FOO5&kHvN9#VWl8yz#6yLodP+9RlFd?5FH5#g$#z+?OG#5z=AJz^c%kg8Ot1{<+4wW&j0He++@QJ;o1W=opTl&#r@?bw0l z>_iK8VOMr%5B6ej_F+F-asUT%Fo)8L!-;j|D30b>j^hMQ;$%+Eu9K29f8)WzoWD5D z>`SwmeML&*!9sFlN^Z%L{wawE3(3PNc_d37OUdXgc_Ae)XUQ8Wc{@v{r{v=-`64BA zoVb_V>gxEmG+v{#CFDE%*;sV;D@C1EltpKi$#+)GSaepCqRzIEMQ07jch<~Ubha%; zo$V%z&h{kV+5X0&vx6w=>=;>ec09Sx*1GF&=l$*J$a!4AgDLb55b~r0L^!>Sx(%-rF|JwKGe%$Pz@6Y|``*Ta| znM>U7O5E>C?3+v6^Ge+FN<8nBSVK$P_e!jzCDzdr&pjpXe+sfl92aCGLeK*47ehYl%)*zKU{`ry`Yz4Z^yt#|Ffvt{V9b!Y0P*uqm;rTXTcZ$a!q)wjwrl&B$*Mb~F~7 zx}Awl-I^POe>sm$-M+-8ZhsEoAP(kG4kI>oM-rR5V>p)BAe=~S>Q2F??r%2;XB$6< zbIETIE;1GygiH9F4TA5_z0JSTtE90-xR(4D;Ra)|MYx%wEy7*0*dp9Rev9ysvDhLE zp=gWngeTT0dS| z;r&1J3o#>q=MUDLkrkZBj9iDxtV@1I)-YC+T5QakGjen1F(d2KfJQWC%^A6k^VqU( z&kn3PBX@NkGjb31SrlIU|pD9y9Vdie}^)vNLHz32V;C4$eDrKCxx} zyBXQlcsIIdTe6<%p&>rO@(9u=Jx=t?9w!E+BtF5C3{T0ZEE$)Q_ykKbJ|(Yb$$Kf8 zoF%hT@_ClbPsze8S)P)gvgFT{tajqD<#t!d`laz2t4LL16h+Rmf1y2L8-cb#o% zJUVMitRic6*4%k?)`D0?{;soqjK?a{l2}F7?5vgZ=At9tRZ8FHDnx5@eI!rYsibl8uALSGM)*%&YQ#EPW zs$|zl^)y4{6D;$+UYffNv$?xQSGDG-gYh(3Gv&hV9s%=IlfZc41d`XAkybZ(swBm4L6*-EdIhNx%fs;6yQ)$iVoWWVNp)Kdoj`nomJkIArE~XQg(wQ!F z<#M`nC0BC|J?P1GTu&)Cauc@@tH|y2;STz7CwDV|f!S5^aJm-a^DEcF=ya8g&90Ic zQWBqEN#0J$yIC?lCGq){WKK%H%93wWvLs7>P01fwQZXf!v!rH9HqMeQQqsVQJ4s(x z$F`;M8lCMxzO&toMQ3|b)Y(C@=r2>u4-GyMUt3y2+xm zE68`&%UE>Qo1)J8%A&LWr+AuYd5#x&k(YUe*Oov&m~Z)xB`jqbKeB?KS;?>b z#vlB}D#}rwid3R9RalP=*pO;erzRV*F`H0_O^G#R3+mB;hBRg?n$Rq}Ms`dybZ7q~ zhead)hQoc+-*C8pHh&LG>5yq!ThOy|b7De6FmqmAt$aVL>>>y(;*^!;t8CCv| zcKt{B^~Z1=$8#blaSEq$8fOq|NgGNyn{zmq_H^WYVlBCdi@AhLxs0yFTGE|ZORnN- zuB8XPxSm)`Zs104<`!XcN-lJ!$kHB0KI zWb-W9G9^v3q(w@0&60gm($b0hNk3P|5vB2Zr2mdblke?UK;*{u|HHb54g4IMPmBa6<)k?U-&yZ$%4Kh}^* z#2WG*?=zVxOyfg7Vg{cui%EhEyTekoDPss#K!}8&QkeY(iZ&WpnCLpN2GIOSYmZ&De(R*q-L>NDFpm zS9WI)_Tpdc!@jg+e-7ke4xv?cjU1b1=n2`}JuA)Kw%Oc0FC`ad$>k}zGE1&YNokhc zk&-*Jco}O-!<}CX}m^r zv&lENz*sc5n4;!Z$fCKGu<9sgUB06y?o#{eXE~h(J zay7A%^q?o#aXqEnz)jpjtR%P7hdb!Uo!rd;1~Q2IxSt1kh`~IWnW;?ULq28(GqWpYPMV?daAEE)Npp8u zHg|tbNjzLgDyL+NJ--?*)}CRWXYZ>**i-PO39&Fa(qfo%91lvQsTrl za;K}~g3@@6&Mqe3SvO{^x-AKN(zQ&@n{uFigfGj$Dn0#j=j74XUQPkP9 zvgqsu@|{gE7M;C8QD;+R(b;rzovn4}Ki~Tou!zNc%XchcDa-hg75vOfe&sj*;7?Xj zj`CEb5|ydKdTcd}CPG-fNB(2T9wmhISq=Iq4I?80vB z&YtYW-t5bM?9Txl#K9a&D-P#Kj^Y@O<#glHF8Os zq48j0zF(W>Zm(?a-kg$nu#nu7l6$jcNJ`?tLh__fz~Y*ID*OFjO4+Mf_D=d$?`Oa2 zqm+D-CG%49b(Vaek{_J7O73!f{9YQb(b`|+TU*Ciw6-oqt<{o6Ynza7t-i5ntr117 zZ7++~b|l~09>$`ze^J!hA+l)gF!HS(XDnJfk)qbx$fC8g$+foDJ%1PP??!j7;3}@> zT6)ln>*>u6+{n$`!fo76U;5FXySSTs7|6ZcPpl#j@i0Spghv_12u2aB$PBKd1x2xmU(s+%|`jGEzfU)Ro5JjC0l|^U6$#*u! zSadcHSN7j__KNXW8P5dP>}-*~Z8o7Uo3c4uP@jf0VoSE7Db3i1?T9s`IXlvVo!OP$*n_=@ zHDn+5r6v1wAO~{@tvHM$IFh3|hT}Ma6FHevXw7My!CADSEoak?bLl`w&gVidq7#?U znak+P<#gvtVhy>5SVMZ!i|Z-n25!o(kvq~1jmHY}{lPSM2WNA4WJ>=1Sm6_}=h7!& zFJ|e)l*U7aUpF=Vx(~DDvy{xvlEo?cE=yLVWM!6=Pf4XL*)S#5ow!a0xI#87jo0X{ z9{Jvy7>nMvrl_}FWYOF1aD#jdOMGNZ@a?Z1!bcP%MKTn9WE|A{3acy z@6r7x{Y>8%<>IIM=C1x9{$KNTD)@~mlrLY&U#I6^SNU>J{nyX*{qsG#b{PMBkM2L; zqx;YI=>A8(M|Z=<@jbe+WDmB0Kf+LkF`SW%;&Gl}3}YF`(>%j-JkN`~Ol}ai zoi}-lNxVyJ`aWPXQ<=txe9R1HGKARH9bfGJka|N;KyPDYa^`IxQdFV}S`fkLg?{7B`{f*zr-Q+e8 zYyOFb*qz;DT0T}9uQ35flb?Xk8jA_|0!0(>4OvXUx5-byDaK*~PN!%Bej$qqIEVZM zTx2XJ;I|Y_z@KF?0e>Yw0m~VS30RS$30O@Q6R;-v3Am}Tn1EYQGy$8*VghckbAk02Y8T&8O$R*$}mPS(vwW~2kCpy z^w^u8NM21(7Zb9li+9q~MSP&;S>=&f*SU4^r7LGN0>+U=jj;n};=kMWGqkdG_j66Ppl&^@iMRS8WVV(SV!I>){%F3m-qRASVyKY zosamKPngN4d`_$*UowYze8tx+Al8v@h;`(9mhc11_>rH8b>tUf9r>L<_={DPqXMyx ztV3niWj!{aDzT2#peD80m`$j|rfg2EBlT%OBO0?6O^J168)6;Vo*meco!FUOiFIU; z>}kJcnxXN5mic~kn!CqkbGLO$;sY&7hm@S3C7n|eA81K>rsVo8xiuwyvSd(7?$45; zDH)z6<5KcWmb{XZ@lISLgIpc&mBwpyHko{9GmJ%Nvnc9pzAQRhNWQbB#-g+36m|Be zEIM0FzOyREqOa4abI;%^*vxdf^vn?s=thp>YYeBBFwSL-kkoO-#D-Pobj^t>L z;W$p3$ zl6qOPbxO9&l3h}=dzKuKl7qA4sFWP*#5HoStK;<2c#Y1^BHvkiW6{}p6m`}`7M)#A zzOx?2qOg;w|ban^%&ITHb&hDeAvthF6Y$W;4#u|&xo~Ed?*JRPzL~@<2_2K0s z@1Ma;X7L%HGn+4&%U8^20Sj5oH+;wUEM*zXS;0@N9~}clKZ}_GTaUqa_D$ zAO~|OtvH-mLyqETj^#K`;3Q7w)a)85Ni+1EZ0=s1=I*80+`S?tS7*tMDY+#}`lsaX zEO|I3k7UVXDH)w5FQnw4LcX(~jYVg_ zQq);RS#(yJd}q~+MQ1fB>TC;Hbk=};XU&X7XWLTL*>1AvY)|r??QbkPJBXsrj*&%Y z$CK;qf7v(28d5@A&Y>Oc>BxCpz=d2)CobhOy3mcwxq>UXnrrAmFRr6ErQFC(+`?_d z8q$|L=+B+p%>V{+FR_L^z=J%@U>@O7hB1PXJjUaUW(;F_il=#&=Xik^d6`#vjR{QT z4c_E!Ch;!s^8r(s%5*;DV?JRfpAu`x7tCf3bNPy|S-_&~8u=m3(0H71Pxvd%-E!I7 zT{k81I3d|2C7WhRqm;zsgk;B*?3^Y4YFqE$4-%0bma-$W?8Nk|PRV}N*(o_UOD;;u zB~Dx=_q#r>Dvj4@?OO7!-C!(QyP2Za?vh1o_mFSxA!E_n5Qls$*h!oo+a~B zvM@`Qr{t$B`7|v)1H0YilezYe!LMon+BjXL6mbb+_N!`)}YTZst~QqYr)Q z$DQ280PbNB_i{fE@DPI;!cZP%I3pOv66t(uWELwYxd~2^6i`FJm)Y=EKXl*L_)@B-u);^=CwFRb9SNyyRa*}vj=;zH?fNB zM@tUiKn~^*T5&kBiX6q!9LsT>z)76UskG*F&fqNC(3W#(M|(PO9_Mo*7t@JL=}Z^8 zayi|(lB>Cf9`xiouBVh6xrtkdRpfU1a0mUkle-zf!0ak{I9&_zNa0!-ovxCx*;VpF zO5%}1@^(tz&64RUiAM^_oRoZ(CEuoGNtXPYl0UMfVoEA!NzIgOoF!YNq=6H6l80O! z+m^;_bhZQe&UP~vo$X0cX9vlmvqQ;uc8sy;?0AYgJ5v^&m5}eOqp|4h0*X58CX3Fl zAm3RpW6@b}iaP5ni_ZF!>ujz2{h{7Jj1i3FF&<|$V;IX*Jk7H_#|ym3%e=yCOkg5! z@Fs6FiFbLQSVN{TmFaxQ$IM_RpAu`x7tCf3bNPy|S->I|^DW=8grzLwM^^ANEBTe* z_=CS#MLEh-kxEpi3hS`}8&Zwx)MO(zW)teLDY1rZK|LDKkj8996Pjh$$c|}-#v_IK zzHgel`)70au$06Dh2)f!oR%eLrz9RIB$uS*vMjkOCD&%j%_+GpOYTa^Jy|j&C68vw z6DfJpiEHFxSI0}G@fw}IO1`tVj74YfP}JE+vgqs+@|}HYEIOMiwf!Jhn!z1f%j*q;MAh(kD( z!#JEHIf`RAj^jCzlQ@M_IgK-jHKYwCoXt6$OM5zUKCy;e#Kl~~rCdf=y3w60xQeT} zmLBxtdU|sMH*zz#a2tK-OF#N^7k6_H1G$&`d4Pv_m?1pEqYP&Rqlh)+2}biIV|j{a zc$Vk0Yh-+yq47XrzE4hbcUm@gXQd<_C?pG0@=ca3Pf0vbNLHt$LYAzblB!u!Hzk{A z$(AW;nk6k#vTK&?laiKBe1GoXHNQXio9z2@zs-IcE`DiVKYwA|Ki{AG&-ds42fjbIapUa!b1l`rKQ~^Fc00-6T2D3>x7OAa-CEnp;?~-M z{H?W|pMwGNQQt#uIjTkBwBacdn)(XDliEN-pi z$lY4k`k~Al-v1Vpc!&3RpUF&N8XxizGx&sAe9Gs1!I#Ws9`pH{g)HJ5z9Y7JOZkE2 z{K!xI%rE>#Z1w)+FIH2I3RGeps<1BWvjJ79Mh!Ni7PZ-gx@^kk)T2HPX~dRnMN^uw z4coCj&DoI_?98t0&K~SVZ1whGUs|$12XZin(2B!2!j`7u|8?J=JH!?8S!ujRceBZN zx4>9*x0s^tR>-2emE^lyWh}ZYPf>Rp%A&jK=M2uG4Q)AxcC@Dh=W#w4auJ=ll+JXaE0@!q zE4i9jOM1|g>$sj$Zr~IVnrdOi76o zkH(L#cV!q`&mavp%{KyJ^W+lJ!8-MU8 zt0+f#DpHBcRAD_fAl8s-RHr5zu`#u&!=}U_BsNVrOC8A?|*~0c$;^4m-m^>6s9qqkNB8Rn8~Mn&KG>i9Om&AU$c-!d_$}v z-?M}tSjLb1M64peWV3p8nxXOej~QPz&D|Q=+^w6E`20uGG$q?)NsE-k=RcB`DLF7p zj!4PTS<*TsXJkpclyu0F&ME1dCD){+rxVx6qppryOXD><>qEY?0mh=UK@@d1R2H2L zC*Ro^W6{|-T-kry*(=6hWjqsDv$ILgqqFxY>THHAI-5nlv$@8iv-uQtwp13KEhpF6 zT6g^wy?-65urBMf0ad9+4K|_{wb_KaY|7?rL46w1h%MQQrZi(4wjswBj(1;7E?<7>?rvPUK`xp*5#*24~TRwwz5n&ZPq#IiCx; zh)!HWXD*{Fm(!gqi8bUJVh!m@FRrJQ8@MUEM(#*6^v-PVKA7h2;B4-WOv&R}@?1(@ z%#w*Ic{59oGLENthUa*m7kQaj zLtbM%6M3CCd5cNBOROOuFqx@L<3m1X1~Zw(XME0VzGN<6F`or2WHI0H9ZOisGM2M~ zpIFH+{Kg;r$tqS;o(fc=GF4cQSVK0XD%GjMMr=%N>SWhQgET|qfxXx8mRyjMi?ig4lw6%9y;E{ymh?}_-C6QLN*;FN8X4~D zc&s#DqqEWEJA2kxboK&8oxLH8&fX^9*%V{Z*>s9J`$86-%^}~}B4g3nw-j~uvn)FM zm3(LAj74V^DeA16EIO-6uCul7_P6x@CNyJfwq-kZpgB9SGrO=GyR#>Iu{Zm$ANz9v z2XQcm(u%`5l2}8I;aHC61Ww{)PUSRW4LOsuD4{Lqa4zlX$a!4Ag6*`6KPk)7C? zUD=I2vb#ykw3f$1g*(I1X_Y@NTjg7)Bpxax9a3_BmUK=@JXA<}rsVo8xiuwyvSd(7 z?$45;ZR`E}K_PzCxRgDUWv`@PHQtH)$w=47d!_LjtxYE1+6-gS+ANA%n=gyj7Lspm zsj+BnIYq7gDT~%tlW(nxv1o05idw5Ji`MFrZ>^!RXl+Z1T5B$g)>@EjZLNF$gS`I` zT5%Xha3n`_499T-Cvq~U(3;aYgEMJETh68(=hA_WoX>?^L?M7YMOEyc%TL180x;*{mS_h=RTus(3{*SzW1~Zw(XME0VzGN<6F`or2WHI0H9pAH*Wh`d}Ke3Wu_>Dh^bz~K*DNh9| zu?|&Ok61@Gq$<^^!A5LMZR${$&DflJ)Tbeh*^(wSWox!!J9eNsJJEt&*p=PcgT2_B zeb|qd9KeAb%%Qa6aAF-filaG}<2ZqnIGIzk>!c*j(0H^k=Pyok{?csjUXhY`w2<7G zl3TK*e@f!fLh^7*9?6o&QZhPAUP#HyS@K3o-p-QgDfu`{zDUU&C$5plTpiz*#%px8 zgnVZ|8;j0;Txs$sYz(DRL){qBykcSz}BRtA5Mlh1cc%0FUVJuJaG|%!JFYqES^D3_~ zfr-4qo4m~=-sOEhULO~OuZ!}YU6-=XX1KXrT@&${*V4LzHQg3;1{g<*Y3UE z?4PgF{pYK6|M@E2v;73;Ike+k+S7rKoX7cGz=d4I#dP8lE~PV<(S@#b<8r$5|FfTr z{GWc6ZllKk{wm#AtXEpY*W4%k<$M+8C{INy5&ML7S&t2fy=a(2Xs7U&EOrX-kl!gxGZs6A zk0{zHd?|~a!aQ<2g|&Xpw8Hy;<`-f{{>~q)IU_4Lj~TfRm06ekjI3d-Cbig@HD~1J z&SOT_rvZ&<%$hTD8|Sfe-JTs-b4Kp!JZ9t`?8)EF$ODbXj68%xS#w4n?L21WaTLwS zGh}Ddh7#7CksX|O%lvqX9?5w%-=&S{?iu_$?`xuW^q$RP6tl3#B=h4{_ z#47T4ot#URUSVcN>8EbU5)=!ywdvB~EH*qt!avOc< zOF!-;){p_j8ZwA`xt|A!HDoYD7|NpzX9S~ooLED~5NpUdp5hsvCDxD^i8bUEUS&KJ zc%3(iHDnU+@E-3onJG-;Lt+h?!6(e(Q$A-lv4+fL9`pH{g)HJ5zTEhE&O}k?LuN#s^vEd%ZMw8)kEN>y&JlCA+6&uPiwrCGjDa zUvq3qPRNqeQ*u_8oR^Xdv!qK(F3*zdQc{{Fx2NO|C$5pvu8#Xk<25>akbGyuj74W7 zDeCNLS#arP|Q;+&Iq%m94gr;oGHf+cCG-oGTunW7gJA1GfdlPHOezfEO z4&-1Cp%sS{YsgW=8geYhaRMiCGN;m-(>a5)XhU1hp&jk%z$sj$ZsaCzA=Z%F>BAlL<4*2o00XmYODd+Ma+cIg$;Mf-MM@etagB^|b!=N2 zuhH2KE>g-HebXG#XvyR51vkNHdteY%4yMlaY zy^KX?y(#LfuPi$2Pp-4Ie!?`=`-d@tkvzuZjAjgDd5WibmgjhZ7kQaic#R26#Kvqw9X2J_kS(Z30~*qpt!P5C>>AlI&Cs2*xw~(g zyZdKz_pp>4nI)&BnL& zQPf*~S@hP3TyOu&-Z9pZ9odPU*@fNMgFX2dd$TY5u|EfL5QlImhjBPZaummK9LIAa zCvgg=avEn4>qr|)IGb}gm-ckzd}1BBh>N*|OSz1$bfY_0a1~c`Ej{SP_4MWjZscZe z;Wqlvmwxo;F7D?UK; z*{u|HHb54g4IMPmBa6<)k?U-&`~5e(|1Bo*4)5_klbOOaKI9{2@Cmc{ zl+XEsFPY0c=JPcRS;RMdN30=B`GMv9$WQ#tFZ@QVA%F50t0_kXDzOe#SeNzLfT~oZ z1{+a}+H68yHf3|_QJ;o1VoSE7Db3i1?bx2?>_`iCW>L_H zWzku6@}1Q&7M*QIQD;qL(b?AIJKM=vbhZmco$V)!&JG~g*;@DeCwl+MoJwm>=M2uG z4Q)AxcC@Dh=W#w4auJ=ll+JXaE0@!qE4i9jLweAY>$sj$Zr~BAlL<4*2o z00SAsecaE3Jj7rgVJO2G&PYb_IHMWESjO=*&+r`2^CBGqiM-C6yv-!uCDxD+ zn9NkB@gW~GgPGYiGAGT@c%(4jm!!G7EStN(rX(IIB$ZRLUY68MNjy?W8lmx{ps2Gcvgm9&xz5(Q-=FXO z3s}TrzU4cXu#{!|$O?XDCBO0;fAA-(C`WlJQi;k`VLdh=){tscrzRV*F}10~ro>9Zw&CqzHFyF6DbGKJEcW+L~ZCP?pO76{)At`w@ zOP);0Q(5v-N?y&9cT)0xmi%x2DaNN=9lw{xYjpM(`Oelc7M-n2QD?Pe(b*>CJF9Oj zI%`BxXWPr7vmMEIwuiCk>|Ydhc8DxGJB)m1#~F*xPNb-_HnQmKY;v8gb+6yW`@7Md zE4YfQxt1RE;(B^>12=Lrw{RP`)0ckq=PvH%9tLtR_Y-T#Lp;n79^p}jF@jOV8uA3A zd6Ka_#WOt1^Sr=Iyv(b-#spsH4c_8y-r-%|XEIZm#&ka7V?JRfpYl0h@FjDY$5(vK zLKg82v4(ul5`JJAKk^el^GkM(tWL8!9w%HQRnx4lkJ_X9J8yXM-r} zY^W?c8&1BnF~*{^aTIm-iYz)CPrkEB#-g+LDC%s6EIOM-zO%W;qOKV z*;@Dd6}^8Qs<1BWvjJ79Mh!Ni7PZ-gx@^kkY(ae*(ughDil#JU8@40Xkml@23wCB# zc4H6rBG!<7*q4^<&w(7wA++K!j^Id+<`|CS1Wx2+PN6lYaRz76hPIqdJIx&M(b&aK-xzBo6Q?79=XsHri4EUtjAtUR^CoXGiFb(&-v>-)D%1FokD0+tX7L%H zGn+4&%U8^20Sj5oH+;tuma>fHtl%eB@(aK52Y<4P)s&|Km8eV=)+0828&Z|()L>#$p1# zK+yzzLlzV8ZSoUvim{l0(}z+cHvz;ec70#>AG z0#=j71guGZ0&Z$7Cg2tnO~7Wdn1I`|9cxa&ot?)7+>N3MxW6nW;6WVBniKFy=P?0~ z;aHC61lF8@r#X)acqV7D<^(*~c}&2L6ivX(WHAA|k)MFq8jA_oi=qj5o9uS_atCWp zz+~6U>us>;3yfig+G*H9d7q$eudhNzWtkQI_PBlzf^c z^HTD4mi&;CAG755l>C(?>!xIbEUA@}O|qm>O18?9?NhR&6IaRq!`_{T-B|Yf<0nNE zkwk+zq%t;WRtZg{q%^rjXjCd`rrebVq7scN6)K`p6H3uURH6wbG?CIg@OypEYd_!H zzJ8Wt|BmO_`@4_d(I2n1*LA)Z&pw{_d7kUM)^p$1bJoYc#qk*1#sS2(v2JUvT}Nw& z5!=T4TI*;$T05TDHr8#ei|c6ZG-BIWUu)+Wk8R^TV%u1^wSKN++qjI_HrChLwZ>!H z7{m>%+uBgq(b{dqwz0m}?lT^(JwUFt4Svq_l-I{D@*J^?yugdR%oL{aDzS^aPV6FY z@Fs8b4zY{OVJ`3U0Ut7-kNAYxMHaG%&-sEcSxW38UlY5?cdX!hR`DZ05xdB*#4hp& zfATkLD8)v^F0u(_*_6%Lg7U;JQjtnjW*fGpD%-IGv5VB8Cbg-uFZF35>Rz()ARU_Y8Eo0GIT?)0^^{r{kVI0Acw4*&8IF^od;&?i9A}4bSUFgbbbmw$>(u*@Wo7hEqa~^%TfQ#r$ zKQ1M9kt>K@q(1|=hGMSedIoVLH!+wY4CPjCV>ov(k~_JZd%2JMd4SP8gsByK|NrYC z{DiSdJjv5M%X2(W>>@AmGEeXpO#d=~IApYRzASymaoRF=PqBMWIWi$KSG_%joX7(j1 zxjaj*OUVscGAt#xXUV9PJeVbqreu7UOisy*Su#B(GqPlEN>y*&St|;4)?OB!9Ye0O|8#ncJ*0@PbfY^x=*1bF#o3%oZ_eieE~GCPa|xGnIahEM z1Gt)j6muQda|1VVGefwAVcg2?3}*y)5_`x!+{-BL=RqFgVa70)M|q6#Okg5U@)XbT zER%Vj7kQZ}Oyd=%^BOal$(y{*JIv-?=JFmN@FDa0h}c6u%db>>)z1=~+xBHAm zZx2wYx5s7C+Y{t_d(K$&_5y`^dtDa2y+OXWImV*5_bJrdLRs|oIl108cws$8!QFax$mTg(6O)8>iEg zUYtqnBj<1~=W#w4a1nj!m#vfjX@a)}2C~^_E3veaLmT z!889rufLY-8N`j;#9)Rnlv}xt;oQMU?&NOn;Xdx?0Y>u>k1&RDJVxvx6L^A2Jjv5M z!*e`O>>)4lGEoD4`^@7*7Vr_D@EHqP%;zlOOO~;mulbhm zSjqSNz>oaIFZ{~y#2)e&f3ucSY{bTFLb+^>Y?Wqc+*X+H)zjRqmCfCqQxdlolD$&0 zPnH~Jg(OA7xc{;@iO)(aoy+WbR-jPLT?~?CqzOm@+V+wV)R2H3mMZU9D#-g*;6zXh^EIKPq zuCooE_bYpS6{=E=?b(6q)Swo1s7pQSvoj6ZmECAeQ<||md$1QR*oXayJ>)=IaxjN* zD6MJB;lv(t6zw>g4jjvIbm9a$a}p3FFhOo|w(*$tj6j3dxL= zyqP6)Qxdlnl0_-`B1^tW$%-ubEhT?uNtu+C&5}wf*(OVNOi4`_-bbcb9lI3AV|3Pt zd}n(ai_Z3@P-m@V(ODbvowYX>ogG7=&Q6gY>t!rDJBvb{^_4|umyqvlfU)Ro zAcZ;`B8$$3k?U-O=l#)M|1e`1%cDHTcqTBBCwYozc$UdL&x^do6sGYC(|L^<%;Zhp zCialoyvtnP;{)a~pO1(=&BwHHz?HI`?BcnL-O4%G#1@`PND9;lSOymlke_V zW6|9o6zXmhS#-B4`R*zji|#5@sJj}n=&m-o?lySl-`(r?qy>AkFZ*!-2XYXH(2CZy z;V=&8NRFaC9XN)L9LMpTz=@np>?K_&;xxK(Iz8ycnZ#al4(DDtTJF z^mv^w!#4 z7H_RR$-lMsHWqKKeJJ$SdW9_BTKkiKYrWoBytUp)p|{rIvUqD9N&c<%eq-_0I+{Xn ztrKMN);fvYTk8ftnwjqPuQQW3c#F50#cbyA9`7@c4_UxRe8Q(JWHFz!gfCgfa=zwU z;;6Th@A-ir`H7$TmEVb@-e3I9T1v4I8?y=J*p$uLg7Q?LB3o0LDr`$NwqpmXQ-fO6 zrY<{Cp9VB!S9YT@O=w1Q_Fyksun%$6+n)ny$w3^#p|qwghjD}>O_~37U!wbp74mU$ zJVtk)k?(G)vFPqA3U#+y7Tx_qzPmNXqPx-*>TXL}bhj1x?y4G#?zX2;clBh^-Ol8@ zYicaI+nqw)9Vm&jcniiKlp)XL*k2d6Acx!c<=2RbFETGkKG@c!ycM%N*Y01LiS5JN7S1hwk_k z$Ps=;I*zZ(j^n?jBt8X_lugNISyCw_@hOm`W=iU0$u22rlqGwoWWOwFm6A4Da!g8& z%aT)4QslzNNUvHQXBEd|bapQJ&iWdQ&Mu))X9H!?*>&VQ8)7Uv8%Ckd?vX`jqsVtQ z##nUrD1|zEMi!k-Cg0gKW6{}k3UxMH7M;x{*VzU?qFLhgOIglWe8ab_U?r>gk=6Xn zFZ{;u{K;Rep%kSl!^V`Q9GkHPv4>P(D=M)y+faq7Y)9-NJ5rsR)S?bMQI7`f%r5Lo zBO23`=IqX%?8V;f!+spVfgHrawBk_O(3ZnFlA~zP(Hz6E9LMpTz=^~jatfzXL|3|T zIz8x>t&#K642@5K%=atP+#Qh3-9agdPk~Hd&ZDK4s+mu3`RhC6(+mi3BhOy|Z zHibIdO%|OsA>UaGW6{~Z6zZ(CEIK=kd}keuMQ0r;)L9o87wno;b85*DdnD6D&+^v|+-D)X`Pk$u!Q_?U?nx!N@{gJdx z$st*CL`vFaN#~TDoF&~;(lbl?q~xM3xgsU~U05TpSsgbP$76Ihn0#l$jYVf8Db(3$ zS#XoITi+ z7VJapA^URxEjfroIF#13y)5}SC7)%e#+G9;37B?&Dwb~U-qHh9**&FhCVf|1F`w`m3t7w;EMY0j_=>OjmKCgI6+f_= zpZJAe`JF%ci#4pJG#jxoWhuvI#2&IG<=KjgY|S=Qp=!29YNi<)w-eqI8l}10G@HA7 zrzCDCByCc1c$OTKlDM6a6s4qFmYkK6bF<`uiH({kmRXj|S|_F6>Gp8q<{K?9QI-#op}0e(cYI9K^x2 z;!xVqmcu!c*hAWLG{6&V@rESs_!TTq?~RHPD>*@kVY%69C)j?|zgwW-5S)TaRriJfFO8qtKNG-nU? zq($~_(lYJkaZ}-)p)8$r{#DnvK|)O(@4^ z#7?p$<=KjgY|S=Qp(@qbo*k%84Qf$`y40gSJJXO|X+&e1(v02NgS}|MKJ3T-97szJ z<`5304Q)A`*hh|{9Y@oFV>ymaoRF=PqBMWw*20`WH_hzxvzdKKO5)Z+a$QPp$dX|x ziCYWFsFXaIC6A_Le3nd3$%|PsJtZ@;WNu16$dXS}vdD#ZleesnZ;InFI$J@$v!9Jc zXTMRXvof;itStG?Dj18-Dp9Dj9c9s3P4b;JFczKdLZQy~ltpKIlke;xW6@bF3U$_A z7M&eKuCooE`@4I64|;J1XK^;?(wpj*$Gt^h;#=J+r6rH|$k1+M?v?-X%}> zDS5hY$ z;c;0U5uPA_M0n0v91&ii&=KKvSsW4GAb&)dV=Rsc?^EcAuuv99gwM$x5jOY%(`v8( znO}(+`3HZp?u^{Xb(0n_u46`apwNswS#}CtC}Q0i+0%6|&Lobk>zk1m z8ShKK>`2x>-895!SZ+ZEr`w64+3m#0l*DIPl1EZ9E=wk*BtFBEOijtFS@Kp&W@X8O zlzfsUOH#5dOMXbnPg(L;O4hn?+wzVTvUzbl#x7Ex*hSXutcvUCtQxV4tgo}W#-p?P z#4fUKXN_G)XU&LRWPP3OZ#;I9mc%ZyZf9*>M`uS6yU6-F>tsB3k7!v4>0`_K->w^*E#I+{@A-ir`H5eMJ>+*{5BZDOL)KD?jo6sjL&{}q zWUDko;}b0NeS^Po{;v-gmTWcOTaTL^Z#?Nw9i3+UvDu72DJ7?7$r&j*J4-H1$;DYx z_;=1{Ss!;5$78g1FZtFUHWsaorBG{6$)dGq$+tGeShV&Eg<5+@7OlNYzP0(rqP34H z)Y?*6wDuMG)>avd)>c!fwKcM6tu(pTHu&LEWv{P7RjRQ)J5Ze()S?b`sYiWwrXjns z8;xm7Gj?YW_M!#*uphCD97szJ<`530HElVZ*hP*ac9Em$z_A=hCr+R?{g()>jstT|&OI0mh=UffVX&h%7oAMy|6Bez-K+>mOzeV|kRv7|#SI z@+43349_x|=XsHrn8Gw(VLGodgPFX^+r%C+n|GPZdwjq==JOG;hkVLsEMhTV@Fh!G z&R2ZHx2#|#tN4-C{LC->#_#;e->jh&r76S4l%*V-u?1UFfvu>-)@;MJRAoD25809G z)T9=5*ok^H$ks@cG((&FA31CqOa9mV&iT7m$qU8t7~Q>0zPs0rMR#vdsJr)N(cOpS zyIW{1y8E0$-F+vE?!G7A-LJ-?yFVz@-6pc=Zd3BzRWugeRi;pPHDu9UZF1fHrxRrC zB~55bb5!|no%)aR=iAePW9Z0n9M1`y$jQWB(uE>UqZ_BwgI=6T>?P-LF6VJR7jO}= zm-Hj{lFPW9E4hjRTtn<7*K!?$xPhA(%n*h$jN7=KI~c*8+|51Q$0#1)K_21}#xRc9 zOCD!DPcV@ud79Wup3BzC)U;OO#=?8UthCS1$@cjLDTx~k$+DDuoh3h{ByKDuYg4jO zmTaDq@>xrPv%%1M-<27k^X;dN4~R8#-g*%6zZ(I zEIR8+zO&xOqO(2}>g)oD4`^@7*7Vr_D@F@#f%;zlOOO~;mulbhPLss%VKky?z z@iV{jJF$oS#ow%@6dSQIv4@l+_K?llg7Q?LB3o0LDr`$NwqpmXQ-fO6rY<{Cp9VB! zS9YT@O=w1Q_Fyksun+sPKL^l~gE)jkX-(T~jU1h3Xxvtq@294@+clfJXQU)EKm&5~DA@>-U>lahB`SR-?-j*pAuF*^H< zd}m9IMQ2}8sI%3w=9H579#*K-50huq9yZeb|5ay!Er!AS1nZtmqi?&m>9^DvJvmT^4BcqTBB zNj$~VJj-)D&x^do6sGbDukso*n8};O9`X*ec$Yc6#|O+~ezryyr5PHx6;{HEGhf7DN8vvV+&#rslZlLVr#ac3RT&T*h6-tIyI?99d@D~4cM7o*p)^!rYX(Yojuu$ zz1fHTIDi8=h=Xaxp|qhbhjS!H(Vn9@hGRL7<2iv7i9O^LPNj&hbmMe-&?{Rb=cO5X zK{j`l_!KeZ7$WzL&GV?~U~Ly`8Ov_fzs=mK6Rq#`mp{KZ@fqTKk)P zYnvF0);6V3Yn5fu+P36dt6?l!t4*QSc9TVGO~|*_!dSGnFNIobEsNF;Bi~vFW6@ei z3bocn7OkB|uC)!G_Am7MzVzb~F5_~plnlh+{DccVJO46joZ0{5!}h$ z#4d6lqj-P^d5DJ@!#H9Wd7SY)!9@-kDH#;Z){b!PAeZ}K*?n9UsK z@;)E%A@li&PxzFDEaG#%;7gXVoUe&pp^&sp$st*CL`vFaN#~TDoF&~;(lbl?q~xM3xgsU~U3e$? z!0NcMI3A<3!Q?v|ZY(+*Nukb0%c8SK$agluSaddtLY=)Ni_WH!?`)>A=Dbv92H zoh=~W*4W9PPc>N}nV^cO~3(8Z0ifm0~s<189*p408ks8#Z zHg(yF`ZS;+yApdyW17&6=Ip_qv|t}%580msXvskw!lAULEr)RgN79b=bl_Mz(uw2g z%!!=LsdS+$r_r6$=}9lnBptS9&!a&(w_lbLowHKeYQq!Ni#HV zD9rbJ)7;Jf8e?n+@!8k2>EB!Y@BdZCc~;2w#qk)uRVUwDJ!8?^&J^lxcUknd7x~@} zG#0%bOrhS6l0|Pvlke>WW6|456zZ*qEP6YGd~fF)i{37zP;XbsqPMHb^|rwi|7~7B zoDq!VuJk>+@!#gX>A%ze^F6xpV{!34x(Af}q}+iePg|BeJ*edA!6i=*$)3iK=(Q>t zJ+$O$>yoE!N}jeYd3sp(H2#LeOGb|eGOoX~-_@%5F5GF->SnGn%tId$1>a@qhW> zjr=!$q;Kc7rAw8{zDGBFpEd4#cy<1xH5|63o=yzbA>6@t<_ngvlx2Ly*L=$gRsFwFR^+)nQBkpEqV_&2XVG&LVBj>njUwEDNN-Rrt=yz$WOxA#$poArO+h&R2GwP5&22D+*nM)Zzwbgf0o50 z{Ehr1EM+VvVHpZd!V0pOgq6ro!tIR3B;1iW!LDx-HZUHOa2IxE-AUNobxguNi4*MJ z?8CZ~@F3R*(~3h`cM=}yIwoOzj^-GSW!*`5qU)H1r*JCkPQue&$0Y1Up-Fgw>_Yl- zG3!pkD_zGV9KhAAZxY^Q{APx53&XgTn1pvQf;+j3d$^ZT+|PqN#KVkXtXq`q7wHGi zck7$(W?oKrGt;s=@i)?aPJF24KIg-fe3T`hr{v2l`938-X2~BZ`8!KCP01EnQaL5t zW=ZXo?35+DrKE`qcl`6MkbR5eF?u_I*gV$lt+ngu?J#2VSYK})jYn_C6Pw4ny>)RN zy`4sE9_#Dv9OJQhoJVXP>-N^qb@X-_v3abow`+~Z<}rvHShu&KuA{fxDAe11vgqvr z^1Y2S_9%}N`^Xc-KJp|_5&OtmO5#H;N&l2wlO;E%WN?;@Ov&9@GCC!XWXYtI zJe?&krDUoLYh;1d@m6s>MrX6gcQ(&hbhdy(oh^|?XUoWUw$fO1_5+1F`%4y`ttH=C zIb+e;<`n9ziYz*-M!vIJ#-g*j6zZ(8EIMmOuCon(;?&CPTho@qID#W-M|(POEFI~@ z@pR@yPUaN4(3R8Z>}|7iV%d=g^z;=)(nEL|^)GDY1uKLF^&@8Nf9Zb1m01h#R?y z!3<$2w{jc9xr341$=%$`ecaCjjOHO8VGQGVjK`V46HMYsp5|Ge<9T8ad5M>q$~0c( zHC|_CwnpAfGxWV|?tYf$?&56j{x|&+eXOg4(|^vP*?-Pa>HmYHvo-RO)p1gBJVs}y zlJBgCvFPj!3Uzj&EIPZGd}mh~i_Wg5P-i#GqO)7bcQ(RUbaoeoI(t|aosA{m*+gT} z*;5qiY>F&8dxczQ8@z#e-|Ocwp9Or(Cw#_27V`y5SjsZK;%mO;J65uaA6U&#{KBvN z&Y#2{vWB&kW+OIc6Uwm}v4?C)dA6b=TeA&Ss7f`qX9ucNgId&~F7>F-&NO6K8qt`h zG-G%6U@uy*5Bsq{2hx&*IfO%LLt73f_K>4!$I*1)SdOC;CuD1+D9zAr*%~=F&E4~} zxqC@UF3*zdQgTC<3`@!FSu(0?!+)LS;(vpDG-cznY;yWjFJ^ygdP-(w$=sBDkR_j{ zWRVN+Bp+KJ-xSAVw6=nLYd;%{)_$W6_+Zsm4{GlDybUF06_Wfb@GAP?~{V;IY$ zJjQq?Fp(#Dif4G1$vn@Cyv!7)@e0#>>{7?8H-rV z7ktT5mS?Nv`!qx2hQbd2cbdDUvbnoyO5%n>vTaJX%aYnDi5m(@law^il6|{Y|JSWV zWQV2f$Smua{?zf=pE@lir)SAIDLK!DRq~1TaanOZMr&7+Z|z!R(b^yiwRW2wo4~e&Y}R%toO)auy0I3A<3^5i?KVk|nV zMxoB?%A&LSn)4U z`jG2vgXjH$UVkmuGl(0xiNOqED7SJO!?}Zz+{xYC!+qS(1B~V&9$^gQc#POXCh!E4 zc#@}ihUa*m*h608Wu`KXS9y)snaLZx#oNqcHgkB7_nF6sEZ`$P;WHMpn9o_lmn>sB zU-K>Bv6AokfgkyaU-*^Zi9O^m{$?$u*ockUgmT#$*(%M@t+TmXJHICwDt;xT6;$p zt-VXWwfV-PwT~&(+EQ7x_7(ZoRvC-dR#T|8HL_@}G`ZF`c;c_@^;M`!HMVC5s#Akn z)S)i*sL#$cWLI{hF->X4?(D%{{73&AJn^^oy0#on>>@|ej-%g+7;-*3}BPDNU$=sC0O@(ApO1{XFZ&I=%OMXkq zpIK5SC1tauQcAYTk{wf0(}nkvh1SO|#qk)eH6q{Ip2niJy|HT7+gdB*hth_8Ywe9i zYsXNiwNqr#S`qoydKrt>&Z1CjePz+wCFENhU@Tf2NTJq-$fC7jTMHQ^tLJa-YOc4-YQe5w;HnO ztv0#dHhAV&b_i!Jhcz_3ah({R1 zIAR}pobf!tM4senVjp=fTPIV~I*Ho~?+dfi9zQ4B;}@hPZYw0qQu1|{{E(8kt&pru z$wpbSc}mJ>Nwt*hkR^3fQa?+YrDTsR**_&MUHBf|#p`~LZoBMzblYb?85ciAcXY`Q z$#p1sdQ8dFV@sZPEO~ld$pb|+_vrrf6OsS?MC5`rGi?`Mr zDfHGlTo!MwBgwzD-ft}4T1Qjpt#yJd-dZP-du!d`M>EsCK8|`biKE_Iyv;0TGl%zh zpLu-90zTpsK4l?``J5$u$ugGnHQy3Py_I~=5B$ha{LHWXP8{|A;&0YcijCNqIO>%n zj(VH31?8zgMYg6gRoIqlY{w2%rv|mCOBps9PV6OD(VwfihGMSedT!uGZe}pI zFqB)lo#BjNBzJK)_i`Wi^B|*nm`51PI38m>6PU;(p5keq9o z17*?Kb>ur6Vk|lvMxoB`kws^t$aglzSakL%g*tmi7M)Ed-`O-{(b;qgbv9cToy{fJ z*#p9eSGp8q<{K?9QI-#op}0ejLDo9K^x2;!xVqmcu!c zqiE039K*33$MKxNiNqdq3a3&;SGsXJJ?NFKk@M0Fy&#*rSEji;Ae*~`QgTz4+>w$y zv*dx4Jd`C*q~ysg`L}-oeTmiaM{ztxXMdCLY!hSA*`^fgtgmyKrBG+BWzpGTlnlh+{DccVJO46joZ0{5!}h$#2#`Vqj-P^d5DJ@!#H9Od7SY)!9@-kDH#;Z){b!PAeZ}K*?n9UsK@;)E%A@li&PxzFDEaG#%;7gXVoUe&J zW%MQ01hcedDAbhd;-ovoBbXFrhZY=dY1 zGG4z4<=B+X*@E&^pdwpSnJR2cHMV01cBBTis7+mVqCO31$gad5(wHVRqd9x9CoR~A z*hBW`09tYohj1vZY0F_8!I8A1Jsmifj&$O9I&&f?b1Gfv%4u}xbb8W@GdY`c=*@Zb z;Q}t=V)}6@v4>p2mGox-*HFy0T%WCxTha`@HJiKlrn!56Hh0IS#CGsM`3vYv zt&r`D<1u=xPQJH##-g{KDb(BUvgmCu^1U5sEP6YbLcJX&i{6eV-`fesqPLSM)LRc( z^mYdM-p)4`yr?Tj55&6!R8;j1qp-^W( z%c8U2$ahxCSaeo~LY-BRMQ4@Bb+*CteqFDxM+0_d7j~r)jcH1Ac4tpw580c2*pK}= zkb^jwRvbzj+HyEY5_?E{j^-GSGYr%XK)s0b1uC(p9{E< zzFf>DT*~EK$yE&CY6eowbzIL4+{Dcc;TDE*E4On8Be;{;L+;^TMsYu}hdji?jA5*G z^1ts_0GC@K`xeJz^mYLG-dY=r-VUQsZyjaP+wtUk>tZZ=JB>oUog<6h&LiJjKV#9` zWfbb|T3Pfqh`@*k_K_!;$df$9Gd#y+Uf@MuW(w1I zmFc|B4Bp^P-sT-?eHK|P<)rEJHudI)^isLa_n?=60dB&o(1r%y+i7Z-M zM!vO`#-gBRAL=0r~B6uQur)9B9W^rRPOayIACoAcIghi2)2`bEI6t&o$7<1uymaoRF=PqBMWw#=;(dZkpNW zXLI+Gl*EmNjj>l+i1^L!~HWsb@MxoZq$fC8frxSD|!a~;=n12+-7$PjK}7`Jje!x_Py#4d6V_cDtAm?imh|9by-rzgGs zDW2h3Ci6Tm@-kDH#w$$cHD)lAH+h?Pn9aM)3a6x`(3)PHu&eebpQD--T&YI zBXQn6i=4uX?8QYsgDG;ND=Kvgr_zNYy7JF=>Hd#>mu~Gk|N1W7*rk85d9Qmw_}ldw zN>Q3JY)l*wHf1xmAdYnv$R7~4HCC1Fh-2Nl2ZY+L<5;&7aja`V{(#WLSRCt`6UVxB z4+#6Zj$_>c#If!m4yF}{(uTu`W8IO&v93Kw69NA`2l*qyea7O5@Bj{y>pdbo zZhSmXFp+hS2+z5WBf<+5IwHI-izC7t4jv2WLW!aScjI3y^5|!D8b!X%bu46{lpeD7c!@4uFq3bxZ?nWcl zosoOEjv3j4y;X?Wyz$J#AjHNsVR9iOWsP!tSniO zl25W^NlKPw$qy;{DNFuJ$yyg~TfVbGHZP9H*hR_{yU4noRdF4iRU>wh^>tR)cyv~u z*hSXutg-9ptQoP3tgo~EjmIw1lGsJo?X0cq=@Z}B#>n9UsCBleJa ze8>Vm;uAh2_K?MV&Jw<48O!;aZ~2aue9sU3$WQ!2>>XZMot>|tZk*;op7_LM9-dzO4> zQ;bDtuTZG7cVyAoyW~5YZ!9|dm_nT`l|^S?k?(AkvFL0yg*sa!i_S`u>uiG`E>-sW zDpaK!+p`1JsX;C3P?vhtXJ;C+E4$H{rZi)B_Fyksun+qYd&q&bhR+#w$$cHD)lAH+h@bLuT_X zb9s*sn8$oRBKD9^`HV#@<_o@LDa-kaZ}^rKtYj5GvYMayh2Qv{Klz(Al%h0c*qE}E zV>7m3ODeDxmDrkX*p{knN9-XxQk|OAq7FMzj|SNqX_97W^K9-Okml||+1x!WB}Zn- z@hLemOHNBk{3BM@$ayKbAWJSw$(30$C?z*#$!#gQBTF7gN&LLA|C#0Slsw_W8u{Mp zc%e8RqqCREclNrm={XsdeDnAi9O^T&gDGL=K?OGFa5ZL%eb5?xrzZ? z!$7X(ItFnAH!+wY3}qO%aXWV~f;+jJd$^BLJivoI#3PJh9I=Ny&Ul_+B2V%(&+uHf zMy9428n+YX`>Zr~=VWttK}zCwLb5C+UuVe=DT&(&$=Z}`lqH*|quoGL>qDW=u8>7% z{mFNBy|L)*MhbN{To#>;B;VQn#-g*)6zXh(EIOM+uCooE^{0FN>&)a0-r{X$F`GHO z$NS9VLl*E6pYSOQSnF`H11 zP1&3+C{G0{vNe^d!nRanJ9eNtHK;{x>ar8{X+T4EWj7krgl05n5B8!3`w)A`{v1F{ z4&o3Fr8RA{HF9*Cp~q%(_tZ3ZyJmCujFg<6B^RgU(k!_;CB<2COG<9dlDkrJZZaz|EPW-VuVsJko%HA4bzz`YObM} zYq_2qh<)T{26GETxs}@)&Im?w7k6_n_i;ZDGMa~Zgt3g{F~&22iA>@tp5|Ge<9S}> zC8jWyS9q1zn88fmB=(Van8mxy;XOWJ9`mzxvM9~axTWyEup-UfRoUGAEhTYFAt{@Z z&9bCYO5&D6QZprWvSgQ(G|G~_Q?g%{v`R^vEIB46$7RVWDJgPcjr?eJoK+l;(b>7= zJL_vKI=h5Ioeh*lXV;PMY>2VwY#4<)yGItCjUwOK7-P}dqZI1w8Ci5TnS5u{j74YD zDb(3)S#&m+TxT0R?=SKCr7Y(wzTsO|u##2$$ZCG(7k=Y+{^T##P>Rx&VPnctj?LJD z*h4C?6_wbUZKy(3wj=hC9jQ)DYEg%ss7C{KW*2s)5shg|b9QG>_F`}LVLuMwKn~(y zT5%|CXv^Uo$x*cDXpZ4nj^lVv;6!2%IfYXxqAT4vogVbc*2sBjhQ=+0`F>@Zy92Vh zJ18Y_OCkB6`W432R>vR3@fe-`O}?{Dj74XgQmC`avgmAE@}1Q%7M<0mP-nZzqO&IC zJ8NMqI@^~*owb%lXNQsRtb?)WtRsaw>mrNJP9xXZ2G9E!dVOE|aS4}kIahKO1Gt8P zT+4L~;s$QwW`;17Vcf>;+`$O$;8c!M{2n_0|e4s&^*5BQMze8eYw%0d?LIbZN4%UI6W#2)e;EBKyO z{K!xI%&*xRS({cu+)`Kx<G%#vm)iCYRu%ak0FB}b&BU6yoC z$;nyLJtaM}q)$pN%91Nm(%*&mk)N!N8;j#HIvY&Bv*E^~vyl|)Y_u#odxZaoy}OQ% zV$0h-o)Cgta4!M`x8NMy;h@326Wk%VL!faD?hxD|xRc-x!GgPcaL@hz_8z7~Eh_82 zch0=`A7-sjQMI4uWERh^-Bm@Cm^)j?m~<8htIl?lNoV_D?(7I-(%ErXb#{?VI=c*W zXSW%X&hEjgvzKJjSr|;6MS8vO!s}fT9Wf9Ku@M*XkN^ph2uY9>$&mu7kQ!-`4(`Z+ zjL3w{$cpTcH6#~u!xMRt9|cedMIdWPF%(BhltLMlLwQt0CH##lsD|pOiCUMXrYsdf$#9$1;P}ngXBlS5lQO!`f zrC`3#Q*(Erp1Uhl!Yzd)TUD|{C&4O_+X<30DmkZZ~f6bXEiA&gwHJ zoi&10XRXMjv$imI)|D~otUIha8$>3Z`N7m#q}TdWc>OfYz)Z|mKR;LgH0P;5(|`Z` zT=^)R{QTT5j!(yRb?o{&cDp%tyE}G!=w10(UQfqpFUM|g$8I0TZePc4KfNpeh5nAw z0gl~)j@?0y-N9;C{rud)|NPI-ty8Ofd1t41PUB7#3sLke8{i)t%o{4{WA~xHJ=l&r22aQ{_i`r z`sM4lE2#6JpTEDo@$&hy0qS&Z+Z%|NjsAH9uKL=hHpZe}+w)pF8T;aRW;Ia_m$}=TUzh z{d4r^PvFm=z@I;XKYs#${sjILcqp`s+rHv+RLyV?ueT-&o!wef+Kf~L}$#8Nrd^iX&nzQvZMfgyX>FuF8hD+-DUaP z8=I30qQVu?5FIfP6R{8*alCEO;xapZjCdTyM*_GZArc`mk{~IPAvsbYB~l?Z(jYAi zq=P%sBLgzR1DTK+S&$XkkR3UY6S$cOwWfP(n{{Qaf>gYVg!C7h)vaeDqO zmb$rH$PM2jEXGnS!wRg#YOKLJY(OA3VKcU38+Kq9c4II0AsG8{5QlIC#~=@gPT~~K z;4IGJ0xlvHmvI%>a054S8+UOJ5AYC=@dVHC0x$6zVR(yo_<)c2jIa2H9|(stq97`w zAv$709uUPrT*OBLBt&8)K{6yqN~D53Y%q`x=^+n@Jdg0yIW=tkv2&^XHbuyWNw_u)t zj~J5)_!L$X@I9GKz)vtwKqtmz0=mFz0>&eg3Frp%1Wdu0Ou*Eznt++fWCCVGc0`GfRuixr znM}Z*Fi*gNjL8HX0;>r)mTVj*U?L(;!08;%z%0zhT+9O#&}ktSVF{LExqjlgUOjKz zsGmgcQBNX+^pnV=DmkH(ODegdlRGN8uaj3Qd83mrD*3LH7%GXalSC>>suOpWWYkFx zmE`7tbL1mu$6t2Y%A!#mvS>u?tUSlkStZD#@w?7yGcKLggDe^mJ8QMYW~ zmDyumxj8uAgchJ3+Se8&$sAqr#-aYZ!5Kup9&T*w-d0B%Tx z#7K%{NP$$4H6$$zxFbC>A`@f{$qHFRazNIQ+{lBx$PZaV3h8sCq?)1f7hgQ#ucqd1 zO+9xTs6_tai=?$m+UdksCGri&e5rCxI&2tdrd;*~bCr z$S2N@<969fXQyE9>>^{**=1ODc8^RtdkAx9FBy~0!eG_eH!|rg9OllVGbWwIf>mcp z$fUF6Fn5-YG3hJ=tUAj@CY^c0)LEo|D^-@)mq$fZ!r!QZYN(EysD;|7i+X5)hG>i? zXa;Zipaoi@HQJyZIzZNt&gcSPbVCpHL~rzktRVv+Ysg>>!BE&S93wFbV=xxuF#(e> z8B;I~(=ijXFbDH69}5wH#aM!6SdNugjWt+@^$5g9Y{ph>!w$$AvKxD_4?)1`s+z0F`udYcWa z-j?13IBIx}qDpqbGWy5Bj1%24E0|zz@S<#|VtX zXpDudA`>tX{+NuZn1&gc1zAPrVjdP?Ar@f?mSQzlE!JTJHewUDU@Nv`2XTq|cI9YR1b=1@8>s z)w$rL&xIH&k(&yVq$)|F6L*!!O$AAAmE`r-KNgcufd8dF0bX3El~h_q|GwJl_tn#h zk4jqVq@zl@aKKg9SI&@rcG*gA17YrMIAhY=C|LD2g-m*z4s&nw8I#@uVAb0iGU;tS z%)M=6OnTc1tKJTgNpDAC?(HmN(%X4h^>&j?db$b-Ddj{+!! z!uShCQ5+>u3T03hqtj* zLKk#JH}pVH^w#IeU^PSK#)3INUd`P}dhX6tiQHI_EK|u!odl{xZY)Ulsbs%Sj;rL9 zPA;qDnojPi1i*-B?|VeTvmW71i2Sap_xOghU1b7#32 zlg>P0)mbqz>8vEoomFH^I{O<|oz*3i&KkhnnKxt7SqoTo)|pH?^M$FiNU!>b@%rHy ziBTAXu^5jDn1sogf@zqJnV5w+n2Y&XhyX0c5-h`Vti)=_8nO=S5r~c0j4jxP9gsC- zH}+s3g0LS4aR^6n6vuG_r*Il)aSrEk5tncoS8xqCa1*z22lsFv5Ag_3@C?uK60Z=3 zH+Y8+_=wMtHRKz(uto+>^d2zl8GE}j(q3rm~EG>bT$v>&XzJJovnaX zXPd~Rv#l_9wwE#KEErauog|aa&cNJRC}YyuRakZQfJ{1j40C6%8I#W5!m6_$WYU>4 zOr1q~#h-}RCqXhKM@pnZ8l*)!q(=sLAQQ46E3zX8av={qkq`M%5QR_#MImcQ36w-> zltDR^M@3YItRYoV4K+{`wNM9jQ6CM^2#wJc&ESpZXn|H}jkaiq4(No==n7wSM-TKu zZ}dff48R}^h98E)ju9A%(U3J{9L8fJCSfwBVj5=Xb7X;cnxB`8axSb@vv-4@z1!97 z-KFRCVU--yNr*}==;W44?&{>JN?z#XlS;no#6>01bmFFx#5zf>5`zQIk{_HO+3d2F z)^ft!S^>tSwZgD!tt^?eRsrVLsxv07dBLi+CS=l@4d&L`Fea_FhgEAm$)vSDFt;{@ zF==fWtXi8uCaw9y)LNuh{EK=0QY^;`tio!n#X4-jMr^_sY{ho$z%J~@UIZZ+2XGLF zaRkS30h>6&UgLsG!HzY)2Bt3RAw4p}1DW+%l3UHtpEnhJ zd*|Zn+dG%i^S6>p<)(r&sGgeH4Rzw961k}$>7tTuI_am9fjSwblCe6OqLS%42~f!r zovcyGdJZ^G!Z|~B+GQ)f?SZ+sLySppM`6|5c{1tk63o5bWK4Ry1FPPilSyx{VD9ZB zW769fSoP*gCcVXgxwiz2NpFc@)mvIJ>CGLc-Xgu?&&TTvpb!eZ2hVL)MXIu)zn-(GsoD2JIm0NJn%+7j#88^gvJaMj!M; ze+5_fHIVm=li0E@5$%di|PA?wH*ti^h4 zz(#Dw7Hre!$v!nh<)(u9eoD>VvwH4cR*BqHkUUh$6P<*qL~bfb!c`JQC$Ur#S0~9; zl1e8TRFX+2o+`<&lVU0<$w9KvD4ZO};340k*J<$W@b<1QPDAvk=;aglevS{+4|VJg zbL`q3yTcv3BOJRU^{)KKMma`DJ9fu7cE>t)$2oS#>s|RTOmK`&bnH%&-B_+JZf>ug z)JOe8ov!n5LvH{4Q2zhxuen^K@E?l!(LMS2x_or6eN;ZW#|Q76*$*(}57ET5shDbjp%HhjTmhGjhJlRjaY00joA9LeQ`JlG5+-_DE7mQ_-y@*1Wrya ze2G6FhO%)9Vq^KRv3%ILc(k#E*jPgTd|%m_{29!`Y|O!2%)@*vz(NFI5f))Q5u30XTd)<|upK+F6T7e*d$1S#5QJdt#{nF~AsogL9K|sl z#|fOoDV)X`oJ9!E;XE$jA}%2mmvIGGaShjT12=ICw{Zt|aS!+L01xp9kMRUg@eI%L z0x$6juMvhfc#C&4_=<1%jvokz6P&$m|G@|MGKD)Et5c_Ua>~i~s9{-f zs-m{lct0_}x7KA$-dh{M>bImnJ~Y%E@VvJTNlIXy>%U#ytf9z^xhijlbHj&{t%AfD30R2TD2MW>h)VdIYZ{kOZWY~zg|bSk>yxJHTEkmkYqV9@8uAc`E0>8lezmB>RN zl8GvrtdrR)nWvK#Dp{?QO)A-{lVFt`)X7PeoZ*1$etB2EYL~5acLV0`9xx`|J%&|x zZ^@*)4={K4gE8sO8CKoJA(QUn!`xjm#-zKHuM^OqCOg+5gMZ@n!y{*(E_c|8g0=I9ncY-(G|YvjvnZR-sp?|khNqG z2Ez|SVaITc#AuAcIE=?cOu}SL#Wc*oOw7g{%)@*v#3C%lQY^y?ti)=p#X4+2AU0t$ zwqhH0U>9~{FZLlA`*9G5aRkS394B!KXK)thZ~+$)s;~QRsTnGdfSB(u)ZBfo=k6z! z$Ri+8umXo%Li)I_m?g&W4dmXCq+lYyxA_nLn&Ln@c90Er7YR<%~&Zt6b8h6|!1 z8lod6Vnf!Dc!&=-Bt&8)K{BL(tRbn91_sh0Ju<=rnUMwAkR3UZ3we+i`A`4_Q5Z!~ z6va^jrBE7WQ4SSQ5tUH|RZ$%^;DuVKgLJ4DajQ7VzgKP1ytGD{}`Dv`%OB`kV$8`VeYIDW764Q zur+F$hC26vHqaBQOf1 zF&5)60h8d5DVU1sn1NZC16f1nV*vuN2urXO%drx&hOEI_tj7jy#Aa;4Hf+aE?7|-G zMG*Gm01n|Wj^Y?j;1o{dEJAP|7jOxoxPoiAj+?lJJGhJcc!)=M0$D?z;{{&fHQwMI z-s7V_N5a(%mB&BK_qb~ACeU*?xk}{m4@oAKWYvkMO62hmNlBHI(aGN`siu<#Dru~f z7Ak426JM3|(8&Om4Ca7yM4oqyvCCFE8xM15(-@P^X2Pno#bnaiGMGDC$Cz{$2&>L^ zlSya$VD9V)W764iSao)hOgg&^b7!|1lg{qJseSsgN3Jkq++2fQ-n5%*cxDkToP1a>EmOksk$62t^=kNHG*gNt8kv zltXz`L?!%6Z255-JXo_a=h7VexC0e5m+MxqFqBFX{7v0bUz0ezd zA#2D048&jz!BE&S93%BPGEvP?xt(CX&r@@Ep`N=dR3f(%BwJOoLnpy1k=qH9Gb%Z! zldCGZp_9idd8U)MD*2!jXO%?NNgS2L=YVsB_j$fkjclc}G%$DO!I*TG1y-HqBa_Yw z!rWO2#-y{-u8v}fIvYeLo%zAkS)|wc zQ+WL}%)m^{#vIJUd@RHwEXGnS!wRg#YOKLJY(OA3VKcU38+Kq9WDVJieF(;W9K<0U z!7<1hauTO-24`^&7jO}wxQwf~h8wtv+qjE+cz}m^j3;=87kG)+2*X>v!v}oCXMDvs z{6ILI5d~2Z4bc%3vWCP#T*OBLBt&8)K{9=g7;1*f?F92Zr<%KY^xQ4161klqslX>{ zEAqQ4>)++2GP$83v#Hq~2D(R$?J}T+2lVK_up%Z_VOx4L;l`PTl zT3ZWqYg-tT*0#f{wF6|*+F_VmJI$E176Pl*u9Hb?w_tAV5o6NYQ&_e3o=jT%1aoUn zj7e)Quxc$HnY88xQ)`i4?PuWi9>|O=$cF65iCoBoyvTD7K+URNItA*)CeG=&Y`XpWX>g*Ir5_UM34=!~xDhVJNzUg(3q=#POIgdy<5 zFxW8yBQY9dF%A>^Yqc4#gL*Kx){HS}%?DPkbtIG4y1?98Z^opxez0n7 zIGMCI3g*@(F($1|fmLhs$)vRam|BbUdVdqI--2z}j-A+rJ=lvN?8gBd!eJc6F`R&` z{iksjvWlF?1zbWXuHYJE6}gF9xP!a6j|X^!Cy-U-IbPruUgHhk;XOX$6TaXpzT*d+ z5Ctx9MKr`fOvFZ9#6tqeDv}6^krc_00;!N1X<@(}>5&nckQrGat4I#yL~i7PtRneQ z0EP5fQc}%OZYgBhkRR<`O?~#Grk=kIR4TU>oDHqj%xUzNyh1<7EQ4AsdPm5kTP zOqI;h$zqi((@CI8HtS@!O7?NUc_M$^dfYBs>FpHEye2lFr=3lV_DSb}9(j+I!AHCTuB2*gHg##U^@4#+yP8+))1LD-Lj zkagsUK2Of587j9G%=a5=?%vjO_pwUkwu0n?NDk&Q`$O*#^d>vrVw-Y%iH~77SBokzVtk=k>CNghJMk ztGI?6xQW}ii+gy0hj@%9c!uYAiPs3jTfD;ue8gvbg{&bz5DsTVK~%URI$}cBkT{5o z_(*_+NDNs+l0nvxlt_g%NQ-nxj|}iYCS*ZYWJgZqLLPV`AM&Fh3ZV#!q8Lh`Bub+U z%Ao=(qB8zQRa8R_)I=@RL0#0>=ZH;=r7y=P21`vj}b zT*#!eXfSsck1^@Y4OX3{CX>z#m^;hNm~@s6R-F|flga04Mbmj$9 zXOUjcO|3w_WR{V@Q8Fa&-W20KPzBt~N_WDS{siSWl{ zOvNz~G8f&o*8?X_ZumxMO9XqfKd$1Ql2*v>%#9c1;2bXC5<+nWvW8s84cx+Q+{Jx7z$1N*ypkCjDGS3XEL)iFBFu{+(dJHxR%)3G~C@5+B+wqtaTV|T7& zcb;Q+zGHWRV|St6o#h&ZJ@vUgruhXi>u>B} z>u&618)*FbD3s+#p?LTD^PS;rthF}QS{v8cHr^v`yhqx&U$^l-Y2$s;#yz`@_evY@ zl{TKS+gN*TykFW_fB$?Kax#AgQ!o|NFdZ}S4}Tc)KlspIHon{5o!sf2oU*bUyjQFA z2Nv&$7YN@u{sAXA!v#?x7YH#B6R{x|b@5=nKuF41GNgc9)J42NaOYSq>M}wu>N3N8 zfsmUqxv0wvxu}bHf$$f{a#2?ta#2?brBN2;Pyv-77j;!27j@N919E{-8*))s4_ws! z_5#6&@#bg=^94dj#^eH_3x0Ef5Ur0rHh-@DNaYe?Ak3Et!x@uHgi)}%M3_P*mk85g zzC@VMm|P+R!0HlV4VhdbtcUp$VH;y|iLet^mk5W*ky@(aEq;*5;KvCK$UL_-XiXJi7#+>i)~5phPQ;#g*6S{QIg zdPJO&Svi(V)*Q%*h%+)j$1)=ep)h_oBg-%@GqOA?AmWUy#<9%Eny{LYjmesz8El9+ zBU^La2JIl1tiPL)Js9ta-ujYku)1lGr&rv9j90f4ll1MxOqIyfE0Sd@S*epimB`a8 zl6@-Kuan~{Ii-`!D!HbUdn$RTlQ5OM)5$lLgmb`ci~OU4Sa#XUDiRm6ibU)z3CGe| za>y$3yUsE&E}dn9tRfLR%f+#D<_TFve%Dzs#$^>L30XxVc2<#N>FjUFD)PI|>M|~? zNCPxP#Lm1qmd;v0R*~Oz)|qixMSRf>5juBus{^A=4mh z$V|+_9L$BRAqx?J#aM!6SdNug4Ov6hLDrB!Y{X`4fvh1rAZy5O?7=<+VLuK+){rAO zisLweQ#g&YI0sonF5(g{;|i|f24oGnjXSu9`*?^)c!Fnmj+c0aFucJ#e1NPWpCN0= zH^>?i4ktuGRLB|7^4tmDqJMP9+mL;2e>Eax>d5Tj^{b%$+S|OgdWutIjr&NoQMO z?rbk((pfO9Iy*@wot=TXvrxvQv#YS`>;ai{_88{QUNa`0y@ge0KggsrXP7#R^wClx zUY`WXkQ^zI3TcoQ>5v{7;DJoYf~?4n9LR+{@I*f3M?n-q5fp{2Atg`}rBMduP#zUg z8M1~{g{&bpP!qLK2X#>&4bTXU(G<<#jpk^9R%ng3Xon8ygwE&+Uvx(g^g?g+MSl#y zAPj~dhQf{!7>Uu4HDnydV9^(2$t`oP@V z5XPjpVX*3L0-5yY4^wZEK3-bP>z86VR$vuYV=dNU12$q4wqPr^V+VGDvj3yUOBeb5 zp|}EBN3P=rZs9iW;yxbW5gy|yp5X;v;x*pjE#Bh;KH)RI;yZr839^p3AS$9EI$|O= z;vgR4!wm_M7)g-~DIn`eYNUaIbV!ek@IYpLp5#_DG_Rh!#ns#`rRQ!Xl~mD5J(V=n ziH}NJ>ZFTGy6L2!N(Sm=luE|xWQt0r>m)!WOLVeECF?mKsqmg#Xe{|>n>+2YmEQJX zFM<$^12}}kIErI9fs;6mGYG+XT)-umd%MY)^mYeUy*($B-d@4n+egNvw=b~j&6P}g zive?Q2^f>!62Ypsv}DqoJ50U(a{ValNN(goUgSdo6hdMAg`y~q5-5c-D2wutb)*t1 zqYA2`I%>iTwNVH4P#+D^7_yEugAG1tj+SVJHfRS~M>?Vtx}Yn%p$B@RH~OF-`ePsl zVF-p|7=~j6MqxC@Vmu~b68td*Q!yPgFbi`q7xS?I0a%13Scc_T30X(hU@g{T12$qa zwqP5!Gco!0Zu``?cavKS-WyJ-Ieb>n;mc|c%dG{;LzO(yNtjCH)`BElB~f$|OC@o2 zl3XRJbdo_OnRMc*lKeU;rjn8z@P5KSY~~*`%2s--26Jz98I#@`z^bLFTOiE6?O<#tc0<;Y zeF(yS9KazQ!BHH?37o=doW(hu$3)KrF;YT*N~HBt#-4K~f|~3Zz0Bq(wToBLgxb6EY(! zvLgp_AvZjc7x_^Tg-`^tjub<2ltd|%K{=F1Mg4wKL(NdRwP3zCRdd%{&)v2vky{Ir zUMlIU6F-&6tp&+Ml}y&jY?aK@$qJRM*2yN7Y}HAyN)GDeq)N_kz&YZ^*>Tk_Tj}fu z%$+@8OgeiEtIpn%NoOBm?(7F+(wQ@?I*UUloyCW_vt*1(XDMOTnFpD4mIda{@-QZy z<%3mcCCH?+(lB)v>2-f?USAjW(EyFm7){X(-e`^%Xoc2ji+1RMj_8c8@I`m@Kri%0 zU-ZWS48maeVJPevj*%D*SwqHQJSJiiCSxk5VFqSmHs)X+=3^liVKJ6s8CGB=R%0#J zVFLoO37fGM+pq(>up4`^55d@vgE)*MI0jimPT~~K;4IGJ0xlv{pCh-_43*mo=KBjZ zcVFwd`$;8oTR{>{B{6m4rV_cWATd;uUMJa9l2a#zRZ>(ZWmQr^CtfP4qmw2ov2nmT zl902by&cjO)(2Ld4I`7zM!?+J1jeK@e^_-kmrOca0CQ){8I#Ud!K$+@ zWYXDom^%w%OgcLNtIkf7NoOH2br$J0|2?vkTs+Un!*NeG)GIcLK}UK zbWt-@ZY!AY1J&FeqUY`?mB?)c$#j*>(n)|y%2-X>Ew<|?(5{aN?vimS(1qJA5$s3wLlA z_wf*q@C34oJjV;X!fU+2JG{q7eU^l)87emv%=ox!?k3Q4H@QmWhJqxMO0w$2Qzddk zK~hpBWw`m~oR)i>ztufXHJvt4X=DBSTBzUGS|`3L>7kPWDjCcH=ZSngWQ<+5(%X2L zdz;3X^fnV#y)7n_-j>1K+d9Uiw?J6+wwp|P+Xr)RM;Mddj>D?Ai)7ND}EPV?~3S%fmn!*xQK@YNQgv8f}}`}6i9{CNQ-oEM+Rg>CS*od zWQVLHxsV&4$cy|afI=t&Sx1VYI7*@v%Ag#|qarHdZ&X1wR7XwJLT%JVJv2Z=G)7Z2 zgExH80xi)RZO{%K&=H-{1-|Hp9_WSM=nGj#24EltV+e-Aj^P-o&y$I2hRRI^bAFzh zy9@Q)U7-@WsUX>^k{vn;R*BqHkepG;Ih|Zp$qk)6R>?D+yj95uoj9u`s!rmlBt8e6 zBS|0SAd-g3w23dinB$L=b}?rO*G8oew3g|&{+ zb&lQjj@=E8-9WWl%QXrgf>WR8lkdV8`j32`kN;4_&-2NTftRKF&yUdM3d6>`m5p~R z8}}+Ut~6|1Y1p`5`Cs{%6jvmFzGvKj;d{pMOyQg^LH&%N25xVjQ zxR3>W5f)=9mSF`}Vl~!a9X22ko3I&Mu?;)03v$7?7yA&5{Wyq2ID%u43%-*$g)=yd zbGU$u2*qVw#Wmc(P29#^+`|Jr#A7_cGrYh{yha$_;vGKVBR=CRzTpSL;fyGVifD+A zn2-y;IEah*NPvV$j3h{g5v|B!RLWYhClFV{bHvT=2C*Yskezd&mV} zC&&d~SNNhkdY~8jKrZU;$W7 zz*1x~0n4HsB2K_69Lof(jvDYnEkvAv4LOzx*aS@xaRRpFSSDZ_SWUogWHJGJ!aM;7 zGA0vn2&^XHSh8`LfQg7W0jG031G6w2b1@GKun>!|1WU18-@&X`_YWKOoy8t?XAz|D zERL!~9%gZ$a!DmubaF=}@-U0!l}g^|m-IsV(TQ4N|NftT_qWHl0zlAIp7>g z#@X?gUAD4l6o)Jt5j!i-v2<1mvS|FSv)YVHXZ0Y9M#RpVaV(wrKo*VPb=Hw_Sv0yp z7LACV_2yU>jed|t<9D46XIvJIQ5cPgolW9cI-3GnG=A6Fe8#1-0GK+9^hwhuUN39N zHpm*X6T7endl7{FkTv8GWDPlrV>p46kTv8iLU0}za0#Kff@_d9NO5{Nn$zYWX)yWu@jMvFbmCVt}VwEh@NuWwL>twe|_Hn>DlAN>SxLvl= z*(sPiyU3Vyb{STk-6NCE9>Uz&OU9(LFj#f=jZ8WVhq<%pj7ev)VAWX?GU+Ti%$=oU zOghT|tIl$fNoSrgbr$JUrn0=gJSw6R{zes4Lv_?dE!0L`)I$R_L}N5TGkC)XEzlCJ z(FX0%0kVd4Mi=;^8+xE8dZRC74H*DgLk43AhQf~F7>Q9BgRvNo37CY*n1X4Tj+vN+ zIhcp}Scm{D#u6;Ua;(H^tid|0M<6z0Gqz$Ic0ksU-PnVD2*Q3G#33Bf=g1i~L*+ph z^ZkaJySMe+eXJ6BkVW!AC7*TTtP**UMG{{n33ZZEC24e$MJ3sFl20WCby8X-<#bY2 zB{ewU97)01(a0`a>8vTtowZ_2I%^B7&bpIHXT4zVY!GA8nIEh=8%HLcO@z6#8H`D1 zvtiZQQZngm1uNq0RN&?WE>zS3P(8sHDG6MyO=8PW)9eRVNEnvPdVZRI*kl+f}lQzi;7O zmA`K}p#Hw)uuel%dO`ob>+1L2;(+rcC1=P}yKJSm7clqso-yg|6Rdi3A(P&s!Q5Ls z#-uklSoM~gOnNh5?kzK8(pxrI^;UpPdMgZbZ>1QM-pazNx9Viln-@&I{c`On>xd2B zXpRZGGex^Tc*l8W=ApIx@n z+CZ3F8_t-tHVRg)O(B!kro-IYe8!};09duQhD=&p4|8kV7?aj^!m71HWYXGEm|Hu` zn6!2tR;}G6lh*FQ)LNuh{BL>vdwj$ve8E?I#}7Cm3S8idXo!KBh>bXihXim#A|ysq zBtr_Mf~+EGVZa^fkr5uqjI5AVBnNULH}W7a@}mF>p)meJQ4~iBltLMlMR`;}B~(Tg zR6}*tgcoX~4(g#k8lo|ppc!oNL36Z3YqUW-$STqiozMkc(G5M&6TS6WGFZ(}xv5}Q zk5_YdlAgOWRU$VPB+FE?QYV2bk(&yVeJa_nljABmrIX7lxu%nQDtV}rFqOR1$v2gR zbHF*0nzJL8UAEF$T$npc!kBcH99ErWAd}8A!Q5Fc#-uY(Sanv6OgbwGb7vJ9lg|Ez zRcCd{q_YMvcjnEQbk+h^opmOY&U|6&EYd6fVZ44gMq(7kU@XRC0w!THreGSTV{Mo94oOJvWBd~dIVx4He(C6VFzRl*^NEehal|7K^(#n9K~^*z$u)@ zS)9XpT*M_@#uZ${4cx?S+`&EE$3r~A6FkFnyu>Sn;SJv513uz2WDWU-?+Awzq97_< z5nZ1n@zo5Kn+oQ88Z~#*>A9OlC2~_iQcxvDbW&O+a#KN4LnXCz(nuvub<$QP9dy!N zCB1awrxLqP#;If?2b?2mI6G$BWh<5{2<_uG3kzVm9;`K?849SrasgMR~ zkq+sR0UpSNEXa!N$bnqQ15e~beiTF@6hTqQ8d3r!Q5t1X4&_l1l_6_LRa8R_)I=@R zL0!~G12jToG(|Ibqd8ij68t|G zomFQ{I`e{6XHCeYGaJmEwP8#;YY(f=dXhrvq(gdSgajjPd@ru%ZYe!?E2%_oCrIk4q@hlH zR3f(%BwbX}O(*?SGEgU@R5Dg4Q&citCjly1qLVc$S=0wp z*-=<^cAiW+y99G*HyM-8?!csUa2tzOw!!R5pFbbnF7UMAili-gj zn2PC`fmxV?xtNay2*4sN!7?nzO2`_r25Ye%8?X_Zu?5@oIkHd9P`RC8zMoQa_pF|~ zmsKLS6C@8+@l5mwo(Mc?o#MMc1m88;129;#eiKj~P>!g@UN^-yt&Q0g! zq&}}F-*wL^Q2*fEjry~2^0~Q9j*rD{cI<9(>~3}JZgcEzckJ%ayYe5~=@{MR*xl{e z-Q(EZ>)73=cjdnjpwR)=wF}L`}1>iSru%o3O24RY^)46Rt6ha zgf>@qjt3n$qgpDgh8>_@W{s|~nkUu{+_aFXNajaf{J_Gq*_zdKK@M%5ub8|DM z*FQIxrJA3cE8A+kotWQR>oO*9tqoxH*4lzh-dbD3{MOo;F?nnCh1FZ@05W-N9SrkZ z>qy4rt#u5n-dd-T$y@78nBQ6#GA3`Wi(&QFx{gfVS_5HvYmM}g%mH412uE-f$8iFu za2jWE4(D+Zmv9+Za1GaS6Sr{(_i!H%@d!`w405UW60Z=3H+YBl_=wMtOTBOSj&L|3 z3ZlXl(Gdf&5F2q34+)SEiI4EMnG$cRkHjI79x9LR;-@I+qZM?n-q z5y+)pF%(BhltLMlLwQt0CH&1Ljm!V)&&~b$L`N=!g4IRML48qkQeD)XQ5Q9_+&M?C z+GQ*4-GI5h2aHL3k73o`TQX_y1I+FHU`*O`hE;oU$fUjaFt?YCF=;O)tlIM+llHQ} z++H5Wq`iEwYOe&Dv{xGD_9`<*d%t}+Q=9R+sE-C{gvMx!X7EOHv_LDgMq9K)2XsVd zbcHXvqX&ASH~OMKWHlLt!SKUS*fAUjejLPM9KkUh$4Q*R8JxvAT);(y>I?r{ zYKF@5ALi~0HFsa@x%){a^8AM+no45o#7!mg{D;I)NqU`RQ%O#p6jn)5os?Bc1^$kk z(^S5}mY4blTXl5WM5Q(kxIyNPg@1Z3Tj{M6%)RwwOnU1BtKNo@NpB-y?rj2N(wjf5 zdYeloy)A&bx8;mUZ>wO{+ZHnEZ9B}p1u-VQ9e`DDr^%$Z5SV(4^a0I1UjG1(@EA|= z3@`8!uki+N@g5)W37_#5-|z!YaE1$_A{wG2CSpU@k$8v?HzY)2BtbHyfUF~_kp>3R zAw4p}1DTNp*^nJMkqdc{7x_>C1yLA9P!z>c0;NzIWl;_lP!W|;1yxZUHQsDpZ_ zkA{$SqzRhB25&S+OSD28eV%ktGgO`dG3N)WnLR|$-BBu$XFw#=RWeH_0V zc#n_zED2XLUY-Im1K+d9Uiw?J6+wwp|P+Xr)RM;Mddj>D?Ai)7N< zWte-r&6xCd4_3XsB$M94VCpT>>wgzs?~3S%fmn!*xQK@YNQgv8f}}`}6i9{CNQ-oE zM+Rg>CS*odWQVLHxsV&4$cy|afI=t&Sx1VYI7*@v%Ag#|qarHdZ&X1wR7XwJLT%JV zJv2Z=G)7Z2gExH80xi)RZO{%K&=H-{1-|Hp9_WSM=nGj#24EltV+e-Aj^P-o&y$I2 z&QI2Jex9213-#HsLM5v?0ay~`I%%uAPTHZ~ZLkagrFPT>sB;v6pEB0_N)S8)wDa1*z27x(Z0 z5AhgJ@C+~T60afa$XmR_2Yke5e8o5XKscNc1yKJJ+#76=oL}DaCGJT#H zYKF?q1@k?pn!9=Q+%2pUxw#;zppwcu@luK0SdiFM(p)F)Rnkc(eN@t4C&N@SLMQ$z znW~eyDp|k*=SU{bj#YNqN@r`a4jT}NP1u61*p408h27YTeF(+@9K>OmJ3GyobQS`u z&aRV5XSZPP>=9$q*;80`_MS{S`vh}mPK-%sF0kq>9+`CJ22*E|UiD|-^&ZHKEXaoJ z$cbFYgS^Ox0+2PNFp8ikilGEbp)|^(94eq9Dx(Ty4XKVA@Io!rL0!~GL&zG^1WjRs zH=3g*TA>ZvqCGmG6FQ?Sx}iIIq8Iw0FZyF324M*NFbsB#z(|b7Sd7C2OoTrsV=AU& z24+Flkhz$L1y~4KLzZAEmg{q5y_%sL_1xW~=5COlyGK=WLMNA0az!V1RB~S@uT=6z zCtp6^%>m~Ke;LI;0+g+ERvhNe$}=XNRf1J#waKKj zdN6m^j4|oV2UeYRB$Lj%z}#7H#-y`;u5MM$MK|<7PxMA#$Qm*L12GswFcfwS$4HFA7>vbuOu!^e#uQA$bj-vo%)va&$3g^P zF_vH%mSZJWV-40}Jp!>2o3Rz!umiG&?8YAKLlE}kAP(V(K1a@|87j9EoFg~X+`X;m z?qik6?F7jOm3-ETvr6Q4f+W6566z$SO48^gi%PQVB%ewO>ZG(v%IT!4N@{SxIU+ya ztC3x{(pgiOJ8Q+5bk-JDopmRZ&U(Sz*&xQGGe1~$HjYd>n+S7fGZ>T3X2YtprDW3C z3Ya_Fz?gKl309r$C6msAVd^Z>YyI=Q{vtwg8CP))H*gcTaToXS01xpPPw))S@e;2Q zhPQZ!5BP}B_zGD=ejpsqh=QnaMRdf3tRZm_7x9q*36U5{kPOL@5~+{|X^{@;kpUja zge=I4?8u2+$OBL0Lw*!QArwJT6hjG=L}`>kIaEMJREDe}RZ$H!P!qLK2X#?jpCdLk zL*;gY`QAy*-L87>_ECx4PLPaH$!MMUt3+-mNEWDMkxo{rWUWrNt7Ml>4yfd?PC`_2 zK_}N$a*G4bk!+kDPwldm&R)RW*?Y#Mvrn+<%!N!kiw1LN@fefN++fvNYBK4}fVs2G zj7ewNVAWXxGU==^%$=2DOgbwItIn#ENoQU#br$KhehXgT3T@C9?a=|9&>3CP4c*Zb zz0e1J(H{da2t(k9VX$KaMq)I^Le`K8mr9K#8m#A%#C2+rXGE+G_GAZy5V+`ui| z#$DXU13c2_$Sawl{QO**mT&FZg80S5i4Vj5`{(B#aC}DYpkw!t-j&bO9d?W!aqJ#- z>>hLM9(U}X(7W*}{-02?r`|b6K z_P_G;bLErArH$QeLySFaeT=}BYJ~52r%}u^)wE!4K@z4^)e2zEpv z?#36kfyP(;CBAVGVti-oWBgznW`wi#lTf~dGrtBHQP_GKE^LF1sBFCqSGIf!W({8= zI$LKW23vn4CR=wS7TZ80w*C_G!wN$T`EhJMMm)A*hWscUKO=$u67sVU0u1?X@1BNy zH|tWLRFCpLd%GZ!@?Bi_6w=VHF%moJftUwnuKa;8*Jof>tz&R%a`WV&Oh8Z>3`WZF#m-s)G-FI}9 z)w+Q3Jqe*m6QqcgO%;Uz2~89bqzH%tkuD_+Nk{@AJv2oKjEEv7R4D=h1hFC5D+I(2 zf>>x`Fcj&8A}GR~cR%mv;@)-Fxt=qB{J!tWo|$(tacwa9Sc#IzwU3ICwzrsP`-oAt zuP7t>$sx`57kzesm}3Wuwd`Z!MmtDH43^k!hlqYVR4la7VzwQoBm5G%cDNX6W5hfg zD@NHkQAUiALz;~jeKtYNv58_WJ5tk5JR1{FtQm?nob`?Tn@)5RS7j9AM)D{izibi{KKyX{QTZ)b^xcD9&p=jezW ziCjBZjI{H_JUd^EvI|5Ru}}_ac9H0_&x<*Bu~^G45jWbUI%1i`Zu^4hx68#syF$#i zD|JM!M6O*WM%vY4o?RnG*%w6_u~rUgcAe<6FNryJy;#e>EN--~gv#N8f4r2lWANYq z+V`J*A@Uq$Y8cZ_j+gmk`**yGf8aHzHP?w7XwZkDSj2KDip8-6mc&wl2JRgxLzKmG zSRN}lt*Jy*#wvIRR>SH#LaoJzYGYljrz7eUcVPo;h>e`qG$A6eDK^6v*ixUo6>%T7 z#@}$VkD7-qcH_jbwoOmfmt{P#|0X?5fj|OJdP9bNt}$?mcvwh3a8<8d?vuIJ%e}- zXW?wjaauEvn2!r_5iZ6hI${~I99Lj2uF?@}h!=4kzJxD3t$CGr4L9H$xC!6X5pNUk z;(Pc3eyAfpCO*L}n1|b()@&y}!!Phl{2IT}5j%-pxEuH2_d4Q7;wRjP`|+UDnnT1d zco=`hBY0Ft94AiTNj!~bbi_I0JYK+yc-d*q72+!XiP!Kt-p~<-2*FSc!=gH(I8g#i zVks=+wB}Z#9NvZ%uo70*5mkt)SPg5Sthx=P7Ev4PVm++ywB~N20fu8EY=RLwqAAfF zTi`v|N=MvBw8pl0Kel&T(}C!So$*2JirsWXcj6K3fxR$FNAxE8U_b1S1D)0kA_n77 zj7C2W*AcPA2#m)>9H}Fch|!pWshI98Ych!}92;mTzhyDhObRsICWk4K)#W%-bB?$A zyyjAGb*<)lZ*`;QTi)ts&8^<*SDHJ#)xDYryww8DW8P|^<|S|SFU_E2m7i?D{Wb6w zEQzJD4Bi?DcmIu)BW}ZrSP5@;T2qCniq)_N>T}o9LmfU;7w^RSc(;ydK!jssY=V(a zYnl?xu?60Pt?)h_(S~S??XW#|&=DPp&e#RJ;zLd=|LnrO6OUjI?1fR-TSxRI`r!Z^ zh=X**U}7i^LqEnit%)T@U_2(`NKDcZ$wUgKVLE2&h%90(j>if3gwvXd#3Y=IQ*bIi zr6ZmursK0X183@pS;QQii}P@S)0&0E^SBt7;xb&WBUTc*xEj~sS{<>DSdXvZtN6Op znhnH8+=Or8+xV`Ic%S$HKf;f3vyRw8Y{gG;JAUr8<_qF0{2F)QPTZvT{a2CEf1i{`YhVF2xscIj#&ea=TM5u^L~* zwfK_Ln)Sph_$t1R8*rnJc$0Vw-@$kBeI4-u@ezK4n=#L6%~s-5+>W2)7xN=tZQ4?!p z9jxcH=1$@+yc-*0I5yT15kw?5!{*phN8Cf)i>;36lx(~3YNw>zy0 zG-7vpP4f*&w>!P3`JuP^ndXTb>Nz13edfAv;RYM${{uWDZNRzo$5daLC$D|oBb zH08Zvb8_D`YY=cY#75W{BLa=x<`hXZ!xq>QTRE+{muQV`@P2HE4_FQzu@gRsU9g*u zc!+oydtgtDa$55!(Fglte;k01>4?F^5RArQI9x}>5OElf2{_Ve%_w3tCSxk5VTO*# zBF5l29FLFdh$o0AaWZD(RHrooVj4b;&)~E8oQ{}9%*Gs?i}Q8F0%8#^#wEDSY0V47 z3S5b+a5cWDBi0cw;mi06zNRByC*HtK_$I#XwB{Y+J$xTO#EOApgGZ7ovt~mrL2UgP&H3&Vg#d&S4t0U?W_3>_OfZxkw}ch zBpi(?IwF-w$4tz^u}*8o5fkunoQO~2WF0Yun2Jx~G@Py@o*`!7Oq_*toYv$J^Kd>c z#6`GRM=T|l;c{GoxjJGMu?E-TI$ZCxa$UR}Mfe3z17<_t9q+-H1G6QBQ=|Q zt8FyfNxIERe#U4X!tVG8_P}0&2)8*!5xuc5_QL^AYX%a7a4-(VX!Prd7$O!&U_2)3 zh>=7RCSwYwIju=2GBFFs;y9e3Bc328;v}4mQ*^{s;wgL@r{l9uYi1BLaTdZd0dQ3b;L4aIj+Q9T6B}?NZo;>8#M{KX_&$DsA33f0 znAnV4a4T-Z?K&;sv~fm+^{@_=ETp|HA7S)DeaV!6F!j#hlg@CvL%#SQ^XVtvaGS zaT`{|N_e}Ds6tf5>R1D7I<0&^Uk;`Y*2O!qKHjY(8WQ2y7@J_Ej%Z3W$Ch{x-s`mT z4-(vnHrN*1VSDVLuelS^8M`>yoO%W#+~)LXAcDc$fj`CK=XpZ+*vo!TJ!q-s%#~7rfP%G+*&n-_(4^Tg}t_)LZ>V^IJ)`Ik_tz_TvHk84qE8AhNtHA0`U$ zsQB-HB7DfWp9s63Vb?ovjJpuZ{l3&aH?NKQ{^scqH&1`OdHU1M)4h7?F3Q?>^P~H3 zo*uY)dQeXj!p#5k>JIYi+~pDV4*oa40kzA2@T?)ea_!{@?eg3{6C*!buOMgLXFM9r zI4PICo05qc8AsF3bH?p|SwmZ|aaF-dYW z{=WyA!~);35?RT~queKFqVq#|1^ay_zQC7XBGd1Wb`LeF*LbpmkYGqgQqs86KI6Z_ zN3MjN43S4pE`84B4P!EblYOB{85yI8m2ekm>gQvkWlTs)LIU@?y3%)Co+#e$KN3>f z-Qlo2U4ieoFEQ0U=`mTq7;-8!CN3^(Wkt7s#J8w$vd|wYxTrNN+6vua=%N;*u;LsU8*) zD@%>#VUgkCS*_%9rL1H(`B<=s`&vg1GsR8KnsM&yA(yavpLj$;$Z^v&Ka>}H*jEsG z!51Fx9(DLqei@&yX3hLEx9eTqCwA6-lJ4XmZ&ic7Awz@ delta 1193 zcmZ`&%}*0S6n`_@Wy_{$OG{};Xje!o2$FWYjgS~!Qo&-76BmL=NoW%f218QEAmDG7(7HKu4br8C)>k_6T*U2YrX zU0~}i;K9+1j*X08nvJuIQr~|OwIPydh-eLwBqCD+j_vuHMCgYW_QV|#@CeH9-4#L# z?4jH)+=)PWO{Q*kQ})v-k}m~Ym9W+G;io7Y6wYTe-t2eaB!%Lru-7lY#pr>3qb1J>Jj#eK;}4BglEUy0`%6qw9d8iuwcaJ%9xSA z1{tG$U7raI9p&fU6u@5>W$`*b@vDbB)9p(}pn$&)-&y|cxpiHqL)p27g|iuGPEAiw z#!x0Fc-kMPB8jovH*&dH2IRCFTO1ewSX{{MBKW;ijLpv*uV3iF<<{&RKwM2|2~AOy zET3#PBl4|p5|fzzUo@&}-?+P5^$@D`_g6jbHcV5BVj)``!5W7MsM{E^XM*DBySDy~ ztA9-BaaL2?=Cnmia{%dy`Y;-unL)tZG^K4U!Ofa^avuk9A9xEjfD~__wof3 Date: Mon, 15 Jul 2024 17:27:08 +0200 Subject: [PATCH 59/68] ENH: status reports run in seperate thread --- aidatlu/main/tlu.py | 28 ++++++++++++++++++---------- 1 file changed, 18 insertions(+), 10 deletions(-) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 5bad6b7..a2f4a24 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -7,6 +7,7 @@ import zmq from pathlib import Path import time +import threading from aidatlu.hardware.i2c import I2CCore from aidatlu.hardware.clock_controller import ClockControl @@ -318,6 +319,18 @@ def init_raw_data_table(self) -> None: self.buffer = [] config_table.append(self.conf_list) + def handle_status(self) -> None: + t = threading.currentThread() + while getattr(t, "do_run", True): + time.sleep(0.5) + last_time = self.get_timestamp() + current_time = (last_time - self.start_time) * 25 / 1000000000 + # Logs and poss. sends status every 1s. + if current_time - self.last_time > 1: + self.log_sent_status(current_time) + # self.log_trigger_inputs(current_event) + # self.log.warning(str(current_event)) + def log_sent_status(self, time: int) -> None: """Logs the status of the TLU run with trigger number, runtime usw. Also calculates the mean trigger frequency between function calls. @@ -405,7 +418,7 @@ def run(self) -> None: self.get_device_id() run_active = True # reset starting parameter - start_time = self.get_timestamp() + self.start_time = self.get_timestamp() self.last_time = 0 self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() self.last_particle_freq = self.trigger_logic.get_pre_veto_trigger() @@ -433,11 +446,11 @@ def run(self) -> None: if self.zmq_address not in [None, "off"]: self.setup_zmq() + t = threading.Thread(target=self.handle_status) + t.start() while run_active: try: time.sleep(0.000001) - last_time = self.get_timestamp() - current_time = (last_time - start_time) * 25 / 1000000000 current_event = self.pull_fifo_event() try: if save_data and np.size(current_event) > 1: @@ -449,12 +462,6 @@ def run(self) -> None: # If this happens: poss. Hitrate to high for FIFO and or Data handling. self.log.warning("Incomplete Event handling...") - # Logs and poss. sends status every 1s. - if current_time - self.last_time > 1: - self.log_sent_status(current_time) - # self.log_trigger_inputs(current_event) - # self.log.warning(str(current_event)) - # # This loop sents which inputs produced the trigger signal for the first event. if ( np.size(current_event) > 1 @@ -468,8 +475,9 @@ def run(self) -> None: except: KeyboardInterrupt run_active = False + t.do_run = False + self.stop_run() - self.stop_run() # Cleanup of FIFO try: while np.size(current_event) > 1: From 11c090585b747eedde22726f46769ff707f014df Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 16 Jul 2024 14:59:18 +0200 Subject: [PATCH 60/68] ENH: data parser chunks + fixed decorator run func. --- README.md | 10 +-- aidatlu/aidatlu_run.py | 13 +--- aidatlu/main/data_parser.py | 134 +++++++++++++++++++++++++--------- aidatlu/main/tlu.py | 6 +- aidatlu/test/software_test.py | 2 +- setup.py | 2 +- 6 files changed, 113 insertions(+), 54 deletions(-) diff --git a/README.md b/README.md index 58d2c9f..07a1b95 100644 --- a/README.md +++ b/README.md @@ -59,17 +59,17 @@ The TLU is configured with the standard tlu_configuration file. To stop the run While configuring the TLU outputs are powered on and off. This leads to problems in AIDA mode where the clock is powered off shortly during configuration. -To avoid this at the start of runs in AIDA mode the best way is to use the aidatlu.py script. +To avoid this at the start of runs in AIDA mode the best way is to use the aidatlu_run.py script. This is started and controlled with the terminal input: ```bash - python -i aidatlu.py + python -i aidatlu_run.py ``` This initializes the main tlu.py script. One is now able to control the TLU through the Python terminal interface, with the following commands: ```bash - tlu.configure - tlu.run - tlu.help + tlu.configure() + tlu.run() + tlu.help() ``` Naturally, this also works for any EUDET mode runs. Runs are stopped with the keyboard interrupt ctr+c. diff --git a/aidatlu/aidatlu_run.py b/aidatlu/aidatlu_run.py index ab1aa1e..3d2db6d 100644 --- a/aidatlu/aidatlu_run.py +++ b/aidatlu/aidatlu_run.py @@ -10,37 +10,32 @@ def __init__(self, config_path, clock_path): print(" / _ \ | || |) / _ \ | | | |_| |_| |") print(" /_/ \_\___|___/_/ \_\ |_| |____\___/ \n") print(" ---------------------------------------") - print("tlu.help\n") + print("tlu.help()\n") self.cfile = config_path self.clock = clock_path self.rdy = False - @property def run(self): if self.rdy == False: print("TLU not configured, Run aborted") else: self.aidatlu.run() - @property def stop(self): self.aidatlu.stop_run() - @property def configure(self): self.rdy = True - self.init + self.init() self.aidatlu.configure() - @property def init(self): self.aidatlu = AidaTLU(hw, self.cfile, self.clock) - @property def help(self): - print("tlu.configure") - print("start run: tlu.run") + print("tlu.configure()") + print("start run: tlu.run()") print("stop run: ctr+c") print("exit: ctr+d/exit()\n") print("for access to the main tlu functions: tlu.aidatlu....") diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index af4a70f..3bf398b 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -2,11 +2,10 @@ import tables as tb from aidatlu import logger import logging -import numba - +from tqdm import tqdm class DataParser(object): - def __init__(self) -> None: + def __init__(self, chunk_size: int = 2000000) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.features = np.dtype( [ @@ -28,42 +27,61 @@ def __init__(self) -> None: ("sc6", "u4"), ] ) + self.raw_features = np.dtype([("raw", "u4")]) + self.chunk_size = chunk_size*6 - def parse(self, filepath_in: str, filepath_out: str) -> None: - """Parse the data from filepath in readable form to filepath out + def interpret_data(self, filepath_in: str, filepath_out: str) -> None: + """Interprets raw tlu data. The data is interpreted in chunksizes. + The data is parsed form filepath_in to filepath_out. + An event consists of six consecutive raw data entries tha last entry should be a 0. + The raw data is sliced and the last data entry checked for corrupted data. Args: - filepath_in (str): Raw data file from TLU. - filepath_out (str): New interpreted data file. + filepath_in (str): raw data file path + filepath_out (str): output path of the interpreted data """ - table = self.read_file(filepath_in) - data = self.transform_data( - table["raw"][::6], - table["raw"][1::6], - table["raw"][2::6], - table["raw"][3::6], - table["raw"][4::6], - table["raw"][5::6], - ) - self.write_data(filepath_out, data) - - self.log.info('Data parsed from "%s" to "%s"' % (filepath_in, filepath_out)) - - def read_file(self, filepath: str) -> list: - """Reads raw data file of the TLU - - Args: - filepath (str): filepath to the data file - - Returns: - table: pytable of the raw data - """ - data = np.dtype([("raw", "u4")]) - with tb.open_file(filepath, "r") as file: - table = file.root.raw_data - raw_data = np.array(table[:], dtype=data) + self.log.info('Interpreting Data') + self.chunk_offset = 0 + with tb.open_file(filepath_in, "r") as file: + n_words = file.root.raw_data.shape[0] self.conf = np.array(file.root.conf[:]) - return raw_data + if n_words == 0: + self.log.warning('Data is empty. Skip analysis!') + return + + with tb.open_file(filepath_out, mode="w", title="TLU_interpreted") as h5_file: + data_table = self._create_table( + h5_file, name="interpreted_data", title="data", dtype=self.features + ) + # pbar = tqdm(total=int(n_words/self.chunk_size), unit=' Chunks', unit_scale=True) + for chunk in tqdm(range(0, n_words, self.chunk_size)): + stop = self.chunk_offset+self.chunk_size + if chunk + self.chunk_size > n_words: + stop = n_words + table = file.root.raw_data[self.chunk_offset:stop] + raw_data = np.array(table[:], dtype=self.raw_features) + data = self._transform_data( + raw_data["raw"][::6], + raw_data["raw"][1::6], + raw_data["raw"][2::6], + raw_data["raw"][3::6], + raw_data["raw"][4::6], + raw_data["raw"][5::6], + ) + data_table.append(data) + self.chunk_offset = chunk + config = np.dtype( + [ + ("attribute", "S32"), + ("value", "S32"), + ]) + config_table = h5_file.create_table( + h5_file.root, + name="conf", + description=config, + ) + config_table.append(self.conf) + self.log.success('Data parsed from "%s" to "%s"' % (filepath_in, filepath_out)) def _create_table(self, out_file, name, title, dtype): """Create hit table node for storage in out_file. @@ -80,7 +98,7 @@ def _create_table(self, out_file, name, title, dtype): return table - def transform_data( + def _transform_data( self, w0: np.array, w1: np.array, @@ -126,7 +144,43 @@ def transform_data( out_array["sc6"] = (w4 >> 16) & 0xFF return out_array - def write_data(self, filepath: str, data: np.array) -> None: + def _parse(self, filepath_in: str, filepath_out: str) -> None: + """Parse the data from filepath in readable form to filepath out + + Args: + filepath_in (str): Raw data file from TLU. + filepath_out (str): New interpreted data file. + """ + table = self.read_file(filepath_in) + data = self.transform_data( + table["raw"][::6], + table["raw"][1::6], + table["raw"][2::6], + table["raw"][3::6], + table["raw"][4::6], + table["raw"][5::6], + ) + self.write_data(filepath_out, data) + + self.log.info('Data parsed from "%s" to "%s"' % (filepath_in, filepath_out)) + + def _read_file(self, filepath: str) -> list: + """Reads raw data file of the TLU + + Args: + filepath (str): filepath to the data file + + Returns: + table: pytable of the raw data + """ + data = np.dtype([("raw", "u4")]) + with tb.open_file(filepath, "r") as file: + table = file.root.raw_data + raw_data = np.array(table[:], dtype=data) + self.conf = np.array(file.root.conf[:]) + return raw_data + + def _write_data(self, filepath: str, data: np.array) -> None: """Analyzes the raw data table and writes it into a new .h5 file Args: @@ -151,3 +205,11 @@ def write_data(self, filepath: str, data: np.array) -> None: description=config, ) config_table.append(self.conf) + +if __name__ == "__main__": + path_in = '../tlu_data/tlu_raw' + '.h5' + path_out = '../tlu_data/tlu_interpreted' + '.h5' + + data_parser = DataParser() + + data_parser.interpret_data(path_in, path_out) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index a2f4a24..76bb17b 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -320,7 +320,7 @@ def init_raw_data_table(self) -> None: config_table.append(self.conf_list) def handle_status(self) -> None: - t = threading.currentThread() + t = threading.current_thread() while getattr(t, "do_run", True): time.sleep(0.5) last_time = self.get_timestamp() @@ -458,6 +458,8 @@ def run(self) -> None: except: if KeyboardInterrupt: run_active = False + t.do_run = False + self.stop_run() else: # If this happens: poss. Hitrate to high for FIFO and or Data handling. self.log.warning("Incomplete Event handling...") @@ -493,7 +495,7 @@ def run(self) -> None: self.h5_file.close() if interpret_data: try: - self.data_parser.parse(self.raw_data_path, self.interpreted_data_path) + self.data_parser.interpret_data(self.raw_data_path, self.interpreted_data_path) except: self.log.warning("Cannot interpret data.") self.log.success("Run finished") diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 21cf0ea..e83f4d0 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -6,7 +6,7 @@ def test_data_parser(): data_parser = DataParser() - data_parser.parse("raw_data_test.h5", "interpreted_data_test.h5") + data_parser.interpret_data("raw_data_test.h5", "interpreted_data_test.h5") def test_interpreted_data(): diff --git a/setup.py b/setup.py index 3326b76..537922c 100644 --- a/setup.py +++ b/setup.py @@ -5,7 +5,7 @@ author_email = 'bespin@physik.uni-bonn.de, s6rapart@uni-bonn.de' # Requirements -install_requires = ['pytest', 'numpy', 'tables', 'coloredlogs', 'pyzmq', 'online_monitor', +install_requires = ['pytest', 'numpy', 'tables', 'coloredlogs', 'pyzmq', 'online_monitor', 'tqdm' ] with open('VERSION') as version_file: From fb73ea6357973d9ac03d559695b5a4185dc47cc2 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 7 Aug 2024 17:38:19 +0200 Subject: [PATCH 61/68] ENH: feature stop conditions (maximum trigger number or seconds) --- aidatlu/README.md | 8 +++++++- aidatlu/main/config_parser.py | 18 ++++++++++++++++++ aidatlu/main/tlu.py | 19 ++++++++++++++++--- 3 files changed, 41 insertions(+), 4 deletions(-) diff --git a/aidatlu/README.md b/aidatlu/README.md index 87896cd..74a91db 100644 --- a/aidatlu/README.md +++ b/aidatlu/README.md @@ -45,4 +45,10 @@ Set the PMT control voltage. The possible range is between [0; 1] V. ### Data Handling and Online Monitor Two settings concern the data handling. The creation of raw and interpreted data files. -At last, the ZMQ connection can be configured. \ No newline at end of file +At last, the ZMQ connection can be configured. + +### Stop Conditions +Two optional stop conditions can be set in tlu_configuration.yaml. +The maximum number of trigger events (max_trigger_number, e.g. max_trigger_number: 1000000) +and a timeout in seconds (timeout, e.g. timeout: 100) can be set. +These configurations are not included by default in the tlu_configuration file, so add them manually if needed. \ No newline at end of file diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 5a4cb7a..6d73262 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -89,6 +89,24 @@ def get_data_handling(self) -> tuple: return self.conf["save_data"], self.conf["save_data"] + def get_stop_condition(self) -> tuple: + """Information about tlu stop condition. + + Returns: + tuple: maximum trigger number and timeout in seconds. + """ + try: + max_number = int(self.conf["max_trigger_number"]) + self.log.info('Stop condition maximum triggers: %s' %max_number) + except: + max_number = None + try: + timeout = float(self.conf["timeout"]) + self.log.info('Stop condition timeout: %s s' %timeout) + except: + timeout = None + return max_number, timeout + def get_output_data_path(self) -> str: """Parses the output data path diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 76bb17b..14957ed 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -330,6 +330,13 @@ def handle_status(self) -> None: self.log_sent_status(current_time) # self.log_trigger_inputs(current_event) # self.log.warning(str(current_event)) + # Stops the TLU after some time in seconds. + if self.timeout != None: + if current_time > self.timeout: + self.stop_condition = True + if self.max_trigger != None: + if self.trigger_logic.get_post_veto_trigger() > self.max_trigger: + self.stop_condition = True def log_sent_status(self, time: int) -> None: """Logs the status of the TLU run with trigger number, runtime usw. @@ -423,9 +430,11 @@ def run(self) -> None: self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() self.last_particle_freq = self.trigger_logic.get_pre_veto_trigger() first_event = True + self.stop_condition = False # prepare data handling and zmq connection save_data, interpret_data = self.config_parser.get_data_handling() self.zmq_address = self.config_parser.get_zmq_connection() + self.max_trigger, self.timeout = self.config_parser.get_stop_condition() if save_data: self.path = self.config_parser.get_output_data_path() @@ -455,6 +464,11 @@ def run(self) -> None: try: if save_data and np.size(current_event) > 1: self.data_table.append(current_event) + # if t.do_run == False: + # run_active = False + # self.stop_run() + if self.stop_condition == True: + raise KeyboardInterrupt except: if KeyboardInterrupt: run_active = False @@ -471,9 +485,8 @@ def run(self) -> None: self.log_trigger_inputs(current_event[0:6]) first_event = False - # Stops the TLU after some time in seconds. - # if current_time*25/1000000000 > 600: - # run_active = False + + except: KeyboardInterrupt run_active = False From 5b6abc6ab000f230390f473783efbd17b311adfb Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 8 Aug 2024 14:41:17 +0200 Subject: [PATCH 62/68] ENH: better pytest routine --- aidatlu/test/hardware_test.py | 48 ++++++-- aidatlu/test/software_test.py | 17 ++- aidatlu/test/test.py | 223 ---------------------------------- 3 files changed, 49 insertions(+), 239 deletions(-) delete mode 100644 aidatlu/test/test.py diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index d71f087..c08d884 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -23,8 +23,8 @@ class Test_IOCControl: def test_ioexpander_led(self) -> None: self.ioexpander.all_off() - # self.ioexpander.test_leds(single = True) - # self.ioexpander.all_off() + self.ioexpander.test_leds(single = True) + self.ioexpander.all_off() time.sleep(1) self.ioexpander.all_on() time.sleep(2) @@ -128,7 +128,6 @@ def test_set_dut_ignore_busy(self): def test_set_dut_ignore_busy(self) -> None: self.dut.set_dut_ignore_shutter(0) - class Test_TriggerLogic: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") @@ -167,18 +166,45 @@ def test_set_pulse_delay_pack(self) -> None: time.sleep(1) self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) - -def test_tlu(): +def test_run(): uhal.setLogLevelTo(uhal.LogLevel.NOTICE) - manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") + manager = uhal.ConnectionManager("file://.././misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - clock_path = "../misc/aida_tlu_clk_config.txt" config_path = "../tlu_configuration.yaml" - + clock_path = "../misc/aida_tlu_clk_config.txt" tlu = AidaTLU(hw, config_path, clock_path) tlu.configure() - - tlu.get_device_id() - tlu.get_fw_version() + tlu.timeout = 5 + tlu.run() + +if __name__ == '__main__': + test_io = Test_IOCControl() + test_io.test_clock_lemo_output() + test_io.test_configure_hdmi() + test_io.test_ioexpander_led() + + test_dac = Test_DacControl() + test_dac.test_set_threshold() + test_dac.test_set_threshold() + + test_dut = Test_DUTLogic() + test_dut.test_set_dut_ignore_busy() + test_dut.test_set_dut_mask() + test_dut.test_set_dut_mask_mode() + test_dut.test_set_dut_mask_modifier() + + test_clock = Test_ClockControl() + test_clock.test_device_info() + test_clock.test_write_clock_register() + + test_trigger = Test_TriggerLogic() + test_trigger.test_set_internal_trigger_frequency() + test_trigger.test_set_pulse_delay_pack() + test_trigger.test_set_pulse_stretch_pack() + test_trigger.test_set_trigger_mask() + test_trigger.test_set_trigger_polarity() + test_trigger.test_set_trigger_veto() + + test_run = test_run() \ No newline at end of file diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index e83f4d0..19bf0d7 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -1,8 +1,7 @@ -import yaml import numpy as np import tables as tb from aidatlu.main.data_parser import DataParser - +from aidatlu.main.config_parser import TLUConfigure def test_data_parser(): data_parser = DataParser() @@ -47,8 +46,16 @@ def test_interpreted_data(): assert np.array_equal(interpreted_data, interpreted_test_data) assert (interpreted_data == interpreted_test_data).all() - def test_load_config(): config_path = "../tlu_configuration.yaml" - with open(config_path, "r") as file: - conf = yaml.full_load(file) + config_parser = TLUConfigure(TLU=None, io_control=None, config_path=config_path) + _ = config_parser.get_configuration_table() + _ = config_parser.get_data_handling() + _ = config_parser.get_output_data_path() + _ = config_parser.get_stop_condition() + _ = config_parser.get_zmq_connection() + +if __name__ == '__main__': + test_data_parser() + test_interpreted_data() + test_load_config() \ No newline at end of file diff --git a/aidatlu/test/test.py b/aidatlu/test/test.py deleted file mode 100644 index 1a492cc..0000000 --- a/aidatlu/test/test.py +++ /dev/null @@ -1,223 +0,0 @@ -from aidatlu.main.tlu import AidaTLU -from aidatlu.hardware.i2c import I2CCore -from aidatlu.hardware.utils import _set_bit -from aidatlu.hardware.ioexpander_controller import IOControl -from aidatlu.hardware.dac_controller import DacControl -from aidatlu.hardware.clock_controller import ClockControl -from aidatlu.hardware.dut_controller import DUTLogic -from aidatlu.hardware.trigger_controller import TriggerLogic - -import time -import numpy as np -import uhal -from aidatlu import logger - - -class Test_IOCControl(object): - def __init__(self, i2c: I2CCore) -> None: - self.i2c = i2c - self.ioexpander = IOControl(i2c) - - def test_ioexpander(self): - log.info("Testing IO Expander") - self.test_clock_lemo_output() - self.test_configure_hdmi() - self.test_ioexpander_led() - log.success("IO Expander tested") - - def test_ioexpander_led(self) -> None: - self.ioexpander.all_off() - self.ioexpander.test_leds(single=True) - self.ioexpander.all_off() - time.sleep(1) - self.ioexpander.all_on() - time.sleep(2) - self.ioexpander.all_off() - - def test_configure_hdmi(self) -> None: - for i in range(4): - self.ioexpander.configure_hdmi(i + 1, "1111") - self.ioexpander.clock_hdmi_output(i + 1, "chip") - time.sleep(1) - self.ioexpander.configure_hdmi(i + 1, "0000") - self.ioexpander.clock_hdmi_output(i + 1, "off") - - def test_clock_lemo_output(self): - self.ioexpander.clock_lemo_output(True) - time.sleep(1) - self.ioexpander.clock_lemo_output(False) - - -class Test_DacControl(object): - def __init__(self, i2c: I2CCore) -> None: - self.i2c = i2c - self.dac_true = DacControl(i2c, True) - self.dac_false = DacControl(i2c, False) - - def test_dac(self): - log.info("Testing DAC") - self.test_set_threshold() - self.test_set_voltage() - log.success("DAC tested") - - def test_set_threshold(self) -> None: - for i in range(7): - for volts in np.arange(-1.3, 1.3, 0.2): - self.dac_true.set_threshold(i + 1, volts) - time.sleep(0.2) - self.dac_true.set_threshold(i + 1, 0) - time.sleep(0.5) - for i in range(7): - for volts in np.arange(-1.3, 1.3, 0.2): - self.dac_false.set_threshold(i + 1, volts) - time.sleep(0.2) - self.dac_false.set_threshold(i + 1, 0) - - def test_set_voltage(self) -> None: - for i in range(4): - for volts in np.arange(0, 1, 0.1): - self.dac_true.set_voltage(i + 1, volts) - time.sleep(0.2) - self.dac_true.set_all_voltage(0) - - -class Test_ClockControl(object): - def __init__(self, i2c: I2CCore) -> None: - self.i2c = i2c - self.ioexpander = IOControl(i2c) - self.clock = ClockControl(i2c, self.ioexpander) - - def test_clock(self): - log.info("Testing Clock Chip") - - clock.test_device_info() - clock.test_write_clock_register() - log.success("Clock Chip tested") - - def test_device_info(self) -> None: - self.clock.log.info("Device Version: %i" % self.clock.get_device_version()) - self.clock.log.info("Design ID: %s" % self.clock.check_design_id()) - - def test_write_clock_register(self): - self.clock.write_clock_conf("misc/aida_tlu_clk_config.txt") - - -class Test_DUTLogic(object): - def __init__(self, i2c: I2CCore) -> None: - self.i2c = i2c - self.dut = DUTLogic(i2c) - - def test_dut(self): - log.info("Testing DUT Logic") - time.sleep(1) - self.test_set_dut_ignore_busy() - self.test_set_dut_mask() - self.test_set_dut_mask_mode() - self.test_set_dut_mask_modifier() - log.success("DUT Logic tested") - - def test_set_dut_mask(self) -> None: - self.dut.set_dut_mask("1111") - time.sleep(1) - self.dut.set_dut_mask("0000") - - def test_set_dut_mask_mode(self): - self.dut.set_dut_mask_mode("00000000") - time.sleep(1) - self.dut.set_dut_mask_mode("11111111") - time.sleep(1) - self.dut.set_dut_mask_mode("01010101") - - def test_set_dut_mask_modifier(self) -> None: - # TODO What input here? - self.dut.set_dut_mask_mode_modifier(1) - time.sleep(1) - self.dut.set_dut_mask_mode_modifier(0) - - def test_set_dut_ignore_busy(self): - self.dut.set_dut_ignore_busy("1111") - time.sleep(1) - self.dut.set_dut_ignore_busy("0000") - - def test_set_dut_ignore_busy(self) -> None: - self.dut.set_dut_ignore_shutter(0) - - -class Test_TriggerLogic(object): - def __init__(self, i2c: I2CCore) -> None: - self.i2c = i2c - self.trigger = TriggerLogic(i2c) - - def test_trigger(self): - log.info("Testing Trigger Logic") - self.test_set_internal_trigger_frequency() - self.test_set_pulse_delay_pack() - self.test_set_pulse_stretch_pack() - self.test_set_trigger_mask() - self.test_set_trigger_polarity() - self.test_set_trigger_veto() - log.success("Trigger Logic tested") - - def test_set_internal_trigger_frequency(self) -> None: - self.trigger.set_internal_trigger_frequency(0) - - def test_set_trigger_veto(self) -> None: - self.trigger.set_trigger_veto(True) - time.sleep(1) - self.trigger.set_trigger_veto(False) - - def test_set_trigger_polarity(self): - self.trigger.set_trigger_polarity(1) - time.sleep(1) - self.trigger.set_trigger_polarity(0) - - def test_set_trigger_mask(self): - self.trigger.set_trigger_mask(0b0, 0b1) - time.sleep(1) - self.trigger.set_trigger_mask(0b0, 0b0) - - def test_set_pulse_stretch_pack(self) -> None: - self.trigger.set_pulse_stretch_pack([1, 1, 1, 1, 1, 1]) - time.sleep(1) - self.trigger.set_pulse_stretch_pack([2, 2, 2, 2, 2, 2]) - - def test_set_pulse_delay_pack(self) -> None: - self.trigger.set_pulse_delay_pack([0, 0, 0, 0, 0, 0]) - time.sleep(1) - self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) - - -if __name__ == "__main__": - uhal.setLogLevelTo(uhal.LogLevel.NOTICE) - manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") - hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - - log = logger.setup_main_logger("Test AidaTLU", logging.DEBUG) - - log.info("Init I2C Core") - i2c = I2CCore(hw) - i2c.init() - - expander = Test_IOCControl(i2c) - expander.test_ioexpander() - - dac = Test_DacControl(i2c) - dac.test_dac() - - clock = Test_ClockControl(i2c) - clock.test_clock() - - dut = Test_DUTLogic(i2c) - dut.test_dut() - - trigger = Test_TriggerLogic(i2c) - trigger.test_trigger() - - log.info("Testing TLU") - tlu = AidaTLU(hw) - log.info("TLU Device ID: %s" % tlu.get_device_id()) - log.info("TLU FW Version: %s" % tlu.get_fw_version()) - log.success("TLU ID found") - tlu.test_configuration() - tlu.default_configuration() - log.success("TLU Test Configured") From cdbab797f4fe5a1b6dc20776f0290e3533f3cf12 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 21 Aug 2024 17:16:18 +0200 Subject: [PATCH 63/68] BUG: Fixed bug in data parser chunking + bug in pytest --- aidatlu/main/data_parser.py | 23 +++++---- aidatlu/test/hardware_test.py | 3 +- aidatlu/test/tlu_test_configuration.yaml | 59 ++++++++++++++++++++++++ 3 files changed, 71 insertions(+), 14 deletions(-) create mode 100644 aidatlu/test/tlu_test_configuration.yaml diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 3bf398b..162e4ef 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -5,7 +5,7 @@ from tqdm import tqdm class DataParser(object): - def __init__(self, chunk_size: int = 2000000) -> None: + def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) self.features = np.dtype( [ @@ -28,9 +28,8 @@ def __init__(self, chunk_size: int = 2000000) -> None: ] ) self.raw_features = np.dtype([("raw", "u4")]) - self.chunk_size = chunk_size*6 - def interpret_data(self, filepath_in: str, filepath_out: str) -> None: + def interpret_data(self, filepath_in: str, filepath_out: str, chunk_size: int = 2000000) -> None: """Interprets raw tlu data. The data is interpreted in chunksizes. The data is parsed form filepath_in to filepath_out. An event consists of six consecutive raw data entries tha last entry should be a 0. @@ -41,24 +40,25 @@ def interpret_data(self, filepath_in: str, filepath_out: str) -> None: filepath_out (str): output path of the interpreted data """ self.log.info('Interpreting Data') - self.chunk_offset = 0 + chunk_size = chunk_size*6 with tb.open_file(filepath_in, "r") as file: n_words = file.root.raw_data.shape[0] self.conf = np.array(file.root.conf[:]) + if n_words == 0: self.log.warning('Data is empty. Skip analysis!') return - + with tb.open_file(filepath_out, mode="w", title="TLU_interpreted") as h5_file: data_table = self._create_table( h5_file, name="interpreted_data", title="data", dtype=self.features ) - # pbar = tqdm(total=int(n_words/self.chunk_size), unit=' Chunks', unit_scale=True) - for chunk in tqdm(range(0, n_words, self.chunk_size)): - stop = self.chunk_offset+self.chunk_size - if chunk + self.chunk_size > n_words: + for chunk in tqdm(range(0, n_words, chunk_size)): + chunk_offset = chunk + stop = chunk_offset+chunk_size + if chunk + chunk_size > n_words: stop = n_words - table = file.root.raw_data[self.chunk_offset:stop] + table = file.root.raw_data[chunk_offset:stop] raw_data = np.array(table[:], dtype=self.raw_features) data = self._transform_data( raw_data["raw"][::6], @@ -69,7 +69,7 @@ def interpret_data(self, filepath_in: str, filepath_out: str) -> None: raw_data["raw"][5::6], ) data_table.append(data) - self.chunk_offset = chunk + config = np.dtype( [ ("attribute", "S32"), @@ -92,7 +92,6 @@ def _create_table(self, out_file, name, title, dtype): name=name, description=dtype, title=title, - # expectedrows=self.chunk_size, filters=tb.Filters(complib="blosc", complevel=5, fletcher32=False), ) diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index c08d884..f21fc51 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -171,12 +171,11 @@ def test_run(): manager = uhal.ConnectionManager("file://.././misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - config_path = "../tlu_configuration.yaml" + config_path = "tlu_test_configuration.yaml" clock_path = "../misc/aida_tlu_clk_config.txt" tlu = AidaTLU(hw, config_path, clock_path) tlu.configure() - tlu.timeout = 5 tlu.run() if __name__ == '__main__': diff --git a/aidatlu/test/tlu_test_configuration.yaml b/aidatlu/test/tlu_test_configuration.yaml new file mode 100644 index 0000000..a5ebece --- /dev/null +++ b/aidatlu/test/tlu_test_configuration.yaml @@ -0,0 +1,59 @@ +################################################ +# +# This configuration is only used during tests +# +############################################### + + +internal_trigger: #Generate TLU internal trigger with given rate in Hz + internal_trigger_rate: 100000 + +dut_module: + dut_1: + mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' + dut_2: + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + dut_3: + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + dut_4: + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + +trigger_inputs: #threshold voltages for the trigger inputs in V. + threshold: + threshold_1: -0.1 + threshold_2: -0.1 + threshold_3: -0.1 + threshold_4: -0.1 + threshold_5: -0.1 + threshold_6: -0.1 + + # Trigger Logic configuration accept a python expression for the trigger inputs. + # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5' and 'CH6' + # and the Python bitwise operators AND: '&', OR: '|', NOT: '~' and so on. Dont forget to use brackets... + trigger_inputs_logic: CH1 + + trigger_polarity: #TLU triggers on rising (0) or falling (1) edge + polarity: 1 + + trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, + stretch: [2, 2, 2, 2, 2, 2] + delay: [0, 0, 0, 0, 0, 0] + +clock_lemo: + enable_clock_lemo_output: True + +pmt_control: + #PMT control voltages in V + pmt_1: 0.8 + pmt_2: 0.8 + pmt_3: 0 + pmt_4: 0 + +#Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. +save_data: True +output_data_path: 'test_output_data/' + +#zmq connection leave it blank or set to 'off' if not needed +zmq_connection: 'off' #"tcp://:7500" + +timeout: 5 \ No newline at end of file From 3daefead969a46a03721aa8ecc166b74d4584607 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 30 Aug 2024 18:37:30 +0200 Subject: [PATCH 64/68] REL: notes for better code verbosity + doc --- aidatlu/aidatlu_run.py | 12 +++--- aidatlu/hardware/clock_controller.py | 16 ++++---- aidatlu/hardware/dac_controller.py | 33 +++++++-------- aidatlu/hardware/ioexpander_controller.py | 11 +++-- aidatlu/main/config_parser.py | 4 +- aidatlu/main/data_parser.py | 49 +++++++++++++---------- aidatlu/main/tlu.py | 8 ++-- aidatlu/test/hardware_test.py | 9 +++-- aidatlu/test/software_test.py | 7 +++- 9 files changed, 78 insertions(+), 71 deletions(-) diff --git a/aidatlu/aidatlu_run.py b/aidatlu/aidatlu_run.py index 3d2db6d..97800f7 100644 --- a/aidatlu/aidatlu_run.py +++ b/aidatlu/aidatlu_run.py @@ -12,12 +12,12 @@ def __init__(self, config_path, clock_path): print(" ---------------------------------------") print("tlu.help()\n") - self.cfile = config_path - self.clock = clock_path - self.rdy = False + self.config_file = config_path + self.clock_file = clock_path + self.ready = False def run(self): - if self.rdy == False: + if not self.ready: print("TLU not configured, Run aborted") else: self.aidatlu.run() @@ -26,12 +26,12 @@ def stop(self): self.aidatlu.stop_run() def configure(self): - self.rdy = True + self.ready = True self.init() self.aidatlu.configure() def init(self): - self.aidatlu = AidaTLU(hw, self.cfile, self.clock) + self.aidatlu = AidaTLU(hw, self.config_file, self.clock_file) def help(self): print("tlu.configure()") diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index e53fdc7..a4efa52 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -2,14 +2,12 @@ from aidatlu.hardware.ioexpander_controller import IOControl from aidatlu import logger -""" - -Si5344 - -""" - class ClockControl(object): + """The control class for the Si5344 clock chip. + Main purpose is to read/write the clock configuration file to the chip. + """ + def __init__(self, i2c: I2CCore, io_control: IOControl) -> None: self.log = logger.setup_derived_logger("Clock Controller") self.log.info("Initializing Clock Chip") @@ -41,13 +39,13 @@ def check_design_id(self, hex_str: bool = False) -> list: list: List of the design ID contains 8 integers or hex strings. """ reg_address = 0x026B - numb_words = 8 + n_words = 8 words = [] - for _ in range(numb_words): + for _ in range(n_words): words.append(self.read_clock_register(reg_address)) reg_address += 1 if hex_str: - words = [hex(words[i]) for i in range(numb_words)] + words = [hex(words[i]) for i in range(n_words)] return words def read_clock_register(self, address: int) -> int: diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 60691fe..ee05402 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -1,14 +1,13 @@ from aidatlu.hardware.i2c import I2CCore from aidatlu import logger -""" - -AD5665R - -""" - class DacControl(object): + """Control class for the three AD5665R. One controls the PMT control power (pwr_dac). + Two set the trigger input thresholds (dac_1, dac_2). + Each AD5665R has four parallel outputs. + """ + def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self.log = logger.setup_derived_logger("Voltage Controller") @@ -20,12 +19,12 @@ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: self._set_dac_reference(int_ref, 2) def set_threshold( - self, trigger_input: int, threshold_voltage: float, ref_v: float = 1.3 + self, trigger_channel: int, threshold_voltage: float, ref_v: float = 1.3 ) -> None: """Sets the Threshold voltage for the trigger input channel. Use channel = 7 to set threshold for all channels. Args: - trigger_input (int): Trigger input channel. From 1 to 7, where 7 controlls all input channels. + trigger_channel (int): Trigger input channel. From 1 to 7, where 7 controlls all input channels. threshold_voltage (float): Threshold voltage in volt. ref_v (float): Reference voltage of the DAC. Defaults to the external reference voltage 1.3 V. """ @@ -42,18 +41,17 @@ def set_threshold( % (-ref_v, -ref_v) ) threshold_voltage = -ref_v - if trigger_input != 7: - if trigger_input < 1 or trigger_input > 6: - raise ValueError( - "Invalid trigger input channel. Channel has to be between 1 and 6. Or use channel = 7 for all channels." - ) + if trigger_channel < 1 or trigger_channel > 7: + raise ValueError( + "Invalid trigger input channel. Channel has to be between 1 and 6. Or use channel = 7 for all channels." + ) - channel = trigger_input - 1 # shift channel number by 1 + channel = trigger_channel - 1 # shift channel number by 1 # calculates the DAC value for the threshold DAC v_dac = (threshold_voltage + ref_v) / 2 dac_value = int(0xFFFF * v_dac / ref_v) - # Sets threshold for the different channels. The different handling of the channels come from the weird connections of the ADC. + # Sets threshold for the different channels. The different handling of the channels comes from the weird connections of the ADC. if channel == 6: self._set_dac_value(channel + 1, dac_value, 1) self._set_dac_value(channel + 1, dac_value, 2) @@ -64,7 +62,7 @@ def set_threshold( if channel > 1 and channel < 6: self._set_dac_value(3 - (channel - 2), dac_value, 2) self.log.info( - "Threshold of input %s set to %s V" % (trigger_input, threshold_voltage) + "Threshold of input %s set to %s V" % (trigger_channel, threshold_voltage) ) def set_all_voltage(self, voltage: float) -> None: @@ -120,7 +118,7 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: internal (bool, optional): Defaults to False. dac (int): 0 is the power dac, 1 and 2 are DAC 1 and DAC 2 for the thresholds. Defaults to 0. """ - # There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger!! + # There is a factor 2 in the output voltage between internal and external DAC reference. In general internal reference is a factor of 2 larger! if internal: chr = [0x00, 0x01] else: @@ -132,7 +130,6 @@ def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: self.i2c.write_array(self.i2c.modules["dac_1"], 0x38, chr) if dac == 2: self.i2c.write_array(self.i2c.modules["dac_2"], 0x38, chr) - # self.i2c.write_array(self.i2c.modules["pwr_dac"], 0x38, chr) self.log.info( "Set %s DAC reference of DAC %s" % (("internal" if internal else "external"), dac) diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 81ba0d9..06ecbf4 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -3,14 +3,13 @@ from aidatlu.hardware.utils import _set_bit import time -""" - -PCA9539PW - -""" - class IOControl(object): + """Main class for the control of the IO expander PCA9539PW. + Four I/O expanders are in use, two for the 11 front panel LEDs. and two + for the HDMI DUT interfaces. + """ + def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("IO Expander") diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 6d73262..4e9e5e3 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -97,12 +97,12 @@ def get_stop_condition(self) -> tuple: """ try: max_number = int(self.conf["max_trigger_number"]) - self.log.info('Stop condition maximum triggers: %s' %max_number) + self.log.info("Stop condition maximum triggers: %s" % max_number) except: max_number = None try: timeout = float(self.conf["timeout"]) - self.log.info('Stop condition timeout: %s s' %timeout) + self.log.info("Stop condition timeout: %s s" % timeout) except: timeout = None return max_number, timeout diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 162e4ef..6e9a628 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -4,6 +4,7 @@ import logging from tqdm import tqdm + class DataParser(object): def __init__(self) -> None: self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) @@ -29,7 +30,9 @@ def __init__(self) -> None: ) self.raw_features = np.dtype([("raw", "u4")]) - def interpret_data(self, filepath_in: str, filepath_out: str, chunk_size: int = 2000000) -> None: + def interpret_data( + self, filepath_in: str, filepath_out: str, chunk_size: int = 2000000 + ) -> None: """Interprets raw tlu data. The data is interpreted in chunksizes. The data is parsed form filepath_in to filepath_out. An event consists of six consecutive raw data entries tha last entry should be a 0. @@ -39,42 +42,45 @@ def interpret_data(self, filepath_in: str, filepath_out: str, chunk_size: int = filepath_in (str): raw data file path filepath_out (str): output path of the interpreted data """ - self.log.info('Interpreting Data') - chunk_size = chunk_size*6 + self.log.info("Interpreting Data") + chunk_size = chunk_size * 6 with tb.open_file(filepath_in, "r") as file: n_words = file.root.raw_data.shape[0] self.conf = np.array(file.root.conf[:]) if n_words == 0: - self.log.warning('Data is empty. Skip analysis!') + self.log.warning("Data is empty. Skip analysis!") return - - with tb.open_file(filepath_out, mode="w", title="TLU_interpreted") as h5_file: + + with tb.open_file( + filepath_out, mode="w", title="TLU_interpreted" + ) as h5_file: data_table = self._create_table( - h5_file, name="interpreted_data", title="data", dtype=self.features + h5_file, name="interpreted_data", title="data", dtype=self.features ) for chunk in tqdm(range(0, n_words, chunk_size)): chunk_offset = chunk - stop = chunk_offset+chunk_size + stop = chunk_offset + chunk_size if chunk + chunk_size > n_words: stop = n_words table = file.root.raw_data[chunk_offset:stop] raw_data = np.array(table[:], dtype=self.raw_features) data = self._transform_data( - raw_data["raw"][::6], - raw_data["raw"][1::6], - raw_data["raw"][2::6], - raw_data["raw"][3::6], - raw_data["raw"][4::6], - raw_data["raw"][5::6], + raw_data["raw"][::6], + raw_data["raw"][1::6], + raw_data["raw"][2::6], + raw_data["raw"][3::6], + raw_data["raw"][4::6], + raw_data["raw"][5::6], ) data_table.append(data) - + config = np.dtype( - [ - ("attribute", "S32"), - ("value", "S32"), - ]) + [ + ("attribute", "S32"), + ("value", "S32"), + ] + ) config_table = h5_file.create_table( h5_file.root, name="conf", @@ -205,9 +211,10 @@ def _write_data(self, filepath: str, data: np.array) -> None: ) config_table.append(self.conf) + if __name__ == "__main__": - path_in = '../tlu_data/tlu_raw' + '.h5' - path_out = '../tlu_data/tlu_interpreted' + '.h5' + path_in = "../tlu_data/tlu_raw" + ".h5" + path_out = "../tlu_data/tlu_interpreted" + ".h5" data_parser = DataParser() diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 14957ed..d274bcd 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -330,7 +330,7 @@ def handle_status(self) -> None: self.log_sent_status(current_time) # self.log_trigger_inputs(current_event) # self.log.warning(str(current_event)) - # Stops the TLU after some time in seconds. + # Stops the TLU after some time in seconds. if self.timeout != None: if current_time > self.timeout: self.stop_condition = True @@ -485,8 +485,6 @@ def run(self) -> None: self.log_trigger_inputs(current_event[0:6]) first_event = False - - except: KeyboardInterrupt run_active = False @@ -508,7 +506,9 @@ def run(self) -> None: self.h5_file.close() if interpret_data: try: - self.data_parser.interpret_data(self.raw_data_path, self.interpreted_data_path) + self.data_parser.interpret_data( + self.raw_data_path, self.interpreted_data_path + ) except: self.log.warning("Cannot interpret data.") self.log.success("Run finished") diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index f21fc51..7877583 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -23,7 +23,7 @@ class Test_IOCControl: def test_ioexpander_led(self) -> None: self.ioexpander.all_off() - self.ioexpander.test_leds(single = True) + self.ioexpander.test_leds(single=True) self.ioexpander.all_off() time.sleep(1) self.ioexpander.all_on() @@ -128,6 +128,7 @@ def test_set_dut_ignore_busy(self): def test_set_dut_ignore_busy(self) -> None: self.dut.set_dut_ignore_shutter(0) + class Test_TriggerLogic: uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") @@ -166,6 +167,7 @@ def test_set_pulse_delay_pack(self) -> None: time.sleep(1) self.trigger.set_pulse_delay_pack([1, 1, 1, 1, 1, 1]) + def test_run(): uhal.setLogLevelTo(uhal.LogLevel.NOTICE) manager = uhal.ConnectionManager("file://.././misc/aida_tlu_connection.xml") @@ -178,7 +180,8 @@ def test_run(): tlu.configure() tlu.run() -if __name__ == '__main__': + +if __name__ == "__main__": test_io = Test_IOCControl() test_io.test_clock_lemo_output() test_io.test_configure_hdmi() @@ -206,4 +209,4 @@ def test_run(): test_trigger.test_set_trigger_polarity() test_trigger.test_set_trigger_veto() - test_run = test_run() \ No newline at end of file + test_run = test_run() diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 19bf0d7..6a2cec3 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -3,6 +3,7 @@ from aidatlu.main.data_parser import DataParser from aidatlu.main.config_parser import TLUConfigure + def test_data_parser(): data_parser = DataParser() data_parser.interpret_data("raw_data_test.h5", "interpreted_data_test.h5") @@ -46,6 +47,7 @@ def test_interpreted_data(): assert np.array_equal(interpreted_data, interpreted_test_data) assert (interpreted_data == interpreted_test_data).all() + def test_load_config(): config_path = "../tlu_configuration.yaml" config_parser = TLUConfigure(TLU=None, io_control=None, config_path=config_path) @@ -55,7 +57,8 @@ def test_load_config(): _ = config_parser.get_stop_condition() _ = config_parser.get_zmq_connection() -if __name__ == '__main__': + +if __name__ == "__main__": test_data_parser() test_interpreted_data() - test_load_config() \ No newline at end of file + test_load_config() From 5dfed1f21e0980323c2f2e4491a0658effdd1a83 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 2 Sep 2024 10:21:36 +0200 Subject: [PATCH 65/68] REL: set all PMT channels is now included in set_voltage --- aidatlu/hardware/dac_controller.py | 33 ++++++++++++++++-------------- aidatlu/main/tlu.py | 2 +- aidatlu/test/hardware_test.py | 2 +- 3 files changed, 20 insertions(+), 17 deletions(-) diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index ee05402..31b4834 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -65,25 +65,16 @@ def set_threshold( "Threshold of input %s set to %s V" % (trigger_channel, threshold_voltage) ) - def set_all_voltage(self, voltage: float) -> None: - """Sets the same Voltage for all PMT DACs. - - Args: - voltage (float): DAC voltage in volts. - """ - for channel in range(4): - self.set_voltage(channel + 1, voltage) - def set_voltage(self, pmt_channel: int, voltage: float) -> None: """Sets given PMT DAC to given output voltage. Args: - pmt_channel (int): DAC channel for the PMT from 1 to 4. + pmt_channel (int): DAC channel for the PMT from 1 to 5, where channel 5 sets the voltage of all PMT channels. voltage (float): DAC output voltage """ - if pmt_channel < 1 or pmt_channel > 4: - raise ValueError("PMT Channel has to be between 1 and 4") + if pmt_channel < 1 or pmt_channel > 5: + raise ValueError("PMT Channel has to be between 1 and 5") if voltage < 0: self.log.warn( @@ -107,9 +98,21 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: if pmt_channel == 4: channel_map = 2 - # 0xFFFF is max DAC value - self._set_dac_value(channel_map, int(voltage * 0xFFFF)) - self.log.info("PMT channel %s set to %s V" % (pmt_channel, voltage)) + if pmt_channel == 5: + self._set_all_voltage(voltage) + else: + # 0xFFFF is max DAC value + self._set_dac_value(channel_map, int(voltage * 0xFFFF)) + self.log.info("PMT channel %s set to %s V" % (pmt_channel, voltage)) + + def _set_all_voltage(self, voltage: float) -> None: + """Sets the same Voltage for all PMT DACs. + + Args: + voltage (float): DAC voltage in volts. + """ + for channel in range(4): + self.set_voltage(channel + 1, voltage) def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: """Choose internal or external DAC reference diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index d274bcd..56978e9 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -59,7 +59,7 @@ def reset_configuration(self) -> None: self.io_controller.clock_lemo_output(False) for i in range(4): self.io_controller.configure_hdmi(i + 1, 1) - self.dac_controller.set_all_voltage(0) + self.dac_controller.set_voltage(5, 0) self.io_controller.all_off() # sets all thresholds to 1.2 V for i in range(6): diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index 7877583..f0015be 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -72,7 +72,7 @@ def test_set_voltage(self) -> None: for volts in np.arange(0, 1, 0.5): self.dac_true.set_voltage(i + 1, volts) time.sleep(0.2) - self.dac_true.set_all_voltage(0) + self.dac_true.set_voltage(5, 0) class Test_ClockControl: From 5522cbab2f8cd51d9aaa56cefe66c2140fdf4d5d Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 2 Sep 2024 17:33:23 +0200 Subject: [PATCH 66/68] REL: started log levels + removed some reduntant lines --- aidatlu/hardware/trigger_controller.py | 44 ++++++++++++-------------- aidatlu/hardware/utils.py | 4 +-- aidatlu/main/config_parser.py | 4 +-- aidatlu/main/data_parser.py | 3 +- aidatlu/main/tlu.py | 20 +++++------- 5 files changed, 34 insertions(+), 41 deletions(-) diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 922efe3..67c98f3 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -8,11 +8,7 @@ def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Trigger Controller") self.i2c = i2c - """ - - Internal Trigger Generation - - """ + """ Internal Trigger Generation """ def set_internal_trigger_frequency(self, frequency: int) -> None: """Sets the internal trigger frequency. @@ -27,12 +23,12 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: if frequency < 0: raise ValueError("Frequency smaller 0 does not work") if frequency > max_freq: - raise ValueError("Frequency larger 160MHz not allowed") + raise ValueError("Frequency larger %s Hz not supported" %max_freq) if frequency == 0: interval = frequency else: interval = int( - 160000000 / frequency + max_freq / frequency ) # TODO here is a rounding error that comes from the interval calculations at ~10kHz. self._set_internal_trigger_interval(interval) new_freq = self.get_internal_trigger_frequency() @@ -48,12 +44,13 @@ def get_internal_trigger_frequency(self) -> int: Returns: int: Frequency in Hz """ + max_freq = 160000000 interval = self.i2c.read_register("triggerLogic.InternalTriggerIntervalR") if interval == 0: freq = 0 else: freq = int( - 160000000 / interval + max_freq / interval ) # TODO here is prob. a rounding error I should use a round function this would prob. prevent the warning at ~10kHz. return freq @@ -66,11 +63,7 @@ def _set_internal_trigger_interval(self, interval: int) -> None: """ self.i2c.write_register("triggerLogic.InternalTriggerIntervalW", interval) - """ - - Trigger Logic - - """ + """ Trigger Logic """ def set_trigger_veto(self, veto: bool) -> None: """Enables or disables new trigger. This can be used to reset the procession of new triggers. @@ -78,7 +71,7 @@ def set_trigger_veto(self, veto: bool) -> None: veto (bool): Sets a veto to the trigger logic of the tlu. """ if type(veto) != bool: - raise TypeError("Veto must be a bool") + raise TypeError("Veto must be type bool") self.i2c.write_register("triggerLogic.TriggerVetoW", int(veto)) self.log.info("Trigger Veto set to: %s" % self.get_trigger_veto()) @@ -94,9 +87,8 @@ def set_trigger_polarity(self, value: int) -> int: self.i2c.write_register("triggerInputs.InvertEdgeW", trigger_polarity) self.log.info( "Trigger on %s edge" % ("falling" if value == 1 else "rising") - ) # TODO NOT TESTED + ) - # def set_trigger_mask(self, value: int) -> None: def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. @@ -104,8 +96,6 @@ def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: mask_high (int): The most significant 32-bit word generated from the trigger configuration. mask_low (int): The least significant 32-bit word generated from the trigger configuration. """ - # mask_high = (value >> 32) & 0xFF - # mask_low = value & 0xFF self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) self.log.info("Trigger mask: %s" % self.get_trigger_mask()) @@ -129,12 +119,20 @@ def get_post_veto_trigger(self) -> int: def get_pre_veto_trigger(self) -> int: """Number of triggers recorded in the TLU before the veto is applied.""" return self.i2c.read_register("triggerLogic.PreVetoTriggersR") - - """ - - Trigger Pulse Length and Delay - """ + def set_trigger_mask_from_full_word(self, value: int) -> None: + """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. + + Args: + value (int): Sets trigger logic from trigger logic combination word. + """ + mask_high = (value >> 32) & 0xFF + mask_low = value & 0xFF + self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) + self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) + self.log.info("Trigger mask: %s" % self.get_trigger_mask()) + + """ Trigger Pulse Length and Delay """ def set_pulse_stretch_pack(self, vector: list) -> None: """Stretch word for trigger pulses. Each element of the input vector is stretched by N clock cycles. diff --git a/aidatlu/hardware/utils.py b/aidatlu/hardware/utils.py index bd7a094..88df13c 100644 --- a/aidatlu/hardware/utils.py +++ b/aidatlu/hardware/utils.py @@ -25,8 +25,8 @@ def _pack_bits(vector: list) -> int: Returns: int: 32-bit word representation of the input vector. """ - packed_bits = 0x0 - temp_int = 0x0 + packed_bits = 0 + temp_int = 0 for channel in range(len(vector)): temp_int = int(vector[channel]) << channel * 5 packed_bits = packed_bits | temp_int diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 4e9e5e3..1a91106 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -5,7 +5,7 @@ class TLUConfigure(object): def __init__(self, TLU, io_control, config_path) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) self.tlu = TLU self.io_control = io_control @@ -247,7 +247,7 @@ def conf_trigger_inputs(self) -> None: long_word = (valid << combination) | long_word mask_low, mask_high = self._mask_words(long_word) - self.log.info( + self.log.debug( "mask high: %s, mask low: %s" % (hex(mask_high), hex(mask_low)) ) self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 6e9a628..8d02e34 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -7,7 +7,7 @@ class DataParser(object): def __init__(self) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) self.features = np.dtype( [ ("eventnumber", "u4"), @@ -192,7 +192,6 @@ def _write_data(self, filepath: str, data: np.array) -> None: filepath (str): Path to the new .h5 file. data (table): raw data """ - # filter_data = tb.Filters(complib='blosc', complevel=5) config = np.dtype( [ ("attribute", "S32"), diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 56978e9..633e7f8 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -21,7 +21,7 @@ class AidaTLU(object): def __init__(self, hw, config_path, clock_config_path) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.DEBUG) + self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) self.i2c = I2CCore(hw) self.i2c_hw = hw @@ -43,7 +43,6 @@ def __init__(self, hw, config_path, clock_config_path) -> None: self.data_parser = DataParser() self.log.success("TLU initialized") - # if present, init display def configure(self) -> None: """loads the conf.yaml and configures the TLU accordingly.""" @@ -328,9 +327,7 @@ def handle_status(self) -> None: # Logs and poss. sends status every 1s. if current_time - self.last_time > 1: self.log_sent_status(current_time) - # self.log_trigger_inputs(current_event) - # self.log.warning(str(current_event)) - # Stops the TLU after some time in seconds. + # Stops the TLU after some time in seconds. if self.timeout != None: if current_time > self.timeout: self.stop_condition = True @@ -385,13 +382,12 @@ def log_sent_status(self, time: int) -> None: ) ) - # uncomment for debugging - # self.log.info('Scalar %i:%i:%i:%i:%i:%i' %(s0, s1, s2, s3, s4, s5)) - # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) - # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) - # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) - # self.log.info("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) - # self.log.info("time stamp: %s" %(self.get_timestamp())) + self.log.debug('Scalar %i:%i:%i:%i:%i:%i' %(s0, s1, s2, s3, s4, s5)) + self.log.debug('FIFO level: %s' %self.get_event_fifo_fill_level()) + self.log.debug('FIFO level 2: %s' %self.get_event_fifo_csr()) + self.log.debug("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) + self.log.debug("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) + self.log.debug("time stamp: %s" %(self.get_timestamp())) def log_trigger_inputs(self, event_vector: list) -> None: """Logs which inputs triggered the event corresponding to the event vector. From b9a2e06960f6e62e1bff31db5de235abf8ff12b0 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 16 Sep 2024 17:25:22 +0200 Subject: [PATCH 67/68] REL: small enhancements in codestyle and readability --- aidatlu/hardware/dac_controller.py | 6 +-- aidatlu/hardware/ioexpander_controller.py | 58 ++++++++++------------- aidatlu/hardware/trigger_controller.py | 8 ++-- aidatlu/main/tlu.py | 26 ++++++---- aidatlu/online_monitor/tlu_receiver.py | 10 ++-- aidatlu/test/software_test.py | 3 -- aidatlu/tlu_configuration.yaml | 12 ++--- setup.py | 2 +- 8 files changed, 58 insertions(+), 67 deletions(-) diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 31b4834..18400e3 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -105,14 +105,14 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: self._set_dac_value(channel_map, int(voltage * 0xFFFF)) self.log.info("PMT channel %s set to %s V" % (pmt_channel, voltage)) - def _set_all_voltage(self, voltage: float) -> None: + def _set_all_voltage(self, voltages: float) -> None: """Sets the same Voltage for all PMT DACs. Args: - voltage (float): DAC voltage in volts. + voltages (float): DAC voltages in volts. """ for channel in range(4): - self.set_voltage(channel + 1, voltage) + self.set_voltage(channel + 1, voltages) def _set_dac_reference(self, internal: bool = False, dac: int = 0) -> None: """Choose internal or external DAC reference diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 06ecbf4..24d3082 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -55,11 +55,7 @@ def init_output_expander(self) -> None: self._set_ioexpander_direction(2, exp_id=2, cmd_byte=7, direction="output") self._set_ioexpander_output(2, exp_id=2, cmd_byte=3, value=0xB0) - """ - - LED Control - - """ + """ LED Control """ def test_leds(self, single=True) -> None: """Test the 11 LEDs @@ -206,19 +202,18 @@ def _set_led(self, led_id: int, rgb: list) -> None: [1, 0, 19], ] - now_status = [] # status of all ioexpander now - next_status = [] # status of all ioexpander next - now_status.append(0xFF & self._get_ioexpander_output(1, 1, 2)) - now_status.append(0xFF & self._get_ioexpander_output(1, 1, 3)) - now_status.append(0xFF & self._get_ioexpander_output(1, 2, 2)) - now_status.append(0xFF & self._get_ioexpander_output(1, 2, 3)) + status_now = [] # status of all ioexpander now + status_next = [] # status of all ioexpander next + status_now.append(0xFF & self._get_ioexpander_output(1, 1, 2)) + status_now.append(0xFF & self._get_ioexpander_output(1, 1, 3)) + status_now.append(0xFF & self._get_ioexpander_output(1, 2, 2)) + status_now.append(0xFF & self._get_ioexpander_output(1, 2, 3)) word = 0x00000000 - word = word | now_status[0] - word = word | (now_status[1] << 8) - word = word | (now_status[2] << 16) - word = word | (now_status[3] << 24) - # print(word,"word for debugging") + word = word | status_now[0] + word = word | (status_now[1] << 8) + word = word | (status_now[2] << 16) + word = word | (status_now[3] << 24) for index in range(3): if ( @@ -229,29 +224,24 @@ def _set_led(self, led_id: int, rgb: list) -> None: else: word = _set_bit(word, indicator[led_id - 1][index], rgb[index]) - next_status.append(0xFF & word) - next_status.append(0xFF & (word >> 8)) - next_status.append(0xFF & (word >> 16)) - next_status.append(0xFF & (word >> 24)) - # print(next_status,"next_status of the ioexpander for debugging") + status_next.append(0xFF & word) + status_next.append(0xFF & (word >> 8)) + status_next.append(0xFF & (word >> 16)) + status_next.append(0xFF & (word >> 24)) - if now_status[0] != next_status[0]: - self._set_ioexpander_output(1, 1, 2, next_status[0]) + if status_now[0] != status_next[0]: + self._set_ioexpander_output(1, 1, 2, status_next[0]) - if now_status[1] != next_status[1]: - self._set_ioexpander_output(1, 1, 3, next_status[1]) + if status_now[1] != status_next[1]: + self._set_ioexpander_output(1, 1, 3, status_next[1]) - if now_status[2] != next_status[2]: - self._set_ioexpander_output(1, 2, 2, next_status[2]) + if status_now[2] != status_next[2]: + self._set_ioexpander_output(1, 2, 2, status_next[2]) - if now_status[3] != next_status[3]: - self._set_ioexpander_output(1, 2, 3, next_status[3]) + if status_now[3] != status_next[3]: + self._set_ioexpander_output(1, 2, 3, status_next[3]) - """ - - Output Control - - """ + """ Output Control """ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: """This enables the pins of one HDMI channel as input (0) or output (1). diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 67c98f3..9c95d2b 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -23,7 +23,7 @@ def set_internal_trigger_frequency(self, frequency: int) -> None: if frequency < 0: raise ValueError("Frequency smaller 0 does not work") if frequency > max_freq: - raise ValueError("Frequency larger %s Hz not supported" %max_freq) + raise ValueError("Frequency larger %s Hz not supported" % max_freq) if frequency == 0: interval = frequency else: @@ -85,9 +85,7 @@ def set_trigger_polarity(self, value: int) -> int: """ trigger_polarity = 0x3F & value self.i2c.write_register("triggerInputs.InvertEdgeW", trigger_polarity) - self.log.info( - "Trigger on %s edge" % ("falling" if value == 1 else "rising") - ) + self.log.info("Trigger on %s edge" % ("falling" if value == 1 else "rising")) def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. @@ -119,7 +117,7 @@ def get_post_veto_trigger(self) -> int: def get_pre_veto_trigger(self) -> int: """Number of triggers recorded in the TLU before the veto is applied.""" return self.i2c.read_register("triggerLogic.PreVetoTriggersR") - + def set_trigger_mask_from_full_word(self, value: int) -> None: """Sets the trigger logic. Each of the 64 possible combination is divided into two 32-bit words mask high and mask low. diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 633e7f8..8a7fc61 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -382,12 +382,21 @@ def log_sent_status(self, time: int) -> None: ) ) - self.log.debug('Scalar %i:%i:%i:%i:%i:%i' %(s0, s1, s2, s3, s4, s5)) - self.log.debug('FIFO level: %s' %self.get_event_fifo_fill_level()) - self.log.debug('FIFO level 2: %s' %self.get_event_fifo_csr()) - self.log.debug("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) - self.log.debug("post: %s pre: %s" %(self.trigger_logic.get_post_veto_trigger(),self.trigger_logic.get_pre_veto_trigger())) - self.log.debug("time stamp: %s" %(self.get_timestamp())) + self.log.debug("Scalar %i:%i:%i:%i:%i:%i" % (s0, s1, s2, s3, s4, s5)) + self.log.debug("FIFO level: %s" % self.get_event_fifo_fill_level()) + self.log.debug("FIFO level 2: %s" % self.get_event_fifo_csr()) + self.log.debug( + "fifo csr: %s fifo fill level: %s" + % (self.get_event_fifo_csr(), self.get_event_fifo_csr()) + ) + self.log.debug( + "post: %s pre: %s" + % ( + self.trigger_logic.get_post_veto_trigger(), + self.trigger_logic.get_pre_veto_trigger(), + ) + ) + self.log.debug("time stamp: %s" % (self.get_timestamp())) def log_trigger_inputs(self, event_vector: list) -> None: """Logs which inputs triggered the event corresponding to the event vector. @@ -460,9 +469,6 @@ def run(self) -> None: try: if save_data and np.size(current_event) > 1: self.data_table.append(current_event) - # if t.do_run == False: - # run_active = False - # self.stop_run() if self.stop_condition == True: raise KeyboardInterrupt except: @@ -474,7 +480,7 @@ def run(self) -> None: # If this happens: poss. Hitrate to high for FIFO and or Data handling. self.log.warning("Incomplete Event handling...") - # # This loop sents which inputs produced the trigger signal for the first event. + # This loop sents which inputs produced the trigger signal for the first event. if ( np.size(current_event) > 1 ) and first_event: # TODO only first event? diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py index afc7529..555a61c 100644 --- a/aidatlu/online_monitor/tlu_receiver.py +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -46,7 +46,7 @@ def setup_widgets(self, parent, name): self.reset_button.clicked.connect(lambda: self._reset()) - # # particle rate dock + # particle rate dock trigger_rate_graphics = pg.GraphicsLayoutWidget() trigger_rate_graphics.show() plot_trigger_rate = pg.PlotItem( @@ -55,7 +55,7 @@ def setup_widgets(self, parent, name): self.trigger_rate_acc_curve = pg.PlotCurveItem(pen="#B00B13") self.particle_rate_acc_curve = pg.PlotCurveItem(pen="#0000FF") - # # add legend + # add legend legend_acc = pg.LegendItem(offset=(80, 10)) legend_acc.setParentItem(plot_trigger_rate) legend_acc.addItem(self.trigger_rate_acc_curve, "Accepted Trigger Rate") @@ -63,7 +63,7 @@ def setup_widgets(self, parent, name): legend_real.setParentItem(plot_trigger_rate) legend_real.addItem(self.particle_rate_acc_curve, "Particle Rate") - # # add items to plots and customize plots viewboxes + # add items to plots and customize plots viewboxes plot_trigger_rate.addItem(self.trigger_rate_acc_curve) plot_trigger_rate.addItem(self.particle_rate_acc_curve) @@ -72,13 +72,13 @@ def setup_widgets(self, parent, name): plot_trigger_rate.getAxis("left").setZValue(0) plot_trigger_rate.getAxis("left").setGrid(155) - # # add plots to graphicslayout and layout to dock + # add plots to graphicslayout and layout to dock trigger_rate_graphics.addItem( plot_trigger_rate, row=0, col=1, rowspan=1, colspan=2 ) dock_rate.addWidget(trigger_rate_graphics) - # # add dict of all used plotcurveitems for individual handling of each plot + # add dict of all used plotcurveitems for individual handling of each plot self.plots = { "trigger_rate_acc": self.trigger_rate_acc_curve, "particle_rate_acc": self.particle_rate_acc_curve, diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 6a2cec3..14b42b6 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -42,10 +42,7 @@ def test_interpreted_data(): table = file.root.interpreted_data interpreted_test_data = np.array(table[:], dtype=features) - # numpy equal should do everything. But this could help for debugging. - assert np.array_equiv(interpreted_data, interpreted_test_data) assert np.array_equal(interpreted_data, interpreted_test_data) - assert (interpreted_data == interpreted_test_data).all() def test_load_config(): diff --git a/aidatlu/tlu_configuration.yaml b/aidatlu/tlu_configuration.yaml index 15d3b65..ce9e432 100644 --- a/aidatlu/tlu_configuration.yaml +++ b/aidatlu/tlu_configuration.yaml @@ -1,13 +1,13 @@ internal_trigger: #Generate TLU internal trigger with given rate in Hz - internal_trigger_rate: 0 + internal_trigger_rate: 10000 dut_module: dut_1: - mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: - mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'aida' # 'aida', 'aidatrig', 'eudet', 'any' dut_3: - mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' dut_4: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' @@ -23,7 +23,7 @@ trigger_inputs: #threshold voltages for the trigger inputs in V. # Trigger Logic configuration accept a python expression for the trigger inputs. # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5' and 'CH6' # and the Python bitwise operators AND: '&', OR: '|', NOT: '~' and so on. Dont forget to use brackets... - trigger_inputs_logic: CH1 + trigger_inputs_logic: CH2 & CH4 trigger_polarity: #TLU triggers on rising (0) or falling (1) edge polarity: 1 @@ -43,7 +43,7 @@ pmt_control: #Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. save_data: True -output_data_path: +output_data_path: '/media/data/ITK_DEBUG/tests_3/itk/chip_0/' #zmq connection leave it blank or set to 'off' if not needed zmq_connection: 'off' #"tcp://127.0.0.1:6500" diff --git a/setup.py b/setup.py index 537922c..d14e81a 100644 --- a/setup.py +++ b/setup.py @@ -2,7 +2,7 @@ from setuptools import find_packages author = 'Christian Bespin, Rasmus Partzsch' -author_email = 'bespin@physik.uni-bonn.de, s6rapart@uni-bonn.de' +author_email = 'bespin@physik.uni-bonn.de, rasmus.partzsch@uni-bonn.de' # Requirements install_requires = ['pytest', 'numpy', 'tables', 'coloredlogs', 'pyzmq', 'online_monitor', 'tqdm' From 3d1dd7be0e186c4d45c317acccf4df0a0a08b7bb Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 18 Sep 2024 17:40:33 +0200 Subject: [PATCH 68/68] REL: log levels + removed unwanted functions --- aidatlu/hardware/dac_controller.py | 14 +++---- aidatlu/hardware/dut_controller.py | 12 +++--- aidatlu/hardware/ioexpander_controller.py | 10 ++--- aidatlu/hardware/trigger_controller.py | 10 ++--- aidatlu/logger.py | 1 + aidatlu/main/config_parser.py | 2 +- aidatlu/main/data_parser.py | 2 +- aidatlu/main/tlu.py | 9 +--- docs/source/Documentation.rst | 4 ++ docs/source/conf.py | 50 +++++++++++------------ setup.py | 29 ++++++++----- 11 files changed, 72 insertions(+), 71 deletions(-) diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 18400e3..ec4f6aa 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -9,7 +9,7 @@ class DacControl(object): """ def __init__(self, i2c: I2CCore, int_ref: bool = False) -> None: - self.log = logger.setup_derived_logger("Voltage Controller") + self.log = logger.setup_derived_logger(__class__.__name__) self.log.info("Initializing DAC Control") self.i2c = i2c @@ -30,13 +30,13 @@ def set_threshold( """ if threshold_voltage > ref_v: - self.log.warn( + self.log.warning( "Threshold larger than %s V is not supported, Threshold will default to %s V " % (ref_v, ref_v) ) threshold_voltage = ref_v if threshold_voltage < -ref_v: - self.log.warn( + self.log.warning( "Threshold smaller than %s V is not supported, Threshold will default to %s V " % (-ref_v, -ref_v) ) @@ -77,13 +77,13 @@ def set_voltage(self, pmt_channel: int, voltage: float) -> None: raise ValueError("PMT Channel has to be between 1 and 5") if voltage < 0: - self.log.warn( + self.log.warning( "A Voltage value smaller than 0 is not supported, Voltage will default to 0" ) voltage = 0 if voltage > 1: - self.log.warn( + self.log.warning( "A Voltage value higher than 1 is not supported, Voltage will default to 1" ) voltage = 1 @@ -150,13 +150,13 @@ def _set_dac_value(self, channel: int, value: int, dac: int = 0) -> None: raise ValueError("Channel has to be between 0 and 7") if value < 0x0000: - self.log.warn( + self.log.warning( "DAC value < 0x0000 not supported, value will default to 0x0000" ) value = 0 if value > 0xFFFF: - self.log.warn( + self.log.warning( "DAC value > 0xFFFF not supported, value will default to 0xFFFF" ) value = 0xFFFF diff --git a/aidatlu/hardware/dut_controller.py b/aidatlu/hardware/dut_controller.py index 21b677f..5105509 100644 --- a/aidatlu/hardware/dut_controller.py +++ b/aidatlu/hardware/dut_controller.py @@ -4,7 +4,7 @@ class DUTLogic(object): def __init__(self, i2c: I2CCore): - self.log = logger.setup_derived_logger("DUT Logic") + self.log = logger.setup_derived_logger(__class__.__name__) self.i2c = i2c def set_dut_mask(self, enable: int | str) -> None: @@ -22,7 +22,7 @@ def set_dut_mask(self, enable: int | str) -> None: raise ValueError("Enable has to be between 0 and 15 ('1111')") self.i2c.write_register("DUTInterfaces.DUTMaskW", enable & 0xF) - self.log.info("DUT mask set to %s" % self.get_dut_mask()) + self.log.debug("DUT mask set to %s" % self.get_dut_mask()) def set_dut_mask_mode(self, mode: int | str) -> None: """Sets the DUT interface mode. Mode consits of one 8-bit WORD or more specific 4 2-bit WORDs. @@ -42,7 +42,7 @@ def set_dut_mask_mode(self, mode: int | str) -> None: raise ValueError("Mode has to be between 0 and 256 ('100000000').") self.i2c.write_register("DUTInterfaces.DUTInterfaceModeW", mode) - self.log.info("DUT mask mode is set to %s" % self.get_dut_mask_mode()) + self.log.debug("DUT mask mode is set to %s" % self.get_dut_mask_mode()) def set_dut_mask_mode_modifier(self, value: int) -> None: """#TODO Only affects the EUDET mode of operation, looks like some special EUDET configuration. @@ -51,7 +51,7 @@ def set_dut_mask_mode_modifier(self, value: int) -> None: value (int): _description_ #TODO """ self.i2c.write_register("DUTInterfaces.DUTInterfaceModeModifierW", value) - self.log.info( + self.log.debug( "DUT mask mode modifier is set to %s" % self.get_dut_mask_mode_modifier() ) @@ -71,7 +71,7 @@ def set_dut_ignore_busy(self, channels: int | str) -> None: raise ValueError("Channels has to be between 0 and 16 ('10000').") self.i2c.write_register("DUTInterfaces.IgnoreDUTBusyW", channels) - self.log.info("DUT ignore busy is set to %s" % self.get_dut_ignore_busy()) + self.log.debug("DUT ignore busy is set to %s" % self.get_dut_ignore_busy()) def get_dut_mask(self) -> int: """Reads the contend in the register 'DUTMaskR'. @@ -107,7 +107,7 @@ def get_dut_ignore_busy(self) -> int: def set_dut_ignore_shutter(self, value: int) -> None: self.i2c.write_register("DUTInterfaces.IgnoreShutterVetoW", value) - self.log.info("DUT ignore shutter set to %s" % self.get_dut_ignore_shutter()) + self.log.debug("DUT ignore shutter set to %s" % self.get_dut_ignore_shutter()) def get_dut_ignore_shutter(self): return self.i2c.read_register("DUTInterfaces.IgnoreShutterVetoR") diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 24d3082..4a8e042 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -11,7 +11,7 @@ class IOControl(object): """ def __init__(self, i2c: I2CCore) -> None: - self.log = logger.setup_derived_logger("IO Expander") + self.log = logger.setup_derived_logger(__class__.__name__) self.log.info("Initializing IO expander") self.i2c = i2c @@ -282,7 +282,7 @@ def configure_hdmi(self, hdmi_channel: int, enable: int | str) -> None: new_status = (old_status & (~mask)) | (new_nibble & mask) self._set_ioexpander_output(2, expander_id, bank, new_status) - self.log.info("HDMI Channel %i set to %s" % (hdmi_channel + 1, str(enable))) + self.log.debug("HDMI Channel %i set to %s" % (hdmi_channel + 1, str(enable))) def clock_hdmi_output(self, hdmi_channel: int, clock_source: str) -> None: """Enables the Clock output for one HDMI channel. @@ -344,11 +344,7 @@ def clock_lemo_output(self, enable: bool = True) -> None: self.switch_led(5, "off") self.log.info("Clock LEMO output %s" % ("enabled" if enable else "disabled")) - """ - - General Expander Control - - """ + """ General Expander Control """ def _set_ioexpander_polarity( self, io_exp: int, exp_id: int, cmd_byte: int, polarity: bool = False diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 9c95d2b..f5d4dfd 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -5,7 +5,7 @@ class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: - self.log = logger.setup_derived_logger("Trigger Controller") + self.log = logger.setup_derived_logger(__class__.__name__) self.i2c = i2c """ Internal Trigger Generation """ @@ -96,7 +96,7 @@ def set_trigger_mask(self, mask_high: int, mask_low: int) -> None: """ self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) - self.log.info("Trigger mask: %s" % self.get_trigger_mask()) + self.log.debug("Trigger mask: %s" % self.get_trigger_mask()) def get_trigger_mask(self) -> int: """Retrieves the trigger logic words from the registers. The trigger pattern represents one of the 64 possible logic combinations.""" @@ -128,7 +128,7 @@ def set_trigger_mask_from_full_word(self, value: int) -> None: mask_low = value & 0xFF self.i2c.write_register("triggerLogic.TriggerPattern_lowW", mask_low) self.i2c.write_register("triggerLogic.TriggerPattern_highW", mask_high) - self.log.info("Trigger mask: %s" % self.get_trigger_mask()) + self.log.debug("Trigger mask: %s" % self.get_trigger_mask()) """ Trigger Pulse Length and Delay """ @@ -142,7 +142,7 @@ def set_pulse_stretch_pack(self, vector: list) -> None: """ packed = _pack_bits(vector) self._set_pulse_stretch(packed) - self.log.info("Pulse stretch is set to %s" % self.get_pulse_stretch_pack()) + self.log.debug("Pulse stretch is set to %s" % self.get_pulse_stretch_pack()) def set_pulse_delay_pack(self, vector: list) -> None: """Delay word for trigger pulses. Each element of the input vector is delayed by N clock cycles. @@ -153,7 +153,7 @@ def set_pulse_delay_pack(self, vector: list) -> None: """ packed = _pack_bits(vector) self._set_pulse_delay(packed) - self.log.info("Pulse Delay is set to %s" % self.get_pulse_delay_pack()) + self.log.debug("Pulse Delay is set to %s" % self.get_pulse_delay_pack()) def get_pulse_stretch_pack(self) -> int: """Get packed word describing the input pulse stretch.""" diff --git a/aidatlu/logger.py b/aidatlu/logger.py index b26ea41..9fe919f 100644 --- a/aidatlu/logger.py +++ b/aidatlu/logger.py @@ -1,5 +1,6 @@ import logging import coloredlogs +import argparse FORMAT = "%(asctime)s [%(name)-18s] - %(levelname)-7s %(message)s" diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 1a91106..8f3b869 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -5,7 +5,7 @@ class TLUConfigure(object): def __init__(self, TLU, io_control, config_path) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) + self.log = logger.setup_main_logger(__class__.__name__) self.tlu = TLU self.io_control = io_control diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 8d02e34..96351c1 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -7,7 +7,7 @@ class DataParser(object): def __init__(self) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) + self.log = logger.setup_main_logger(__class__.__name__) self.features = np.dtype( [ ("eventnumber", "u4"), diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 8a7fc61..e193e8a 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -21,11 +21,11 @@ class AidaTLU(object): def __init__(self, hw, config_path, clock_config_path) -> None: - self.log = logger.setup_main_logger(__class__.__name__, logging.INFO) + self.log = logger.setup_main_logger(__class__.__name__) self.i2c = I2CCore(hw) self.i2c_hw = hw - self.log.info("IPbus interface") + self.log.info("Initializing IPbus interface") self.i2c.init() if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) @@ -90,10 +90,6 @@ def get_device_id(self) -> int: def get_fw_version(self) -> int: return self.i2c.read_register("version") - # def reset_board(self) -> None: - # #THIS FUNCTION CRASHES THE TLU. TLU needs a power cycle afterwards. This does not work at all... - # self.i2c.write_register("logic_clocks.LogicRst", 1) - def reset_timestamp(self) -> None: """Sets bit to 'ResetTimestampW' register to reset the time stamp.""" self.i2c.write_register("Event_Formatter.ResetTimestampW", 1) @@ -264,7 +260,6 @@ def pull_fifo_event(self) -> list: list: 6 element long vector containing bitwords of the data. """ event_numb = self.get_event_fifo_fill_level() - # fifo_status = self.get_event_fifo_csr() if event_numb: if event_numb * 6 == 0xFEA: self.log.warning("FIFO is full") diff --git a/docs/source/Documentation.rst b/docs/source/Documentation.rst index 6140487..8b867f9 100644 --- a/docs/source/Documentation.rst +++ b/docs/source/Documentation.rst @@ -332,6 +332,10 @@ Tests can be run individually. pytest software_test.py +Log Level +------ +To set different log levels change the default log level in logger.py 'setup_main_logger' and 'setup_derived_logger'. + Integration into EUDAQ2 ------------------------ Due to the similarities of the python control software and the established EUDAQ TLU software diff --git a/docs/source/conf.py b/docs/source/conf.py index 3f89854..8f0001a 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -7,12 +7,12 @@ # https://www.sphinx-doc.org/en/master/usage/configuration.html#project-information -with open('../../VERSION') as version_file: +with open("../../VERSION") as version_file: version = version_file.read().strip() -project = 'AIDA-TLU' -copyright = '2023, SiLab, Institute of Physics, University of Bonn' -author = 'Rasmus Partzsch' +project = "AIDA-TLU" +copyright = "2023, SiLab, Institute of Physics, University of Bonn" +author = "Rasmus Partzsch" release = version import sys @@ -21,33 +21,33 @@ # If extensions (or modules to document with autodoc) are in another directory, # add these directories to sys.path here. If the directory is relative to the # documentation root, use os.path.abspath to make it absolute, like shown here. -sys.path.insert(0, os.path.abspath('../aidatlu')) -sys.path.insert(0, os.path.abspath('../aidatlu/hardware')) -sys.path.insert(0, os.path.abspath('../aidatlu/main')) +sys.path.insert(0, os.path.abspath("../aidatlu")) +sys.path.insert(0, os.path.abspath("../aidatlu/hardware")) +sys.path.insert(0, os.path.abspath("../aidatlu/main")) # -- General configuration --------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#general-configuration extensions = [ - 'sphinx.ext.napoleon', - 'sphinx.ext.doctest', - 'sphinx.ext.autodoc', - 'sphinx.ext.autosummary', - 'sphinx.ext.todo', - 'sphinx_mdinclude', - 'sphinx.ext.viewcode', - ] + "sphinx.ext.napoleon", + "sphinx.ext.doctest", + "sphinx.ext.autodoc", + "sphinx.ext.autosummary", + "sphinx.ext.todo", + "sphinx_mdinclude", + "sphinx.ext.viewcode", +] autosectionlabel_prefix_document = True -templates_path = ['_templates'] +templates_path = ["_templates"] exclude_patterns = [] source_suffix = { - '.rst': 'restructuredtext', - '.txt': 'markdown', - '.md': 'markdown', + ".rst": "restructuredtext", + ".txt": "markdown", + ".md": "markdown", } autodoc_mock_imports = ["hardware", "DutLogic", "main", "uhal"] @@ -55,18 +55,16 @@ # -- Options for HTML output ------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#options-for-html-output -html_theme = 'pydata_sphinx_theme' -html_static_path = ['_static'] +html_theme = "pydata_sphinx_theme" +html_static_path = ["_static"] html_theme_options = { - #[...] + # [...] # "show_toc_level": 2, # "show_nav_level": 3, "primary_sidebar_end": ["indices.html", "sidebar-ethical-ads.html"], "secondary_sidebar_items": [], - #[...] + # [...] } -html_sidebars = { - '*': ["page-toc", "edit-this-page", "sourcelink"] -} +html_sidebars = {"*": ["page-toc", "edit-this-page", "sourcelink"]} diff --git a/setup.py b/setup.py index d14e81a..b14fb3a 100644 --- a/setup.py +++ b/setup.py @@ -1,23 +1,30 @@ from setuptools import setup from setuptools import find_packages -author = 'Christian Bespin, Rasmus Partzsch' -author_email = 'bespin@physik.uni-bonn.de, rasmus.partzsch@uni-bonn.de' +author = "Christian Bespin, Rasmus Partzsch" +author_email = "bespin@physik.uni-bonn.de, rasmus.partzsch@uni-bonn.de" # Requirements -install_requires = ['pytest', 'numpy', 'tables', 'coloredlogs', 'pyzmq', 'online_monitor', 'tqdm' - ] +install_requires = [ + "pytest", + "numpy", + "tables", + "coloredlogs", + "pyzmq", + "online_monitor", + "tqdm", +] -with open('VERSION') as version_file: +with open("VERSION") as version_file: version = version_file.read().strip() setup( - name='aidatlu', + name="aidatlu", version=version, - description='Control software for AIDA-2020 TLU', - url='https://github.com/Silab-Bonn/aidatlu', - license='License AGPL-3.0 license', - long_description='Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with Python using uHAL bindings from IPbus.', + description="Control software for AIDA-2020 TLU", + url="https://github.com/Silab-Bonn/aidatlu", + license="License AGPL-3.0 license", + long_description="Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with Python using uHAL bindings from IPbus.", author=author, maintainer=author, author_email=author_email, @@ -26,5 +33,5 @@ python_requires=">=3.8", packages=find_packages(), include_package_data=True, - platforms='posix', + platforms="posix", )
  • V)0()%y%a1_RM!a>%WRQ^PSf^cj{KBfF$QRCgqo@)pRVkOl{m}V9bN9Z>MPK zZ;pK@YPlS#YiPnaVT+3nLm!3?Wc5sFWU6n;X4pcs9Yh?cFs`N-1CC!5FsjQgM#u3FG$fYVaYSkGTv(z zsUR=!5x;gYFPuymPPmOQg^=f41NK=vTt5ibAw8^1%2M>=4s(XW7MLQ-)=;WScS>_P%coXt?^F%V?AeIbxcGfgCX#BeqGy z3OQovMc_l7#x-U$QEWk_(70r%aj`Nn{QdNeG-4=BytL7Wp>XcmD4cUP3KJ@ARADF< z*yL-BYfw~T%j{rlF4*l!S~!X@kZ={@Zo<=q4+%dLY7sUjRP?Sv2W-P|a1d-nlGvEA z31L&hW`w4smCf0*{#UREDA96YK0*BF)DfGO-{^5DlgB&`Wird-P=+ts$qr?*hR2~y zcJersVKSWTP$p-3oZ6H+=7-&>ItEuPAbd^uhVX6Ju@H3>3;K$D$D*BTgtSDRj%Q{{ z{OetyAt`1MLX4)n%HCCeCrj{f8Nfd^tu)_-N!UTOiUX(YJr?K;B-z+ ztU;P-MpylN-RM-_f}_?(7O-)M!Zi(1xQ-zTbLVKfg(zIB5QQ_;Mv=A&vl%B%zzb5o zO~_&S1LT4i2_a!AVKqWCLVLo|gfj`(5aPZyRe9gIIbChHAW3XVXhztIur*;D634dT zjVW>X>l~a=pi!4EgHG-emHw$D2$Rdc4VGb&ofhH1~M4 zDRF!oX6Z@+rud%l1K~%)Pa$5c{AowAm@i{C84qMMd5pPMhZw&}l@RV>levuTSgzwC z#&%Li<_5i5aW-g&SO!8*n@UdJ`Iy{tT~_yu2C58aa_Vq%olc4&IGX<#*1>< zpJn*Ot}JfsXj9&-~3BQIj3voxWv@hl$mUAA9 zR-{xzc%5ADLK!(Gzi)!eWi%U7^1RDtwCBEyXEYm9ve-p5)-*@IOKCL8kwX8OZMWZH zN6pG@W5?wCF{Z^dKx&mU%E5``TyjtpZAGUGt9W%>UI7X-CO7>;6uD;M!rxGsF?kI} z=Ay`T2{&zu!rUtE!fhoKX@@Z0A*`;Bt=ZzSkHI%2ic-RQgcgMT2`3VU5N;tn#GY5n zuv-x9OnTUbuq!EJH$K{((2B4}c;>3i8}rLv+KPwYOJ3Tb1c$Ds`2BFPSA zQrF{9CR=+P$`FK`>`*4BcpS>)Dvv{%B>UvN`kKXoA}l5>AuJ7t6ylCz zVPE{d?>fvTm@&5=Wi$cuJHGF`Ml(m{YuHh_+Kvg&{kZQ~v>s&?0>YqPm()1W9Q`h= z@v3Wtdt5HC(I!Z`%*LmmsmndCG`BIEQC9{e7vLmUO9r$LQK%gQ?jljB7X$i+DE>z~ ztE*_2unOLp4Ey?s=YL-KBYv$bFZ3f^O1Pcy1R>A1rUdrN;W{|zND*yF8*TY$PeMCF zd%|9Xy+fRe>Aa)Dj3n=_g9=O1M-$S=}c$CSO9*;6f zHp=PUM^5s1wJCip4Ns=65%}T{!ZO02gymtYLiABA@k=cy%SSWDk{O{&F-N~kXN;_W zFUOM9bt8~dkhA~gmXtb=*)1t7NzHst+?Le)ADFC0YmpYkHHtF>u92x#r(S*M2h0e` zrkgi#p1{nI#LhmB#WcAwO>S(4_krH8VpfAfZ&op@LD4%(K1G3@DNQ{^G0J9Lbi7 zJDI%baVL|nJnm#t!{bgSO+7Ac${WkWN%S}ZQ3Qk%LKQ&OQv6`rfuP~K_^r!=uNFPc zKQgtXezCBx0@;kN)dAOaVKyUG0nvJt3Il#n>oNI5#Q~=4!DCOH-8!T}F@PdnSW!Q)>6)8jZI&v_h2WS+-)MY*Fi{DtlX zV2o;n>Vz8M6w4Ug`v#}*?KCB*Uo7T}Vz3H%j8;v~ZCFgmg?mw|2r$xIO=XPKxx&3D z6$V6mQK}6vYf(b46Lk@}D;FX5h>gH731UL86OF-$BE&Qa0~QUxEv`FUv&57~5rdMd%i`bhPm=6$L`x zY|pI<4XM8&35>1gKI8ElkvSf}5h?NbjbN)N*>6PJdi+M@IFH|mT@Cj>%|>7<2+S zLk2~9cN9+Rj^chh!G}%&g%i1>NEcPJ8FSBQT7`H)qCVjg6fmmR9wCbLn4!Q1;g%>6 z7?w5%`4!4!o8kpg2R4}T49Qkk_ce5xPUHE18Q~7XG{Sp??+MijLfDyb5Ft;1j)qsa z@Q5Hdn*4AK;aEa<6320T)PvA79F!7=zgI;UTK1~8WUp$l$7n=u^B9dtvdnw-r}CM{ zXhfEKj7EfsRY~k4dw7gSu<4p?xT3^SCp??{0H&x%s847>Xb7X5d5erlb83mZ=_nTR zg+sq$BICE;nM2)wtd-IADf$n!4;RER+yC)eaguo z8<0VE^SF&jvetXhIdZPYZA3>;2Kk@`=Z7ME>x&jmWwl*A-=uhT$~YqJc72 zCp0274u2?g*HJ9w%O3pBH`Ol0I_p%i2fxc?q&>rM8_Q)ZM8qEacf>qIrr21nXBkc_ z_Ta~uva`o#M3QCRXPzZzdu&F~-buC@k*OY= z5&6(#x1y}kcpSaaKox5e)*`G;SSP%{5OWku`O>2`+KJNBl{-;pB?^hnuF+A>JLKn_ zb%>}WAliviRe))E(Bni@8DMszm>{O&fJ13zN{Fq=Wh z-Ql=(##SwxYzbPS}<{X`qVr2?jb&s49SFW+Mv! z$+S)7CTz44r3Tif+0hF-ZOo&MtwgDhwM_$oW!J6hWj+2r=c5{V`Mr!h=Qq^S+W7e~ zH&R`#Z5l{5>~5`Gma1u3BaYWqrbWoL+$N-hT?kzWeF?(|V+fBC-Xts_ln~Y-G$(Wd zlw#H79H#A@ONKa)a6Vx$;R4==4B?{-!(A(3t19?^5Jy?>vN20}n3Z)XaHH~{Psw9? zzyThg5lL2fPkvAy^7xF%J071AL>ndhjL2#ppAmcoPxcv+E*`%XxeYCHCA`_Yl+XU<{Ow z$kFl;p8M5vBl1ReL@v1zIRZh1Bl4YdBl0K_M6+^_J+5cXNbZgkN#j5~UFdX26))8^ zsuWDm5QXa(qCohEHVaX>Mj;9_A~#(^6lsSrn=vDDvt)=D1mPdLVK^jP!x-@3$RAof z#3}fR+>jw`MA(gR2;mgM#e}hhse}tD*SLrjF_bWjFr2h;F&|w*xRj!gmFT7e?zm?x zHVjc9%cE>q*&Xf8#b*BqeK4_+la7rz>DboeG9t-J?^8~dejb+*xyIu%B9lBWBl4!l zWdt$o$u1*O-{ZET^s!0!B3(7X7n>295;hO#7GjQKc^z-th>h7+L(Xsex)`M*04cQ* z8}+P)yxd}xY5^o#j8Z*->3FDlDm_l*L@UV}U^V2-fikKr7Iv+lGS@=55z zI4f0poYXEacE{&;WwBFTMK@Jf9VL5J(<5YF$LBoxmk=5fwj{J8bR(QWxSDVeVFn>j zdtM5$d5DAVGBU*Fge%AzSMt$SgsTbH5U!=-d?n%!kRWd_^7f)IJ^v@2b7Rc$oyTZI z>UfMs;N_QWG$NfmMk8{v$7loz6v;*-GQndsBCmN2SClz64{N~~l6v;bu4}(q+|uL-^7P=@gdi)RM6s`;igy5}fdBFY&@0!taFD30o2J#OENP zYr`{x;5rh-2r|d@d~^e0B;iKFP4u}+nWKW_BhQ0`Dek=I*o^la_j)`=ErPjkz~31J>!)*whlYfh8a|`Enz#t_Jkey)}o9&E($Cb z*TDrOp86B*sjsK2>2q*3>TRKfyxeY-9 zB`6Sl#MLbfNl<9`2h{B-5PZa)E$r!|a5Eef>9%S%qv^ru72*YPwwNf=K4CWFwhZxt zm^rywF|?ENDI|lp2wxMb5Y{E^Na##Bfp7ugX2OGnR|sDamZ9-=6D@IXCTHA2k{Cr8 zO}LdXhHx9MRvXKols$ax5es`d!Q(U{7kivWCf|Mfxu$`rskMfIR5hx1d}cKY z1Oa#`iguzixlZ&+C*!wi_y6#tLKsJQobV3eTS8SrT!LPeJ11kqkwGwyG;urO4nmf2CpqOVKDwI@ zbt!fDsH276c&yJGk7TiT+dZY7$7lo!wPd3a8R{__k*vpPL}q%7M&t{R(TJ3K3|Evo zT88h^q8VJV3t?BnZsDv#tWhkk!|X=IW0j132;D=t8U=AXc4GB_eYl)`2;D=t8ifcG z7`W;=8|_A^EP$&~Vth{2K_rR-*{o?4IxWWMMAPn~C=j)??tu|`4dZjtf51Q-=$Zq9 z*mM+5=#C=YRLy2w(-1F+nUR~0Aqv+mMB!S6C|sKmh3gTbNc)2c;p{b|aQ2?X$GAK`wI#sof^7+#Q)M&8sUuUi#cunIjk zBhVKl+l+XG-g~r`HXfT1Nfvq!zCea}Y(`|9$7V!k`0Q5LhAIO=tU(WdP(>@k9)#9} zHsSS!n4?%&M}b@=bLy)Upt|HXqf`zMZAPggU}wo~MyV>`AR92+jW+9MF-mO6=owZ= zJ_KL&P!hM99ggpH@?pY=>cKiVn#3aIG!hTk^ zdrVjKo}&#NZ`zVC_9V0;v?uHp9$1Jzisf~*!WVvLml|bD^XNAYBGM0E_?>I2J^Ee1 z(rx2}N57eoPkK--M4sYSDA%KmgNSgC3rceCajAv15$Q4l$_UAY3}9yDt2b6BYs~`L z$_mNr(%{NT-f<{7y*rAcUFeJ(lv~cJ-L8D0A!;-pa%mP(=sAK7@{heZ#tin4?%!hih_hDOOL{a=A+4dL2xF zQb~Z_jKX46^%~eMD8Ct{$^g3=g~h0ycM;e5*te5$QjKJ~OaVEmFXFMx;qMGpBegD5 zKyDq=!0kzKn2$*bKEErAuj;C^t-6XT+NlXFoZSR|Xi1VdkkE&45n(i;q5>T^FHgdN zE_jNRF`XRoG+_qe8N#!KnS|$tq}<`>jvaNt9oItm0T#qyNI%E-&D zMX7lR)}qW-lvo*gxwR-Y4;iTmAY#KcxW8qFWI7pQ)vDN#O^4tA=TUp-BD=p2UPATq84;N6q@(}c{>XD`Puo+?I@hC9R-rn z?cnBJ6o^GvK|2Z<|8h?&6|?{HEBr9=f}|b7Y{q4h+2yLu$ONqkhZFh}E+=FOPZHiI z{6MHdh>OpwnI)6w!Vy96JelDI!i$8L2rm;}A)&k)wn;g|&lxfMs`orDBa$rgUTt-0 z+Zp0WEFvWp{g9u#+2ZxswB93BN z9R=c)tcIMcuo#caUH3%ZA<;&Z94s`HllBJxYAQQ#IYy8U?k7A)_>{1SP@k|l zp)KJ^!s&!733m~u1FC%R(W`7SzebXHo$vlwR|&DMZ%i1iKGt(E9flpeK*P(t1fJF%(< zIHe!{i;TmGY63346njw&0Z|j{vA1Jc%{ZK>k@ZwN9-qk>iOm4XXb1>qBskNZ&>cn5 z-g8D{KQO+*Z_~^Vq}`z~+D0?CLE$v*D2%Al3~W$1F*^#E+KwU>vRCTJrTO+gP{IG1n(VItuLLZ0#*-1AOYF9_ZxKfFhHpD>G@@c|!wNcf2GaoA)9 zu?HMQ4rss=sH|}bvE0w@W&5-a{vS40|EQF_l@iFNB#`|*CL?mH$7Dp3Ro)XO$^#yg z5!lEkn~ca09+MHN=P?;U>`}7WiW11-;dthUfiaFG97X6xI65pTL?FciJMfL)Ii}jf z-Lfk)N(-1F+izq{(`D9oz9Fi@?JF^V~I}Rc#oJ1H(xRvlI z;SIu9gn+O%VFyB<_#Bh=kHgb~;1hDhY{I7`kI(q%b3#a%6ZTj^JsK)lncQA@O2pc>$&5si1hZ@ zjL4-Pn-LlBv0G8%IF9eM9;Az&gyRXl2q%Q+7GjTLc^!JZmJe2nD9o7LjB!w;TdLWNYZ&4M(a2zY zc|ehN3bUD)ql_}VAam_nITj32(09#j7iR3BykK?{IpS`@(}WKRKND&ZHYSwQo%e)+ zNq9{Vd_#Kpmhc_nd%_QdA4w=bh3!^h-Y9EVHlen;8#%e6({7$7Ft(aI+~YAKcX>QU z~cPULKDTN!Gd#H71nM1fCG~XZj?#qBo%r;Uq%eaOXnQ zQ7o{dK(dk^u;a{bl;Eqj9nK$OM9Wbs3NSs7I_S~m=H%-m{N0Ge z)IY>#fk2AcPTi~zuygVwIWn;pC(Ht=HsJI#Ab7_|R+B(5FF~OxAW*uam|~4hU?qY= zThW;2pwR3OjB-#I|Mv_d(orBEolgkDb`-|=eK%@cP$2P$&jiC86fV6TMXF{`TZG;4 zK5*e=mOMt13LYT5NC*i_39AvB5!w@uCY(vQhA^J+44@SICO^@Y?q~AEFNB4JUkSev z7Lhv^hr?H8?&w@L`CP*tJ-plzTeI5JV=^K=JSHP@k;i02?(mq5$g>`k5t-{T8Ifek zdy@?%DchWCL%r?j8$QA?N|41Vgi{Iq!ruzfMzO4pXbUyA8l|TzHlxHI`Fg@et5JH$ zVlztYk*^2sVRlMR>k#7{g3T!5k$*0Y)`yx?-%QDG;GjffK*V~SsENq5r!)sdr{wR= z`mm<;IQe4Ue0*Lt1jN&T&aeS(XE^vX8vB6(4vJH$ZZyLi6i(HSVqBzXN8#k`C|q_s z3OB1kkuIvPsvW`_>bLgU(BkP$K`+w6V8Ts=$%K~)a|wSC8WFZ8EDmc2!4gu$Qo`?q zKM2dn7=QB7aza_S@hZ$6xb5P2#lL11BaX8F)Mx|?LCHoVa;(Q_L@w|cjmTJ!(TF_l zF&dH2J%%fmI7<4RMylvf7(h6kFfe?m5OWmE>L?JZ6lE&$fR(e)aek?>-6%b0<-Q5J z8>MG$v>TdgK~u?w?qa)l*lZ91t}TX}X2xfT)j1?eao*oYt6=sLCCMQ@EpW z@^%zX+K$5M+EF-7I|`&utDqf)6SJc*ZtrGjgCgBjP5XoE;(egLYgjQHlC5gKoiMB8 z5T5*nlAGSML@g!Kq52zk2mQe@JGrvyKYo)DCfAF7ZpO8KZNp&Fq&7b_d#cLj~j z@!2N$dUO@@h==Jv{IjH__>jEQVL_!{uX@sBF@m;9vc-rj^H_|?dLD}r+1+C?BHcU| zBXXX{VnlBBSgly%07vY~>|(IRnS`?lXA=g6{R)vsv7`=j@Vix1yA1 zz#g4=^2*~Lml2F>lU+t+smEnR*7mrJNV3@du*2mDkK2lp$Dr{1AUKC?aW3IJ!uf>3 z;Z}vnqgYr+&N0e4rrLu)XB{G3MB>5kf|a7>DDFm`E!;;cw;ZL%tyzxZZq$8JAqYh6 zL(F~@b3kSbV>UR0bZJW_{)zjcOn<7^u)bTBV*C>VH*j)6OU@N8%t=sa0tlq;D9X2? zGea&M%DpXU+;Ih7z#QGf3K&JYshZ6gRn0^OGvWnt%|a9!7@Rf=&8i_@5cOWenjs1^ zBsV*T*s@xaTULd$o4^wHk}94hd_wq@P?u0qgO1);z3{3as88Z(K)Ps1SdFkcp%KB} za+KAmJhXvT+8(bP_9~DeyMh7&V{Yp^c|1m>tH)ymUvHB=MkHD4{m7&8n8#y8W_dhD zWRb^XMAq~P#9EYUwi<3FUmje0)dpe~2Fpe1W@V$9&Hp2nJn-i;T6Icgk^-rglz~N2;B*T2yy9oRW6w{4)+a$ zHOLHW64oNDO<0GpF1cjA@X}!3zSGPR-K!+M-OvtQ-ey&Ml>%->Dd0kn$%w4(F&U9$ znfF0m*8IkKfCL{8&$7BSt7s+NTN*WjOhIJ^ZVi;jK;bOui;oXIpqgYOd z(SXInl#F$V&D2}H5l9TXuzJXDzNL#)qH_p0qOhopl~_G%qm?KU=!P z0MYq4BS4U}i`^|X5t%;2s;striE|g=la7ebMTCUBR+p~CNQ_!0v zaUo$8;bFpSgaYdGl8)S?Av7UuNY>bhk2WT35^k2e?^r3iRb`9Hu5QPJ zYih-XsNEOhX63S73=cP^5D9v`M%D-Um@aS<4~W>gv9hEuP;Lyhpl8r`WYmd>0boLmoD05sHUKRvbkt(hxTtm2)a2;)K@{`Gng>~@M zce|+e)OYKsbG{Is`feqa&J59hlsRXGr@jkWvZubwS=y_E>;sc4GbZn9rQ}f%NI$D2 z7asnQk~0WIjYMv=UxIJ9O7gl~*AlSxAa(z z$i5z{5jn|YH6oXLtVW=bOST%3S3FiD@{Px81g1`P5;;ie`d5&uxq$aN*Q@wth{+g zOw{p}$7Dp3Mc$ikCQUsiBhtZRG9o8>Oh)7qkI4ueIg(9A_8&`H+xfElP6MAwMtt zEoL2py(qI5B^;QnZ^ECj7u5_Kvg#jVR-<5XA{>}-On&HLngpUYBByE)NGS&<__S&a z2$b$9up-5$h)D^G@{Qij1qZ<^I zvZqRRoAF-bPLIWiJm;|(k$E1A5vl627?Djp79$Wa zBwLKg@g9p28RoHCQQ{aCb_s&fWQtn}V+gkq#)gL#B93Bd9R*UAXqIXZeGJKkhrXV% z(QcF;vt~6)c&S-8iy!SEqf)m zneH(ekz~31vOi_H$81H3B@+|Z5$(T#8h;cCJ?ggoInM(hWM zn=8>5?9uN+mR>ifzZs8zMg_Up@FxudQ73C7(q#?^ zrsMUk$%)gtgEVqN_YNIVIFUPw@?GeRMt@+6gDb}U9n$vuC!%oU8x&?d4o&-~pQCVk zb`&`=d;Nwe+`tA!DrArEsAhCW72g){>S#PLcDzxTlt|-$iNcv*qFDKb?7{k!k?%l8 z*pF~L;e5i4gh_;#2y+O(6ILfw6rRsSra6vhQ|cK z17wOxgvo>l2@mnDmEWr>meWxnR4JCJgjdzf$D^GnJ!82OWmclFCl=p{(xbL+&Nc+5 zU}ipUcB1GYr6;agi=w}Y=zKiN08s~#{v4Ii-=vf-BHh!(r8JnmGOF1*<|vrkM0!}4 zup^-};RM13go^6(p0hv-dj&{p17#dXj_5(?NjRR+i*N!RO414W-hTvr&``3! zh>Z03i^!uMe-TMmc`y7`e)IT?NMnz`h_vwdtSE0h6y6>L50fY!Av{WWj4*|A)O_A3 z7Se$;U#&x;I3*pAH?}KLIP*=eM!B6RBO+()6IY@Tw4SpL>27m1`r2hAp7~5y5^Tk) zd&r0zT*6Yc8TBw;cAK!lCdXz)J)LHl$SMj>2i&QE2lS z^BWYJ_krOJ3S<0kgzYGh^}#0uRXYk~eW;=xg_E6(goWe(^(wr`3 z49B`>EKJF6pqfK}=y0)zzMiq8Y_bNOL(DOYl_-f~mF%JK zl9e<8M0n``^oyRj%c&ga@|OCCthx5O5``>TixZc#qzNE3)2yXbzmCJYa`gsl81o&R z_d|xd+4iMh{%Q;Gg&XP3)y*Bm#suw z6FJC^$Gh2V4dy<%h$Y&Z$WRK$nE;~3A-CQ(E=pRWtx4_j3U?eJPTr0p-B3;Q*jJR- zWmU6L$drzkdGOCA{6T0$*qX36;aI}igzE_R5%L7*r5K`z8!NpM*6Cd9rhm(D~soW+i|iE+AvX<1He6Jl-O5na5j1 zk~Q8hz9cVuyhX6fn(Qqi8IQM!Y~k@(QSx{`oEQWzkS$&$yhM1J@JjbW+)*s1Bj*+6 z3{&k!Y|b=9s33n9mVqu+iLN1LEs9%F-^&m2wJ3EBF>6uWiV~fWZ^3B^4FuIVWKT{@ zm;iE+REPjk{}4og*aVQF4VkkMm%8H&NfVdSQ1(h;bjc}s;n*fn#0KPvmV^TdeFzs3 zDoW4M`5G4PNe67O#>J$GO9+<|E+bq{xFWoE<)V%~%g!2W2;!9gF?GZ?bE|tiMr1RO z$A~1WypQiCCwM$YC3~$Xb-WV(6a=r5D_$eKPIx1Hybx^^ z3+jkWL&~BsrQA}K*@+Spreu$N*J`#$zS)VA$TXy<&DO}7hF~d*J5d*liB_`iCN5pc zx|^7QC3$?B+K0UOvW9@DgNSB;RQ;B-5-`P|%Z-T?1|=vo{R0Yj6x&))6Uf_9!J;S4WP=$$JTyHPl&OBBZI-E4HDSXnGCNgD;@n#c~96S9OS z3GWkrAjDPYRk>(#MF@AkD@hwyks_`pTtm2)a2;U;uU;Q+yHat-3i3OQi2-MNJVxXT zkH?6VdOSuXS>WAvPig1z7?GYHj}fdJC3}oW*5kFJ#PLShJP6(-UA#qjoA3_d-SEsp z>`^SN!`MaX2^+0O=^@L_C^3#vdeDv;>#~(-9Ky{gF(<#Cw{O~fjgM#Rk;}~}%%QNu zrN?d*1fnM|b3m-ciJrdATe!d_oBnj*K{brU2}A-oQk=>ig;Thr(D)CC1W-6>JBrue zFw=YJ+EF-7I|?UgN8!ZmD4dcV1^j+Ft4Hq+h4FDRGaD2xu^q)oY8lP228D}iN1r7aUDjHrm|N8o6DN&xYqTsp2gFff=@{l ziwN}zn-kg+3h2&Dre7Z(N9$6s#7M%8gqsLAlQeGOqfuf1lsWP`TzT$QZM9pq1-n(r z3h&#-$~cd|h|KW#iy(eG*Apv6NmjZabF6gt_^c>%7=n0@RPjDx7U2WJ zhoqGJ-P~dk9R*UAa-m9QHA-^3QF_QmyHR@1ZX|Y0zV)_x*6!BIMJ$=sD4~j+@gI8R zp3<*e(h|>pv19VF9i3nBkys^BWXS(~iOoZcrGncQds?kqX(qhsX7knve#ZE!7jmO-5R3%hgWO|W^};6 z9@N2~hEwX=2a01xu4W!_o0mUtOp9NCd$hgIbuIo}F(ZDx!5#KGC-VH+=lS^c&g1QM zj$Qau?UnfTOCPY;*!e8j?EYc=`tHxfuP>b) zzn*>5UeEpaJvJwP{p0uT_1yD6eSZAtG!O&0RC*UJbr!8KkRinDD!7dm3sDp;yER&n@73-{@ZHA zuTQNJzkXDm`1RlF+UvRhzO@?0ukX;vUeCRL?>CNLKXn~@y`4V45$nXS-_yii&;9)$ zAo1(pY-X?L-amCViC=HFwY{EueO}%?e!W-o`1Omoj$a?Mi@m;`KEHN5#IL{K+FsvM zuLmvT*Q@PqujiiMQ@h2lZ`&z;{hT)O>wUV|>rC6>Ps_dH*RzM)>$$(*UpvOH&pO6l z&%OQ+?jOJ2s8{^YpL%fodgs3OdJFykZ+3Y6`W5}-|NaHt;@4-K7613&bzJ=V((~>0 z-21P`3GwSKhuQ17*H8M!uMfODetmYo`1J>_wbyfh|F;Z`Ute&ey`Fphx(`no^F|NMk$@#}~GYOm*>U!NKA z>mz=**K_ah&7O~6x1YLyFIFjOxYK84LBRjTZZm)Pn374dEzHil$gUn!GC9&?N`_?h zWK%L3Z1G7?h?T9p;F`H2{2231r)8odCD4wuOCKxrMPzwfhoKYAhu9-2SFf!aaG^j?g zK{Q-M;UtR~h2%qVUC7 z_M+Gzu5xSC$Y0boYO_TxM|L~<*zV@ccQK5*Ms2pJg;9+5%y(&wNQp$R&<2Ld^cfWr z!#<+xBpuOgE@LS-D{y?J!HlW(&8K)DR4#LD@eO(Dlsx>q5xj3Dd2EP(wjSv5C6gOGzGO%dN%kd^ z_dLF2@~g*}Od5H7$z(^5FPR+T@n=)=m`}5SugDe)2wxMvA$&`Ffqe2Pmh8oYzd{0| zHJ;(YkBvIv!LM#(WqrSas|$fG@vn?annY;Aa2x%LR}azW<=p;j40fE5e0-; zI$T8I28$@%R1rlwQT(gc*yN7_L61R5npjF$jnItHo^UkbOu{vU@qjAN%$!OO+s8=~ zPY|XNo+La)m`>t&Ivki1$KNE5?LC%ca9&KdB$NIgOES66V@W0tdMwH0ZI30H{OqwL zlZGB^HYJX4X;1bYnc{oG4}>3SW0ubu#qzwIWF-n;)a*rSXBPg3yR@8g#h&+0ykeUi zkgJ;WUX8}~un}Ax?d~!S(U3@B9MRyjev&!zoz=RH3KM6yZXMB_8G>2#K;cYqP&fk|6wdtyg|R;{s~sp(=bLQi z1*->!V>Vt8wabQEHi{)nO+EswvQac-IKo;?6h&b&q>V>53cYWI77T^nv%(x3g*szH z1cpLyRv`dGVS=L{pauYi-lanMg+gyp=Y9DV3N>$q>I;PnHbtSXtx$cTP|H@RzEI$e z$~$Lh5>S}nXcJ|MqG)Tdy0!+1DEk6Y~IEHw=WI7JJ#>FU51EmgH-GcKqAhnjjY;1EUux9USNEW+`J!gUQ%K!!`> z1suXCT)Pm3?G>_Bh~kYmO>zPbVHCDWnDz+g%tf@_*LXpS+GCf}u#d@JyO9y{5+3nu zQ+eTS!Z(Cc!g_=}s~YU(y%-Lr4G0M0Wx^{YjaT{THNxwJH^M_!VdlUx_)F{X1e{uN z*Dg=V6YEyp?=dBlS3Ra=Fvm(ZC6n47Q!;7hF(s4zJf>vQ*JDZs&1JGVn{vmm3}N|= zWU+{_n6QMfl()S3+)*sn%bxpAv|{BmPPZcV+;=&QQK#cOh-fe#7klnwR>C!EwHjN) z261h;F~;L!&wW_VFfvR7ardF+3=_j};)zX*>~(@smY-(l96ABFp!x#sJo8h2vHaIBU@CJn&+@Q#r-weALg`3!* zNR4mex|WRUTJTi?ua5J~MgjK)^~*-#%(77+Qj|BaID%0)muwV9b$bX^U?}vi6%sHM z=8Mb4lz*Wxs#~M|LScnp)P14AeJZuT(0!r6eJVX5@Rmif>`x<0!`l^wTDL;?g<>1~ zMGEgz6de$0if4g0DT<;k!e9hrz3w3$q2F(i}m9z!yD z$zw<+$%Zi1Ye-FxA(?FMF(i|HJjQHF97`Gd_&b^655h9SpM>QMip(dDVzFKY;umA- zi=qc?v=pUhESI9pPL$|5+o!J!Vzh_8+KizG=$4`w8Kx)hBadlfm>`uUYJj|t?JXUU z&tIU4VWpUpEbX3VGp61*7|`GluU!7v!E!Q3!Hh=|#I=Nb3C|KfA^b|H=vLEnR@L|M zGmHH20g2;7KKh98G2s)!Y{IAEjw^^g%5Et;sJ=P8*ZAippk<@VZkb^I&l*>}#ef~= zU*!<4h?7H1G~}ipXENE#<4h*KJkDg2>Q||IOlgaZQXEOQH<4h*iJPvKjARB+MbqC450znHQer*kcht+7FsTmS4&L$)8V3iVr+G zwh9`WCy_V7#z{Y{=#_ucESb_5^2TPo_egez2}-1j$Cyku@)(oJo*rW|>ESUZlZ!mY zWO9ecm<;2AWP>*TML^b3CBzF;O17vx8Z|tu=1eoxM-Kw$SsK)s&A1jKUXaSQt-9*832UfV>q|q62R;S|kQjOs zE+mX1JWP0v@Fih6VNJrkaBVKxgCyn?z9K9jd`-^yhL64_d>1x$?6KHXC%u>`d{twbDCfS2c@5ak*bn^}2dj~ID$^B9v!vecE5qV=VA1xs)CH&4`t90a1R=@15spe20 zSMg9^VSTIEqVC!ryAj#NV>cqnlJB$5mO&o75xK=9zMuf)UYlUt)iUoX8OkQq5N)1HJf)w|owiAlU%PmNmOfFrJ;(k;Q=^0;; zQUj6UHj)eeAu`e}NYQxGh{+$pCf78Z9rl7$2oF=efLWE|CfAaNRx?mu^^R|*>Bed{ zqvA?55b=VjuoBHf6no`@3JykL6gH-7h{ClDQQ)qTnolGIpm4oH6j+yf;iZ?ktArx$ z6DITtq3XcAd<~MpwuF5M#}UpUTu+!lc%JYXVKJei4t?mXlHdOzH!LGJ{K-el31xtv zNB6-v6GfGLVmHSsON$6R1I;`x|vh%v}sk~ij zxFfcxJImuaB8xnpBeI6ab3}IXc#cR{kLQS->G2$q8$F&QlB|4x?|tQt#$l5HZy+$n znuN6oYZKN9FDpbK#iG7W=$#kHXeZ@YStAj-d6Y^6qD3iH2Rvne8)M4J_utY&mTMlg-MYy zl6MqN?~WqZjDGo56q*`_g?$u8^=L%yD3BP&ZVV1#6uA-3*4v_R(smRkDJGS*|An2^ zRkTmYS@}g|gwcdY2(J_76Uqo{5w<7nOXx|6%h0QGIOmw4iK>KZgzAJEgqnm* zI4D@QXipvjQ%lluhca7U$BI6*9F{lZu>5+D--tZy@f(qMJ$@tb^Go&{k<~qZBhuXC zHzLW(_x=OqbdT?f6304W&mdTrOtBteeZmF=3HL5U9K}+;%%LBTWHfzdnuu^a3h^}P zcVccZ7J3jV|SuPB1W*w?8xDEQhp7-`GQQ* z2GcRNFoc?*q5mjbcS;+iOPJ_MXL~AA>IBh`xkj zgfWE22nE#WCCxM88k}5$AZin|D?KXsZS)=JN#k~;0e`t+xE8-N^3a?TR2uRqTdS;l z1M{SA`a3rsWqX&6u}1!V3jDGEJe;0Z%cKw!_m!;uKDD2m=5Zc@6gt^?L?(NjN8~M! z^N9T9aUPKd9_JC+&f~zM6e8i>*k2}RY)IIMurXniu(S|`6pQ;Rkjt2hWi)$Il3SEg zQ<1@xmh<>mPm!C1oewTbsj0|hlh6g{2K5wqS*%#=Ju5B$+^TlD(WTZRe~8s=RkzY| z-V34(lQKW0D*^C*No8}>2kX+~R#g|dM zM}^HNw4g)*b){(;F8u?AGs#4ewhQC!!s^;C%oY!S45pJWJ|O%=$PhLn z`D2*^f9yXe5PIR0KsLfZTi5kCkU-X!>_8%&Jq{#tipPNjPE5%TBr?(CKq9Yu97rTt z2w%I7638au*Dx9;V{ArfO4vM{QHVQ=#eMPIM;_umXnN<88FOn=Mj0R~(&d_zssoHp zmkE+aARqx0!!D!Ip8L8cWpo0)2v*h+NE~b#N`T)f0x*3y-!?dbE@q#!bVie{!(zFgypiQTJLloxz(ew;axP~DL5ureEqS3Gp&Q{0!qtR4NqPzTTj5UZK7%6GA*@TG7CmdAetVzp%d5!u({ zKO!f2{72++kN=3==kZ}t=GZ*k3b$nBi!BMw2wM@h4$m({AH^a(;)_!2lwXv>o>cQ4 zF$ywAzsqOj(7dY+-8c?2bBQlXsjJAPmq$sBNHsA>ze{W6(EJHIG=Cm5b9wD`!vHR{ z(WXd7xs45$+v$Fq-I&d&+7j(V9P_T1h(cwS=p&+l-5)iUIR8)>sZDR%QldD=nkD1* z5rr9=o6aE$*EU4q95Yd*EyIMCA*UXN^B+MHH__B8j}43R#@1oKAlQaPu`OXc!uEt6_|~GbJT4I|7U7XI6*04{QOfK|3CAX!mDjc3d5ynn6BF5MEmnnN z6B`NTY*r(aKcs_{QvQzPYh|%oT}4+`SJ5URd1E-K;5Nb(!drx|2~`N|5_TkXCY(Ts zYtgHjos*_vSGEnn8C#Gfwj?woYz6qE-=5*dC4ZcMO641P1eJ|9n2O3y)mrRSwf9(# zU@DtzIU>V6mLqbf$8toHRo`>Jka-@<5vl629Fa{t)+@>zJA@AfL30wtj)WG3od6{* z^Esnfa>qMpaaTxLqJgSC?=dA8p7-ix9qmS`nYC$i2+wAGq*<2r_TL0r2Kg=-a}FgJ^)Pl&?x2vN8OA&Rs)_*dO8NgD;ioPtBi4yO_>A&etD zPI!m#Euku5eL$6+cHSmLQ0%rOiR}p61D1}Sx^(oorK8#}8U59g^{zgLfDnCTlh$!Ta03%9d7wFYGxSk5NjRM`y_GEN>NXP0Z^(17s?7sgIXzWZ(f!nnGr|Pr z{F`;`)wLOju2Op*`UTRPf@gT)W5Pm09m1xBJmEPQXQ%LtV9Drh7LR^+@#wP_k6w4t zsHcP7NgS=hqtlL5o;g+?Q^aQA(>=B#@|nk0M3#GOMPvhMl1MkQhsRa~nHI^mA~M)x zD}vKE*1a;*)ztAjPI zG;t}LalXSih*e05{ve7KzLmP-6PH#<8?clMgiPs}ObW=$ZNjf@%?o=IjwPH;xQ>vg zHLo&j(T=D5Hu}EbM(_V?|6jrlOM{uN{Je{_}+V~%q@o+2{Z z<0&G^itdj-mQOsMBJzjFQ$*JFc#6nw9#0Wu=_PxsD08%-w@q78#h!$Ag!Y8J!fgsM zN3o2K0&z;QG$pa8zV1G$Q;6<98KV&4>g#}kXU3dDuCS>ZH{Oi-x7br3s&Ou9J!}Wy zPd)w2vnJ&f^KW^}Sejt}lS^6{hJ0@TTUJ%z1L}4^DX)}hSTJxE+7H+d;cx*|qH(8PXm^upgmQ*ebo}@ZWPZ*Y4E1>`v|MF%*%*J%%E3w#QIJZuS_8$W)J^hIgP=3nVt>-Z0fYky2NAju z4h}C!DZ@`0F>m!`CHLx$q_M|GL|S-!MC4G9kBFS%@ez@c9v=~T)Z-%}?|b}IlrlPo za4+1KM6n;C6QMI<|8RUE-Y6EzQ6NT1C*Wmf;YqADYLqC=GhY||O{nxPxP8jGgY0W_ zG}Il0U-#*g3IWV%Y7m02{5J4IJwj~WhhQ~JeL|wZ548%hc^}na-U>xKzU8efwy7DH z&yHukXJk8!!UeOVaH;GlTp~LPRZgOJh$4+*&t~*4b^6oK;019j+9>q)6#LmI+-^1s zwOzwo7R7efat&`+6zaEzY%&yhqtag!?^6_Nw1#mEioezvBy$vuY9c}OCtOa*5}qWy zPxygQgU|#}icOM(!-hd{2#MoRl0;X+VT8j8M-YzW)uX}Z1#s}Oq> zOXjdAzi#(OyHC2{kJTr!C%-QFN4rnD=a1DVu_wRo`bWD@y6%r|hw$Y8c($(moAoCy zX)U$`@siSNV!cdsAReWD=mFfkMa!Dj%LIooKF|kRBNH6LC@>!3b`}m{6i&j9!pYZB zJYom+ID}D{kWn+aL198h&DaKo2^lpb8x&5hj>4t2qezwNX>+jYkZcX(vV%i^Xz`$? z;5o9xr-Vg>`h?91Z3#ybPA6PRI4T?v1l>pyM-z@A982g<&Nz;bdW79m;_wqk?6#wy z$3jGs#oH4fkVzg35qZ;NAtFC|EJUQf$3jGsMeLpTmjgUjDoPv&hnMgx3Yg+hLRZ3J zgu}y)3K2)KP>#DO5a+_H91ivw zwQAkQeDl^5*Jy7U_@VY7atene4E#`w5R);@buD!Xsa;;lj*}ZX(|@7)oNl7xrAl+y z|4C2Fs%DXpp&SqM;D3$qC1E*XO~Q7Bj)WeBa|t&P@`UB3_$}dfLC})~aXg_H;RKS# ziG0+X(1&o+xRgEo?9oE!9P4w=ku2cecR%Um@ejdPX|jKaTu#Y+P zb=$w&F(yL8nu3Tq^mQLfm;SHTeJG<07h_+dVIOJ?GQ&>BUp2~bwCFH+G?Vdpxykr1 zb~65_QHIwuVgwEQfQB6hqM3|Sz`hd-CtgQ!q%|!;2Li?Ec4!aPI*LfLJ_>~!-k?Ae zgii>Q8x(G8gCY{CFGrCI)Kh`_e7q0TR1Ir|L$W2cYBP|da6l9I;Z~Bwql7mIUl9UA zo~9i1b5b}W2>Oy5P9~f}&N!8i`Vmee^bgylq~RxxSg-19k97!o^2ydAvW3SwL^^t` zL$ErUY#kz(d8|X^UXOK%yzH@1QPSuZ*5xfNsNxvHv4rk~`Qh${_gu92*u&$_M!BwHTzIphsp@W;SG>%?fTBqN)*#Rc9Y%R zT2yB&ZlV2bol9~Z=!U1d?WhY7@$`4P&@03=broGtT}2y&9CQ>;X97XgBui{a*p+ZF z;bg*aLOE4AI$r(51A|}y`QdbO#y~zggK#F{EW+90MJaLki6hply4&L&A}@HnLu9_k zI|NHW$=)Hdna4Xs_V##(NV1H5*l@YnXr< zecq!D3QhHZavg;+cQ>ka6iDL+l|`COt%yMx)xWV=g_)k3@= zx>ty`!Xa4_$70ez1Hu-BJqbq<1`@6!+)a3z@F5|tE3e8ule5EmK`@A%aSlo1T*7&T z^9h3q7x3zkaKn^6{Ol1+knQPl5RsuC2N85mk{v`OSlLpX`hH(a9-dlbv#D3F_EoKWq_Kj3uil-cN{*k+WTwOovX zAs9}6l>(SBY4rxN`A)MwK<*(NTGbvT%KA`;5Jr7SE>Fn@Jh@0EoA0#iZZ(XF39{|* zvDKgt%t=rnL18JBrRxJUa>( z&5pw5vZFB3ykphF-GX*b_C_=(g!fJ$O zgaShIlJP@mH+Laf;v&LO!Z5;c!o_5cOPIs666pY8dV9vMJhRFg7qCnJCv6R5Qov+= z_a3dKjmJ&|G1om38AyhB>_jA4-#z_lnc=Y$k_y~HkG+Vj@39w=Rvvp1N!E9td!C%{u@{js9(xgaYI?HGiZVyPaBWV`z!&`q z0|=)R28P!bqK{%Z90ekia+^`cGeoFKaJ#7X=y$MZdb}=IxG*kZ#>e^_G{Na<0zP)&tOSJ}jX4%8+aoyfc}@u@M;|FO~_v zHkKEr65b|!LntNW$<9k=fflX_f@?_-*AYgLG_L2P8weu_H-_y}=I}SHVi6ppJoX~; zgvVY)KK9s)NV2|rt+izxkG+WO>aiD*BR%#aa*oGlMVVt@*o{LpP{o;qvj}Gs28Epq zF-Nfo4%gz~sjsJNxx6HCoerM*ddS+hxLI@?QjGV|AvxncOuENu{TsMNsf)0~g?R~%?wiN~w~d3)9YxVrbY^Ze z%E50-7ghhsMb%ZbOUP+Q;Y=p*Lv518CWKamu7rMsirRDByxho7?oFhJn@JhB@X;v3 zXu_?8G2!r(H~hR28FsH>cRS*%?gctL$DZS_M#-`8?r$K-w-TDnY}2< z`G!P`QR*9Fq`Cc1A1IuZa7G@*dt687C?5MZ-9vIS@<$%icn{67dtK8#N;xUPv9H-4 zP`abgTn}W~p;*t_nn2=?BI-c5LxKDv&hFvvj)KB=t7}JLX5^R9>lX!*&-rX1Xh&iE z+|A4e1tQP+G*Tt|3ol_pgBQfuH+?<_6B-odmsGLenA`Kl6=!;h!WmwoaBi0^d1!x8Wb*w3jZ^mUv&W7q=~$1Fjz%7z5lI$#cR5%N@%W6$ zK#$Le+~DyUkw-i}BXE04_8F01J$@_79)rWVT)6;U3?W=dxF~$F5OEaC;phM}20dI4 zx6v8aIK&K8^!1F57Nhi-HG5IQ#V9>$pPa6XQF_{%y(nRfwR(sAkjwbc=sL3= zCCqfv__`(=X~u_|R-1^A>fGRh&K;j+4fue_9fgK_K;Vu-lRcnrN1-ifh#XNM`kc=T zvUU{4{0EZ?;KKXt`ovRa*;{)(08dxHrGj9a*{ptAs^>X zl%BS2+X;pwO#4vVkRvG{?|}_jbq+cG4BRRja}#wBiPApQK;*7_GEV1?Ppn3LU_ydI zV?JOaf&%g9v^0Ub9fkSwYn1IMjN`kJwWDy7b`;2xRy{k4a$5FuP4#rVAR7If_6AMz z7+w%HUBhbOkZg4|Ttk!TaUTB#QkjCCc;Ns-Z^DIyQG`6zIqq8~gj)u|M3Te@aBaHCsG#e5vv`$=v!)h0c;?NrRi1;$oxLzM&aCBM5RRdhk> z;AS9QR86()zu*9`Tw&{_v|M9E$EM_jJqU*pP9t1KxPvf_@E+lNLUlr(`W%z?N5U(E z;89YO7$I?IkP8)i~ z8>+54o2sj5n=m+!2_1#wn!peBND@s6Z3ssY1`sNW&u4;P!hM6_Nz%hpgz2P=r}=0G z;TgiS;iV~W_&ZiH-bhw?Z`M>c_jrup%X6~Fi1hY&jL4-Pj}aN~@feYpJRT#mz~i-| zym4Ll1K0LI6xS1OAdC#B72=Fy0UZTGlwui5nCHj$q13OM`%q>b3Z5;{kC)qrQqz!= zbDklhvvIQzrKw8MN)*jaM5FDdJg(s%nr`qD^h%x zn3JH;=npuFpeWyh&S(o7Qyg5;zz-aLD2(O1k+h@uF`C_=sA&f`nA@OmLUt4`o*hLh zVoycv+u?m+7Yn&pXz8O58Q~(rXu>0e*9r3pWrVc|+Y|OB^dy`|7zrrFp2;g=pCEXZ z{O}s#b;28jHwkYM-e!Y3l>t@|73QUox5a3@Q4j=M;GeB8^0sG&Fp-9C%cVgcA|ub{sA^tgXg}6f2ecF znP;CP=NcC&bq~4bIt~9&1CjCfO{i(jO<*E|Ppl??z(fRvrhY)-jzS|pAa6&ZaUU=d zL6L?n{m)iZSDoHq4K-YQXlU`!reGHmLl;6{!Z5-Z!efLt2@41%gtx<{LGTXw;a$Re zg!c)v2p{lPCCD6Fx9*rV*0vi-a81S(sjgjnup_p*Ij2Mx`&ygLG@#aE1uJl3&l z?Qs~9V?7Qda)HNT1VMGTC$f+vOT0h*Og{HGj7XW!VMIJmD@q_^!ztYR24mb#xPy=l ze<{Qr#WFeyWGO{ks9cMZXfH~AL!!MXjkS}(LtLtoJ@;L(68%ji%Ky-WJJb4j8w;{3 z4UoCH{124}m<_2??8&M^pmvo1f&F|?K^nD`O4#e+eXzpKE~}c=LS}Sq&a=NzVAEZ^ zFrDxL;U_|duo0oW=)80mNa3;|_?YzY31K$jQ&PrfeDpaX3_GqM-T--#Dy9VG2!jv* z`=kN4tI-q8|5+iotDp2~_-;I69jj#?e-T;F<1Zq+d;CSDo5x>7&hz+-$gLiK5qZ+% zFCw!&J}Z3brj%99;^$71#a)EE3HK1jhm#6%N3oa=bMD7YL&~QqJp_RYzVF9PL*9PZ z1Z&Ku#rixr5#wt=OY08#r88v%k< zSvrW+Gr3P10Ro*njt$7iZ-=WZW+f;z`vU@Z6y+PynFU{)$vu?qxB?qsJ}BIXjlAZ^U!qb~{b315X*{Oh>`q)AdLbo=sGi6bT>f81j)0$Tk%ULqPPj*QDSva?$wGb(;(PxyiGW4J}i9(lZyH}BY8 z=N+4H-f^bKWJGTCn2g9{9+MGCR(SvVn=JB}jK~@ulM&g;V=^LLJ!UJ)9uvbMR1SeI zCJ`nR9wa=(w^lxT6wB&}tV53Jp379qtw)*dD2c2?u8muV;48n`j*`eaK^jzq9sd>f0P=CXbgy~wT_yI7@h7m*yB@6AkG96g>%3}p}D}=^G2bez_>p|@j$fTjpD^+)a*Nu?tJr*PKu*YIV-t}0F$U=|B z2-MlhRx8RK4}~)+E(KXULU@$$SUA5BZ4?XXFm6%tL?yF{YLEP{7Kl0W^@Lrv+~q3K zH-vjpXcA&IRu9^3Y_5he4&jWPdr=TSVnbHFL(Faz9i-GggbH2Q+hb8yEks7#;KG({ z^3$ZrHH^avoJ4SzIHfxZr*cQ(BC!DL->@T=@${3&Xha%#j7DTTkI{%6=rJ0R(>z8aa;?W`1k0?+MkDf; z$8bfN7D9X2?)5ImbAi2I_8p?he-m-W>%r}+)mfvM{wOz{=&ut3ElPjJf zd`wtKs6)t;o(R-P% z;T#YA!#_((iVw>>9Trr!4XfB~N3zWO)z{>8kIRUB?{OKCWSMuftz>JD%ZPOLxQxgt z9+wfh%Hy`82Vps#!<4{iZaIxTHQQDs(6+#lkgnj`EdP0%uy_< zBfcG_<{{-0m9Q#oPJNfE#Fn?+jzZV_fCB~L8eQQc=)#pwHm81+uOx0eN;8(C1*r+> zfj|5RhET@k#6>Mdf?ck0eL25@+m%|3b~sYgK^|%1QkunJ4`tVd`;*q?AB zVF=+C!b5~t3G)aQMd-M7sS{QSg1VrGg`@8X>XR@UkSiJzRwJxVXcTT$38@1+wLKab z1}n5vyJD0bEzp>uG;n?VvvpIC*N7y`y?dP?Cwja_DZnYzT;|ISIth6I&pKaTu>+JZvfNw~8Ls*h= zret=RJl3^|_2FwuB}ya8j+Ff<(e?96X4GVza6k~$W5rmPC89oMJ<9r&29$>3iNV4N zl{H1Dj~seMm)9eY?2{+2dRHixiXPC2H1&W+q>~3UA_F|25q!K(28~Ga%KLNEWrhd1 zqD5n7xE6UfC>5_zUZuQ7nH63eS2+rKb#NrMoTrlABxlw~lU!*2q)E24$T=(7tdAzS z0Z3`C%?(Crx;1lAq9#k0bK-oKtoIYHp5^=t&E2!*oH)BB>-~f#d1abEp;dykm0B*9 zWZcyuz9FgQQc1>qUYX5dZhS)&%Z7I?0z92^nFoX12$aXRag$9DD2xp}5d;cigBchC zH)e>yxsxGq)?^42y@q$DEgth&50+4BQkqfPQF>8MpIW}aKXhadpMwG^sjd|K6thow4bp(H+)qy9Ki-r|^Qu+VaJ0jhV&8*72NVW|4L(XSBDoPMjbB?5hP#Zw}JRTzC)CA-uF6 zicKiZD4SB6vupqKprl_Rp+(Z@p?0j)2YG$J>8NF(x; zhcp6TiDc4+a-BD;EsD_S{bhvNymL8*9$GKVsk@@`l+u5uJ|>p<3bEh=z+5%k zC2G24U7*VNE}b{B2FAGD);rP(mdRlQ!d?jix9*O>?Ybjyog4&i$sK`f;UIuHy7q4n zxZVwd<8qA~1g>d=AYExsZwiNwC@JQEA>BIS9h^`g>a$90P3cNGf^rrmZwVdU_7-&7 z*_;Jp3rb7MmMj`u@zvIpZNe*8QssbW(~&PQ`%GIcC{~fDj)orEh_v<4M&wWrZ3LL` zWZH;K^w37+aSv@olK0-1|02J7s4M1AuM{QXee%#yEZ(Qgqs$Ly#g&ahUL7%>N)byX z;XrH)Yp;>xhwNtEHM)_uE;i-&?PqqwG|?KLY1)7w*NRQ~*d(V9h~`@}8pSO!G|0t* zPGC9BO)({j7#|QWi=jbY+k#HuJk5nM+(963XZPJ3ZioO~5`Kl~k{~F151oPYG@U;H z6v2sW;vjGh90caGuj$?(aBJ-d4EWu&Z4lrZWC^|sY&9ZC_u12Zb~v*K-_xt3GAluz zZxjCBNFH2Id6+Vb@;Rma2Ksbhb)N}>ZCM+(qij#vfkmSgU+qZQiPAdUY9&>U!n3)5 z^@LUFtkNkxnB~IqtABVN`J*_Jw%R3c!Y=u{9^8m5^Wa86R7%E;$W9*Ii1hN{M&wKn zZbZg=a3eC!gI&?5j`?9D0@6?~KBRm^2`LLmy2)QCFJ#-H`E|fR=d2VlRuWCK*I1{G z97Ke}QD!y@k8083C{4H=jxw`RqDj{bN9px*O_Tlg1{&01SU$4?xLasTJJE~iV%$Xc z>iegzqBCj%0w^#tzG;-3J`Mu6+>XFB%FSXs0@Elr%^L(}zcJltPu#kiTXo z47=NIN33}hi^O6|RZ3$@8%hsK`3>~`b5Iug1wk7Yh_);mJM+~plwB$9DDA^j{{5As zQR%}wG0T-+`G1s-$awBJ4{Jmo_OM3e9S>_ne)6zJBze_)yX|EM4{JnvdRQZJnuocf zrDFl#XCJdxETnuw`IPcm*d?xX6f*5V+Slxw8;{Zi`=yzV!UBAjAX1^Cb6Kiq(mwm- za5}L=NoB^PG~MpQ>8PH9yKjYv4CFdFQ76g~v3?+eD{y6rjGy4Fmn`apejt@_6OO%i z{K%&EOQ}8ksz<%#fNrdf6Klkwl);qCD7RCdro2n}o>C1`++>qY+lLYa9auIxvQF$q z=|t&F*`2ZnPrHP5{`CdqFKBl}0J_~hv=KSgLmQE?9@>Z`uY14oro82$jmQrk+K8;> zp^eD49_or#kI%weiPJ>6_=55!WfA2o!qoB~JPJ8? zS+Y)0mx|5&3KE%gi?dr&QxIY1pFzJlYX#+8m(&zQa?VSZc;d{L)D)zuC7z&Pf&!8n zFqLF*N5}0-^l9)7F&}}=6(Rz6eTZPl&@6fwfx9|LbU)vOY@@-fIVvL-E`*`poC8R8;tVP+J(ur~y<#bAya6}Mv zWs%sEvKM7<%085CEFAlW-P6Jmk3c-2d*{wYLF-`9iYXa33^5B_TQd@cJz z^$oN6fpV?q3ui{pD^=lU2p$FXeA2Aw`R+CCIeYT_b=d6a`G~cnzrVq|(eo$Px97ZF zoJ>RC8J!g^Rul+xZo}aXZJO>!d!GI8@4P&Ee&IgR-(O)x^!&LV_B{LjeY!$z`yZnE>%sP%U>W`z zRwa7ALtlH|O3z!@h@PK1(4M!`^QCp7=XV}&&$HjZ2kS-8KR?x;XaD<7ZV)}+Xox-E zQUCv2O7y(@LYdTI3c4`>-Z&y0=!`x|c?J?}W)o@c+m zAGV5~54kaVKCx}|{J~r8c_;nv>(xGb{>|Ode}BEs(erJl*z@fB_pPqc^P{KQ^Xz~B zm~PSY+n==O*{^@^9?|oK)1&8^UeWUnU$*DjKi?O6N6!y>!=7h<{zmqTo?kJ?p40t@ zzuFIsp1(3bdj9*d(evVk_B@+k9y=*|-uBDr?>}R3^nBQ2d!GIJw;vKcf9R*^`S-)4 z=imHl&$I8}`_7A=H>+UtWA^9cxKYvbBdXf-?e)LE`RM5R&9&@#_RsgzE28J`tYgo! ze}1=&i=MCDFnWIYgy{J`jicuq+!Q?@wW&SN{`tID5Jzu_^JJB3O^@^8&8(s zP`;%s4xfvA#w_IU6=TMj^J3%>wLClB`7uVOs1-IQKmO!w897f^qn2}KqkTrS z8SxES>ANj!PD8PxSwx`2*A09|jB|W!z=Q25dsB|2oK4At)fCUc6XdQzupb{N_osBH z^q?HT=gXdabzpdP`j8p_kXg29@WF{6v6|zr?Oydj$*>KQ3?-8q9w?b?;enD#G8N|7 z@y&ZKuH%R>eti#Bhf!QWtm=3;fJtG}| zeQ~X_-eFklLwZb?T1qmm(}-_~S;sY9Mg&S=K#LIp`-`@{h`{w05nu_6d>C6Ju|D*o985WcHRDje>P|zv?Tm1m`dN9-bw}tM344}YgM`!6 zKMDt#b(~uL8S9wzpS5TdJ5y(-s@0~^_(txTb!x0z&Or>r75FJW+q#D#0U~g890V4P z!vu)HVQ~;xCJu)_5E#oCGw^``_?h+a1tAS1a3CB6a1G#Bl0tAgaQ_P55bR!YH5i{7 z5FBr<6Tr_#z||JJ9frVxvk^FGHUhU3hQQIX5x8YA1gi@so1+n@u_Rnhxr6cyQbgJaa1VH;td4&!u^!;S6O8ZZxzQEQ@A z=P)_cf@e7IZRY6)Tks6CzWLZIms#)(=e=*5WVXtcJ*LiK6%8Q=i3Bs%gp7bchM-`# zI+N{IH*bM|Or63o?Sa5p#`I*;L*Pg_2>wz6dqxTDxUR$hHLmJNX23KDf-Z2b!B>IQ zi$IYKNWBP@$AHv}Kv@h(y$H<5-v{YefWTcJB2WSYQZE8`Z-~HM8X|BPh6v2|t+_2k zV4fMxO(6nzO^Cn&v=O9Nge4igJBez=-WR?hWv>WXHe&rASsnUN&Y)aDxr_1~WgaDm ztEtE*#v{WGf?y!4!%-|7NAuM&lw&E!QI4maK=hOW?WeqCPHo#?$qyaH9ypmKV_`aU zlw>SSzayl-2Tmpgg?^J0Tm;l6j3UKkC^9;B>`}& zc&H0LUwRh*@o7c13z>YGkJnKvMzgn)z332wn$$d-8>H4$%li&7#7QNNl~`Y{`z&pnN7(cZRjf22paS*6& z7;-QI$HPJ3U^oaI2nT_q;2>}W90U%3gTPqCn5GQ^cqFEKErs@4GLD*!Z&ePNeLjLQ z_URQl7=b>zA_pUIt6&K9nH76r2+ZQ`stL%hn!6?JU)b_7n8FhAGG!s9@l1znJQ7VB4O6H-$?AlJ+d!S^}*8?Sk6=E`!OeT5YY+5)f zgso`&gK|-kQi)QTQY9Q@>jumlSXKC*ni|wD3K`uq#) z$ORszEOWk$)|=N^GnyG{$$E!r$~BiB{O}E97IW_*y!bd`z>yX@Ln9_<)M&0hs+FPR zAe}fg4uXQ$&>3|LLnjCSSWVl!UvK?}2n-d$fN&5v3JwBCz(G(3e^Zi4(KqS+;8A!} zxLt%1C6x`WZ|v12g)JY04OtgjQTC-AO*xm62de>zU~t$r2u@>_IGu6^%Kxgfm=f9H!8*kE}`gB8#1=$r&zi zXZVGJJ%*p(dh4PFY|seoF$C#OOG!ov40wXXH^ki=A}~#Ib7zRaT^J%Tw}s}i5P`cX zL|~fa=`A6joISUM74XiaxmUc|BMQXDtP;0S9;dub`G%6mt0|gOeAZcK4P$v2PC1)$ z4rK)E#<_fT9%W>>Ls~riLsp!AX7Omm;?c%KC6hxuR5BUrp_0i250wnq-()J8yzils z$uAx%nIz+Jc51D~qel1y&45rXYEf!a>V)3}3zq)Ge_~pZd*Uc$?G+u2(tW`)7K{=d zjMBA1I~awzs2R`0kH+Nux7ZxdvBp0C@~bRrOiXh;AaJHCCb$$gwtmA6nf9BaH!e}z zFr|*sHq4MG4>`1sy8t1567VtPHnd4-6bUL0f`XUO>6|LQJ7)XVTo)n$pqf54xGO~P zgSAk=X9ooCk`RHzXCp{22um_<#1P*QOKIhNp~cR`+dQH={KOJbi?S)DJ>_6Zc~A|W zT1JM42EqBP3>Q!?WVIN@R~Jz(rd$%9v09Z3aP-e;V=g`79KZffPBM31ST#0f)%e*% zB$N6cBAM*yA(8=*l1wC%Gdx5xxz3i_)~2jOsTbZI z_ry`i+soQUnWI zc{77?^R2cQ7pQHRj8*H48*P8wP}eOF&4Sm9*(Sly>*_*Y&t%E#n{0}&+Vsa;voV6e z^vApNiVgv;=Llm!dj`SqvrX#=?HL40VL*EZ0meg#U_g5Yf#Mf%bBJI83xK&cMBuIr z5xDz81ZJUTyB%;>hyc@3dQphLT@oU2H-rcrI~zfIJD32*W`{i1=aB`XA7v=zD#~Qa z^OPv1rjnU6xg=aa2rgxX7|p728DCva8AG{(awTPKh>gEh?!F2Wv%?IcGH+rQh{}~? z3mKWreaJ&4leaxoGFjrGlF2$ADw%BWp_0h~9x9m(_E5>>Y7d=F%SXMiBQ4}mFzQp* zqpVM95FQm*KMFZ~m0^wLW}|c$z+7_(S;M*ykeiLtl>l?wA>%L=t7`$bTT`wnmN=v4 zVM5PH#f_QqC=PKU>siZ4bjXDO;-H*+n5JN`oSL_&oH{jbU>fyk(jc4bYGvxwxXKW+ zSwdnQAA^TjDPE&|Mk%GNM~UIoR2X;d*l=hNT*V4;HDw&-8p^el@s#VrgMwd|Ze;Hj z(pE>IR!3wq_Y@D140AroKr)%)0g}m^9w3<{uXxw4BXvDMGTFuhB$NF;U^Xor4Z;g( zF^WpD0cAr%-CAijB7)# zku&LU-V*!$+1b=x4G+zYr&`l6xSg4g;JO`f`k8J@)tZK-ld32exSqQd^Rig5L4X-i zZdT#~5dqgK&F$ff2;9vfg50&?as=+m5JB#~aB~E$xJa`Lr>YU;E(#Ar;PBZ9(o4dU zjB;A>jEEk&GFoxlRKjWy3wH{F39JtjDc4hOpxj8g zi4P-__-d7V<_P}8bX957(ifS-fPs9S{~Ml)(#0@qTMKpMPtgwlqTVVxUx~m)(a`$4WQbT z?`BZZ9S3)l7acl>n!D@Zjf-6efXi}rC`wlX&bH)`EI34P`7-f(vlphD0cJgy_b-+o zBDjN5%P{rF{rHp5J~ta;W`%rN4P3>zWp^Yn(;gT0Ne~pgg3h=u4*oH>+m67sZx9&d zqv_osaD5vDZkru}YuF%2H`&uo_QkOE;w2$%z2ZF{Q65IKM%+euiZYk-9VKt~9DVki zSrl%elu&M^+{S8gJ73*Fxs#>k-%&SSD!ru>6WM2fOWBCrRej~5j7W74Wdz;WWXg!_ z<)MtoaURNuTGzqKIcNE296G}76rj+L4jd8W3khfQi10xpF z+!U(VyzfjH$qv!6D1#0WoA;d;qs{xyj!|-BQM8KGq-!RlXd8wOx#Wny>da`ZBAq#7 z?edH6NZzJJjxF~q#v(`!8dHPDm9@nl45YW$Su6)vQ|_g_K>3KWj8d1H>LdE z`Sdxc3%!EiE>?)UDfh5yOy;Y5DfdzC=XKV(z}h;;GbMdWA?UIeD@$(R)_9L>XS0eq=ZDOylAr))uK$sr9c0!9})3b}g4 zcra$?qRgjk(GGcTE=r*xoQpDJQ35N*++7FFjuaYlV3rvo=#p5vi8E!)jYjeA1%m}{ zJc{=(iVt~=T@u1giir^En;JAegBt0s4iV@+CGHIoxI04x=^}dxewNJ|4@S804Z#Dd znwugp-qFU{6ai+W`1}kE4+2c@k-vs9Lj-^9dXOEC&shor%KDUTDSJ@{P=-^kq1;b- ziBf*?ygv@Zb%WqPEDuvC53p`L$X5?h9;Q4(nHsK_77zbeZse)sNDpE}F7O~mEGN=A%GXAf#ci$_ZuQg6v>u@z-&$~Kg3`PRxW9)*0pkoa{4 zAU7JNTL68`WE84h79ElsjnZX+E6ijRW|_0-klbjL?gUuNFagF@=^^tt6-ui}ZI4^a zFu@F$T3*zw?YtQ+}@XR2X#+vaci^OA;$0<)xo}@e#9+DOgf8mI9IX3q2 zMWmyLFCs^H_#%?L;XUbQxy8d5k>@;o5&77|7m*4c&WaX}ZNs-|S&d4uJ!J<QG5u|s6C7CnrL^-Ak;pSzaJ4p2-3p+dpO;{6lru3wo zNEt<$M0u3*7Ue6-Q(W+Snx)|x%CnT`DAOr3SWKSBtKt`4cp<&4`hiy}?pNLpd4xWa zyx#r$3Mu`6=_1n3Ll*(WDVZ)J=XmHMa+8NH0`Pb;Wkt(ItFV3$?8suV6Qwn!4W(^3 zHm-IQa_OjOjWuf9?QxQ#bRtUE0L0MxI1#0*00!8ntW*v}$l5F*(Nf< zw#RR~Ll*YdJM0zB1tDEJ z)?)78oYIMM80B=z7|NZLXDRPfex&4Wofo4yLbS+>EEF$MW>Q|Jyu!NiDqp=uKuKCT z{-?@uy@xI$$-CX}&y)Ecx`_Pdp^L}{9=eF^?4gTDZx3BWhIuF}S~=SCk#%R5id`tX zQrc14hx^7=jzTUSChI#+D(#fALs149B4vl7;Hbprcy=httdEPy`Z^S~Fgg@vkRf=4 z!t|EAbGC33ckR3_He@ip<*uHs?~}WI9#G4`P5#6UbUL7l)Qvq#E_~v$5P`cXL;%ht zH$8Dnh`?PDBH;aCdOc`9Ljg66Z-^T&M4-Sm^hV&)am=xF={TFkAkVT1fA3cwtV3x@ z*`0DYC2#Q@_2)I>3}>-GyiR$8@+OPMTYNQ}@;0G}Y31-$4jP|wAa@H6t-bfoM?=|t%q)`+Vd zh5R`zHDtcE)W}goezJ}lfQE>rhU7+~bPK@Tb#Nl8mDujMx$odelr94td}tOLBIUe4 zbSJ<}MbY|Wf>?s}t+zXXs_}iY-SL-Rezopv+Qwg9II5}J67uUBv&haCN4#Yd3dB*Y z5+f+rQ68YYLMgv^j$5y{!?lCp9oC0Al)0=K@AB1ql=ms~DD%UHE2(;vURSzbcV?i{ zb&K3xk$JUqX_t1vpIGWBJYiMZuyp)l^DnzPW^VU8bMim7JJ$7pMx>PoG$IFjKqGRx z2Q-2ug=El(JmLY3$Q%!7M3#DhD_TQ3hhY%x&Z4mgr3fYf2?bBg&4H z=+b#b&Y8>)PYZ$%STsIlmH3DfQWj7?rYsB(TS=7z)r@6gYVh}$jnhhR7-jxtZT^q4 zLC<7KO-A&7(vBcq zXJ4f)msZMEVP$2ml@vB^3dXWj+(Vf``GB&NlDB}4#lo+`tApTc7Kv{t-%=J+zGKb! zp09ogcU^-k2QJR;-N%%bb<01LBu`rHeGxxoD^NrD|rrYm+a2`v=m4vfg&Sxn{@uU5d;fM%PC(drk`ae0xrK66i z$uf|-E|p|-!7_Da@5>oKl=QN$OBr=t!bcXI;9bkfb8v?d;4Moa8<;l;@OCA59^7FB zN@Bw}9|EPYpH=^>5a_9U4!BFVencits; zdq^Xayz~CWm$Jx1T+zzWEBrbL4rZx1gmNgQclcyn(I{lq5uJ|GWq>kf3)&6q1Q3Ey2g=XP`H{B+#$K!#=abBDwFNjC%x!H;8HH{1w6#4N?{evEP90y+os z;~3ZLZ`5%xKUzP1@#R1!Uz=At|&mp#dkKBvEZMGwT_Q8jHxuelGZQ;zi~&c)1?L-w`fL z@Rr^Cpx`fUl>Y@6R*`M;7d@yE`P_pVkt!b4h$Jt1civt0@SsNIC=Y5xMtM*pa)$@G zqLrg}xK$7w#(L3*ayX?gr5`Cb`P<}${5l9rB|s!MBBd#Ifbv7cT5JpjKG0!PnQ1X1 zWhSJgM6>Pv)?ydIb%_rYIEykz+} zm=)nv%B7TBDNj=7P!?0FQW{g*P@>!CmCU?JQFv+)RA8+rX4R<3SCuH0DOD&{!@evU zwiA%@*0o0FT~@W#K6w-N$cNaiCl6)>9NJ{u ziWZK3e3Ko)O3|M(fN~^dV7Oyk;V5L(!H?LQT&F&&!%>=F&1{q~>FY|sm)2Xu!b6Pz z6ME#rq_3L+4L1}A55aPPnT-+}Kq)_DpMBK?1g#S5|AZd7&;Uw7*5{n7CLqePHXb0A zX#j=02mHvx@Q7a}?j8`R`v>kG5UBMB8YBqx3L2(&2vXan)RcWG-UrUF41uE9Fvy2M zF>H9BB2We!Mhy}CvFkzBju`uG$J>9KqHd8?EO(F z3p}6^&;*k~BUtE82Ciu77#Kbr1V^z}98Ecfa%{LHu4)u=>CmyLvTjOhro?I3op%)- zi&A_@Piw4Uu9&UslelYUoAKREvvqw!HP7BQTiYjh;cQ)>FsPipbhfrn?%vrNfc%-e z=ZxEM#}B_-Z%1I398KQ_f!T00O&bJmryYS?Xh+~Y+YzM8>(6(z3K!cN9| zO#a(Y_N4Tu45N&r+(&tl5>l2^^48B$dFqfzSC=JXEy~)Ibtv^H>$0BI4?C=aMFR%1 z1L~NEpg05BmE#QqdoRk3Ej1;&{plloKf@g;U}xM{drhIl*lH{ym%t2pc76jMsqm zs@r!*>W0q|2e;5AL7?s*=#U_=)*oz-AaFe#1lIF|>+J|!^9F(2Ye(R^HVE88I|A3P zLGZ_Ls_8O2zE%I`+reVIGY8SA<9rr_8z_%ZUZ;FPDWWu>Y)9FfawH{h{Twa!`UF9& z$11Ttr2(ZOWdjzC4f(23I5PNT!V#LK)2pgNS5+PKd1Es^Z#?edjL19>XGD@0z1MFb z4LzI@Y3t#P$e|w2h@9o&jL1X}Z$+OsP9n+XWY&sPD5p{eQ3i)6#+8mjJ{^&PC{3?r z9hJnUednrV)4nrS5}WqTO4j~8G}#_*oi&a<9{E;m+IJpHbhtAdKU#pGJuY;)yL+;` zc(&e8&TXmo98&~R$EDPkebuvN59ZOQBi^5QGz%NAf)5_SpXiX|Vdaux1s_)a|E-S5gU6X3+=wJ^c|S5$ zrg?B9@~#IrBFj9u5n0cJ8^MBHGHyhAd9W*5Kn90r2f=Bq7^hRtpqxn=5^f$>KnnSF zXouYF<8nAkAtD@(G9R|FUhmd&X#izrk?e4knT-;Kh~$Q&jKzi>jxw`Rq8)NG97QjX zAD6iKD8f!eJLK!y4tZm{NzN%Qy@M{sC3MHWf9e)Gquw9rkKkjl*>5*390Z2_ZrV2p z%woIQYe(Rg+7TF{Q@YSjpI|&M;u}(MNOcXpC}g7}*1Cyh;yua_lmOd60=53YTs{Kz{lI=Zg6s`+?Yam60_N9+l!w5;-*@I} zBLcV4j^HTUw?W$m0qmyvm7r&Xz&W-fNY~iY3&JsYA2?I85hW}dvA#{L4V@`{C}&Wv zpxi}yjxvw31X7F{la^siI`5z~Y{i0^UN~Mh*hNfcbTyKZ3w5wIeW#ji!Brz-_Z5`1%{ut;Z@m0%zQgAYEfmUDQi zqJ`tUaE~Au$x3lP!b zkItyBIk$rq&4&}3b&O)-k27zo$b$_jttk6aj;7=-ofpFqD%_hE3aAphQrc14Q#!C{ zbmXhu2&Y?(_Bl$ImR?rMoHeaHFa#Vb;Q?TZ`Gl(fzsu21YsaRn9m)IMms~2NJ$MnB z?7@q`0(Dkm0g|shcoAIKC*wtA3lCmI_V!>_w04XNFA0K+SS&84Ttc~&GCGvF+EK`^ z0~ChbXq4vHvbIWffs4e4eK(C-b~MVYki!WVSSuZk(rkN#jz*akaxr-yJLJSip-qyT zj-vk)SIEVrf3*Tp%exnh6$oay=z3SnQ~~UebA}6T5@d1=N(C6;LXQLie5d&pV#^%? znj@w35!1bh;|+E-c2YGC$mmmOu2>fIOT0h-tsvr&~9NhS|^}Hbf)Z1 z*@M!BwWBLv?Mc}yY`R(%5PZHZR3!e+^0B>^j}2HpHuEq>q^pN9BFA_bBXY5aF@i*w z%ovfG9>$1#>0yirhDQ@?kd}|p;cG!~8SBO6lrfYm!ufHPqmW&Pg;nP!qm)-|CZjkQ zHAJ{R&aCgIQH=oG4A9G6~u zg~yYAjnc3Wr5nq|zI?SGWq(R{!cJGChmMt0Ga|6{&pdPyP`{JuBGSx57m?jPbP-A3 z?>_$mxzIxwk=s3V5qZHwS<#wt1xYtovQmttTt&H>GLCPzeELWsqmCRidwyH&j$lCQZ&*mngG}WpHh;$}n-TFVN35b$I)B{A$ux`)fT3vjJ z!AL1UL_I(%nmu>?xVj~G1cm}{7Tgi2^#?rf5M(c)*IEaGTWd#PHXO}LI|8@Qj=%ul z%`Q6vx5$nl+pkHN*wYI_J6MP}#SQj@6NUq#xr#EG5?wm4$WfE- zVGH7}Q6CQA4{=YvI*@V@r5EL3$|3A=rq!d|C08DTBtktb@gPQI`ix}6h%EFVMkIN~ zyYa@7yyD%VqwMBEj7ajT`?=@INDpd7tH-#oM-W`Ya&awXJmosdgz&()>QTt5!(@KV zu({zVO|qPg!gCxlzb4w;aFpg+&PHKV1@=#xZ!a68!%>=YIU5BEF=k_x9g?#FQGCd| z=#YycoG3!%`ybT?L@6S40jXjLC)jt#kF9BuZ^>2(0=MXnz_iF$8IbB4I-|PsO_%7vND6dgIqm)u&w$F=(9THwjfGG+@Z^~hmK9s{LeJTCI-P6jE z*B(i(9DO~65gFkji~!D>Oc;@8JcJPmJ%kYnJcJR?vO8v3+j~eWS~(_!*95^tmWt~s zH&AY*+(eVg{O68BHXSjZN?A)KGZ!V+S;N>Wkyf1>j8b+;DA~a%g@-J+J@Sg=tDCtf zVXuT1Aj+;bvr)7F(anHPlC=OaYvg4tK$IkMdbKk9?kEv%*&TsfbVs1ZALx)EFzk2J z#zCNtALx!CaI5VI++I5Zx6_UQ&eQDHW1$^Ey3C$l5$=!ofl}8n%RQo`vJ%%y3L7^C zRahoAqO_)TryNJgTR+EMVZX2|iF7Cs{V4+|M^Xk-j^e|}(c#5u<@g^f$A%up2xu0` zj1f7^!x)j_9>$2=;9-o&lODzh)+CY{Bl5e4wW5{drtrNWn8Z?XGvyXaN%%%w(I{lk zQD!JAYo(MOiZZ!XnDE^gDu-cnLs2HhN>^a5qTG-p4L2l<4G~UnId3KF`Q+@C?w%|J zoH&c6=_d6%m!aWQaD1n#mB z0XUO`vEzxyMFj4a5P`9aOm7G|SB?>Gd_xR@$BY*ufXj!@7LF*XfOjVCIhL{xah z?+xO?Xv%GrrzmqNd5h;o7`h6pkU)n5aV+IH%JGyFST9cGtCJ`vhnuFA!(TZfOXTl+ zC?oQVhcY4!Jd_bh-tX>pupHu{jL1+AWke=;C?oQihqj`Xqa@rQ2ySJ)xQ%i<1Y&9pVnF%`;Xc2sHT{c-Q1ag7@Ab4&UK3KW zj8d1{MtF5TTTv-AyWwD;Z({XmW;uCbsFV#${GAe(k_Ru%Mt03U+aO3$W#wp zMCN+nBJ#5bE+X|ka1q(j0~e8lJa7>Vu_i-Sv~JuP9v%dDu~6JixrZ{Da&Ne2T-_+- z&=KROl%0w)Ls4Q)H5LPG6-9a~<^vafomOV*88&nA|Ky(j}H!ztHL?x#dI z&nuZhlQZ}<_wGHkdfXe{5(M|LT-;Cj4`mAF0lu~JI~|2=IvQdg zww#@k-67YT4pB!XoB5roQtrY-nE90+a>ZEZtz7AKNzw zjMJ#;-5@Z~ceBurz-_Z5upJvVY!IZI>?IjT!o)YE?38N8Auw^G&#;)EM&KsA5$MA! z#=8+X=p_P2yhO0N&@Nd(VqBYo8(Al&Qr@6^NvS}I**vds&bjBXi!p*V<6IVr^C%-J z=Tk1AT*zL?YE(D?Hyk{iDXTP&#Qu+MbR_S2Z?&~-4T1+*EFPjfOnD@n5mz<}`Eb5x!SIW{$l)!`z_#gt1Z zmr_Qvo?I4=c4Z?7OhRT-{=0u&9|UvFziety?q9WY>E6Ete}b0#&n=NB?{|OwjeP3? zj7ai+_ZBT>OAlZ~x_JO2u-;AvjL794z=+)E0j+4wcqD8d1XEclrcoZHJVtpuJU6az z6td|+(l76)q$5!_zls})(j1!`iLz-H-xKSsk;8@@4QCCL^li4q#2eSg36D~8$ON`Y zZh`NUg@=IV^|13hqa>R_@APBUushjGOTHKIXx%lyxXADZ5k3 z@19SGmmt@~uVH<-mNK4l9c#t}zM4q6p3CNG;qdi2cBu?w#Q@GG_-nf^9=eDe?V*dv zMIO2c+$NIgBJz@lE+Sue=pvH5?A~lsY3`w{XyJG!ye|lzWu3+9NU5{fBsQDw%W6db~_C^Ho$3J%GQMJYI>eU=*n7-VzCPt)hNk+{-&?dpNM>?pQ`ms;N`(UNBF4^RW zb!}pK=ten;GJ2QOScDkxe|95it6aF(Y!M2QwlUcrYV!n+G!@$-C|gKao#8xD_oP&xhX!!3!)I zFH&Bj%nYZ+J#ZBA=>REEcK~uuO1cKn#Y{zkKHpPU0diwex(zVYOht*~hFmr7TATBA zDPS_Eq8<_q#wt4GdD|Q}=1LZDLJJTSTLRXt<&*PR%C6|vU$0VwhVvyQ=3rcoF&7gBOts9=wQb?7@pjM-N^^j_}|`{a0Ab6Rz;uXrPl-DS;!qehPMi;1*i>1v?=65bj>i;2@Zld^*oB@d8SetFNs;S{py2OqT4yzXDvWnsE zAm5KHY}^#oWMODVX-DZrIfZfwrG)YXdD(nE3vAPNwvo-+VRy_P=z5|@WaqPkFtn?nQ+H;h0xDRE_p zK;43IU5LQl6(Z1!LfjJ~P>*0-5+cwWLR=6cNbd(rGH$*Q-w-!kIHIJY87!np$8Svh z^(b3X_Mr5o455sr+(Vf``GAtQdR~lMESmm3$O7>Y@tW+BxdaWAqt+oVDQz%9E6*STCODt7jpjr__W=DX_JANhU zCi^7LQsWzvda_47+1VkFH*7+M*q>$MSjtGs^^}Jx!$F z&QmEn8f7MyjJ=mO$@>u64R*EMnb0~``RVj@r z(cSZkG^&{yj${)PMdB3}j#v5WHOef?>y$UbegFN{qkZYaJDD1B(*IFCBJ;U}Je(1^ z%EK9vD8f|t=5x8;t1Ol?1~ z;*P+rw<7=uSi3j~++I6^0lD@Kf^?xhHD*5q?*qlJVa{+wNmWI!U3^LZ!Uj%39hQmB zC><$>QU+6ER?v&SeRuiF{S9%*s1{dfmP|f^=FnHDzCc_d(8asXhV)vSFqV zf%%w1yRxnb%tsVsRfgb%6V0SKcp?ZC!G`xKf}19p5p%pr5h!~NZ%_mZUc-&UPYriyhnMTGLJH!@&V;T7L|{}tyfa*_y@18 zCX`NCY^qco`09^dTSZI)zwrP^Bzf6;^DU&M2RI_h%ihNwFDH0_BXXGsI0DDKWZ;Or z<^iv0?N}6Mg5WDwjISx*P`;%s4)HF`zqTsm+7aWdRK{58;!B0e-N__jWhf%T z`|c3s3#m7Y`InHazm$ z8aw|O{L#u08PiSP_I_!myzF6($RZDGM5=jMBhtdd8j(FctPwfZ!y1uGJj@lX9E-Uj z^c_pZ_mm$fKT?*2hs9NnLWUjDDJgB2f9%|q00TBz-*{}~2qNoPiw$LiC$t&_L)j@+`kU{zE1CH!Iio9_wP zFpqa|LVY-ZMdAd?g_N5p(yL-{tWvj!KB z(xs&@&S4UqR=yXK=P}zBb5wB1;s|iD$;1(<;vtU6 zrXJ#mB=5Z+IZ(^Tl5k8A{KR^(l=3rW8D)9cAg+8A^6iMRSBkhRiM84&GbyF(0V5P6 zBA4lkz;)JYBgYYWKrKP!Y26ff#Wu_5WSiw?SPHxou{-4)>2kJ9xtXa(8#OLw%LGYC z4MET^K>*Kml1|VoK~VN8I+MGK9*q;X>yChn?sm@|fg!+~9u5L-xZ4GH1g?35V4ZB| zCf#fY8x!A<^sX>^SIF|Q8!JL@%4w9#DR)qwp}a@=fl{5)loH)TuVjW!z70q4;s6!m zJ64VF`RWJCkC0!-93K`J{o3PeaietU}wQO$myu+#XoMT)3_3-@Y`MGD>b2jGr z>(m9&^QX_Y=j^@k*H)iJ&wo4Lp7VZTh4d(NjQ{<>>%^!(H-?K#UNe+^s` zJ)d%|J>Npln=Fr>Z*Y6`|3CaadfsKSJ>Nlp{|y!JxuwjnQ4iSj?Dyx8D$(;NrrGnY z_4n7W5k3Fqsp!A|?K;u(7SG%B?Dy}=deQS^Ua{xd?|-)j(evBhjQ;-W5qvt>DVb8OF{%Z}1p115~&$EBNuMdx& zA8~*^&whVKpBFv9`H<-E?>Z`a{y|@Rp8ft;9vwa3;3#{Z{r*kAB6{BA1bf~=-(Mrf zMbAeMvgg_VzFj6n&tDs2&pYbx|K-N$d4&jYQwNGUvYkm&SEQ8`mJ?;IYVIaS#BHKtD4KeIRf=90cGZ zbjMeNX%7TPb6Tw>A<7q&cMFpY1>?bbE{>}&*XNeqG85JTXA*$5mh z8-ZI3L*RD85UehUY(8klcs>Se^LcU$N@q$R${Ca^D0fkwqs)U;ShDmdvd)+CvGQj= zWiG?31vvq%`z7&4ls+Y(My&^kz^lnuy|tgpC@jz^y-lwl46EEVA_doG#SyAEJ$-NH>RyB$MALsa}u^}HdZuGFpuyK;iCX)|6Y%*ElVUvNC zvQc6Ul3hJ)GU?-ClgZg0MjPKMQ;|8sUIE@us23F}l_-@dRl@yk-QYZmTE|TdY8UeN zLe_UHD>m!9trd*Y!3HxsNNp^+$_!E)^vtv32B~M48>BYqnI8q8`kgVOHH>uLj2NXu zr>}+cXS9Zq&Y}^cbT#k{;2=53m8nf*YSUQWrZJ;7jW7_!JH&ie8BYUI1m=Uvln1f( zg+TGGK#(C&b}P2N5Gc15TVDuj)y}RZAaE-Q2;4rxh>~LNBGBLKL{@`Qlu49FDQ{7} zqEw`8NNGjcmy*YWgNq37=|gj+Lns; z@++^=F${Pd{OB&U!+tQ1L4Y9&T7^}qS`C4*j9Fy>>?!*Oeub8oivy?G3dZKP&N*Xh~U*gcf0dmY=T0|;vZ2g96 z7FJB?Sl^P2dWC^HhD4Muv6N&KyMX&bd_&w_Ap&<%h`?PEB5*f^2;A);0(Uit0LxZO zaew*yiU0vv3;f`{ZvFKUIA}J4U3N7eyg|%H;Aq(h94Z@u0j8P-Fa-L<3Pv^p`?xwC zn_md@Q59=n2<&qzhyM}4JCt7q_Pr38&nsrv3&D=LMK1&fifWd;5SR}sCv(;x!Ff4L zQv}LvMNbC7Lpd)~1ms3?h?c z3e0xf%MKn8ne_C4$mBE+h)l+LKxFc;2gs)Nq7JEeby+3WqO46>hf*)RDEOrD8&SMdXj@hYPa4^2MrJ8?LqOtTINX zja?XwmA6#HSQ}Di2tw0_xU~xtaNm#U?}n%85vdD=q&JPetP*Csu|$ZUWi<;!Zv=rF zg<**h!P^#ph9yD-dhLwNhrrQq5SWIzA>SZy*c$|V*@1 zK*gRH0=MIZK*6op@j~D%O%dS9v^u^DAW;$EjoK7n1>UC!6xE71DFSC=ia;5ycy}Uj z?xiD2SUX}39$6x`qI9A3qYR~7MVU-_p7J527=tAZ!nQ%skQHJBR*en$su4vf8&MjE z*RF~W8%s-v87^hsG7X+63O;M#5oOfVS_JbSME?J^PYyJ)d^BbG80#UC$pan|nY`s8 zkx4QKrcPa1%R?fQZ9OD17%V1}$mCQHkxk1-y>I|OnxJ0Pr>sX=pVA=goPF>p+ulNXP)v-=o#(&WoMYa^1`8ClRa0f@BG}mGhN^IuWd^`AbchPJz|ClR1U_Q46{Y9wioZd@2;KIF{~;-0spq*f4O?jI1CPc)Exr{f#csGF#H1pzd_)@ zHwYZ{1_4Y0_&FSn8U!ivCcPf~bVNy&tcU5y!Y+@&d8`f-DGyOzqkKjwrL0HUhO#H5 zxN+mg8?$UQVV!77*@V)JvMHrGWwUUHVA;|Y%mdSk(npU%j~*PxXYDX9^!s{1WO9-R zL?&ZAATmkjz`Qm~UiW~=WU&WCCbc~vGTF)lWYgNwAiRU0Qcx^5plnEKM3JyUT=om{m@{DHJd}*P*3F+r2BI|6%8N5!dDY~7&9>pk=#+3EN)xV_n-5w=YSJ~AA9M}V z?LpbR z;P5vH9Qp=<4WeZA6%9fO^SXZ_V&s+r`J%T?mS5;b}^oFapUw0KCkh)=Sp7L6$z zQ<{WN$JLEOzFsEhyE|r^^W8P`uY%j|B5TEEu9|t_-N1IoEm;hO4msc5INO}>E}aj@ zBs1o=+{LqHpt-x}D}l8J0Mp$+=dM7e;Ub)C0kup8_!HOA8P~_bPkau3(+h#|i7^cv z1Uc%>B?w&S20;#Y^8^CdvO$odZqjSQCr6YNy)=`)UhyW6C=Z9QMhv2irrbt(ijqgC zLG{@>TrUW=VU5_9vK?i6$_^|Ut@vt3%1+_B|CZvhsPvXj%w{wGmfFEBNIrNp=B3q4 z4~tBaIWSeK3bv0D3y^H)VUbB!4~tBW@vz9`Vh@Wn$ajuMvW8ODbI~YY05RDQJjo=QC@PR zQFIQ|%)5v^6Z%CimvYWwYLIJ&q|8-x-7U1G|0k}aGwKxvhc0A&v)pKUIS7nTjOpVb z0BJ^>I0zi`1_4aMw0naf<=rFo$5R*jwcY8T3`ly;oPPe*ghbx87><&naXyw!c{ZF0MZLMAVGC}i@Phe9Tm zJrpt!ACoC$vb%>uCIdY*HZ2^@!;^XOiAvFevN>f7O3ScyT;V9>>Xl=QITyz4c$Arr zk{ny?J!{03Ws4!{o9QUYvBh%ZQJQMiFif`EM$_#swoz_u8A%vZ!!YTWZIqvMigRbQ z5Hw>OQ_-xrBW-5O_uq|aT$^go6$~BbezIjCsoU{vf z3WD~m4;?5SSvYp%t4@^8l-((5;qVoX$Rglw9;S%&_b^2ydBywYTcpIp6v5J4GE+nr zdYB?o>|u&X6AxQO3rEZFLEeC(Qfx)pnz9YWj^>u_kQeguGM}rJ6p|Z^QcnxcMZtUw z1F`CBksFLsYYWari5ZMiQb=wvN*yjZ7bONRsV)~epD;DLcn;lhF-Q|NyO{TZQ}&^BV_iv$#{X0_0uNlov)H|BJ8AEMi(r2t87?9tJa7@2}3&eJm?I}A@TCtGi7mY$jUNL@)*%4GT4kcW^D( z=IS=ULfaSr)(#?J97@ok-} zw?Y$MOhcWFu~=n=oNKqTM%%S<;n)`T#_0~G%K;DC<*cXd+IUTlZDDVmUnrU*$m3rw zGW~mWMi98ob_8y%9f9lHAlRpy>DXgO0D)`RAUJ1)Y1Ly#0D)U#M*wc0Zm=V8EgA&r z_IgQXuC+t}@DksU?0D*LE6b2^rEo1P;GIbauaLzc&(#rs?=T*mP8mbFlkzMjZ{NHK zC50He16d^wqV%F1OgV&dC@V*AzB(*iKP?~r@<9MOTjLwCH9ph>7m*1bxQIOFfs4rd z9=M47;(?1u0}ot8+IZk1lDy%5<`69(ZP@|ene}29%C3}ll=keI=a-K{HeS)8C{3|t z>=x1NH>uw^Z{?677hB^kUNQseVp6{YrP|b=W2M5<(xiUJOU+UHxFr1B@8ZaPTohJ# z2ra_QqS%&O)o~+IvLgY&fu%oO-rDM5xi=RX^@2x=!zw>Falk# z#4RF%`Z?Gz0^O^`^&tXB8AhNBmAE%Vux8pZu9Pc6HaOxf9Z@7^vQm6Z`IWK`r6r~O z<~bf-4hzo+f<7z{hg14e`mtmj!B_n$1Hwboy5X-IG$vr(5Y~+yJy;Pr$b%J;Gdx%k z+{Y(lMP#Z6Dn_n^tS$AcDA$L!9 zlc#0|R(T=v##SMIOXN6u(NOT?Fa}hO~>|ecP}{+C`AN zc`iaw!-7nZb`ih@vA}FMPRJRO|J$4H`vn!cEgniKm^~A^mZ`1 zz|N8pYv#zxumhzVLL$T}Xnh-~kni%9Zj_o;(qu!k-pS9|Cp@{orvB5!*rD_S->anr9e zi^cAgJt$o$UAY^WUpopJaxm{}eqGmothLAxq*yZuCCvMpW^I z))GzOF;lX3AE1R9tcfD4_uSigDp|Xa)K>{5Gu>TZ)ppk_>&+mp zn9gAOkFjS8mhhk^r5U9ir57b{*&MZJ5NL+MU>1(kSR_uToIyF0GK4aeOvJQs_zDLd zPI*z)oEKFmdY~dO4N8WJ$bBBDh|KapMI?EpyGBi^<$;RGmL8~xbo0Pfv~Y9-)(}G& zs1*BA_NR2G^dJZ^ziD3 zZYJs@jK(Ur`a5u~&rIoU_9<-q`$G$8EM^nzFOrShSd6n`hWd)@^Wot_LMf2%%P!I+O!AO>d z^C=flE@ZhF#a9kq0RvcY2T_@{$LwqGjVCdN=iAu{fA=2<1>pZ@N9@*N#H|95EhBb{1BL zP(?fBA1!c$s5bAblagqMJU0-f%<2|dPKaoS+zdp~>`Bqpz5A&32g>=0*6c~WKa^m7 zIbG2SG$|%Fq;E+^oj<@0K|WH~5A;Y7sO1N^Aqdp&1I-Zx>h*zTb_AwHZu&O}4DQ|Z zZV;FjxoO-WaO>*`Op82ST_#M@=+MG{wbd)DCcLt`%|jKD1ud#EDVw@#*t$ORs{iq?+aG>biq#i9@8a7tfFKLTy?Yeyl6 zjuj~=m>O)L|) zQl6yDp)96Ur8K6rq4au^}aDja6;fhEF4_8Ds_Had{qld4erK2C;WJj=8^rsA<97!3- zjiUV0QOKUdru~SQlAS~~X@3$}EGF&4PKh(IQy+DXO4jq~ZOOSR*|hJBmDKq|nD%R0 zKW9#GIe#T}{t(MHQFe9C`a^B*1|EHE)#FSvA69or6sgrxYQWwX?}LI?OaIg*VU?^M z*~r3{O~KDB40R}*Q94o%r3|KAM!B8xG-Z6)JqWI2&6vOa&}52 zo5+dW9jDckLPN}*2Q5EzGe9PBcbt|V$_{z-ac8bXvPt!_OYTS)sligZ!Tu`V2P=Jp zy`mW_WP|)O=KL6&rl3C$hEc{*?xVa&$=f+Eo`Z@|I|wGRHr!0Pg;GMfm2w;9c9xVo zLP;yf|5!QZdjKQyn+Gr=8+ZUCxS2@?j7V<}U_^#_03#SCN(PL`6CTitR*qxC23!t8 zy*Qq70_8-?N#U5d@=?g0BWonhaahHMWGAD{>Np$tCDqla>h!P(W0^@({%n&fQWVezz7!^BDDNI)O!xoYEJZuq|YA3TrWPJ}?1pVM-wul_;VT;HR4`W3u z$4TK~+!R8oIE8X5We{a>xOZITC}hi#g+=LLloCVCU=-(~-W9Hpml=#QP8vEG#kr_z z!WHtmpjx@>=1q;OUKSW4yEuDk(xVg_0?QBU_;I4x2Kkv>Atyv?)J4u{ns!f<>fY?k zrpb~*qXbDKby-Ty*jEYCC7a|=u^7yyd`HPpHm0#JO+o8xHp7qaXRG;%9)fQVJ5D46td>1RVU9vDLWZu2BV~XM`efP zCZj;>u!-MXHcO5jG9tpJ6Fuoz1Ha8!_ae;_H7b<}pM&ND^5x84J1gR1GUrf3T>aj;2 zYt+OlaR=oY%6pU_DAg&^-Sdi^HF+ewG6<%!KulxNc$BXmqdZP|g7Re8AuS#L(h)J| zSla^`ftN%wWJG#+AR{u!0~vwyX)b{eEw&3zY)NDRd)HRNmSr|~oJ z>N#Sc7`%ysLmF+Q#vd(hbDX{(V(=!r@8RHm1WDZj~JYaKCKPBl#jQ>x}7)Dlahc zgotlQ*%{T0qh8`9hrE0Zfx}%Q&?i@XElynnmLozIvAO9A!FXMmRDp8vddY;jF*m z0gT9Z9>9py@c>3-YY$*V_VWNn>FQ=8N;@m05L1I&pjM2-Nli4H5+E`GM{T zf?aI)9?R_r4z#U%_@N_kTkQyd^3%o*0=LeN!0oalNcY&&>%srvec&bwN0d}n+*(Ot ztEONe%fva9@suf)mnnIR=XhqB5!MWX=UFsfV3l~0@)Bhxn%Jbg9XI4vLk@)6kw zyv&0ck$XLu5dgoFF(dMg2QwlyJ(v+`>A{T1J|4`7oZ!K&X!$rVJcfIxs2Ar`E}&dU z85OpVD<6eyIy&J4e>p#;GImOXPLndzQJQIU(@`ebN}1^>O}H~&aOO&L-;?)&wsHnb z*7(VJEM=+-$GDu&k~MyER!ePnsmVg%*4^<#>~`G|sP_lDBnaS&&fzVzND!#$2ihYD zV294H3)}4oTmuJzTWd$)IyVRm=-uqIBXBJn1nDk&NoH@0KL!R9-;nH>>M4T|=#wly zDj+Z%G{e3`;O4s#=<_SGFak%sM4%6@t32(p0^XUl>4^7iLX~)nrQ$0}MaqVhR+PN$ z^CB#shbIQXYpfEpD6doApuEYV@fKgrCZ29J0$7zUExqgm=B#N&<)BkL|M<7=14bS_ z_Vge|fJ#b6jL0P(#E9JOL5#@D9>j<&@*qZ}ng=l=Ej*|dtsSGn$wU*NSX@lGgmNim zG^W1upF9d#bVMhkG`*JbQRdP4;(2OV;@*=e1PFU4*25OSWx<42%S;Tb0Pb2v+*E>Kb}c$S(P_EC%mW zex%f(Y(m+UauDTY%EgphDCL*W@$@n~+#(3xW_@^vGKVsk@-7R zDzfi5<{v;ssAYcbT-v2wP}iL0JrbZuoL}(d??jPAtU9WAP$ROb2Q?ylcu*sfyy<~J;)U;AEU#?1QnoOTuvE7xgvZlu5=W#>WDE@%8o{v$tbbz z8f8YKv_pQZqC>>GYm^y{Qg#SNqs(NKSc456jiL>R0z_u13y4^s4Z^L|21Gd`fx3Vc z({2OFQ9VGg>5f4CKd|MFK+QkUB|(t8hdv#Fx_+RKgCI3pD#^GTLwrNjD;T$h2;5a6 z0!PF|kX{p(WE8!Yzsb(h5o_4Q3UN1OI%PiPCrT|!bnm>9nKYRfULFMVSsgy0e8`IN z5nqLr1(c7&PAjQwpo+0lObyD^3%>m8YX%C`^^48FERK5hoqieRo?-HgWh1NPGdz?L z`NTsRk%}J5h&1(3Mx>L6G9m*!lo2`KLm9!EL^5rK-@2)#C=tNjT*+cFmU0#4YRWkJ zi{(Fd6te1waaFQQmr_gifp{OBa;kY&X|#fQMzlp#<88@y@|IDaw(ieAHjA%e22>?|KK=1sxxtP<-| zwxV>Q^rH-=Tt%5oc^*;$^ClduU&s>i3CqT(eDxXSbIKQ#FT)G}^|b@#lSL`+>E(|W zjt-SAaeXuVwcWWM#)u?uc|ZM(JnLbM$N~>zM3T3>Wg}_qVT?!z4`W37c~~o2IL3vi z5o&`4oB^8 z+vK_7C^MBr!ihM7D%<3j**5ujZIgp=f?Gm^IMFuw)6c36h@n}NaFS6Qkk7wZgr8W9 zN^yiMC@Myf&Z(x3>?;d*Sy^ugant zEeIB|W_-mW@ipZe%D0rolVapH$yb=tlJ`uK_v8_o&rM$T?tPf_@vuhZ zY!7Qhl9#=ocv7D7utv}xNM?=53J+^U8hMy2T0ka*(}=l2#kihw1Lel>`?%Us$gKk( z_T4C|9g20+sA!Q3KkT1tpi#OGkQ5bV37VqjS6NPLy> zNk`xo-4R&-4{o_5aD5yEZoM6WYv3Sod+i8Z=LUgUZ8U8g1g>R+AT?b|Zwax?Ud#!@ zg++y|n@}U(VWIezQiZY+r8OmQ{T$8q@5AGQ;0Kn6A1O;HKT(!aer8o!79O<**A3Lp z*yoYFqR~;GI$H3lBYDqz{RYy|gBg+JJ@11LkwZP05v0*%%!o|%U`FI|4`xK>d2lPX zXjHn9ziwiwm_)gmatoy-yf3bD6f*0Gu~kCWchjh1v%YgyvRU7mD~ZkejcnG}T)UmM z*Vwf^(yiF6k2P`{foRG-@~C4B<%G8mVkswT1fqKaW=4uWAn1_SzT4CQx9^VBjX5c^ z?Ec<71O>05S3XcRqwAJv)8Gp=e!Yf9jKF!cBhV#Fv}q7Hb9MyAq4yfzAtFeP*-J8Z zs+&XI_=dQNZUp+AiZ%@b^I7Fv&hsHKA5@HE83OYmWg~t_L7*@;Omib}qlO5~rxW8* zhCm5y`Nr(Q{oDqOcWy#~=)fv*2xSmuH03r*`StVZb5IpF3xee=62DM>rTj+uoi$?x zUzI|BsJu{{t_zi zzByZOparybYXjwOp=kqEOy6|EPKud@`~In`=#1KbAPpl8m<@N+$3ft>+Yy-6cGJK? z;MUp^!1+V{+YzKI?detF&v+l?rVQ(hCBh}83-M&QGpj2e-i9^{G^jyuD1$w@<{xSMhhWisX7 zuz6hJDCF0Hq+i}zNz>~cW;#lc^fkri#-lXLzGtSR1W8{r?GM&sLjfX8`gB^t_k^tV zMy3Pl+?IAUO-NdSVDN2ET@yHv>*OSz=%#?R0;vQKC~OPh2i7gUBTy5NbXL^>i17{4 z)k@HZ5x9#(1n$xhfx9t8;BE^MxT``0={4aWU212eJl4R8^Iy*1;N;2ftaY9 z|G)RnyED5p?~%-URFp8^Po}>d zJLH#ek(_5QPDJFcd+rshbK-8`Zj~cG=h{@F3Nn zQ`omDDrZfYLb!?W2w^c{C7~Xn4WSQVgSc@NHA89m;<`Jc7Az4P5;h`iOlV2iB;L9X zmJPJ7OAj^Gt5)lJt?hK=s2_eBP#ckE0ksk75l|bEg9B3i+dG<)M6Ic3d`p^KdZ z2zK1@8o%IxztWCC*V)%}RQ2a`KG?v_7t*t1Fw=h}VLD+Z;Tgi8 z2>&3gM`%yzN2s-cULSM3@u(rIF2?xZ)$ ze-}_1kp%&z5&0;fGy<`{bfppL8c-UM0|H7TlD_ah?E<+lpt#~n$HVddl-NYAc$DxM z;c>zfaY???QOK{uG#Yc=m9ov1mSH{7&qlc{o0*L=d*o1}_p?zh*=A;=%pSRz?xXVp zaS|eCJc^Qn+#?s$gq(7&5jt^7BG(x&5DalqOk@L71kfj;7YN4mk;qM#{7ovtBUnk> z(a$)hk0AR5y4dfy;|i@m%!2!#2z>7bL9*FiiNH5)5F{(@GZFZ14Fc!fj#do<-=;wT zT|d{OL4cz=dXV6#4ng-G=A$^y=@8(I&iS+>@KVGGyg@qxw_%ARLI>t@v;LS($ zKQudV!!q@mf;TMRf59W?=3{g~Z$zdB^hV^afZmAA3+Ro=%7EU8KoB&w49PYDy%E_j zpu6HK$P@8&YB8f^JVkh#@C;#g+%{hYDdgMHHg`tKWmz*L#Sy6k#dgV)87Y@+$Jxy+ z=%@g#!p>gtG`&6aGM$NBA4z z--L|`HCNGb2G%h?KZ-iBNNi2$OxT8nqYIyHOX$jvj+tJ$-h33g`RL=OblY-Dw^KlC z1WRh^S|f6NKx;(K4QP!>`o8y^7v#l&)`%<*XpKmtfaZ!T9kb)b)MiGlc#iNqVNU#3 zzN%5kup^JTQf4m7oHmOwSIU`-g5tW(_+~6h5(N>noy*VMW*&(d-wdb9W|*^yIT%gx-Yh;tREA)Y>$!bzqevfB0TN zXhiAbr!0 zte^4`VG}sjaGrd{%F@F2O;H7F#QB6<36B$AC*;{cFB-UA+#rhjut03jqS2Snb|CCX z*om++VVAgzmXC1x$QiP_B_KBL5EFdf-EQ$}!S3U|EchnFOp~F(fV988KNoGXKcx_~jNI4CW2gQ1A zBqAaUU-5QJW z5jZOlv`G-?tZLQI$AD?AoDVi~;%ilfZJeUFSu4IItWW4f=ubF`a1vn}p^7J7yTr#w zQ9o9WU0Ee|BlIWiPS}I6XI!q8BV0LhZajtrbVkr{P1hNb8v{Bc@>D=)ME(-c8If-T zIwSZTpI&}s*WLQ3mLFF+7V-V{3QNUe!mEVW2(QP@^Hq*Qo*n$a?`2bM*7wS(D?Suv zeXpL%+1O+{%IpLPv%dFQvQ|*uZs~x7T0$>cg0j#)iUzPi>_ym{un%Eh z!Y}zFzaO9NA2-$V5iTD&GFGhviX)P~>V4GFa!f#RMABEiuenyP3n-4r;{nAHIJ2fJ zj>x|P$}6sXydJ+pk$=>SHwa4zZ^jGrRgOZI9ZopPPomnH*kl+Lw!9|mdw-=d#%nJ* zZkF8PDA+97te-e7i64$~ZcA3{Br#mV+(BkW>Yte6G6PCfxJrDNn)^{H+JRtB3AshR zm#T`l>todCk$^#ndM~XVe|EMy^7}WTK0Lr8v4F6Q@ExHQq2}s2?pyYcr$o`OSQ!o= z97s5b)#6}28%P)wAGnrEM)kt#vdK(4OV|ss$cVHGh>S@3n)l&H z$dLh&5jiCwG9p(8L`INj)5TU?(Rec!y1<}RyhZpk;cdb@@w9xEqmX0A`O|6)MLFq^ zd!2HKWTZoqp(xiPf7dC8$VW~(BpHfw(jmri8k4DFx5&4K!j+#+HEtlz3`E#1u^K0S zNVURfoY*P3Q+>&gUpuO&RnKZ>{;-aD>;>0U z1u;i^{fdCph&&jO8jsLl9?s_tJc42;v&$*xFsbq7jXt zu=$P(@*RcY8`3`=fpY>un*@Qg0okSBZV22dGfb00tf)}uc2%0e|`tT!yv?KVj}Q@mBXUweazri-NRB|aDP3|z zUJXc&$Ug#-BM=);mmHB^0qGT2K$gb0(?1Lq<2}Orgk^-~9N4H`Knj_6_><1^{o-i`5_{rCf zAHek~XUr;n#TAa_@e10A zp;D|MtR#FGKbx;+6f*5VzOU)8AOwI7nY6Ej>^PvjT45%5dz$VAo+pU4FcV0uPSzC z!BE2lA<4Mv+Ol6-D+cZLDZob4j)g1%%L&zlO$ogS`x8nDXArI={GL#22OZt^k#V~y z8pYZ$ns5T)L_#@X3}GxwN=3YZR*rC=Jm;3<<$&Ob{5>EzBI%pn+jNm_1A-&a)<_o| zkrM-gBXU7Na76A22(P%x@nO6zZN*S8J|cWf_=NCjd{(~lQOLQ&PQ`lNRGa#}bgJ0Y z?_HK`>i0%VVpG32TgsY`dVp%{VpG5OT>7KQ{@!*;tQVBGUb0?L-hHWAjw=Y329U1Q zfk}PZ>xBMg)PbY5`E8s~B_3v}c!jWnP()}=sJVXr{1Q}!$x$?pMdBpFc)|oiC2Ph+ zK07%csD&e3IC5?|&JXB}$ejV55qT+~Ga@SjIwR5~pfe(!13Dv;zVCkgNEsE-TXBWs z(|9axCs8T>LHL~T1>wthmwbhzkYPt2hou~YB{3cwS>sVoJ>+j0@emx(K|(5PJj$tu zY@87f!9HfL8Hf`Q*~cn54LTH3^SNdqV{N1SRJx~A<)l8kB^L}`chpsXrn9PR-+pPW z91pV7aXU-F(}Xt(pAm`)TM>3497Y&JIG0d!1-%qfSMlyqbPCJEsf5!Azh=oeozKo7 zoEcyCGp-xetE%Td$o%(c&HhKNmGWI1S&QvC#Z`_k<5{$zM5$OsSWWmRpx)PC*KTnXvg<&~|2iWWmW$^PCjIN>#(tVra^v?8I6LqRYpE!#Ge;&KWi*Iw_PmVRe+ zPUr+8@PB&tIe+*;ofEetV4Xl3Vu%Zi0=Uai(n5RN5GBwR$e zgD{)$7U6S3Q$h#Au7LU&G&%E@C_0PP;cUVrR*c{9*<`{wgx?aT#68!k+5wTn3A35A zs`II`i@8$k76N+h-(UVPN5iUKKyL)+?&*3XvS&bVM1}|SMr3k8Z$xGU^hV^lfZm9t z@4UlD4F3*~RKt++OBzz5Wc-`(4dL7Pp?r57gQXU#%_ZHTw6(dvnJN9h9ih; zjSoEJuqIaNq-5xDR1d%Fj=))gV9y)nk35bkH`nLOxH_6Qbu@zS{zKwUFVKFL2H6bDt5$f@+#e0sOqKGQU zmC*;QcHoO1EoxTCv?GtjQZkClnJD?v`fM1NrJSKCmusa{XK%K|eA|ByHx%Vkt{i2X zgk~;#w z;f}y>w<9o3axSUt7h+Fs?vWh?8v-o5F zqd(qGaSPmUSN!pn)f11y-(;7X=SgeL_NkvMBOO>q&Il-u$PEF-5gd`ED~?F|w)emO zE#CwbN2F~)aYXtB6i4LPfbt68D!BEi7yp_r#;6+&2n`912#w<&wtlecqrC8K^+?pL zkavg4{%%CdW!Y?#rDO2-!RLN&w3Iy}Wn@G!c!rPvZbZuE+{}oSkr9E$814eR?UJ>F z^3F@2!9>wcN_`g<)pyGR&5SPyY(cm$uz{%se)Szc$I0INp$PoWJA!24eF_5S1cIFb z1j(lRBM4vt;@UU}k`?!r2z>trL9*N427zzfAke*b-D__w1c39mEBAS<16 zbJXH<^E`q7YwbAfkiGXVidsY;-PjVT51+8zgCkdb3|tDermV+)Z*F2DcGme=e|hfp z$$zoeGq0cjVea)O-^+ddd7tK9|7xYZ&i)G@dwrREy~k(vI*-`+DEd10dig4Qowrkb zJpFC%^;^EN*I6g|IK3V|S!6vvsMpFweckmP8|Pj>w1vICySx5X)72n4!-U`T*$=3mSGT1Jr%e1+B z&BpOlQPhMtm&Jtj2uc5J~Szrw;rnq?nkG{?kF z)qO`-#4ORVRy0QBBy}gD{7g5@e0%+MV;xC<#6j4sIZBQme5|=s^iGY&I?_(noqPVY z7PWP9aG$4!ja9|I=?Frc5Ca;H2z<8@fmy;`O}%OaW&_u>84+L`iy9GVF(NRh%zJY6 z76I0I^=rSRrmB+)kLus&NOO-ATBM zaCf|&){ix*A2}VabZMAZUz67Z0%h_=K%h+0rD1yYmhA!pWzZQ;7buen0f92PJRsEO z>PK^G<+WhJ*pRRhVPir|I6l0;YAk=*qtHX*S9Z7xnSGhu?{e&TD$g+YyEMz8YB9Or zrP|wO-kHdbQFV+%)ncZqUB*qOs$J6MP_-C+G^ae)gvv8?k96W=TjHZH7NIcy?F7hn z=8y%)znumdeZ(3YeKhEhA$hGUV(dTD1(%;sPqB#;Z5?u4X<_rn=zW%oZwZ?adJuj| z$fH-&PpqT0XCpflRtT|VrIF^i6JHfGSgl8VTp#N@$nVI+9ShW)z z8!SBfD8>A@6CJCh0u3c7o$lCl;dliNB%SuyOgmgRi!Lq3KXSb+sT`CKuakqoYvdsC z0yzkrZx|*&5O`%A1YQ*fftSQV;N@@-7{eGd=z&0WaP*+?I=m0E4+(3ab;g{Y zIj0WHNM!ds*q3Jo5mu0H0g*CESBW{XT*d@M%4B*#q)hG&h?L2~fJhmnAk#(4Wc`3x zo2wwL<9Sikh9#pdVROP3ah$Js6f*l_;`duDHu3vKmLVm=#P63`Y~uIcj2B-jO#Hdh zW64yt$+$8HnwT#ySVSW+r#=?*2!u++Mu1E>{{1cI>Ka)68ERr0BeBh`{LK0s|Mo&T z_!0IhIS2|KMi={j4t|;O4KvLg1YQ#dffvL<;KgtdcqJSJzIB5@RdDpQ5GpwJ@Xn;! zSAW)nqX;JvrV*+LPZHiBd_rhUXh*1}TT_HNy!ebLdYt9q3Br?vrwC6Io?%s)9Ul>W zGq0QpV40Q;Q?3luYI@`xT74K$D3kR93T4tIpin0J2NcTWgn&XBw&&9o%H-~VLYd4D zD7Cq=u|@m~lv5Uqc7!bnTM^pFH|DDyh3vj^=c!$O&9Y^LdXLHbujlBFt*{1NU!YeG zYciAfz4*G#`-%R#_<3qtiMgbEfNho^iC($*v1&?DR@!FyS(KpR;P>>4n)~T$T8XV& zitNQsumKGllpLqlfQAhMr__Lk4Fd0DfMAGiB;ha-fj>z^;ItWViip4;AtG?13^+bS z@bk27Tq`GqY?tS^enf@n%rdbD;TXaM!i9vI(lxkwnH}#OMbENIJV$t*Fo*C0i^hw5 zHaEVejviV4_ct7nm6_3<*{qhV%nza_kRwHNM?j=Z<_1K{dCiPfiI4ichQ%R$hNRx(B@2fev2 z6~~I=Ab=dOQ^Y~Af_5>ghQm!^+$w?)JtnLw&I}rUwc7T}Td^GUBs)8 zi-dOx|0J{^BF`~GCg2R{7rrlHp4)DKcT zxaYz1s`fmu8WGSblivn(%H+0yP8k}%FQ!%?c|V|2CJh2QWsxfCFYzkAonqNNF#m>N6Q463qwhWT0)JwNz@HW(@JEFR^q8=!*r~VT4GKb>bSsSR z5O{x6TR+ZWHMoKB5MdGF142aDjL@6#E5ZoEnS`qd_W|m?H2)QT?pw?X@hagpmX6o? z?2m*u2utEqwRGeuH?_{JxB|%1@o__xjx7T!WwJ*=rA&qeRLbNx0hKbjHK0-^&jwV= zWNAR9Oo{?(Z73Z}vH23e%qsCl6m@64=t1a7=rucf|K%sJPsR`fx@q&FPSx zV!P#&DMe#_#KfC6TD3Iq+h~2n)VmSsN(FK1njlUicT$KWrzH@j!H*lV`=${b6_Mxv z#N7WDpZ%HeHsPIkDt{=g8i4=#gHcyJaqKf%Chlehn1I9 zjvqa8WZC$V@xv<1PzW-QUOoB9M76)}b@*W=y=s3u`OdJ>BZehGo+o^L?>yhne5>O4 z<1iOkMD?Ca>>P@YQhb3Hf z((;PZ6HCg=##EG4OsvHJmCT=U*!YRrKaQDiCtt^nsVph27+X2MqCD?AuxIxjlV6+f zN%rgWy#xQ7*XMf&rlbz@*UA3#^SuK@ub(jN`&_ zI;di7D*wM8a}xOm&wLh{muZ?Y;EYBgyq#!kMquk~Q4h=?TMh1q>&77$mjEvL! z?+VB>dQVr}%P21KK!pJX>}7#)ryukd7u){fuD~Vs>1?+J4l{3%VVHjAwtyT9(CoCy z6F8H$2iy@TV+2~su>Is+0ZtAfZ=e+-K;6bbS_Oz17$z^&7S{a1z##GIKM-tx&!F`L z%-Hh>M4^Jexj@wkEK-aNOp_huh3o(Pw>!$93D$_J@Xu$41=xiXunP-g7q-MA{OdE& z-I;kMsYTOmJjLZFZj_l`?kTRonp&EYS~78?^z`jO-t_67;wsaBdy3zme$Y!?Z2AW; zajof$z~Gv0;0;G07FcWpT+F~noPYX%Z*gf+1vLd{4dE{{R5AuH~)( diff --git a/aidatlu/test/raw_data_test.h5 b/aidatlu/test/raw_data_test.h5 index 98a6195dfe05952ec14b076c9e78b9e861941837..161a0250598d391815519a80ba21e17f8e25c620 100644 GIT binary patch literal 4236677 zcmeF)1$0!)+UD_&2Mxi3g$_XyED+pDa1ZW~1b26r#@*fB-QC?KxVyVU=Kod^Is39_ z=9_zG&6@SWS-+-tJqa{RD1YFZzyH);zkfdZd!GG1v~&pV8r&$fQ)t9-!?taj$o+`< znI|In-~8GA_k1(~}w^()BycICgSrK^@>0$UQWd}L}z{C-u-RZ|~l{P?2f0;^Q{ z{qZujBR;-l<)CWv2aWo8`PE%5)r(iIQaY%@zx?|Cep!G2`ub01$4ZlruUM;UV3Bgg zs|1$_s$4#>YOvYoXY=z{pKoW0s~^Mf2O__Y{IIjr16k8GRmTzQ(!mm-K0YzABMD!3 zmH)49`0}Waw^+Q?hdS|C<#(`m(7$~wOICH~_it;l>n*vnr9rE<9UIEW{U*PseAObp zcCNo4uY@0EAIw?`Qc)4Q1S%WJCmY3!GFY7B}UdOgXtgkpcMpsM3=and3uAF?H zab8FKzLLq0sNdIFeSU??K{aIk$7AB?xf38C7WsH1)?387-1>W;Gp@_T>a#47&zlaG zcIwwi%*O|ig?8%Hp?QPOof`lCxc}8p3HSgnbO~+MSw2VZ|IdCzeEk3EIu203_#fAC zGksE`7P0;?J~sL~4q+V|`~T0+FR$N^Q0AB8KlXX)m@l(xCi?u4nHw=bqR*=se0;>b zF!mBPKcde||9{NOh`ml8P((j}{=BTg5%W^|k>4}o_m$6;AAkNl|Np}0{qN1=i1{h! zcXm9Ai06-ppBw%@57dt;>ehRHK-7WU`@OFkM*sOSe~h`fTx9L>>_LA0K!qzs|2j3M z;U9VOB>LkoN92~g|Hw1gr&Y&$Bl3Wf|HvCY&8&`hN96tV>jDuUH1b3)b@xz2zE`ex zqkb$~RXFNE?gcbe$A2$3|M_M4d-V4!@b@e5_bc%CEAZdzD`0PD$5Wwxr9;jU67t7y z!AN0Cd#f6?%F8t4tnzY0DyuweuCvPPDS=k2yaIgA>cVZPRbGmFYn2yj`dj6M!QC3m z%1`sGvAOlP#^H9KRn9CbS>3q3Yvpx62Yw6pkYG!EBtSwWLSlFz36dfik|PB?krGyT zAr-ul8flOg>EHujq(=s1L?&d0AF?1T{E-dWkpnrA3%QX8d65tKQ2+%|2!#=VKomhy z6hm>8KuMHBX_P@(ltXz`KoBaT5-Ot#s-haIqXufC7HXpo>Y^U%BN!nFMFTWMBQ!=6 zG(|HsM+>w>E3`%%v_(6#M+bC7Cv-*^bVWCGM-TKwFZ4zq^hH1P#{dk34TCTkLogJ> zFdQQ=5~DC0V=xxuFdh>y5tA?(Q!o|NFdZ{66SFWIb1)b4FdqxB5R0%FORyBnupBF} z605KpYp@pUupS$*5u30XTd)<|upK+F6T7e*d$1S#upb9-5QlIWM{pF!a2zLa5~pw) zXK)tha2^+M5tncoVYq^;xQ6Svft$F6+qi?fxQF|AfQNX5$9RILc!uYAftPrN*LZ`s zc!&4+fRFfu&-j9`_=fNJfuHz=a9Cg$68tdYCCUH#&*{SLtSj<(TP&F^`D|+EGj1!loJceiN)l^Vsc_3IkAwOSVT@NASV`(6N|@* z#pA@nakA7!eFP&E4bccq&=k$l0_upS$+30trY+p!b7um^jw z9|v#E8V@Ior2MjE7p57HweGQkg7 z;E!y`fn3OqyvP^gbU#rT`+DkuVeII!?z@GtaeH!5nSJ1m9*4J7nO9^ zNq?2tbTURI<8?A!C9`z0R3$5PvOy)Ab+S(-2RU#Giz&~_$8BCP9%?y@o_ z-DSrgy5kAki6?8lmjv>25fnplltgKiK{=F15GtZFs-PNbpeAag4(g#kLeKyW(HKq8 z49(FJtkJp30=??-O&@h&F8f&o*8?X_Zu?5?(13R%BvX|_`ejLPM9KkWx39I3vnx$cS z{@zye_nw}=FIDnJCtp`!} zuukN>wYE)e>+%?-w_up{)`CoWYYk&>of(tfy1}fsfn?I#U>JKF&6xBy4raa0B$M9e zz}VXo#-z99Fzam-ne?_5#@_ZaCcPbip*Qs$aFX%UID>OIkBhj3FkFS~BR6mpw{Zve za32rx7*FsF&+!tk@CNVj9v|@uU+@**@dLkLfgK#+2xqt;24W#L;=&E?h>wIw1P>&I z>?0}Q2`jwdjWkFLANV2zG9oklkQLdG9XXK;d5{2=ab&C;fN{-LMM||GEFD*RI*SfYgDpcC)-uB zOD9KFazZB;RB~A-cUAI$1J;RL**&w#?F$~G^!6HNy?rB--hRT^n-gQwn=8zEOF$;Q zC5ExLl#EGlsbJPyCNk+Q3yi(xW=wj^2eaOalSyx-VC=0TW71m{7MDhGG~-U?fIkEXH91CSo$C zU>as%CT3#}=3zb-ViA^L8Dt+>iB(vGwOEgh*n}p3R zIE}M7hYPrf%Lv0&T*nRE!fo8eJv_i8JjPSKPClqv`dQE4a5aDJ_56*al6X2vqLSn~ zNvD$ZI`LOY4xJQMNfDitQAv57)Kp0woitQQ6P>hINhc0iCyuO;9yYn{#bcD-`ogTY z;bhX=C>VR2#F+Fp6=uCHAd}t}!`Rzu#-z7(Fzan6ne?^?#@>!FCcPbpS#Oufq_-FquYy{Y~G1>>*q8gKCqAMg>M@fEU<{J>9y!vcFazzHsJMNGs(9K=OD#76=o zLSiIAQY1$Tq=XkzAvMw<9ej`;8IcKo$O3<4Lk{FbZsdjRBLz?pg%N-vD2C!FiBc$o zvM7%VsEEp_f@-Lany7_3sE7IpK`0uc5t^VWnxiFJp$*!iJvyKhx}Yn%>vdvNvvi1_ zzhl(=mCFmx$Y!Zzu1=P!L@qB#HmhWtPWGupE-y&VsN}p(uBqgvPM)abxlZ1zx3>XF>P`io5v`s3wLl2_wf*q@B~ls953+-Z}1lH@d2Ok8DH@o zvXA^iIP72#M>xX;G4wh~sAj2$p1)Q#f93LmIiFc2S#^>}C31N|QX(XM#3`O!{Z~?% zTwss|tIuknKdXgGTI-~nN_y&Kph^boWSmMSa==P)W{pg@$?Z%Yr8GAOX3Z@pljc^z z*xV+@q`9pyYwiGx{NKJY~bWJG58AuF;WJ8~iy@*pqr zqW}sa0D&lqVkm)CrGv_MO=MjNz4 z2XsVdbU`@VJ+*OHOVvxL6$vd5VQ^`-AxT++UP7KTt&5dPDnwtPabLu%@CgZa)2lFr=3$X}Gune-3ti&p;!CI`x z25iC>Y{ho$z%J~@UhKyK9KvB7#W9?~Nu0)6oWliN#ASrxDz4)OZs9iW;vOF0As*u? zWG8unmw1ggc!v-8h|l;Q%K%!xb?Q3vmz^@!*aGNQlJnKvE<}3Z#S; zso;$?NCzLJ*DEE5nx(n*{4K2JFP9jyN60J3<<%?46?IxurE;0UifE!fuenazt3)m{ zNcyT|fKG<1WRy;(s$_;v7N}&gPS&YpBL}P%`K#FNHo4u&+T1cbQca|cP@-ccQIksT_Q5+E(wg?c`+v4rG}w9 z^(>HyaX(~%Ke8bQaw0eKLiUmZD2T!cKoQXJzjX~zm(Q<{5QL&38lefAqB&Zk722RJ z+M@$Hp)9ulD&C(-!{+?0uS1vP{^EXv;M<-8IB9|E?pH%Wy zCw3~4%M21XmBiOcGL?Ah#8)L5b&_2rxpWe!l42aNPUOuBWo&X=j>lLYL8yqzsDf&! zj+&^AI;aO@Z;cs~-kQOzw~l1eTNfC6>%*Az)*oiQjUyL9|v&=M{o?XkDP?;BWG|H=Wzj-5QZzbhU>VATeyRJ zxQ~Z;geQ24=Xilvc!Rfij}Q2S&-jY(kbUGA!eIw{IKl}ohymG0Vj~XR5D)Q@5Q*S{ zBuIwj@I*>@!5gWO7U|%N^vH!hlh zr8V^Y4Oa74E;5+&tyR)aC*4#c7a1gjRWeK`<5VIS86GA6x!fmv@3WYU{6jJ?HWOnP&N zS#K%Gq&F*!z4&WR7QmSFRuqQbBL5z-0$C6$LH3cVsD>J-1=&aH zq8@?~f(B@a#%PLWXn~e!jW%e94(N!^=z?zOj-Kd+KIn)37zi5%V+e*}1Y{o>jWHO9 z@tBB7n1X4LePkwPVGibEJ{DpTmS8ECV+B@WHP&K1HeeGrV=J~{2X>t=-?qyb{<=tkMHKHZZ6m{1*>aI)FUDv3)G4x&e6=Ft>#)`TdJL+znsJn5a?z%L{bF$}N z1^s6Tv)oXR{J;J#nrNTl=VWgQvqXPS-zy*ep1#Jsa4qkIpJ!dkt-o~@xBINCxvgYf z!|h$`T8qWXJMz|XU}s&=?KtZOZc|w|a=XsDiCfLS+{T-YTe51TkAG%`&+kj z>u&w~HYwA$N%4#nY;k}ioZt)>xFQB(A{JsJ4&uTM@!*d5NPvV$gv9Vb5+p@3Bu5H( zA|fFM*vB~(TgR7EvZM-9|OE!0LG)I~kiM=(MViUw$i zMre#CXo_ZNjuvQ%R%nejXp44ej}GXFPUws-=!$OWjvnZVUg(WJ=!<^nj{z768wO!8 zhF~a$VK_!$Bt~I0#$YVQVLT>aA|_!nreG?jVLE1DCT3wa=3p-7VLldMAr@gVmS8EC zVL4X(TW{yf5N>A)4Dz;E(z6*nRHqD&*{+`;`f0&yj^E%ND(V*7_7p$oEB7v*=a#2nVc3h!tc`p=VrE8 zd|lLs#uI}rjLC_?c9@+Q93qnwgQGB>7@TEHP7E%a z^kgz4Ga)mg&&V7c%Z$tovl&^0OlD+pltAsKU;RkBPc>r^7IUyWB*)TQ8I;AJdaK5`>?Ac& z3(LwhGIBIU=&7U zEXG6jkx7t!WGbd%24+I`k-3n4WC0dpF_vH%RzUWV)mVddSdWd^1ldQnLH3cI*o8gV zi~Tr=LpXw?IF1uIh0{2T^N@Yy5-#HkuHrgwLiUk6kbUGn9^erk<0+m)_K{b3jkkD* z5BLPxN51NH;;3e+i=Mx3YW~XWSIl`&m3ZmIS0(cL6-h3YE8V@Pao|BQ4Uw7wM4^nc#=4@JDv!KrZA) zUgU@DBZW{HfhdAvD2|dS4cSM^p*(_65tUID)ldU9Q5$to5A_j(255-JXo6;Fj+SVR zHfV?T=!j0}g0AR}o{)W{5Bj1%2Ec~F7=mGXolI1-bc&w8bJYBm*RPoKt5mX9CtFp* z>sPY&<*!=~tG{kJrqgpOmDjNNyxZ#Y?&;*2N?z*Zi%P!h#6cy_I&oJ?LJn9f^1rSn zv&n4=9;b9?g;{qQ$fUc>Fm{)dG3hQ3%(^Q|Cf${QvAYV4Nq3cC)?HmP=`I+??wT?t z-L-&Ocb&!cz}m^j3;=8=Xi-% zc!PI%kB|6-FZhb@_=#VzK=u*`IKmk&h=Ev;y(BJVFL6hFBt&9(AgNv}Y1AzB(epQp zn!nlf{4J=G0G*UlNm-p#S4l0MgsP;GPTH!ZgHC#=q_<9ns$_&tCa7exPUfj(AqT7z z`JX$N+vIj7k5PJC1GCCF|!-r_MPy(NI5x5z8flw?+PVD7^SzuFzc-hne8(A?dh10dz4e8$w;_y4Z^L2M+axmSZ7Pht&1Fn_ zTL43Ek#AI4O|}N>upS$+30trYvXAVsL z$|VMKzMe`#bka;Ea*09GMJ3&J(qAQVi9s?(CF6B6T_v-0vQ#B2bh1Gun{~2JB?mcR zow&0?j@#t+B#%*gI|H-cu8~P^H(~7UA!E|p6PWe(o=kfC1Y>W%7?a-YVAfk~GU?3? z#@;*_lirfSthcme(wi@gy=7%gddm(&Z;@|ODMVHnfhdAvD2|dS4cSM^p*(_65tUH| z)ldU9Q5$to5A_j(255-JXo6;Fj+SVJHfV?T=!j0}g0AR}o{)W{5Bj1%2Ec|v7=mGt zePkp?VGPD%JSJiireG?jV+LknHs)eJ7GMz;V=0zl1y*4-)?yttU?VnT3$|egWFOg$ zJ=ll+IEceIf@6A}TvW3(OwZrjYW~XQ1#|wTO5W(?i%R73g2Y)RF?8at61lt}v8u#d zCmB?dStof^l3yo9RZ>DHl~hud1J;Rr>*m@vxvk4%l-`12)>{iQ>8&-4y>(_xdg}(W z-UgCMZ-Zg%Z8T%j+c=o@Hj_+xn*(ESOBj>hmcy*KO=QyBRv3HR%b4_b0EXTo-=uPy z>EVgN8-W_?ud_sNCXcgh3q3K;0Y_d;Egm$3m^C*12Q5r{E!vdkR3UZ3we+i z`B4ys5P(1wMKP2>Nt8xe$Uag5L8yevsEX>Sfm(WBkcKq!s+oiLk>a$Mh&$^(J%R0HMk_Q~HQsmp! zJ+sN}3m&C3_Znu+eIt|Re!|$C6JyexE6kcpKqk#4hOxPnj7f8;VAfnFGHEUgjLqd{ zOq$CFv*wDENpq!OY_1|>(p(i7nu~m!N`10mgrWf&p)s1GIb%(QhY6U7$(Vv^m;u>IW@8TKVLldO5td*XWG7jP zRak?ySdWd^ge};L?bv}`*p0o|j{`V_!#Ij#IDu0*jk7q13%H2O2*XuehwLP`a2t1V z4-fDNkMUHmln-i_e%A9hT+Ls(z+l$LQAs?VBvFZ6V34F!NqU|5t3)m^ND8Z@2rrJY zfbYi$FH-qY7liOZAPU)^M%(@#+Cf$vK zvAao(Nq19W*4+X!>25KM-K}O!x?2ac?sk$%cY9#$?g(Sj-Eo+8cZp28y8>f(cNmlI z?!(YsZO|6&(E**%1zpiyuN9k`r9<@m9i!&2 zTx2lsXQ^baPL`@fE;2|qt7Mx__NhcJGDyy-ZE( zBoQklrcG{R^BAQ!H<(m#@-4sCcOp1thaJx(pwOW zz13h$daDhy-WrohZ_QxrtsP_1TSpjri~O6!K4g8-9|K^+APm7Uu#dzZslJ+{)a{s~ zd|}hR;op4VZF9Ap94zip@1%<#bvHrO-Goth6Gh!ktnbQO_&lOUlSJK38g(~W)ZOG! zcT?!Q@+){oji!vcYmK_=6?He2x|`U^o_Eu!cl+Jo4;1#FdAA?`j6$^U;CI_6+IR4? zR_C`lXRX0)sI?}yZ>_bs?QgBkt-H03{sw(@Iq&Der%*nyqcjXl_h z{Wyq2ID%u4Q@)cpg)=yd^SFph2*VXz!*$%mE!@F9+{Z&a!V^5jbG*bWyun+%#|M1E zXMDwX{J<}S!w&Xvgfm#uk3OVJgftrYZ>JZGaobok* zobokB6UeDU3&<&7Yse{IJG4hfbV3(&1E+j{eDQD~<8taS7|~80{_*1BxDY2UdM4_# zH2EiWrrYFpCZ8fxaSqI;;&L*XimPCpiklddskjwpQ}FyG${J{6O4EK@NBJYj_w zqEAI1j%6xlKt@ELirF}pshAUHQ!#){reaYPL-eUwmSdTU6(DD^m0+BTwHTABSQqsW zeJVEMSf*lAm`%kFWHJ>y!#EXtGu8+F&>w%AiX#{wiP0DXrefslp_92k1=IA^%tCc7 zu|!{GtWj4P>-AN}E|u)n$x)Ta3tU{YTvo|do!nK4yud~BS|#sv@=YZ_b>ga$SUO3d zlEgYmrIIupa5dw>3dvxT+e|!0=`9On3;9!T`52ciq#$GqiQZdrj-|I!kS*j-y;Wgc zwvg(OEhKtx^*NT_LLpnopL%P>xNIS9AzMiF-nw!uTSyP|#GiT_#JFrBLop1|dmGEK z^fmz#5v{k#_pr=nd=BPeJ{DpTmS7oVA6W_6N7i61)?))?AK3!gN48@Jc40U6Vn1Xb zIRx29j^Y?j;3Q-pIg4|+fQz_{FkHoT$Ubrlw{aKu@Bj}X`^ZzsKJo%D@fvUN4j&-< z$Y;nt@(thd6Te`AJ!Btof-_tZ1F;YXvX8`rI}#uv62k*YksPv*q=Xfz;Egm$2iZr` z>vfVt&C=X@{uWmAmlwEX&X-q7MV-`CiM+T)(nKZAb<$oX^5ParUzH5d$#9j7(#cen z%+SdKl`PiDI+bkXfOR7O#N~FI-0tKtN^g5$*4uG1>FpGZy2TD2wu_fQqP$DyW9)sEJyrgSx1X5QL&38lefAqB&Zk z722RJ+M@$Hp)VmL-%6h>n##$y5|VKSy-8fIV?W@9eq zVF4CmF_vH%R$wJoV-40}JvL%9wqToHCkNFmJ)-CD88v_9#VzLiO_kiy$rF{xi(4e0 zRPt3Pb}Eq1{g9dRs&$y)A{Y zx3!E(ZyR9fE%H4qyUF%oANJ!Q4&exnLH3c8IE6Dfi}SdEO9;aiT*GzT#4X&xJ>17b zJi-$^#dEyCE4;y5yvGN8!e@NNcgQ~S3*oSXJsjZ#7sP<vd99&C(ir z{syc0+d$9X)+%YIlWr>MsguDf8K#qQDv`?z*2x@|%-6|sm8{aqR+a1s(Qj1d?_v(9 zzl%An({n1l$N_658LQ-)O>S@SIHkMWFzfCanRNFO#_m2cCf$93S$7U((w#Gm-Nj{0 zx^stFcPYrEJ1dOc`7kEkWq?_CImx8EJTP__z?gJb6o&31FGVYm1)&luqbjPQ25Ld} zlDepeV1%Fn8lo|pq8VDCC0e5m+MxqFqBFXn8@i(>dZ7>cp+5$~hQSzuVHg3~OGaZ1 z#$h}rViKlc8e}h-iCLI~xtNcIScD~5ise{=RalL+SdR_Zgw5EBZP z!#ILtI04y9PU8&D;XE$lGQx0GuayUCmOj?A`?Z?ga*4sb|EUs-PFz(Yml!08RgzRE zsZ=7D7$jL#l1(T1LOdg`AS5fLva&j>qCTs-{;W`yG~$4jlAJZt!X~$^c$CsyTbMQ1 zgG`$14P$eI7?b9P!mPOoWYXMZ7@M2Tm^3#JX3ec6ljhdI*xVMzq`B=dYwi%4GKdpN)e z&TvHx#6oPuMLf780TLoHJdhO0Av;M*Sdj|eNQ1QSL3+qek_nlS1zC{|Igk^%kq7yZ z9|che0Vsl^D2@^+h0-XC@~D7{sDvu0it4C=TBrlrN$MjQp=f|cXo99_u2)JYHA}nd z`P)~`U%AL&W{*4o0t zi+&h@ff$4#7>eN-fl(NZu^5jDn1sogifNdEnV5~an1=;eh{affWmo~(M^stGJGvxP?2oi~D$hM|g~< zcn;Y|Ug0&~;vGKV6F%dsUMG%fmb&Qq>!#+fTx2lkJyqhR6JM3cMFvSOmE_e)pi1N- zgCs~Lm32~ECG~XDOeHOK(orQ{bkbiXHV#-Po~)4JHn|+K|&^mYcu-ohA@-mbx{w})iX+Y=ajd&8LY_8x}b zBHzREi!2;=u!kd@;DQ*CeIz#Gzzy*b9|@2M9!P>@NDfb=gcrP#8flRZzDSRZ$OJ!R zg+H<*2XY}d@*+QEA1Q>w2t*MSLvfTuX~;fO4&@Pqil~gLsD>J-iQ1@xdZ>>OG(bZ% zMiVqcbF@Tjv_U(xM@Mu*7j#8;^n~mqeb5*EF#t9U#t;nC>tv#urBn3$oulTjTx2lk zSE*#JPPVFqiwsE)tK^tY&Z$H$Fi38z%v&-nzlqTR+C6w}CM97Wppr(PU#V4&yNqlQ0F-Ap6Kn%)%VZ#e6KlA}qmD zEXNA0!fLF=dThWZY{ph>!w&4kZtTTA9Kb;w#t|ID3CKQj8fS10=W!925QeLeedGpi z;x_K!9v_J`xvha7TP3 zL}GX#sa_{()GYPU^EZo{zjA@WoG+-70G*UliCkWgR98tYorJ1HE-y&hs-%NXdZ?tg zPKK&vgia=?WU@}?sbnDstP^>6&2pREuH-RFZ);%I+jcVPZ5NEa9b!y+I|{SjE|5uY zmtpMf7Gu)eU6}Ruf=qgQ4P$Sg8I#_=!K^nYGU?3~#@^yFCcPzqp|{AtMNCO%MJjkB z4bs8~=^^__CS*nyWJNY)M^5BM9^^xQ6ht8epa_bhI7*-tN~0{wp#mzR5~`pos-p&K zp$=ppsgGcUq5&GAF`A+|WFKjT)@X}%=zvb>jIQX09_WeQ=!1S3fPolkN+oA?az!O_ zc|r0>B~NwoRwZ(IK@zSKd!58oNgSOdQAu*0q*h5fo%pLH2M11J_Ffjt5f({W`5u0j zRCmG`J2_aq^?T>!eRip%-bR-u>MkE0@m>DXMcws@y6YQtH@&_qzgUK-(Tq`dGezCa z9Cg<(>TVW&SAK=8QKSA*ce8Q#w39u50`>Mj`Br|G|IFL_Zv5lzeK&lfy}j@6KhXRC z@GVnp4u8M%+*G~>|H1D(#}nn>w@m(Dc+2F!`w#R6c{Wb*9V7shATA&kkh>u{Jo zyG|mLXV#-4=um#&7XL~!b3wy8^`*8q=a0Ewj z94BxJr*RhNaRHZb8CP%>*Kq^4a0hpB9}n;dkMR`GA!mE9@EULN4j=FlpYauPw)X=+ z5e^IN;Rq+Vz!fnO3vmz^@em&gkO+y91WAz`o=6EVq(W+>K|1&#Ju*Vh_WY0q{>X+L z$c5a<3(ofbU;YEV5wGCLdC)#}PIFM5)5J{08aZy0+mk#>Y3~fo+Pg+3?cId2y@!lR zdrx52-g`1>?-Pve{bEeovx8ZCvB{)8HyGRVU`*Oe2DA3kl1Y2MFt(SKF=;P5{?OjP z^*T)ze$8sAftsj|I;e;G2tflhL}N5TGc-p_v_c!SLwj^YCv-tqbVpCfZqf&`oAk#3 z*f0n~FbuMrjKnC6!B~vPL`=dIOvQA}z%0zhT+GJ;EW%r9Mk9i7u75c)92*3)j7Gm6vX^}sggH3`JxheDTu^bB{6j3 zt`d1Eh{UQAZ=GaNNoJkoQAvKC6jezH{`$M5UaytZ_vxxi%5^eNF8rVCa$A?jDcuFb zth*Lu(p_s9yX(xDbk_}L-3=s@?gqoy-Dt+7yKyk~0cc(%n>;b+>>_x?2omcdHqb?$*JqyPagx-5wab zJHnWBcN}KjT_Th2uE5yc9mb@)`!IAD`L2&wWUuiS@9+U1@flwsd&v*{L^v$4hXb78 z0$0RDEW|-v#6x@}Kq4eY5+p@(q(Dk|Ar(?14bs5}>5&n#m-rzI{E-bgkQ2F)7qXWW zKtU8n0E(a(ilZb-p$y8RJSw0fDx(Ujp*m`!7V4lL>LUc9XoyB=f~IJWmXN)q4cekT zI-nD}pewrTwPI7Vdx)O*W7NDKujl6+t@r#>CO#i-6bQF?mS`aE-hoy zoiEI~%T6ZU<$|%hLX1gwfiUZ?9GP?%1Y>tK7?bX5!>qf;WYS$T7`to7m~__>hVCNY z`O$~0FZyEuY#4+g7zWu(Mq(7kU@XRC0w!S!reZo~U>0U$F6Lta7GW`#Vi{I|dGK#t z2^`|{kKh<&FFA=*ID@k|kBhj3FkHbkT*pn^!X4bheLTb?Ji${u$4k7z8^~Vr9v|=t zpYavnA$!R$$X;RxdpN=wE{LJmNjnqBH#HDm&^_Bh>wIw1P>&I>?0}Q2`jwdjnqgBANV2zG9oklkQLdG z9XTQUNFL-xeiT3<1RxMaQ4A$e5~WcVvX4|i5GtWEs-hZdpcZ5wsf&6DMhF_95gMZ@ znxO?+qBYu}9Xg;RI-?7^p*wn_H~OF-`ePt$7>pqph7pi`WHiQL9L8fJCSwYw>2qT~1zWKlJFpA8u^0Ps0EciGM{x`%a1y6+7UysQ7jYS3xQgqLedHEy<1X&u z0UqKpozcC5>}*wH_{*-e2`wRlN@T6=GODKu$sSIX2^3xd6iVuNllg1(Mc1PG}lRcm2}cc zUzH5d$#9j7(#cen%+SdKl`PiDI+bkXfOX=_3fXRx+nqc{>1_|pdOJ=gy`6%ww@Zvk zZ&zT}+kGdz}TA?W71n{7ltLMlMR`;}MN~!=R6}*tL@m@oUDQVi zLeUV7&;(7<94#UHNE@_8dvri2bVgTnhwLN0&>MZx4+CJsAPm7!495tJ!f1@ecuc?~ zOvY49!wk&AY|O#-4=u?5@oIytCj=@C7Dt;mlv#) zn<}}ZlP4;X%L|fED*37tJC(@g1&NzV;_D=tN<4MqtCEa5$*z)IItf%sF%DQK@~?@N zvB_;Y9;5UY1hd|1lSyy&VC=0iW71nQnDy3?OnU1AV{d&JlivEnthbS5(%Tpqdz-?T z^fn!4y)7b>-j>4H+giq?w+%4#7WsFIyUF%oANJ!Q4&exnLH3c8IE6Dfi}SdEO9;ai zT*GzT#4X&xJ>17bJi-$^#dEyCE4;y5yvGN8!e@NNcgQ~S3*oSXJsjZ#7sP<vd99&C(ir{syc0E0-6{`PM3Fr;~0fk;@B`!73T1lW{7M%L|e@Dw(g7m&m!%CAr$YP4X~-9k}!3rF1zh`JjXb+?GV%Rki1 zJ@wu``Nn`4dz)Un6uSjo@s)4rU~YZHJE3cV4iJ)x!MS3 z=L+T-Cz##q?{}E{|KK~!v8(=lyX1fP?UMh-+xpZ$)LX+l;vedjQ-;TE*G~}rwBR+z zZ}1LsI`13jLT_3 zb(ozNgp$c=K_mP=E#M#O&B#|&P7GQ>P7K<@?8Kl4nVcB(hVjH;5My#;FcfAd1{28S z#9%UvCkC?_lM{n^Fgr0=NhT)-YhXMv*ut2c7;J~xiNPT{S%gexWO0;0^ch*6 z;|i#VO8C=^tiyO+)JHI)&&VbmH$`)O!O}@xE_Brw5`EQ$guH&m#m6X>jMd3hmB{N? zB#TwDOegD9BClVO>`}>nog7!mDVMb?n(px&nP7=L0KaQn0f5=Yqr``%ME;~tK z$W9Wyw~`!7Z)H#xf9kCo~L@h+`Eres~ts!J5iPl@>KGKHqwrGzI=!DMbitdno zq!(l#>5G0CfPolorqB`tN*Q6*h;(qAPu4p=9dSs}x1ayyd8D7}q= zS#Q(Hq_1`>@dfPxIy={iEx800MZ~I`@+etF%?F@{)g)t_*U4vO~56Psr zCouN*hB4{wJq*1?zDea5Svc%q4@Wq`1u-D|NNmJ`8{#285+D&gkOaw)9G*xCFL)z0 z(jpyvkscY534X{5e`H4v3E4;bpfCDk0BjhHAsD9D$wW0v zr|9`RN6lY({fariN+oM`vQ;JW`W4Axl^oN_IhDxkS0uMpa!)7ERPs_MUsUp4Ck`rc z)``1H5^}&g@neM~v&n4=9;5VTg;{SI$fUQ-F!q*{G3hN2%z7(ICcTw_v9}70NpF>4 z)>~aN=`9$>-kLHdy|sW@Z=K1cw{9@@){imiZ6FN2MZQ60G}#!8!+1=@Buv3H$UZU? zvoHs9F&_)C2urXO%drBhuo`Qz9viR;o3Rz!umd}>8+)-22XGLFaRkS30s#u=Q$ zd0fOLgyAY=AGv{>xQ#owhX;6w$9RHgc#fBNg*SMI_xOlU_=2zaj-U7i3+&(kM>xX; zF%S!~kHm!=+z}rMkr*CGs@F*xHA{W;{LP}~Z#F%D3#ueQC#6(URwva}QcEYHDruyX zwkqkMlO8JRt&^cD8KIL2Dw(X4c`8}R0qZ0SD`dG%ZddXcrMERO>uo!k^tKDe-VQM) zy&Z*FZx_gy@s*3&x}cL-(c386Pfhp3S)2a7?a)-z|dRd z)o4mGD^kH5X^<8^NDtXZG9fdvAS<#VJ8~j7@*p4bqaX?)07Xy~#ZdyKP#R@X4i!)l zl~4s$Q5`i<3w0p-NPPq&6b;Y_jnNd%A^S)xv_@OBLkDz1XLLn3^gvJaMj!OU01U(+ z48~9l!w8JRXpF@;Ou$4;#uQA$49Gq*8*?xZ^RW<%u>{NXI@zdZ>6VaKvSG+ufA^?w zlqi=NJU^UL=~+Fyuc+BAml!0ERPt0OZ&f0f7$o5;vDZmVmBi6W5|t#^Notj((}}-I za&W*}$;v9pXOr6kJWlDZFwD9uLnhsohq1eAj7fJjVb)zkGU=`fjNP?iOuB0iv+jD4 zNq2o=>~08S(%o>FbvKDjx|<4PcXJt&?iRq%UF4foR+Fv4I;_V=Y{C|7gX|?cu?u^! z7yEGlhj0W(aU3Ub3a4=v=Wzj-a2Z!{71wbCw{Qn{aUT!x2#@g;&mnusE4;>Ayu$~4 z#Akek>?J?&6XCGH9*%H=3tSNsu@DDw5fAZ^0Ev(oNstuD;fa*+LMo(28l-~{(jy~e zFY!Yb_#+!~AQy5YuU;#~)GRHj=WmdjzjBGeoUf;n5S=triCkikbWurno%C0UTw;)n zQOS6nOjpS)oh((!3fAOGy(Tw=ctl(u$Y<_T`9VII)#A@OIc}5NlRQ#s?+nb^yGADM z-Gs5dhm1*kPhi&GdopS76O8TsVochzgIRmA$)r6u7}|68P=5;PFB#1GOG_sG`NG&= zR>q{i>@f5f`DT?uWQ7rkA}EI9D2dXL{iGbqBM22y8C6gXHBb|^Q3v%i? zXolu!iB@QXc4&`|=!7olitgwM*-!eQFZyEuY#4+g7zWu-Mq(7kU@XRCA|_!9reZo~ zU>0U$F6Lta7GW`#Vi{Io6;@*{)?ouSVl%d28+JhUlik>Zeb|qKIE*7Wrq|0wHBZCz z48N^rxLj&5gI}uTjZVI(L@qT*oK+G-C+;edOAQjMO1yQFK_!`Wl1C-^by8F%C3I3r zB~>|KrDS7`)V9fOT^^(K77VlAT98R^tzqn~Gh@U;eEXYn07jAGzd?Z97 zcpxccA4vgESm6b4q(NHvz!w>i5t-qKtjLD!$cbFYgS^O(f+&Ol1fnR4p#(~zG|EEu zkqQVxB~<<&?A>>?71f?LYD5q)fdRol5S3s;1;h+00wxqmVqgP;hzTT!5fC;4DnTWP zi6DrWz=%03W(*)^5OV|-#ms$vv%a(U?z*l!#_eTt^jOJERsJY){(cGWpo2zInnyXBq=C+VUb6b&buC}pgZhHzfx2r6gYfQem z=EkDA{V3GjVX|nhE&1k-H5ScvAlF>U<0{=`Mf9L2z35FJ`VuS2nVdyG`ZIum3}y)D zaRC={F+&;72u3oBOBushF6RoyGm$H~nn_GyD$}@*SV?YVIy0HYZ00bRdBjR`J9jXj z1>DWO+{Z#5;2|F7Q6A$7p5keq0<|DyPvY!%=018ew+wfst~C4cZI>nKNg z)~6zssLY0J#3pP?H8y7pseGNm>_V(1yVICG*^8z$qdEH$ zYsmo|NDEqW2(37bHnimkj^t?CaV*EtflhShc)D^TC)17Y^xzbFaVmZ2%NfL4ayI=q zhXD-YT!wIdcC8er**!X&_Y=~*kDCqi{)Uvy$dX%9;$|a~`&05@mOPn~xXq9(PRSct z@@`5#$dWHo@^zN{n3A8fWWAJBaANn>%oVa>alCHqJw|U;Db(9GvgoZA`QCOi7QHp3 zP;X6S(c9kSdppQj^mZ_XdOJ!My&Xfow=Tw_w-YGTTW?wPb{hHK`WuVh29oQo0$fQ!{bU1JEX((gS{QnQ-AUI`Tdvt zVDIM*%KVLf|NLO@IFE^qX95$slB>9yNla!6Q~Ce+aVk5GfByEle;%j&KjH^_Th)sn z?2QF`qUHN4u4Xb*xrS@GjvKg<8O-7)=5RB&Fpt}~ojX~;UEIUH+|NQDt`@-d(C8DH=vU-K>Bv6}Dskv06x zT7KnsV$1gz>sXKSRA2)tQH9v@{oU4KOXJn4K~2hT9qKr*OFd%Cw-fa#yLH&j`R?q& zo;0B;v31yoec7Mb@*PAA%5EK6J8#3`#Fnq@)}g)g*z$EGwtQVUp0ZnqBImK?>q%_+ zdUGnVbvT{a@|{I&`TBDX0~y4*oQEyn-+p;G(s*ngE~U)Y;XizNcxBli?A_Z0y|y@B zulJ9{RGdzssd%d_rs5ssr{aCaVk$mBp{e+^ET-afFTPT8rrwey&Y+fj=;)TQiHY~Vbm z;?C?s*{Qgf^O%awC^QvY%3>-WN-N4v#Uq`^RBT7=V%w9Sid~JxR6L23DLWN=IghE> zheA_vfGnosVDeM(Vq-%Y&L#ZaRJ`2y6^v&BreewWp;H`BWm#p8qG}o9|ME@CcQunJ z(_G0DEjJpU&P--8n>oy79yDzX8UslrBVLaZd!*o-aMlC7x0)@)0xB(JuwTqwGp)nr3P9 zZ2q=L^Y>q0arsM)j!u86(Xm;2d`eHuX7{P-pX-|?=cHs%mJCbDh%C7*CF8Q>nv`6Z zB{!wy<}A4@CHFdUt?c6}d8jyEAMrk;yT>Wi-HWp5?iKRgEj1S1y-lI+K9)sypONqG zJ7dw^_Y~^x4_S1#j(m5Oj74`FQmDJ?vgocR`R?i(i|%$H*Img|ExXGavnPAelx8$% zUt%pefCFhkOAg^s4xtOTN3I#-h7RDAe5*vgmFC`R=9~i|($aP9cr7YuZ-sL?$;6qlh zl8^b6&-j8b`I>L|j@5k6kF4Qm*77UA6Kly|tYbaOQ-O+9q6)E=Y|JK9r5c;FCDo}x zO}1fMYEhfI)ME#BqCO32#4hZ{?(D&yG@&Vb)0}ZQQ|~EZ}bL;Xdx?0UqRG9_2Bf;7Ok58J=SiFYpqv zj=aiZUgr&#@D|H>C%aBQNwf6xZ2qoF^EYlYd_Me{l5$y6IVEwMA=xS=TW87kDT&(* zN#m64l_mS7 zG8Vm!p-^vE%c8d_?Y5vA7hB-ej zB@?scnv}#XhUDgy%*&FyQWCcqlE+i>RF=G$l2@|i?UcNiB_F5cvn=^OC2O3xP7ZK| z{8k*Ve|V44+d2yMwxKL~+k|{?)s01OH7V5F4zlR2KKb5uHx|9^Nuk~jkVS7T$oJO9 zSoC%Tg?j5Gi{6eW-&=QM(c3BHdMkOBhM%;Pp<9l4YF+{NA8%Y7{5L1G!d=OrIoVzTQ$w!xWzE%Yo(-amNZOB++s-fPRYJma&SuG_Cj(@N{-8t6H;<= zmYkN7GqPl0O3uxaOHxwo#C39@E9A1`c)h}VjNT?tsJCln(c2B=dz)h{db@=}z1<^= z-tH&g+hfL}w_2T ze_|auh!z~oA++K!+Hg3rjvU3&9K*33M@Kr*h2uGa6FHe~bf+h$(3?{^jlP`0S)5IO z&S4;fIF})u&xOP~GL&Il!U&4Fl+ldMu9Hb=mQKy)@ANc(ZZ9OuQ?fEkzDmirS@KIte$SHiQ?fypY?hKOow!a8a)oSD9IxAXkI`El z3iY?$~0mfxt<%C&J1R86LXl$E!@g&+`*kJ;BM~W zKJMoM9^_#j;W3`zNuK5zo?{U&@Dj0(yvkx;=M9#ylx4g_tRwI90n1szN&T{5WivKsOSYm0TW8nFj%k)Q z$mVb3G=Jmv!kj-aB`ve0ZA#+yLee=UU9+S|O5*lH(k~?gvgCr4T%09iQgV5gT%D3B zSu!&vvz@q3TDU@PEsodQy~pTnK81RFNEW?4O1`&ejYV(IQ>eE$WzpMPaC(IdaF#nw`#_sw=Kx^R`OpGYs>1eJ@wd;ooGNKVjbC) z-Du1n>_ror(VTtQkNr82gJ{Vi9Liy|rY(naBuCMXV`)zZI?|agbmc^19qC39J?Kd< zdeeu##5!^&XVH)T3}6t08Nzv7z=d4QP=+&tk&NO}#xR!48OL}gawS(Yi78BF8rKo) z$c;>ACbO8$&CF$9cAeatX6Zs-(>`F}f5M-PEZ?&15BGM+ez><|_6%J-Z`Y~xak|c> zhh0h!k1sv!T6%aw>EVglVf@QZDjhw!^srm$VNvN}_tL{2*M3c6j^Zod35qs{~IjkHD2cp-ed_&d5dMd%{#oyd%VvF ze8_TE@DVHdZ~U7m|Bb)lul~CA>fClvz3hj3t<-+FH(uitwgrVgVULi-C+yMWKVdr= zi%;0&Df9_@iYz{1PbL2ed$zIoggu8spRgCn;uCfl`A^u<#^Mw9G75ddPL{^0;+ zVP_bNPuQEteZrPJ@o}eYK6i09_i`T#d63xgJ;I|r&J#Sv(>%)}p65kg;uT)yHQwM& zmhu*F^A7LvJ|D7zkNB8R_>9l_lCOy!-*>FydwyUIKe3iyi5=e`{K-1XQJxA^q!N|c zkd4@cO{vD_Y(aIlq9$9jEw!jkUAAWjcBDQHXv8kWj&FAwvnPAel)Y)rzS!~oxBPH# zZ0lq9&xC}^tYHo{k1f+zb`1% z-;c8B?`QJ;{belrTaQBhZ7hras*>-ohOy{x8w&NelPvmcNWQ;4j75J<_?!Ozt#4~O z_;+@q3&(Q;Cvq~~=uS^gp*N>;8htr~GdY|7oWno{aV|qRp9_igWGJzoT*3&78O3PE z66?tojAH^5xr#|lW-8ZkE!S}aH!_1++{7Gi<`(908+ULg3%HAWxR?7`$b&pgtS65V z>&cTm#WOs|B3{Vu0G6a#y38!C8ea(2GJoR>A$Pssret-N{F0LRLP)YfN~&bZW+{m; zgd}xRQZGw(PRVXrvQJ9(&yqt^(mG4pr=+73cglyjLQW`-*OR=*=&guCy`3(L-p(T5 z+hAkS+j$h~ZKN!EyOexyt)f~bn?B;H5R?yN}=BFlSOY2knimYW6|5w zb<~wxl{W*qT^Jwxbqxs7pO|WG5QXke%6u-PoNy z*o!7KV{i6hU-suf4x%Lob11E7O&bp9NRFZ%$IzbR=tyU}&^5bGdZk&~C!4?h()^7t zgv|MiQ!+eD#-t>^5Ryzu$+Rq)nUeTINHRYqcW22%DS0$Yo=?e3S@LE|-pZ1XQu2ut z*U6!-kgtm4^&9UodRs-I-hPusZ-0{St)j8$tulpr+d>w-ZAHGf+Qy={?J3mTuCnN@ zG5Ow_8;jodqfl>$$)dNm5$nk9 z+`)Vna5wjI9}9Vahj^Grd5kA`il=#&=XjnMc!^hdmDhNkH(A14EaM&CBi4}*S3lQn6UuFdA}x-@^wXY+THlvK--nkm^fOX{bjQI_nPlBQYGA|;1p$q^|z zI!lgE$%$EVN=i=6l5g^F(^!7OU-WC~)-d?0oZ%bv-+uP)OTVX7E`&PGc$vVnWp7p6nB`UKa8?gzSQjN{og6eEVO}1uRwxc$6*`6KPk@_^C z5xWrU$nG>|PxhiI&1lZP#5!^S2hxI;96~D&qYZ62f+IPab{xxbbf6QRIi9YZ$jNl0 zJ3TmsUYtrF`f>)bj+{+D&S3z9IF})upIs-#X_k)8=I?|wf3M2s?+q!LktMgJ$rg%nZYb> zVh%TR3-h>*+qshk+{HcI%l$0mK^`X7k;izPCwYozc$P)HK&&G#^9qZ3jW<}rQkL;H z@A4iW@F6Q$$;W)kXMDkze9gCf$7;UkN7nE&Yx$MmiFM>J*0CPtslWzQqDpq1Y?)?h zjcoqbN%J>uFUCYjJ|%H`At_2p&n!7TC1+*Hc`3OtOGc*T z(k!_$C6k=EPFlM{t}Tw&>%GV5Z90W|yHysw-9f&$`;0|z4^XJLr)AOGbL4w_)mZfQ zI)!?BUlzSBC*RxW#-g{cDAe0evgqv>^1YQa7QL-cuD6mWJ~owAWivKsOR7_Yt%-GH zJ8Dsfy3}I_cA^0d*_mC~josOUy=X!+_GTaUWq%IfAX;)Thti7HwBc}$B-W9397B7K zqa&T@LRVrPIf;`gqB}k5MQ{3W8mDsxXK^NO zC)SbiOyEkcViHrB%Czh{nVn|o+-&~N@BZKaX9wH3Ms_Za*Im8KXs$7Zn%hqn%^gU- zxxnjEpipz8WYOFh^36>&7R_Bv zuDOzDK5mpvXC||l%^c=3k61}==MLtxfV;Ve`&h^WJjBC1%40miQ#{SHJje6Az)QT$ ztGveRyvY*YVj1u79q$Z|enC7w9o3RC3vK2Mhnr(@dq&9Wfo_g#^eHzdxyHc8_E2VjMrL;&Zy&H^J zBjUT`|CT>H*w$6@P;tCI;(bPUk5j0-7iH1iE9ARdYAm{Yn?l`vEQ{_wBj4S3#-h9L zDb(E`vgmFd`R*zii|#h0PjsD;M?BL<9k|T=a^(gQ2XpZ4nj-vye=*;n)z=@npzPsMWqPx>5)ZIW? zbayWK?uHtR?k=HFcUQ=wy9wmGn`$h&yOu)T&5=cSw~+5{fwAcB9&+84{1?T?WRLSC zPw@=TvWORmwd7@DEm_QKyuq6+Wf^btF7NRHAF_g#e9WhO#ut3a*L=fwtmb=uWDP&F zmS6duSWEt59qUn^3RI*LRfx4@V>Y2G)!3XZsZI@QvJKl(i`vws9y_oT^=U{Wc40Sm zXAkzI2~F9X=IqP<#9DF?EjXA%XhmzGB!)DOiA2mNT#P`R+ikFk~^~Gfs{O)B~Pd1xh#1-B}<&RQ#ryF@@{dwzVAIo zZ_6pv+gGya?OXD_{bVe9`-MWituKq-HXz^IrpBVT%_!8{cCzTL4*A|17>nL^rciIq zWYOC`CI`(%glpxtSuqkT&cPcJ<@qxA61(!;Z|!}vFxT{_yY z^ss;F;W?#;14<7EmL3ku4*hJeqyO5U?OoI0pP%jhAMvo1Po$!9>r;V>Y(OO{Q-uxL zh>h8VO{q#XHe++PU`wjA6*Z{I)@;MJY)36>Q-`{2Pd#>EM|Pq<4QNOsc4ilLWjFr$ z+1?^M?;CrvkAps7w_$BDQmzQkAmXf~}m_U~6JK zw;i>JZNc`$c5X*vJJ*1Ql-(9Ib{^Zgy@>5xGxjF71^W@(xdVyqTuTn-P+HNNw%E@7 z?U#ccjK{X1GljMVJ!G*h=*9oq7WmoTBmFNL8-p{6jX^&OZ454u#m3-b@*9Iu#$sbI zhC&;It7WkKA>GAP|H49RXJhNT;c5!sEzxRgxHl50|OU6$OO zl6hHjS4!^9lE+i>RF=G$l2@|i?UcNiB_F5cvn=^OC2O3xVL8fG@>_Ad{^31FZ|jJa zaABHqN8BBRG=3>#dXVSV@kjD`k7@?mT)sg;+_-^j5NtoNc@x=P-al3}y)D6YI!D z#5yvJ;f!D;qZrK?F5_~>F`kKBMXV!}iFM=}rg0tD6YIzfVja1O+1$)r=5ZUbj@-$7 z?&5Cl&UO!by6YC(n{IatR#|dPN{-8t6H;<=mYkN7GqPl0O3uxaOHxwo#C3ACE9A1`c)h}VjNT?t zsJCln(c2B=dz)h{db@=}z1<^=-tH&g+hfL}w_2Te_|auh!z~oA++K!+Hg3rjvU3&9K*33M@Kr*h2uGa z6FHe~bf+h$(3?{^jlP`0S)5IO&S4;fIF})u&xOP~GL&Il!U&4Fl+ldMu9Hb=mQKy) z@ANc(^wyq2 zy`3bB-ipZg*2h@%b~=T68!U_7&LiL3aAVQiNOHZEJgPEYHi0X-ib+gnD$|H{Crve*Li7ISF ztRtIJmCe|kE!m11Y@J;vJEmFMAe+C9)BN2lo4*I9q-B=0P05j2(m5qvv!q8#dSyw! zlnls{3sQ1%mW)Zs@8Fyx#6TMsM>e)Z0U{=~op)awHoXJ`Aqdx-}#9)SS9v5&S7c-RMj9?_AxRf!B z<#NU`o{3z^)l6avQ<=tf#5!^#)0xREW^*%hnU`HB_oi98u>1d$P)z< zfr?b3G8?iHo3JU>*qkk>&Q{c9Yqq5pwW-VY?7)uHrvZ)Fg;+~=r!jl77fsol=IonY zE3MMC(k5H$k4bBN++x^Aot%>HS#nxR;ub@4Zc5J2l1ow&w-}NMDY+_3u1(1eS#nEC zZgWlE?*H-s;~#l!?<#q*I9^}&KBK$E6zc9>S#TY9MbXS#pcQuSfciT{?yPagwT|@HS?O`msYeKHOl803elC|Jq4xtr?(T2l` zwd5#{<`|CUI6BaYE*#GZoXE*^qdPr0h2EUXY4qg`&g5+Ra}EO;#JLRNd@dx`lA#Ra z5=KzWC`L1uSWB*8921zxRZL}xPv=cz+K$Kz1+`2 z9^_$SEqRQ`d6K7ihUZws3)!`@B+cPv+3a4PW_R3XnD^hNWObJOl9IU1kZh2WDp|5w zO5!#{QYR(#vSjC!?3N|_q-6grIW#4$v!s1WIy$lcI?fexLUFvF&{udV7F;Z%-JD z-kv7cTgiheugVtlI&ZLqr7YteVjX#(4_M9$R`M~Q@;P7d6<_l$-?5q>_>rIZnP2#o z-}!^TD93uNPX#uh5>?oUSVuOcDx0x6TT-1GY)z~q+fj=;)TJIfvJ(wx$j_roru{Zm$FZ**K2hoy)Ih0nkrVWR4B(aXP;~3g=93AOQ7rJKGNv||Z`(*RCUz)#h zn_lsuXx&!^<2EO|2}Z)M4U_#=-U zTp?c-$LlxVWAwI)LcRSai{Abu-&;jv(OYE-^|pm9dfSS8Z?%m@Z`)I-w_Rn?TVwLQ zH8&Q$?MI>B4wFT1ZOQj`tg+~=1G(Nx9#rWjE20NI=|yk)(3e<8&g3lm(VqbfWH3WG zj|;eviy6vrMlh05T*?^6ayeHpo{3z^)l6avQ<=tf#5!^#)0xREW;2Jm%p=y3+qr}J zEZ}bL&vBPrt{%3!% zx1+1%g5r3+$oq`$hEb@y%Vg2rIP%?1HWuAoL!s_&l0|nnlke_MW6|AR6zc8~S#D{8Ve+p-d_X0gc#&SW9-NF?+HXO=(7R_9fPm z12~WtwB!(4aTslA%Ml#O(X``Oj-vye=*;nSF7nJhZmO~4lO+#R(d!*JB)wBC8eVyN)Jbt9u}7#j!K8=M|(&8*Zyel@&^C> zXs?H|{`s5dihN^IWS3H8m-4^W-#q72^Ph()5B0x6D-NSIZD`Bk9Kn$s#nH6m7>=bq z$I*d~bfRD6w+{^te~B&OnY3QfgZWib`+AU_rFGZs_v0SZmUr)4n} zpCdmNUo{p}@pTGK#rI_~6_=Brik};csrVIzrs7Ysn2NuUpNi#-#Z+9MLQ`>5S?ppr zV{^(*#jTykRNRhQ)S)hAr(y%=F%@@a7s^h>y`0BXY(}A}*isf#@laY(b}Am}Jf>nh zVi((<{8a2}ET-Z~oJ`rN*volL#Xc08iUVXZ6$g`_iWeIj%5W~>@22AA#;;&J6EGD^ zz7d_`cq-GfJDS<)E@N(XmoY!xWyF_U?r0uO$rD-fd`jX=F3DRdc_&LgO35c#vMMD% zWXW$S`7=u@r(~ln*&-!dWy$s_+0lt_le@S=b}o+BUA@QXtue8L{9SMR8IL98Kw=3g z+uLEzqqnxi67qMwbub=FNM~XRDcf5&=h0gaVhQ=X-p({0OGrOr2`Ss#5a+RkT)>6= zU2mg|#}YDzv6Ss?qVwqOY9>*px00t>ZZtlfnapA~bC}CKVja1iSV!iwfV;VeSVtBT z>&Qbq%%eQU6Ffz%BhM1+$n(6wOT0|1Bd_r~Z?c59SjIcNN30_svYd}t$tQeDtRr6% z>&Q2J%PLm$18azNWG%6d{KoJ6$zPPCJh6^cWCJQwg^k#RSVyX{8C$R=TTz3p*_K#G zYEy^psmG4gC)SZh*>%!1&C=%C{B4ou@4vp}`tSN<_s6?R9x9I4N4(GI?r{or_o6Ji zdxd;=ON~W$Z&Rqdk7d!_XXLy4&RBH!J%zgaLl)hwBi~&mW6|A)6zZnzPq}{ zqPrc)byxC4%kHwq?8#m<;6Pf?l0!I@!)QZWj^Id+rX9y}93ALHXO5>U zCvpEnAOF`kKB z#nnt^3fC}=>$rg%nZZnMVm3E3mwDVqtR;6cpS!r5d%2&5JeXZ8&!lT*QFg5?PS;9& z!R3?VgOseuk}pycUvNo&PRXxXvR+CmWJ%SOY@Q|Cq@-4sG)&1ZS<)mWduPePDQV@z zXG&LB$PvZydX)DVy&XfL-cFE3Zzq%Qt+%o0?KBGYHc%G5olCyAp~j-OODNRa6|(4U z0{Pyi8jIeprBH8kWYOC#R?l&yTF(XV&s7zZ2`oU#w$2%2R=gRH6#8 zj%>^(RHYi5vnAE3K~1({TWV38y3}I_cA`EFX~Zt<#_sIFo;0B;d()hK*`HWP4x$AI za|o?yO&bo+u9J>wmUhYJZ&8}RJ+t|HR!aJ3$$2TcFiS2?$=EEpG9{C;WO_g_98^!6?J-hMI`z5PO=-qx2zZyS*BZBt{>+h!E%Z97@? zR)>6V4U9!^J5#8)X0qsQAM(AmG#0%bO0Kt(ThVs1V`$HDbfgnq=t`_3Cvh@Gbf+h$ z(3?J-#_62FS)5IO1~8DpoXdHf&xKsXP=<2}BPr%mMl+VniFIT=6S$JAn8akJGL2YA zuIC1(GlN;oW)5??g{V&b$1R3WjXzUTE=ww>ByKSzTcu>{EZIIKaf=~ooRYn= zWWVmU{&fctS=*EynPnZ)Kh-(=r+TEMmlK~TC%Q&XFOJtUy~}8>ABCE`Ko-qiOuo5M z#-h0~6l(5jSu{6=d~-J%i{@rhsJYu^(cFCU%`G$*%{@e+=AM;BbI+4+?loi4+?(W@ zD|wdXL)mgZVkMvODWCHtv66hlx2$3{Kky?zv6f%>jo(02RhQ3E_CHYVkPND5k2ThFHWToeX}cNP@1JfviUnK&EFB({2iB) ziCJ<@O0LV2n^Q6`OYTa^y;<^jN}kG+|ID9>JjoUETXDSp;XOug>nPOQhO+2w6Y{-P zHx|9sq)=}=$fCFUaCM3dOMzcZ{3YWZ>Ny! zt>jsjvt|7_hXD*?Fhe+>SVu16VumrC5sYLMqZz|xT+TSgGm)#fn#oMz8m4g_*K;E? zn8{7d=4R$HkK2fKH_&hn; z6>?c|yk6lwMsE`+)Z4YP=2g-tLh_Z}*e$?J;A~+mjUP?PXc?wwQcx z%Zx>D?^39@Pi4{D7vy_eZ7h2Gkz8*j&$0X^TgQ5orveqJL=|Ek*_chJN;NiT3#wCt znry?i)S@raAkvKe3J+L<oX zj^S92qa&T@!ttEIiJVL~y3>?%+-qa5wjGANTVB5AraN@EA|`Da;(StRA2)tQH70&b!1bjvKgDR zC0kL0t+VT7$27AWWHY;Qn)7jk;W{}mB`ve0ZA#+yLee=UU9+S|O5*lH(k~?gvgCr4 zT%09iQgV5gT%D3BSu!&vvz_=nDRPC}S{$#pdymoEdnKV)|S;_ zd+Mm&Y~av8NeV0GlcWFfD5^pp$umPBN@e|jA1O7Gmi00 zuGUG_E7oksF!LOlC2go0-eJ>^iwO&C-S0{Czyl-?+Un=U++5YgzJkO5V$o z&rEY$2hgXyyj>`_?UpBsUbVBLj#L~knOAoIqJ-j+QjDN$V z($UGKhf^H>^JBd>1poZ~b0_=Xpc_SWrw2Vbg#%p}KwxH0b>k+c}bUm8kMP@>3S2nPuG%XJ?@mv=PvH%UhZQd z4-&h*M|hOSd4i{SnrB(W^SsDQyuz!z#v8oJQr_Zi-r+so=R;QT5g+pjpYb_g@-?yB z`;Jw7&kwBOC)V;SvD^EDKUqgP%2R=gRH8B)vJsoGDb?7VEvU{`)MRV6r53fR%l7QR zj?||Cjo5|Q?d?uu_GB-bvNz4y7rVXxmLKblA3D9qf3=8S@6UEsPo_Q1Gigt=VGq~H zi^cK!vj2-{Z!v}1dsi0ieL%jwPmM);Ur?yMA7#(m zayI=rhk*>@T!wHy7ZR(Sf8!Dfxf*=hl0=LQW`-*OR=*=&guC zy`3(L-p(T5+hAkS+j$h~ZKN!EyOexyt)f~bn?B;H5R?yN}=BFlSOY2 z@E~P-d%}72_B6TPN}l$3RTk^W>%74dma>d@h;`(BK43X3SjoqH%IAE+SA5O4e8*~j z;75MqXMW*Ve&-MVq8zb~tWO0tpb}Nsh*(E9CDxJ6*qkk?P7Ssu){*U~MIGu=j~&^G z1~g=6c40SmXAkzG3C-A>eb|@%Igo>B$-x{-D_YZr!#R?pXvZUa5?=*Lrle$Amds2^d=(^_pOU+?^NsE*m(*581bL+iaB^MOO>qXvYbT^Db-CZV&?#7YtZnClH?ivbpcato-yP14< zcN&ZC?xIk4kI16C$H{lM$XImuB89qJDvR#kCg0r(W6|BmeUad-1y z_~+JpyGk}Jj@OO7&*-iyg}U2D7Twh%-`!5eqPvC^>aK|_y4#z4cLy1Z?hd9n)4!P9xu4e`C?zKyuxcJo7PBHjGOcK{2Bk%~)bBxq@*_U?Nv> zHItdjHC)Se+`x^@U=}wqhnu;DdEAD1@NeA%JnNrd#0$h)@-nZmnAdoNB`jqbZ}Tqi z@c|#Qf|Y#Cr+mg2e96~*%Xh3M){-At!_TbcSAHkflD~+xWIf7LfeolcmF!yCGF=fh zvMZuax+3B>!)L^9DcK`S_DRY9S<*Tshi6IqlyuCJqLlQ^lG9UiR+gNXk_)qBWJ)g0 zk}Fd($%*~fsjiS~i{tfr?=gCtPNCjzl|^rNa3>46i+i|_`+0x|d6-9djK_JBr+J3w z$oKZDvFPn}3ibBBEP7i`zPHbfMQ>kGsJEYF(c3TNdn;!wdRw1dZza!sY$~hDW^B%u zRHp`86YI!!)S?b`smBiNL<1VKGrO=GyR!#-(S&BiIg=d=@Z%P zem>3axYckKy_J%8vgD(b#I1&8RZ4!ylHXGDXO>h>$wpbSMM}2HlI>HnqZ8LkA6LoF z#qqkU_Zi(arcihL$)dXh$#-{{vFNTXg}Un?i|#s;@2;D%=&lEax;s-A-Ss2i-4J8Z z-31itZj>y#8$-UkiN>P4tI2g&^4!ObvgyoZ7PFbdT;>sL$?e?1d=_vw_i!Hzd4Pv_ zm`8bxCwPjdd6wsRo)>tDmwA=fc%3&{!dook9o{3>k`GzVN37%%KIL=1B-WB|_?A_y z<_FgB6KnZ}-}s$B`HOOtXMHNN0hOu3Mr^{SRAV!?U`w{523xZ&v6j@P4%<_Y9jQ+P z8fDi?(=`QOC8wsOZ%?_(nk(d?;&^?;dyL*5r%-P%%A&Vd$oIC?SoHQbg?jr~7QKB& zzPIm;MQ`6zsJB04(c3!my;U+6y=_RL-m1%@x0>X8t7|NJ+ksqfCC`2AE^Ex5>_tsjJqy;TGghM%uHnimkj^t?CaV*EtflhShc)D^TC((`W^xzbFaVmZ2%NfKv zayI=qhXD*?Fhe+>SVu16VumrC5fn3u(Tw3TE@vF$naEXK&19x<4b!-e8@Q1f%;Y9! zb2D?9$8E$qawqe-i@Uj(`&r0?*>&uoH0JB>oU4U|Q1=aTPjsIlnn5(@Qpg)DlTK)$!B#-g`tDb(8>S@d=b`Q8>7 zi{9=b*IUVdQG87HI8X8v&+sgZc!5|)Ugi}R^BQmPCQDhy+q}zre87jSU?m^(DWCBL zU-C8I@Exo9o*!Am&#dKFekazEzgWk5l&1m}sYDfG9od*os7f_9XG^M6gPLr^w$!3F zb*aY=>_mMU(uiHyjosOUJ!wKy_NF=evOlqo97GEa<`7!Znl>DsT_+vWEbWrb-=Z{s z;}*l`$yq7spC#v|ByKSzm!@QFmRy;VxW$l6Psyw-xiuwsWXS_5c{od+PRVmw@_I^^ zIB}hv?h1LgI9}iP9;3JA6zc6OS@iZT`QCmq7QOvKq2AV)MQ0K(c5Me>TNq& z^j3#_Zw-t^Z#z?{w`Q{FZ6ETzwKNvJ9ZIgZl4ri#$&R5t$I+2abfGJ;j-15F6w#fY zoI-E+<3YP?OGmFO zJ-oj3@P^XE8%qzTXNU1`m{B@9v-EIQ>ETVKhqFr$=ae4aoE`eHUPu46Ki2zQgMWUk z_n#l@{pZJe|9Mz)R`()1_#&%#kyX6NZm`HoUSuUNvLh_AnipBk|DC_HFa22WG4=lS zW4*Cu_}p^+1!cDdtDLXq2Vy(-6F*aSTkxmzzbMCgtWO1ETTq!QY(#A5Hl-?Mw*^}{ zuff*DcCPHUV0-7Wo!gPv&NZMRWw!;5oyT@=FJe2_jJ=6%!G6Sc?m%KY*OG%dlvcE+ zEw*!i`?X*Pxh-)?|R$Nc=Wajv67VSt-AB*ttPRO{9SK57?0lS6DvvC-gb8$z3oY? zB!Ac20mfq`X+f+cWqWJmJbF8VBl)}DIvJ0ZCF{u9#`|#& z0~o|$hHyTyj$A~nBf}WZ2u3oB(Tw3TE@vF$naEYdIx?A9N3LNS*Ks|uj?7>tH!+)= znaezGBi4~Sna^GPU+mop*o}4HFMMRIL>g!isYsKQ(yV!&G--5c)GVbm6IV%tp-3u` z5>05722&)J43ShqGF8Sz8hAgy|M@;H_xZMtcewYn_j~N)-pBD-&szWQ<9gQa`#*o{ zJePG@Z}1jx6LVxZF-Jb&Lq;-+F^pv# z@goaZ$YOpb=Ezc(v4WMXVl^>G)@H{^*|bY5Wc#;D+Q0Gsl|5fGCAG6;_msr@SCRu; z)c>#d5aPqZN2M&@!IGVk{?u97pSmz5mt@J+DY-67Zb`{)S#n=Wial_o+~62_s5ovP z@hqdct`utSDOohvhkSDbtVMH!DAe4WvS{ud^39E~7R`;OP;;NlqPa=ro10-Rn)`-A z&CQoZbBo9~x7=DZ_ba*PHhffNooqd&DMMMxQGrUtB-xzGRG})>*otkaP7Sta2Wqkt zwW-4{>_%O7r#^eK7yGa;4cVVY97tmh=1^ji96=L~;%JWLI8NXsVv?N7X`I2CoW(hu zOEb>rLN4MGn$v>IxST7wimSPX>$rg%xrx@?LK|+QEq8DiF-h*F9rw|JVjiFqowFmQ zN7|*mvi;jP?caC@%btHNB}231-IT;TSdx!ZG9gQ*q$J+KlFUxY4_We4N|t2F?DHpRrWES!Vp;T7M83C{)}ps-Db(Aovgqx0^1ZdU7QJ;O*V~4VsdSNbr8|$&gP!!F zH!(+^p$~oOM}MAY00SAs%e=yC3}z^A@Fs8b4(~FY_xXSkjAAq&F_w=R&jdar=ExUJ zVhU54#&l*dlb9plF^k#E;Rk+XJ_}jIPyEahma?3c{K{{v=6BZeCx5e!Qj}&B%2J*R zRAMt?j%+~{wxk+cvn|!xE;~+kO}n&SwtpL>{kvbbe-BT|ky&zLN>0g=^HTEP@~i2s z93d|k$L*`0WArwJLcP5wi{3sY-`hBA(c32!>g`Ke^!63`-oCdMz0IXiZ@PfPLAI{W*Zf z9K<0U%HbTrksQr29LMpT$Vr^SshrN4H05m0;XImg0T&T-q&Y=g%4J-^m9*pl(w5t~le@TwdudMxI&wb`@F1Oem`CYCH@fpUJ$Qm%^yX<|jyy|Wo})i6 z@FD|wDLYQyN_&1-b~KDkM?+j-cx{-NlF3=}bxPs_L$V+xi?d`!O5y@TvOXncvSibg zRL+tbDcK=Qc1cOyENPgMMjm)Qxycc7NO9aA<~c@hO(@jc$+GC}H1fTjYb|;^pF+J| zE{oo-BH!DM)}pu86zc76S@hP9d~cnsMQ;yLsJEW7=%75Ryv;C%6LaJPK4c`L7{f=5V?3YmDW5TsFPO|!zGOOI@ijB~mhbqUIm~4qKeB*@ zEaqoojx1#vD_F@Yeq#-5i8=BYf3u!al%Xu;s6a(FWiu+X1y!lWR&2wz)L=Vype8#} zo1NK(U8zew>a!;?NA{rs4cU)I97tmh&W@8~(=I(B+rMX|{Tr7T_WUI&X^|yYrz9>f zB)6sHjx4z^C2@Hn>6((qvgE0h^vRMzDS0JJ-fU6$7am(XMm{Z$+s{4AXl@dPn)^l; z&CMd;+twnR&Q>eM!WYOI2aC+JdV7F;Z(XcKZ`~=>+cUE0 ztuOiB23m{WUZzlQZ_A>$cggoQ%3AdH5xL$rd`RUB*(9bgm1#_81~Z8{@*T67%^ZGU z9`jkqB7Wj$mavrNtmIdIV>Q3CmOuH6b(Eqsn^2bWRG<=@5p!e^Qk1?b4Rn{%w`^@6Fl%y(cB@v*f{)Je(y@q@;J2JeQIevSf&N!{Pvb z!w>gv*n27aFv~tkf9kXBPkot^fBKcjTO1=l702x_eo!>Gj6%)*A&chzCf{5+YtdXq z3N^QtESlSvd~-Wli{^HwP;-09qPYg-n`>+>nmdF-%^fF;=1wHv+?m#*xwFYNx8Y+d z&1FSg%4J-^m9*p>;6Xa`FptoMZgl5y zdhi6j=*`o_Bzcy;JV$?CU;qPoiI^m>@)|=JiVgHH=aKJv{2uS~AtM;Y7(QYgAM*(l z_>75s!DOcJCDZtd8O-EcVv>B%Z07OdE(lvK@< z8YziO3`yOT)X$QJDTzxANt2Wu-QwT+mB%)Yk~@pz_HNHJx@$+F?jDjwcaM_quBWx= z?nw%D_q;5+dy#y1gRMn(uT!YI4`k8ZNb=o{w-()fN}=wi%c8rl$#*x$T68y$Tz4D( zCUL2387o-HDt==PYl&I%7k{&!Qj}p6%29!eY|3U-W(%rPjjh;*ZK=U_?7)ufL~V9v z7j~sC^{CID#4Oo|1~glke>&Yth>+o zJk2xo;aU39kLUP*_%SMH9RK+@=a%?yu#{yiX9X+yl~w%4YS!>OYx#pe`HR0<$9hUp znlfxcS;|qK3RI*Lo3a_3Q<*KOLRGe;8e6e7+psOwslj$^&kpQJO?ILdwfW!lW0e2K zzvGv_ruXPN@io0Mu)7)GkMTG?>BW;g#nbfRS^CkR=NZ6@4B{nT;Z+7Rl-GHaw|IwP z4Cj45U<4x>%^1cqj`2(&PWe7(B9oZRRHiYV8GOw*e9J7pXAVCwkNGTM5sUemB`jq* zEBKXFtY!^s`IEm`$9hUrhO(5W0+onUzJEG(*xLFwRHp_TpE}g?xHff&Q@-7(%f_b; zdwaYO`_hp8X+)el9K^vKN}Tc?K@&DUbvWMR6F7-D<=gnwp{d7l%6ATN%GZqZ+33`v zd{NpJang4gang4sR}m)<*AgdvHxMU%H_@6~Xv1yXj+4HBeEYDY^*DKWfQ?Qb{^jk% z?i+tm?`^i|Q^j%nj6V{au`h)-nn{f~JWaG`apU1Ho51`OyJW>{$@feO}D$71e*C6pGmn)jDQZh43=BDJwELoP4m09vfO8(B0 ziYeJFOSVeMwpsEozii*uF|tQ--0tO>L~{*@G4fB%9b!Gk$l=5o*|@pmJdWm0B*w@; zHFvi47$fHqV`SszntL40T}q+mu9w9SX+;c?jhk!haSV|=xr={l?tberL>{Cw8#mX@ z<7n=2dazM*8$QYMto6P;M}J;m00Vi6m?W+z zWE?R`K4Ai%@i{R`CNqUEnZ{SlU?$%ZljM75GnXIuk@>_VSxiilUs%F2ma~#o#3Wfm zOp-tNlfPL^4-<87TxVauDcDNX4yy9fQG~@ zX~Y3E=3ru$9LC`^;Yg0=7>?rvPUK`x;WSR?OwJ-^$+?`z`CPz7TugIXa4DB_1y|9M zYq*Y>B{$NF*4#`RZlx`E5VPcN?x7v+=|C~}(}@Rph=+NUE_CBDVwUve37(`kPt%8I z>BnrDG-DeRJWYOJiTZB6x*J5kyP?*iyEn;ox8V~lBV;2P%^1cqj`2(&X36JFWD=8^%9l)M z24C|H-!hBunZpmvV?GO5#A1Ht7nZV|75vI7Rd4@jpr62uyfdLF;5HIrzuQ8aRyuq8i%{#oyaNg%bMlg!e ze8gBjW;_%4jF=-|Fo`KlWg1^GgPGZJ@?+Yi3$y*ZEbZU8%<%f~cS=fSNyU`JWrk$i zlx&wJJEvsVENPIE{j%hclpLNVC#K|-EIB(R=Vi&IDY?P}$H`rekZX(M_Il4TdTT|Y z-tLq|Z}*Vz?S5;~+k+J9?QvQ3_5}Ifp0yUeJx8J5UX?{}L&*0w%v$vJ9))@vCyU-b zA>Z3%Yth@6@Kzgb5q%CHIL zC{INyu^F4Q1y$LSt=O7vsm^xnK+KVysKw6IVOMse9`%VivKM>PfPLAIMjSw64&o3F z<#3MRNRH+hj^lVvb# zf7_+~+acS(kEWzsmOPn~r?ce6l)RKBuczd#EE$=SF*D3inOXj6yL6)pY z$tn*VCwDtS{wR*yzdXn2Z9Rp0+f)|4RVLrtHrArI8Wien7g_XHmwa#gSc~2oQmD7X zWYJp_^1Yp4EqXhdLcN_Ui{8#B-&+f7(c9(ZdfV`6mK$ZQXwA*E;a1vm2Qf$P<{sM7 zo(^>6eme0W5AiUM(uHn3#^dzl37(`kPxB1V(vRnOo)>tLfxN^k#2gvS5MJjE-r{YB zF`SqqAMha~8O0dJGLG?l!l!)3M804$Q~8qVe8tzy@TyTWCAG6;_mspXhUCDM9GoRbr6evdBxj`L ztSq@OC6{E$)hW3yOKwTYZCUa!zY%$lBjlmtxP8QPjNZCZsJEwN(OVz#y$!Gyy$zyJ zZ*R(?w|B_*Ho{u;Hkv}ceJ+dMCXw%LhPCMJ8w&L{UlzSBBH!C`Yth@UaYvDQJ3AR&z|hXKI}_F_NNgC z(wKuel*2fJCLG1l9LsT>z)8d$IhE5mgEKjcb2yh~oX>?^#3eMR1($I-S8^3sa}C#V z12=LLt+|CZ+(ujO;4bdwUfOXV9Vq4jI?*{hPI{#M+bi3@ebfGp%L{w{wUi9al6O-Q zmlu+cQ!*h-rlce;FC?>5@8?P6K^A7JaocH;F5sYFqA2F7X8P5bhBj(5#OkxUCnZ|TxFq4=g-!Y5X%;5)qWIhX7 z#83Rp5|*-@mHf(Ytmb#t@+W_@j#89n6UtJa3RGe2` z=2ED)Uu4nSGV;Bxu@=4kL80Et$)dN4%AP(VB4(AAtUn#+NHy?{W~)4-?+T6=O?CQa+Z9ZlDNE(EJ(@XELo9~ zxV(_8Pf3|9*)%1Uv!q5!cF2-lQc^cd8m6R?2fnPg{l;I`J1qOM-gmQ4(8Z_ihL?Pp z?!A(`@0Z;Dpycj{C3i=Z+#Q+SjUP6uWOa1O-7zJ1KPtI9w&d=(>~8#ok4sj^m)!lt z-TxV1*8A1QAMz{Jx>jk^rF5+_ZoAjo#O=3Ip~!G3a&lN?KomJaEHWgDN?pt)G^dCbT*_tq=gWHkZ~y%{FO>iJ;N*Y9 z2PglH5Ba4p>pi4SsZx9Ut@ZZi!eQw)UR|3|=+*UPS-iTQM*h|HTx;>_dOn3-T`!l# ztLs(dUtMps7O$?YDfH@kw=7;=+mU~D?PM)pT_2**t7}hLyt+O~{?)agwRm-Xp4_YJ zhEID8mJQ)`-rz0XW*EbXv%L@akdcgH3?DI$@qEIke8xn+U@}wrlIeWK*UaQwzT(W0aklptf3u!al%Xu;s6a(FWiu+X1y!lWR&2wz z)L=Vype8#}o1NK(U8zew>a!BeL9peMa}lBal@ zK0Hf5`tv*kc#%Q8#4EhUV21KKZ}JxJFpS~6Pt1}L#4H)j7{>B3eGMWzpNaG6du=ExMLGL7lXU?wp~ zzGD`%nZpmvV?GO6#83Rp5|*-@mHf(Ytmb#t@+W_>j#9)N*@Uu`rvjDOjF=-^5OZWp zszA^ zIEhm@mD4$crkuq&oJTV*$c~dM(k^Y8?cY{u|Hd0Z_WV65X`dwzrX=17l01=;-dXZo zO5%+m$&i%1ktOe?xcwv0l({UM9q z{wCjBIcw2dMGEz{l`MMOmV9qJS&QCwrciHt$)dLgFk5BJiZ4s_&x9^gSb z^DvLlg>H1`aeD9sz39!;#2k5+zC1^NUSI$Nd5M@Kuksp07|I*G#oG+yUEbq;K4b)= z7{f=5<6}Ny0-rIFFPO{}zGNC-F@u?WOU#k)nax~&;71m)kj2??vMTM;HQD}MpZ0IO z5oFI-PD#})sgaU+BS=y=CH1qUVM^kSAW4&y9GxX6r{uIOIX@*AWy$3!xhhLqr=*Ps zj+0_X$eqP;d$;Epy|trIZx6|$w@1nM*3(+__9TURdtMg3y-2>d!PcU;*D2K72eRmG zB>CRPTZ`U4rBH9vWzpN$Ll(Uv>1EK14GS@LU2R%c1+l$7lke>&Yth>+vwts7-{Tr7T_Wa%{**8lLN=aN^ zNRCg*Nm1Wm)w08u{Mdwidm;OQGIAl0|PHlke>dYth>j3ibA#EP9(wzPE+eqPL$Y)Z1^e z=Sy=_9Sw+)~4*g{r?Evd%VY(sUnBj(7C)T9=*slzVpMm=_C5B6kl_F-T4 zV}B0dKn~(y4&^Y8;7E?*7>?z5PT(X?A?C>GoIz90;vCMU85a<9di zr2|`R{1v_bb&eQ2|HG7z%J%msX@AE>hUBZ1%*>LxDT#{=$+DEJ%#uG+@^_Y0Ovz?h zvQ$9%#BKI3z~U@}wql4*R!3}*5zF-^W_ zHgoxbADPcW78BFt7nZP$<*Z~Czp;kj`GY_Cn{||;44Y7n@>HY}n^Bo9sLGaX#nx;~ zb+%&%Vw&tkEq103yHb~W)X$EVMroHe&h~GUw149w!=67aC1+;I`6-Eu49Qg~xh6|m zrz9>iB<)htAxj=g$)j2FWJ;dSlIK(MVwSv~lD9l?oIL0Vd9OHbKkyu*w~-X;?NeFw zHj#X9)2&5sUsI^Jd9vtj0r}pRT8rLRP^h=RWYODt^1W5C7QJmsq29KUMQ=68_g33l z^tKDR-Zp&RV;@-q8nPdaIDp0+Ow5tPIGiRN$cEq4%e7II2oIE>G*8_PD=YX zE;8)-St*&5C5uuL7a5Y(DOsB(rBf0Y8Imd~sg@<%r=(_a!?lF^J|EaMo@1Y(wa&O|0LnW=oq zbY}21-|#K7_?|iZz&z%&fJH3kXMSNR%UQv%tYS55Sj(TpELq2TN>hfil%oQbh*`2Z zm8n8is`s05WN-FiUmCJMjX03T9L%A_EIEQE9L3Qb z%kiARN!hV-PTIrGvOQds_HbNi*!MT2JrsVxB`6wkHXUUY5O!L5N%0rHjZ;IpgJI^tCn@yqKev(CROUU>3o3-fecMA2k zi7a|6PrkP;tVM5IQmD5bWzkzL^1aow7QO93q23OVMQ;a@@9juy(c3ZPdfV`M@6%;x z(3G<{hjVGh1;iY=m`f<41($I-SJINJxt8m=fg8Dro4JKsxsBVogS)t!duh*obflOE z=tO58Cgw;Ny3(D;=s{0<(VLhf&(Mdy^rJs7Fo1y!;$>dpH3l=3H+Yk`d53oy&ij1G z2u3lQj~L6xjAsI$5p(1VCNYJnOyetNFf$z|WqvGvXp~*KTPdHA+weub6G}cf_i4%9 z&$7GmA-m5@RwtI+{i5XVq>{UnOYTm|?#54;TC)0O$=zuscc+)!{i@{djFP)wXLo&3 zuY2jA?kiPlMyc=O%ZC5G|F=)MGJa5*(xuD#?4JKNrAw`@_n$B7{m&Qm{%`s(%$chH z`Jm+g;D2HA-}pdZ`l8-ub^hy%dgGK~p>e&4jZX`fdAyvJ#Od5`tY+iWg1$&4Lpw1x&4UK zxdS+mI4wAYIGsD3IGsC^qd10RIi3@7I`@xn4xVj2P7BVX&}qS?vN$ccg8%chz!&vC z?7wK77+g=B7__3$iNT$+I5D_~{E5N+*5btAK?6%fawi5GKC1G)Y&LWGfghPq?8wE$ zj{JosEMqwv@5nVC$Bz7iKiPOkmhm`tWI4)HkxFd5BddBGJ8~zNvbBfq_ zM_%P|ORmW-SlXn^g|^v+M7wk$5$|ES_;@rW-LmA#l*D^jk{46*QkJ})l6VhGGBPD& zvgFg0Ow5w6Q}S(=%uC6FELo9~RUWutdBjojM{(T#xoJ7PrYqwJ$kE5Op=Xz z+s5PQtp+hk{;9WJtVeHkiAl0?Z~J&0y)`5z$v^dWnDv+>O^8Xdac?Jh9KD^)Dg0A! z=UR_Ray}QZac?a=j@~XOCdo#l(v~}jIdV5KN7~Vz4s_&xI`JS6 z@i33lg>F1X%#ohN9C?!7Jk2x29O=h%JkJZf$Ut7=6=IGIW(cqI25<2;F-L|IbL0a) zWF(^)!&t^Ko=^Cc&zQ&;OlB%EN2c=?Uo(?$nMKTzIm~4qKeB*@Eaqoojx1#vD_F@Y zRugk%ZFZcLO}n&0wtuUn{TuIL+4D71Qaej_Pf5IoB{{Igf8!VRKI#~Gs5ovP@hqdc zt`utSDOohvhkSDbtVMH!DAe4WvS{ud^39E~7R`;OP;;NlqPa=ro10-Rn)`-A&CQoZ zbBo9~x7=DZ_ba*PHhfrRooqd&DMMMxQGrUtB-xzGRG})>*otkaP7Sta2WqktwW-4{ z>_%O7r#^eK7yGa;4cVVY97tmh=1^ji96=L~;%JWLI8NXsVv?N7X`I2CoW(huOEb>r zLN4MGn$v>IxST7wimSPX>$rg%xrx@?LK|+QEq8DiF-h*F9rw|JVjiFqowFmQM>-yQ zWyeF`bUeg6SdNF+QZh74-c3oogC+SmB@?n_N=o7#EXnMY{E#I-rDRE#{GO6Ovt*N$ zl+ThaQ?j)OUQxO@LbflC+Z{c}=&crodfP)5z3olDw*#z2ZwFDRw_{|{+wtUkJKb9J z)|5iMT`Y^Dg-BgXPE7PhEGD}ZP=_%RnJ}>>b z3$oZs>FOwXxj1fL^*p1yAr$KF zJy~@3A^GmcS&QyIp-^{U%A&ik$anX>wdigxg}VDi7Tql)-`yH((cK>u>aLtDx~oXO zyQn+EL5erWjLx&k=WpFf>5Y0BB0!+A90 z0xlwENpp(0l*_n+D{09!#4Nd<8)(H%+)Nv8r7bZ_?&L1+;a=L)ftV%t^8gRhnTL6l zE_9T}WVoD}w$=4}~ ziwwzvlq}AY6)A~}49WVGl*y7!Q&KrgYNTX`EZHR`b+e>lN*a0KIO*mHIixsl5Az%k zrwK=LG{g{q_^mY~b-fpxOy|t!LZ+FY0w|3-v>tro@ zdx%24^^`?#Pm=GgpS9@id2+pN_^8TY*$`gm4c_8yhB2I&BOefRWF(^)!$*u`JfH9> zpD~dyn9NkZWIA8*H8c5^@A#fM%w--wvVesw=4WD#EM*xhSjj4WV-0JGIr0~Ovz}6v zp)BR7Kt(oXGb*zMRjI~SY{RzHU^{l8COc7^o!Nz5sY^ZTvnMe}_Mrg{*^fpXNMjDp zj+0~4EN7RT-9o@ulcP$yuDuxisT^F61IEp*bzMjLW%_tGJqLxQ^?&k(+4E zEwte_+HwbX5!2*e+HoHpDCT}T(V3VgkMJm6>BeL9peMa}lBal@K0Hf5`tv*kc#%Q8 z#4EhUV21KKZ}JxJFpS~6PfU{$jAS%p7|X|uXF_(gOiR0TMz+6ar~Mrl8uss!lq}DZ z-%}D78j|uUsgxyKrX(&jB(+jfCrkE7$=+FVP)ZKXl4DYGe3mp#$vGZ4P9AfFTv!~p z7kiG;TM>nNyH*yx-9Wy#TdhTJw^OLMj%wjfk_-$ju|EfJAO~?UhjKVaa3n`@499XjCvXy{5Od^o&Y&r0aSrFvj0>{k zb18|749O7hip2r^h9Ca_@e6w& zcZ~d09JjytLDAeY3N`nKESme9d~@ZjMROG?)ZA9GXl`5b&Fy3@n%kK|&Fv+N<{FT1 zuCcXf?hpz!cbqJmJCS^IXIhKq&L-E~hL5W>mlbg-mvIGG(voY4Npd|m(2AS5nOnG( zw%pF0+{HcIOM5!dk^6ao2kFeiJVF<`(VfTX!4veNH%}9jpdi#_@y-k-zZ(oz|ZH~3*Z63MaHvDbkQrR+A zu##2$#v0ZVbL21nW<8}S!zPrY0u|Ym&8W;4RHYhQu?^c&gYDRX9odQ6?949gN?qzv zpFN2=vJVYt$bK~902*^JF-H#LaGG!=M{_L4aRMiDGN*7Fr*kG}aW?029_Mob7jX&A zX~Csj&J|omORnKMVvgKMD_V0iZMcoL+>sq8#c7vz%Jy&9w149=!=CSxl73k-C?)@O znc-crchb9J!?SdBO5-BKpPQ8a+|(@jCMC17WKl|f&XU5v{Mge`vT1SLZti(TcU36V z-S)ERt|s~JcC!}U?M|WY_LoI>2a@mZ2y4;ZQ55R#R9SR)2KnxqS&Qy2q)>NP%A&ig z$#-{?wdn2^a@}qC8^wEN?YNH)6mvhF=!{w73wz_g=A-F$!x#3($LHb;duNt>qVAiL zyWf`F{jTKhtdhImXLsYHe6ved=ak%?TXOe@lDqRt?*5qFjh`^TWOYHw-GwE07nR&y zobIMC>|LBb_4l3szs>j`^Qpg5|MIE7x%K|@g}pu+_Mb28E%JUzku#JcXDCGmT#>Vs zB4;T@u6K%@sT4U=DRRwIF0$eBx#YoPyp zobrFuk5m2|AN@;T*n32s_`=>8*xiip$9SBc^x{dL;%WNuEdA)u^90-!q3Fn8$n; zu!zO{%o3KeoE7}aDps?Gwfsq(_N`+*r71&M%2R<##A)9@ojPo7eH*G%gN;ueYI$6n zI>c$;Zq#MtQ-{4h-iLi@$o@1UP8|;7U=Aft`;MRq8=pEH@9_zoM4a|*eCp8D<2da* zhdAwP#`$b~>QLlyoc3Ktoc3MGRm7>owZv)P4a8~RO|<3~+Hf1U`S4o__8dv;%nr$;@j3@E51vit@x2Fw&KU+ zx8fJpVk=Ie&{q6T7F%&P`K`FnT5QFiD6|!Slf_p2o%~iTWi7ViCKTF=Tgc)pc1xxr9JoYPh0U}>yOZduGoqj z-Vc4k{a*CWu4rCJR~ZBSjmRJi{zha-i|QR`xYkWqCm*JCRCW#WNxC}uEK9yh$;>R7 zo01>1WLZj9X2~BZ`8!K0rew1$Dg2A~y&NTb6vypeo@aE|fEXkH)ZHQ0V~iY5jFFAI zJI>?i?nGjY{8M*lTaPhv9x+BX?ykAV(cPuQ82P8}uD2dzq!lqnHtw#i$1z6kA^X2~#O zmb}ONe8>n!F@~5WcgkoSt?_5;r`dK*ch-aeH@ZxhM)Hr-nE z_BDlinutlQ zS@w}NpdtIwhy!TM!NeRnjKgWdksQr29LEWq$jO|-X`IfPoWxemWBduu7&9vcG+HwamNABhx+R>g46mvhFc#wy9m`CYCHy-10dh!HM z(wnF0!?X0`IiBYQUSuFI@d`0V1~Y`$d4sojhhYrQj+3!zmyXZ&@1(SU;|(r*epX86 zWXYnG#2Z|a)hStg_^V^mYmP-mbJ3y%5qllE2~(|8rJeBF-O+1p3;<|Eaj*`C1Q?jPGzc4m1=Cw zHdLnu+p_~T*@@cJVHb9zF1u5oJ=vRm*q4UvPa_VbF$Z%fF-MM|2}f}>$8tO;a8h=h zoRfBGvuytsrJa3QwzF?Y$xT^udrI!gk_S@KIZL{yq(_$YO-cVOc{wGoWy!lKc|S`& zO3BArG9@L`JaC*mEx9H;Qre_l+BVz2 z?b7~@3k*B^(Uf${k|$FV7Z{QkQ}R-lyq=P{z>thg$(StpG$j+Wx!t4qGOeXK=q4Jp*y zVY2A03Hjbmuok_YOrhS+l|^solkcsCwdn0~a=mT%9LtTeRl zWf?12$tqT}hPByoQa0_<3fcaxlJ;+0VA%6DQ&Kxic27xMU`P&Z@!$Ack$oH^4;9Dl zBc5e6*OfxeJtd3g`jBsKfVF6D5QUn1Qx?s=L%z8Y)}p!56l(5sSu{6^d~-9bMRVU! zsJZ#FXl@bt=9XKF=6)sD+=frGtdp&$G-W7DIVw_AO+ zqBeEdh25yj?$l>b_F^CQr6K#%hy!WN!5m6Vk|SusQ5?;&9LEWqL`;%XIgK+ole0L7 zb7{u;T*yUSLUUSh8JBYrBRA2STWG^=wB-)&A|}bbwBtTHP|O2#qH}hn z^hn1;uk3i}n~sOL#Be;kmXe`a@@`7v5<~KFN+x8Dg-BgXPEgDH79OCC?jKmA5zUq{Hx#c}(p=NP>Wp-^w{$)dLp$@ezS zTJ-h_g?jr^7QKB%zPInKMQ?K{)Y~tz=xrJK-qu))-u|FaZ{=jsTSfA{RkaqqZAGrP z4S$cgldKjyQ-@vIje684=Ez>`O#}92KlbMU8gmeba43g!1V?f-$8a3Sb0Q~k3a4^9 zXVR3jIfwIT#syqN%#r34aVeK^1y|CNYlt~=JvY#bo4A=a+)7(+=T7e89`2<*9q7pY zJivo==3ySC3*G3>5eoct9T07V8skrRm`L!ihIC~`7UWDpb?1Vv5+iwuJz!=T8?V3C1Pe`w@uda8?;?=bs`B&FY*5cLm zAqu^^_LRk|>yzYPUHe&!SJ&ssy}EArq{m>{5MJjE-r{YBF`PKt`+yG_$tcF~5#t!o zCw$6hOymnDGnFry&R2ZROupqizGn_|na7VTU?Ge7nK;{9$}(24l2!c18rBkLdw=ma z>nTMU%2JLBRAf^&qcU4im1=CoHf&1`wqplsvJ_;OG zq%jBMZ14YvU)KAaBjnTKxc%I7jP52;sJm}u(cLWa-OaZa-7TU}cfZP_yVc~oTW2l0 zD@~#9HkUa`FoyF!F-t}evt%@57|X|uXF_)7 zKP{bi&&bZmXQwmrcq7PJ{F0O`&ywF$5^n@a%BQ4KmTZ}lcq2$sDS@iY* z`QEx%i{83ZsJCZi(OX~gy$!S$y}eAK-rkl)Z|{=tZIrd>?IUu%ZTPgu7qXZmQ<%y$ zrZa_$CyXAkydZ}wqd_G5nz;6M)IU=HPQj^Id+ z;uwzQcuwFXPT^Ee=M0*17Uyst&A1>tPOeD1v}Lw`Tc!OQZv@%%_oSqKmOPk}cq2&i zL`r&R$#W@rAxnn%TZ=e=-|)l#KmOMG^Nx|9isSYdKPZ}8Mxo~ZkVSKUlW(q^wP>y) zg__$+7R_x-zPX*OMRPk-sJXpl(Od)a%{8_b%^gCa=8ls^b0?B-?o4aZ+}Y%s+wggh z=CUF#HgoxbA6dXc7H3DwsUZuD`|dy+!EJui#iUL@b!U~AFa>lEtk16lMol6-IDtwnF2 zQmD7-vgqw=^1aQm7QM|Q*V~3qdn}bLV+AW&#c!-(Eip&_;&0YdiZX0MIVw<*P1%gf zY(Z73u@&2}Ej8GV9oUhbsLjso!miY%9`)Iim?QhpfQIZxBMzW32NQGTFb<~)M{+dB zavUdcA}4bSr*S%Gau#QEF6VJR7jO}m(3}=r%H>?aRkY+9t|R8ijkKaQH`9jOXv-bh zaZ;RiX{T)ec1`>Dv26eLNlCvf8I+P&vgDnV49}9$DH)q3lTtD@OTJ0T|GVEJlp}fwUyu~{VV>s^~Am+&DOk@(1 znaVV#GlQ@BhHsh0_sro3<}sfIEMhS~vxKEAX9d5qiq))PEq@YoWF6}%O&Q8ko(fdT zj+3p^&aR&A>{@AO#|4JhhP_j=Zc+C~8$U8u#)t+(gftK-%_>C`rHLk&2BgUlX+&I-3Jpq{ zG;5YdO{hqkq=`lqrAahuCWU%?K^DEeNWQn%jYV&Z zDAe1BvgmCo`QBC-i{8GbP;WoWqPJhk_g2nW^tK+k-by~}QA1Xf+SH*g^{CG##5%G$ z4QWghn$nEsv}7x`W*fGnHQUpU9oUhb*qL3}josOkz1W*h>`Q05Z~zAp>qs{ap*x3h z1V_??qltCoIF6@?-kiwE^r0W8a4M&924^ym!JNfV&gLA><$Nw=I3u};(G+trV;RR~ z#5yv8iCoE5OyXK5GbOuD?ntwATJN$yGx)DPV$A$!Q$8n~-!G;4{Yo~!-%ZJTS@LO0 zmS@STl>Cq-zo+EC_fvz@Tqj!>$K$r1X|&gdLhbD;i}v;)-`;-4qP_ho)ZXE;XzwWU z?e#Ji?VUiO_D+{YdjrU~H_TYHcOHe>8zYPME+OCE6~>~ytI4%j@`;a|WmCD8+qi=} znZ`ZDYH~jhFr9~Zm`8YwCz#1oJk7JrVh+#q0`qv0msr3nyvpmm!6M$`ZQf-u@9_b# zntaSsKIJo(^EoT{idap)WhJXv%@6#<&#d8Be&-MVVlCyUz+T?a)r^!qD)YK>w`=67;&^<eL@WzpPg2G`Eg?b5)E*bL&&6xq7l_ zt^xVxniz}bnvrX+9nJKD1&9oU6fNp@!sI9#9)Rnlwq7ptRxq3AtM;cD2f@wST5mG zE@M0sxPq&=nrpb0>zKj~+{De?!mZrS9o)qBEmL=s=QZY+vrld}mY?_k)^5+Jl(f{QOd+%|T z99SHW2Ya5;-60g}?ig8gcRcy-`WTDuPN7hDXUU?wv&nZi+*ovX5rw+DTo&C;B;Va+ zW6|C96zc9yS#)72ohJ-?5tS`H`Rag*E)fAH-U+mUWb;0u`x56{-QS&MoI=|$vG*BTMfy@DH)d~SEeLxH6&A0a$A<%o012z zWM)d9&XN~W@?w@OO3B+!yr$gi3VFXc9zXOPqqn6L>g{V;^tO_GZ$BH0-hQP}Z|ljT zx60&ut6?m9t4*QaHkU5E%vi>8 z8L^H`U?Nv?6_dDz$xI>EksG;*socVC+`*kp<8JQdJ|5sf9^zph;V~X(CQtG-&oYZS zJjV;nCFDv)&1?egohiC-N8{5+8vxAi`~J={KxLV_x9fB-)QU)PAB#U11PjV zI8PS)gA2*;55^da{lO&^+8;I&vNLjx^O%vp z^9N;TWCiCjBP&svs#K%wjI8B6X5>cHrRq`QV;+5NTXkY3Dcf5;=h0gOVkP;z-kKSY-dYeV zN!i|7JCEMl5-Z8y^|rh5SV=k(D@objx;T&C4&)&IuD2tN$4b(Zqbb{4Z|Bk5NyJK0 zrni!HkzTz8V9r=z`e9sU3#4p4;@>_PDR7|t9N;ZFM zruiFhWtsC0Q_>_$wo1u1S+Y|~cFB^xQ?hTC9Fmg5vgDYQ9G@kpq~x?LIV&Y+XURn= zDR$yIdB7ENX>mMW?m0$p6Dic&^|I*gCi1=AX)JoXn?k)kB8%Q0C*RvFW6|4l6zc6& zS@gD$d~b`5MQ`s@sJG8$(c72gds}TRdi#-FZzZ2uSu0ydc`8tmN>rg5v5ss=b!t+J zI&4He>eGNt*^GuXq6tlDMsr%Q6|LBYZE4N+v}Fg{vlAWIh24mCWKTM>H~X+J`_Y91 zh;`&(x^f7Iau`Q&Bt7WKF&xYB^rAN>auR*$%PI8dG|u2m1~Q1V7{b{M<6O=s){)_i z;37s*%*BjlTy~vIO0#rwHh-t4`5SLzxlSHP$wOH(GbQmhmgL2hEXa~YDT%kSBui8B zS(bdAl9gHVYfApelJ!zjIZJA%q^=X!$%C$tO^f4kbI&n)YfPcuww6V2+mY{WM`O|3 z&J^mclPr4cOun~n#-g|G6zc6bS@c#!zPEnHqPJ5i)Z0*5^mY#U-bNaW-bRz_t>iN+ z6J!&)lB<}+HB4p-v5wrxO-$t$ZsT_DWEyvKFZb~P5AqNX^9YaeI5T;Yr+J21%;7m+ zU@k8*p9Q=^tRt_pkVU-7+q}bK-XqqL5BZ3te8Oie=W|x@C13LmEBTJq{J@X=%rE@P zZ~Vbutfd^~S&xcTrV7>AfLKRrP?Or!p)MO!pG~ssWXm*5TW0gOO`5;$viZA5O7_Z< z{Zn#KmK>FmqqF3Ml$@L;15z?LOU_Hlg<0~y`Sa=1T_N`t$K(B;WArwiLcKjDi{73k z-`hN6(c4QD>g_FA^!6_K-aa-Ky?sid-oBMZZ>z}nw#HcW_B(}ot0arws*>-mma*t< zBXYf!+>JJpHD(K%vL(%FNh@L<*_Q2S!}hde2X>?bJF_dhu?Ksy7yHnO{pig89Kb;w zOg9dtJBM=wN6~|$IhI&QdQrp)oXE-ap&$K;b>wu;U;qOd%n*h$jB_}T^SO}WjARs} z8Nl%E~ar$cAY$$X7&@=%$}WQcHCZg zZFnsuZ)C}ml*H|Yrzr7OV&?G^(<+SlFhQDSxQ=DN!ygPcjEQr zAy>$*#qqei=NP?pq)=}M%A&Wf%?QdK*E$ zx3R{ew@WG1+cmQ2?K<+k-C`_yyPaHbC7)J#P&S>&SPk;(LDJCw^uPzY*)m zpZvu-%29!eRH6!1S)UE4P7P{NhmEMm#x!6PHlrbpXu=jWV@q1ll2&X(tRt;yLtEO> zo}K8xF4=XmZg|15^!5??-aa=Ly?sfc-hPxt zZ@-Z5ZLP8BtvrQ#+fWw0)g<3rePhwvrWER}xh#6yihOU|8;jm{AlF;TXI1u;b!2b$ zVPE#63kMME$iZ~w5Dw)q4(CXE(34|0mgDI~Z%*VS`p}nC=+9}K&Y28k5N9!jvl+&@ zoKLJH!x_OvjG~w^jAb0Lj$F=oCUON=F^OxK%ynGP4cx@d+`?_#&Yj%F-Q2@{JivoI z#0(zcQ6A?Bp5$p_9ht>!p5uAu@*?wDkX*8HisSJ(&oO!{ zqEK(A%A&V3$oDqXSoC%dg?bw;i{36K-`fOZ(c6_2>g`5Z^fr}zZ_|uLZ}(EDx5s4B z+f4Gk%`q0ey+E$FlFzBUE?dYV-sElGVKMI!>&SZ=ZR${$demnVVjbC>hBT%LP1%y>v}7x` zW*fGnHQUpU9oUhb*qL3}jXl_tz1W*h>`Q05Z~zAp>qs{ap*x3h1V_<>qqFPeTO$D^wx%aZyk(9Z@W^cxBX<%+y3NxJJeY8b~w4-Nwu; zU;qOd%vlU&80T;v=W`*$8ObO{Glq+~gmGNP=?m`fNaTYEX+hY(zaarU9F<8Jp9H zCTu}7wxk6uX~j0gI?|dpw51*G*^v(HLaZaZvj-j7i+$La{piB}9LPa*r5lHG7>9Et zN70j`IhNz;MQ={vBu=I;{pinW#5!^&0~o|$hHy5+I5)daiqk9|o6X;eY5vCTg*ksy zN^Z%LyHgUk7m~+Q@?@4gmy)?zvM?oYX36_0`6x@iOvyJ{@?%PV$&&IZsp!OY@|Y`R z{o;7s&~uF5YEr1TO=ZzrL-M^fHx|8ZMWNnykVS7hk?(C!W6|5*6zc6@S@d=Y`QCaM zi{6f*P;Y%?(c3BHdmCshdOM3;ZzX?zI9xV@ix@>QV;IXgtRudqH~wvoPmd+v(i@+d zi*M=Op!8#O8A4&!&IUpm^L z^l+2X!%a&MH%o`aVV+xU2o&o%Dlv3uhpkDoT~U9MbXe+}RX zPRchv(c_hkPx81$72mLu?^wI)}gWUCNw3se9dV=*{#EN&RerRZP|hL#MWVFc40SS%eN;TDZ6#( z?7RyH5L>>oTZit>W6O60vE}PQPs(l`ik!!m??htD*N48u*5OoQ%XbE` za}KtAfBUxKXydVUxR^3qhyV1p;gw~-rT1|Y^!nm>ywNX-sW_EFQ}JF|OvMMtPsPWK z#Z;V0p{e+SET-a%?QP!>~hDfy|m!dOhjuPHPYf0o5m{FVGvEN3jH z;(8RCiZx`hi>*x^%1*^ioX1q$oQ5=}31z2ZOXo2aw`Lp4PQ`Z4V=C@Qp{cl+ET&>7 z_NDApJji)W#csqdwmbQ$c(k#YipOz0WvAlF&SNU}qtH|wEQ_f)l>Ah@(AaQBauI(w z6)!V>ITM(OsaWz(=(Ua~GbOv5xg*_6Ov~;vruT06uXiWnuI1U3&B?Nt(j7>=y(M`! zCGTa)rzwfIwGdk&-Q)xSx5#HL`VaJZ|e*Mssb5 zMda_A+tqk1B6|>vNZIE0a~{p@Pb?yT*WBU8V-Y!uSVYP;*UNb{cLK49{9SXW8;?a~ z0I`UaZEl$JSVYd_eEzPvF~(yNxrA|)ZSD%^(cINcqD*ropI^Dz_*8D?Htyg~rg0Ck zlH5 zmE>cV@+qIOoX?4siMreu7UT$hp?vgCGGWORC0_8exU>`|F3Wu|N7sp5Ei z#{VLkn@yqSUY133uaR%=9b?hl5(+i9Ocu?3LB6@~j74+bQ>eK=WzpO^^37E-7R{|s zq2}tzqPYg-n`>e$nrlX`xsp$?w3fA@E$wK}j&xubVkOy~J?O|@>_aE^qYL|UAP3Qv zZXC*C9L|v(MNf|ASdOC?y*YuCIGMimqd%t+E6JG*U=V{D!cc~BF0qnaz=e!pB%>&1 z3}d;3OSz2kOyCNx;%ctpTCQUXH*ga-a|^d}J9l8#m8>N9IgXX&L8dcvgFH@#2Z|aUsCd0mXuFPyul@@nUXqLvS~^hX3175 z*(OVNNXbsUH;6|6m!GzO(p7R`aXcREc}91KP^i0OWYOL6h5w`bT^TFcax1pch^&>yE|pk-QCES12$zd8q$a+G^H8MX~9;sVjH%jHQUpc z9ca%^bYK^DBi52V>B!#f!@hK;3kPJ^$`NS}_sHgOQJTXiX0!W@lnl(0b5e4CmRy{Y zaanR@N+xB=)Rf$oCHJP}fh?JslBcueg_OLQC5uw>wiB-@Pq{+gFOJ6#J;&&6DTR9b zS{A*nB;VW5#-g`hDb(9~vgoZc`QB<6i{5HesJG2!(OYBky|pwJy=_gQ-gcBlZ#$Fk zZ7*ZdTPJe8mE4YYlN~~L4&w-pqz6Y6>&S5&PZ7O2k(1~{KThFPPU8&DWFUh%i=mv& zIh@P+T)=QfauK5`=3>S&j?0L3WC9bplB<}+HB4p-v5wrxO-$t$ZsQK_WEyvKFZb~P z5AqNX^9YaeI5T;Yr+JoH%;7m+U@k8*p9Q=^tRt_pkVU-7+q}zS-pj6&NSYH>WC?s-Oc11Qwpd9vv4Lh{{>F&5ojLZR-imPL2h zlJD+jW6|BM6zcANS#&p@e0NV6i|(GHPY z`J5GeMXV*?vXWJ-<_CV{XV&m5zw-xwv6gaFU_B~PnW|J{12&`vwW!TT)MaDpvk99K zYe^#-vjt7rlIFCe6|t6V%XYM3d)m>S9qGW%?8ia3E2Ihnrnqknd-3`w(eST=u0r1={+8s`1@lw6S|*QF$GG$ePW znZxtUWghc+iI;hW z*La;bc$2qyhj&@Rdwjr0e9R|&$}*Po1uOW9Z-{l|J67>MKkyU3u!i5V>!f0urB$-| zTQkkyxXm!<8>Xa5mTZ-hxXqC4l#*StWbc&hn)21db^2yZ+9At-tMMQZ;!~Lx5vr%Hp^J__8f(J zdsP;_EhOLDVq?+U`xNT!b6NEECHdY~8;jn4B-dNXCt23Y)={1cRHPDBs79QIGmGU{f}uA&qE4Q<~A77HmZ;wqaXZvpsFuf%fb~2X!OAosyMV@@q=|$ddI^QaMX%r=+eE*U2nb$fm{dxVh&Ty)~v#Z(GZvx9!OHwxhA= zZD$Jg)=3t!;rhLwEBYJT8He&!c` zln>2s_b&F9iZZ4X+ z#n>xL_fKiuVz?rXO26*tEIA=1af=}tkdnb!a$ZU<%#uq|a#@yKosw&_q3+(2MR)I#@9tw`(cPyM>h4=vbhnCp zcWaDAcfV7pyGpX?t}6NNY8i{}HX_$u$)6`Sk~L-vnzAL$X-O+$E!mdsXv6ljV+VGm z13R-TyRip*vKRZ%iT&uz{v5zT985P3r8|dn1V_<>qdAsXOL|en37p8u^r0X9iM8Z( z&R_ro8O#udGK_OLkMp^Z;f!PyqZz}+T*5dmV>}bMf-AY2NnFchrf>tXmfXx#Zsj)a z;4Y?dPj;<5nr7(}+5DZI=5O3$nDeitAETb3SfReIPeJB;69>(bF}N)NYnnE$rk@}K-$-lauX)In{2T|zN^#WPE zx{e_K>N?g~yt-aWp;y;yWbx{H9r;(+Ta3l4>+R%TT}wXm@t|xvGkBOsd5kA`lGyD% z!?Vn04$t!f^O(;|yv!@S#_PPno4mz4yvq{a;{!hAV?NQV;IXg zVlBCx@l50ju3{3`Fq!MPo*TG{o4JMCxScz>i@Ujp`*?r{d59T2!lOLS6FkY A_o zSWBMcdFJvW^I4GX{NGOd?#0=Td}-Q|$D2WR@helZI!k^{NxT^(shpB(SyDSC@n(>u zaY~wI$<`^^E=zV!$!=NFDJ7k=qeQK+|5WzpLi(8ydYekVw`s5(I&I-QdYrbJ6-?5q>_>rIagEKm&61Z=@=BJxm6CU}j#aXfzOIYw`*DAe2UvgqwE^1W3u7QI!aP;VQ_qPLC7_twZ*^tJ_sdfQeOy|p3V zTL)v&+pZMqZ9iG`wml%PNs1Wv5wr& z15D>39_CRV;|XT+6i@RkvzWv4yudtOkzRIqXpVBN{lg;0CY5v9=LFRn*l+?x)Swo1*ob;;OanGyGd8CYP1u5FY)K1R z(u!?}b)+?IXiGcVvm+hYg;+;+XAe5E7yGa;`_YB{Igo?sN;eMWFb?NPj-n?=b1cWx zi{6~TNt{ey`q7`$h;`&l1~7=h4B>2sac*{<6sK7_Hk-c_)BL?Eo4+@uS+`GE1II$=obin36ZMYCsJ9(t(c4bsd)w1k^tLyJdOKJay&Xcnw;sl#w__;OTOV2U zb_)641{#ar&LY=a$)`Pr%SLb!qbOz!V;M)RBbPItiCn=|T+KC1<~pwD25#bJZs9g= z=T7e8Ztmee?&m=sVg`@!D39|5Px3Uej?7{<&+$BSna6w<5bMaRyv9P_;7#7<9Tu~M z_xXU2_?S=ljAeYz7ktTAe8WnRFNBR}yAYxs>nh;?Kw>nKkJDpHv$RLicDx@nfy z&*pFAG=Jmv!fV5JDcL?tc1}s$UPwBpJW>ToP7i7`fi{yKI-B|Rt zh(f)6D2v{flJ9MWvFPn<3ibB0EPDHud~fB9MQ`ho>#gL|9yMe&sZAZ~Qjhv!3GnR2& zMyw+fn8=k}#U!p}GE=ha5;r}h4S>brX9yH2()j>m01(`c^^h1%Oy7VYgpzPq{%H%1oiT|&OSD~v^ZSCeb6 zP%cgQGw{Zt|GL3tP)#QF2U^)-+FpuyUPcW0Gc$#OK#T=gJ1?KT0FR_4Ec$L?A zgGIc>+q}zS-s1yeHTjsOe9C7m=W|x@6|tIp%Su+UnjiRypIO7N{LUZz#ahZyf%T|F zWvWt*4X92HYEhewsLRIGXA?FfR+C0FW(%6KB`s)4tL$oNpRSe;*=pZ0t@d$~;T585 zN)FAEo+*i&3`yUV^v{w(DT$j5$%vGU%92Y{GCoVLOUVsca(hbd%90r=dDMwlm6u#0 zPZh`GGoEAgHk(4dy)28~UL)VzJI127B^2synJjwyf_!h^8H?V&r%-Qy%A&V*?SW2LDQTD`Tcu>1EZHF?J9#nF=>PK5g9}_I2NuWU!JcPycL;^LJ4P1W9Z$ZyKE|TE zQz+EkS+eNvZ1UX=Hx}JpM4|33mqm9I$#*x|Saf$ig}S>_7Tw)VzPpEvMR$*o>#pR_ z6KBb0^Bm7JmwC)*0kN9A%4;m-4c_D}-eECIc%KjWh>!V%&sfIie8HD|#W#G*cdX`n ze&i>9VGY0W2eF#0WgX?IKt(E1g=)lVvLV%}NiFJ7mwMEv0h_WJ4QWIZn$nEsv|uY* zu?^eNn(b-J4zy<{I%9O;dhGc3=Zp)H;Q}RHT%uLDCS@J?kUd)n3DS6w8SCyAtA@3K* zBi4}#Oyo+gViMOdnJL6Naw9h}m0P%t zJGhf++|9k*#{)dbLp;nQJjUb9ecoFCA@Ldf2Y?aEH>v_N9kAW{2@R z>{L41q4aR)(!*U!4|gp++^zI*_w3Mj^*Ty_fnR(V?}z37PkgS=Z&da#@LS#TpD*eC z=SzD3hyFctR@HyLr1$@^FX`>x^j}}n8(W4Img_GmyDeDdd^JB1+qs|lg|gd%znrh7 z9OYS$io~{{D%IG4*v{3UCS|t;8#}MhCd78G?6zPF=dqpJlGx6*WGl*U3)(o3?OZ!z zJGUb{5!-@YiS67T#CC2k_NEj2(wY6So%`Fj2M;$M+k&Ggv@JM67Tbc8`HyXZFX?^7 zztPwjoK9>E22f~YaGoqS1{adw7>qF%8-q(Iv@y6^78`?W$!`p9HWnL$TPd_LxL+0< zgX!cq22U7^jlokC+8E4}#m3+z@*9IUjK#*_Epi)!k`JqVEL+N_e8zGn#d7m8J|$OV$#p4-cd;aQrR1J0nURus7fUibCC_Kc%PDy+ zOO~YMgDhE=k}tC4`;`3T#0|@uq=Av66HoR+6&4b#WfO9mqlaU2jJkkCmh+ zM^m=9-p-@9lZch1Om8LY$eG3mFo?knVJO2mmsm$GAl8u)jARs}8N*mE;ZiPRJQKKr ztB7^v8e$!}jw#&0jl?=~3%7DRcW@WexQF|Qb>u;&GlPeDl*fp5kBD{T6Fy}b%lU#8e8o4!I`SQ>_?{p5iC>6y z(!?NG+n3No! zC8wn1v@AI*C1+>JfBNC{*IXl)7RTe|o@F#QkwVQ~FN@}GBH!Gd#-h2qDb(B}vS{vc z^3BaM7R^0Jq2^wdMRNhj9c)(u1BH!?7GsFM4w#C((z#oI-z2;|$JZAcHuI zA)L)H&gFb!B^k~LE@BkLT+CR;Wmn3iG=C>&^LJ{Rzwr*1E9HTdJd`CfQxflBNnT9J zf-G5-l6VJ8vNR>1Wy#kmS(zojrsR(-SuZ7(v!r%P>N@d?^13Tz)8csC+;fcH8dIpZ zt!2^McI128(OC4hGlhEVB#YiUlkcsYvFNQkg?c+q7QGdb@2#J)=&WXYWD#%jHt(>Q_lR}mLq1|DpYR#W`J5Ge$=7_t zO1@(?Kky?z^9#T78-MT@Ybi&0)}tbosX{e2Al8u@)TB0bsLRIGXOrwY*)q-2mf8Gm zljd(bf81e(h(GT5|K*3%7rILBEsn?gJN*3KcOTN2##-h8IDAe6svgqzz z^4)!GEV}!YLfw5Ui|$sD?{1B;=~>h7_pX|$N5~ya7HqUSWCumF_$oo%NWlDuHZ_pW)jyjnJL^rtR*)ym0P)u zJGhH!+>>1^kEUzoiR@aLovxL*$?*E{T1wu?k|imLn+(YpDfuc(zE4TqWJuPfq(YXg zpOWfX(jX<9Wl6J?w8)aSDQWM-Yswq0kX?)8ad*#g4?40J`_PH~=*<2c$U$@^-`kPK zqPLzD>g^<1^wyVrZ)X~d-Ud;qw+m#^+X(W#jWrg%T}q+eu8~D=*OBk-7Gu%d?c{nZ z`LN1^vgyp=VIJi%p5RGh9eIXWM`kmJ=Xrs7%;zOu<`rJ!b>84j-r^nJWeM-`0Uz=) zpYSQmSk4!$;48i%){*a6#rOQcPyEapek0bAKlzJwl%oO_sYDg3vOXJ7of_1l4jWOA zjcLFpY(_&G(S$8%#+J08C9T+oSVvmZhPJe$Jv-5XU9#(B-!x0RWb^lsG=Jk3!<;`p zCB3ucl$69RhUDy&oSP*VrKC7ZCZ^=7EV(`mLBd&)YpH?fZF%YJm>0Ad|En64bcp&Z8H97zv) zatz0EJiX}6iJU|q`f>{WIgQgflYtE4EQWA4!#J1oiFIT+Be;lB6f=ggj3d^O%Nfr^ zuHY&raSfBXj_bLBo4A=*Vb;OBZMJcWIixaf{(y&Jl zB|E2Nw=C(DlFnJuJtaptah<&B3OS}Y9*^@Jqqiao^>(T(dOL%BZ$phmZ|6{`x6!ib z?PBu1O)wU{T}h$dZj?oDQ_1%>%~8kjEcs7A^!S!*hBAzEIFIwWkl~DE6r&l##azNTF5_}0a0OR# zHIulO$xPt}VkNnmsoctK+`*kp;~ruqxt|A^&OPjhv#{LdA!I= zEZ`Mh<8|I(5pVG}@3NTp_<&eRK4vMO@)^tdf)#v~T`51M`MV~Yzw6TcjT;QF4%Jgq zD@z)rByKPyEmG1dOWLL+ZZISrQ?gH%9GH@>S<*8l$7ab%De0RfgHkfYiC2`jT_NWc z$KwT_WArwHLcLuoi{8eQ@9i36(c5(t>g{$}^mZ5d-X1g-z0IIdZ_mi0x7p--n{O<7 zdznJLy(5d>mXPo56JycaGIG6@{5j%xvQ>P~5B$W>tl>9e9r=^LSVuW3upX7DLRHph z1FBPlTGU}9>aj5m*o4j4oJKTZ3!1SdEoezAwjtJ$*0iB5?P$-AbYK@^9od~d=*V8| z!@lfC7xw2s4x%gFIF!RUoFh4ko*d1w98WKLa{?!EGJWYse@-LTkuw>zH!X6e{${!UEuH*PS@`I}Ob|DngY_T&Aq$J1Zd_Gk=EkDCttiyp4zlQOC-U9xX)LUmOW6|9)6zZ;zEV?^| ze0KwlMR#YB>#pR_5{Ju1a1o;@W(;E)hqc5P_Qt=>@#(ST3wz^(bMb|}dz5~bZqL%g zj-`itl^*V0dbm$^7$4&6R64qE>EV8*hn-6gyObX8pB={Ua6swkfu)BBl^z~kde}7` zrZ4R6`hWKedsnpl=L>s%DD0mv?EN46cg}g$Eb^*Z&fRUZIPu^hH+sBD?(}uhd0esf+CRi@aJFd9^P3H~t>K^o70qHjOXrjRpG(%lB1W z%{5HsIx{uQ@F!yLH&kd2IW(C$@cMw+=fyk8R&>#I|ow@>_?_#=39- zvF$6nb?ELqwtYts+rA$3r0mwA$a!r0P9(N{edtSU9Zn^-eP-$z=J%*3?AVz9%m*` z@-)vdi`hKK3(Vz3@>B73V=)yMQD`cDD2u7Ml>Ag&VJxQN*A$wHKg(h&{z`r-mNOPp zaXku6#Tv5M#nz?{WvAjM&SNTWPD2{ggtAkyrSq7ITeA&ir(!$jF%@?tcCkCN3uUL` zUe5QX6Z=wjDjwuKreZf@7u%iuR6N>POvU3ko+5e^Q}JYCD)yt$R2(cji=muN*{OJ; z^Wlu-BK~eFUS|AqCNPmJxr#|#%VefxcQkjT`<7|F%l_E?=*8}J(_PK8DV>wu@4S@m zcj8Sh_dD;ViBrP00pXvQbJl&XO%svZWJu|BGEETNlUU zww`Bn*M?X|{;s=SjYoHT5X(r}?)GyY-R(~-BY)T3;l^VbIf__D%68Ywd31LIg}OUk z7R$&0Vi_sh-7x3T-FckP-*q>}cq}8AFpjd_UEw^syP8~gC7)%vSvHkhxs5xxlWE*T ztR?poYsqwCEqRznh_&PiX7UuVmORTW=I}hRmdqp8l9yP(E4)gqC2z2Zw|JX(Saz)(5o<{!8nXpW*^(B-TGA@JR@$do+98|29n<`cH@RF5T~l&smh?Otw=c-|_MNfl?RyIK_NOd*TSvaPD#oI>^(oX_ zJz4bDfP8OFj74wF$n{q8IhNM4HngQ3?b(qI>_V&~yR!!!*^7PX#C~*Pe-7jzy3&n9 zIgGa1tlemwxo;G-4e&lK~83Fhdy1FwP~`kqfwx5sYLM#f)Jr zmvAYUF`fxr!Bt$%HC)SeOyLG@;%08)R&M7G?qVAEa38UbJjirr@Gy_^I8X3ocAd;k zvvhtoe;20t`(`$OKT63bS@M7BNABa=+B^Mo_RX%7_go^1Tf=7QJ0Wq24Z+MQ;Z|w}*^H zZ;z1ct>kkovt+Y*j^~-nJm#~2SVvyvH5T#)Z}JxJu$U#h&j)zfw{zORA73=ECWLC!BO^d2eX6dkO{*FlVH*PS@`SB^a zB1^7IN!(ya?n=o$Su!Ifaf2b5os#FXAx7}sYTSxM}bukvb z9Y~?xj+8}jJ<0dh+gS8=61m<=KF4yVYyg88%n*h$jB|-~OSqKF z7|#T*;3}@>8m{F!rf>r{ax=GZE4On8cQK87xQ|#z9%MQ*c$i0dj3;=KSVx}WS!Oeb z=b6hq=JOIS^9rx=I&bhMZ}ATAvV`~efRFf?PxzE&EawYW@D<+>>&SPk;(LDJCw^fK zzh&1+#WYK+Wb?OXn!k0j`P(ohO|oRGlx&kFJK0}#@LTNSxA;H)^N=68MlLOm$IJaA zqPd9_YVLYjGongHl#W=sYM+&q8{~Wz@}_QLmJV9 zrZl5DE!c`yY{Ry+W_#MQ1MS&~|Ck#8<^F#^|GO?6K&&JO)0IOwl*2fJBk4g;j^S92 zrx(3Bk(1~{UrwPvr*Q^nGLS)>#SqSB80T_6v62iYR+5VtMKKpMmT}pYGAUgTle6n# zYVU^s+9Ag4!viUMD9dK1Yb9Ct;>!qY} zmefv3T_;{sK6Z_4S{#p?dzR5$V+u95wJe(3j(l@F8jI$3#zpZ6cW6|7ba?O=|l6`_~B3E)1lemV-Od(d1 z8@Y+8+`?_#&Yeu-Ztmqi9^gS9;$a@)F&<|oPx3U+FpD|FO7a48d6D@n;1yyed7XtU z;!WP>9TxK*v66hqM=a$NK4Uqbvw|=Anr~RicdX_Ie&lC<;a7g+5B_2;<%pGJJt|U} zDpX?wVkN0TO=?qzx@=5+Hp#A(Ez>M*na$reY5uy!h&AH>x1Wey>MFUnI3Dl!Jfpkm z6zc9NS#w4@cWmTb#*v|)SNq2Yh)9^hcVz8ivPFo1y!W(Y$WMyw_0aXuF^oRN$o){-$?%q5KD zGR8B3E4Y%YnZ&hBW(qeDYst+_O$(I6VlQv)fbnMO^bK{QfIG@ue`^1MFhx_{So-RrRbc%JY5yYJ_? zkN$Y=W3BW3<=DsfeXet#Yqx4Mfl1l5GA&&z)3a-3M!HtwHpAz`?3B#Sk_9P=+YHH) zlq}1VpHmXI8IpA=sgNZbrKDPx)K1B^S+ZkF8e~b+lr(eV=Xw{F{kh)O+0XT!kUc{e z&)c;reVp#Z(!-NV4^J*VJf-yT)Y8M#vcvexPA?s8TYA{8^ze+*!!t_{&&m$tZ#cVj z^qkVe_74Ad{9NznWgqkV_vd>5{kh&E8&tQ5K)BUC4jBUScdhU3*aI)Abrze7g1{ z|LHo=SbVzPLZMIBp|bdN9Zvq!b)>QQbR9$P)3xMzkIAwrOyvot@f6c}me}pRK?Us%O& ztYIy&+xv^ZSw}f4P?1VhrV1OeG1b_V&8Wc^)MP7avo+gNhwZ7y4(!NIG@v1k*p1lj zHKqwo*^6fEOLO+;fNXz%MEW;8T4g(pHfg7Ea<Bfaz#3fux4|>s?%jv_FTurPceTlW?diqn$00we1v6kG%AO@V9%C|(GnFTq#?wsaS)M1>l9!0Jo46=*=DJ3hj86>HjlKNS)YfARWlKoP0 zV3r)7lB2TZq?DZM#J5RbyF$(^j@Prj$LOtyLcLuei{36K-`i!zqPHt3)Y}cR=IuSjZy2W-;IL9ZUJ1ANYyotmGGdKp(EV(Bo z_h!kcl#I=iDJgj(Oa8z7o9l~RA>S9r>yO@J^tOURz5OAJ-u@=vTP0)BTNMiRwuLNu z+lqW|+Z&7CcA!viyUU`tCggi-ZY+8`fI__;DU05YCg0nM#-g`V$n{q8#7BEs5$AFq zo#;$gx)JNhMO;jGE~O{ExQsqr!Bt$%we;mW`f~#VxRINQ6dvaZrtuWhd4}hBo)>wEnY_ZQyv`fE$y>a`Y~Euo z@ADxa@iFuHgwKd|$oi&FnSV%wCt~e0&k)v!Pl_Hp`OQDTyzF zBn?v1C`+2AB)$lev`EQeS#oSjT4zbSl$@0%9aGXJOM0ZFw-cWy-?&1qDvsA{yvOLR zABB3mMHamcBH!CkW6|4i3iUQd7QKxp-`iwk(c4rC_4a}+dYeJMw^_!bx3?+O+dNtH zwt#$Zi;P8Yi^=s?^2En-*$RH<7gq5bYgkLHBY*KX>nKMBHlPxfslrBVOf@!TGitB} zHQ9>VY|XZ8$M)1?2X_)63jcGzt_M#d4(475=b>tuprUflIj3YRbRvgW- z97k(T;6zU56i(xG+HnSFaSrY2z`1m!6J6*^H!dXBkxS@K4|>v@%jv_F*>zH!X6e9e z{tiy__s(qoKA4h`Su!ytk962LuKoY!e{=m?SII`j@w$ok8QoQ&Nx#W(aq37eg7waPH%N9^@fLGMX`rV>}a?#3Pso|Iz;c zb$@;qZxL(ByUb<|bNPUen8$n;@F}111z)m=uUX8uEMY0j_<^5T&Prk}`IS|yW({lk zlUPgsA=Z-eRG=aoQkkmRwX$WpB5Gww|vgD+c z#4U!TC?)4*$ptC7I7_Zb$<mzw-cW!OI#uM702rX-edGOfM1SoHQjg?js37QHPb-`jV_qPOoU)Z1^e z=a!y|(~w=*mEG8b#_Y*n z?9D#JI$!nqZe$=gb1Siq+|FR`;7;!59)@vmcAbn( zvvh(Fi-`uR&bQyFb;JMJe|PXZSIMr$@w&VB8QnFZP5yNhJeU3c=`^)VLRT}7ep2FRkjo5*)J#8`B97rE|Ap89x5HiA)% zW-Q~Fz$9WVd6dVP!s9%_lRU+Ap5Zy3=S5y(Ca>@+uk!|P@)qy#F7Gjy_xX^I_?Y>8 z!e_)<@+AxTim&;GZ~2a8#9HzrKe2+9{K6`JV-3Ia2Y>Q6|4@z!Y(OP8qzYBpm}+dw zW^B$DY{^#CVr#Y~){^b1%MR3MCmPU@M%lH}EL|(jv$eiOTI=IB!{6RruQWCcrl71=apCz}XWKfn2Ps#mRGNwbF|GGbjKQ%RF(_}tXmbylsD~{I} zyvt~A28Eh?TNcgDCg0pVW6|6K3N^P_7R@ao-`sLz(cI4zYVI#tG`Eg?bCr!na~n~p zxtg+Qt~UAR>KTjXb|lwa$ul2~Wld%N&Za#bIG2ueq6=N=#)ZU6atYn(K~H*f8GX2tSV^woTKaJv{V8Su z1G$M?xRpWN&Jgb8E{1Xs!?~CHd60(~$tcD!mhntr5|0oo$z-N5l_!|S(@f{t>`Hkp z&C*%f44#wb_6OPA{vsudvSevWe#ny5DOsB(7VBuA$6@RbCn!h9IwZFpV3_#3Uzm;EV?^~e0QCVMR(^@sJmXW=| z*q7$)&w<2RatJLrl*2fJqiDr3*|ln~2prCD-CO5#RCa$`zv z&XPM)5;q!>5gq>He}nLQ*T|>E@%p)U8O<%EP;=kQqPd^QH}{*dXzq6kHMfB*n%j_k zbDJ8A<~FBLbKA+Hxw_<=YiKN*+m%Ai?IVlk_9Ne1OJmX8;pCbt`R9oz$l7ocCvz&N z(UvoamE>&Bp@W^N@` zlG_=~9o)&?3}qPi5-Z6AJje(}GMcfBV*(R-m`8Yw$vn;zJjqi$%`-g9^SsDQ%;aTW z#TrH2=n9$u0i`pI5L|Ghui zyR_lIKiT{5Pxk)%lf6Y&*&?fKk-c`2mA1&9t;lLyWVJ1_Z!5Cm7FltN?7NGsxU_xVq?&qLK}mtWU(>0mi)$GfU(#Z z+(e;`!CkW07~DgCWAKo%*cgnW(8l0VS!@iZklz?gHx?U%=P0x>cwH77gEz@<4CWe( zjlqZHHU=dRtb8e3$X9&LH+)OX$YsQg{E?qn!Ai=`$TiMmM*hK{l%0_koX3o;#D-L% zDrINnX3k?qZo!t6osru*j~Tf=b=iUXl%0`{oX3pZojoW!Bbzyo8QGjdGx9K5%*Z1- zin24Zweu4=k&{^8j6BQu*|evKvNN)a^R9HuZdrP#+mAlk?MJ_K`w`z`x&0WFk|9|# zJSFixmSlWNCS}Rgl*G4Kk{Ky^B}?8;$?Pmykdn``WN}KCWXaDdS>?p7{g1AaKZ@h^ zFYhsWTSu%U>+5YJ`4M}~7R_wxX;j*Mg!V;IYLCNPOd zh;?K#Q<%yVOyenH9eI{mM_%AXW-yaic#YSY#hbj%JIv-i=JEltj?Cj@7Vrt5@ddGt zEaEE`^9@V*j%EBntRu@=!O#4{DpnKg$lB~WshDPI-!lm?}7rnWhK3vJw#5&TKeq2v~in)=2+?-t} zcc)o8EStY0()=Br&ELmT@_3d!lalANu59(uwP2xhrIw;&|Q8dyL-dQmD6GWzpLniFIUCs%B+cKwviW;(N?K;g(J47DOHNP88Ch~} zN;+jp_muR^lB-g3ZI;}Wl3TOnu9V!9C8JU@)`{z6g)8LY;&^@3dyL+uP^h=(WYOD; zMFSoHQLg?jr?7QKB;zPB%pMQ>kGsJ9 zwgtJ~O72Ftm(^tl>a!C&(~w5QIqvWwIG6M2L}$9vjaWx6;$pgUDLv`UW%S_+uHtI0r7zdf zpBos!joie|+{z$sX9#z27k6_H!?>6GiFM>5Mlg!ejAc9%n3P>7)6y)Rp3UDGY5vCT zg+0{ll+4YN1u2Q!3(1m{EX$IgQxdlql65JmkR=K}0zTn0KIcmo@fC~th9!K*GJYV|k>#x5XMSN7zp;k3#5(d9 zf3uEqRG=c2s7w_$Vq>bYDVtG)EvU&>)Mjh8r4HLuj~&>NooGNq8nGL(jx?qTP1%cP z>`Qa@&#sdr(kyM2&EGa@{>JTvIe$({I%LWDDT&()$>k}zGE1&cN!(sY2B+lCEV(Zw z4`#{4lswWQdm`CGW>2Pv%%0BDms9$h6W7Ymu9CNl^9rx=2D5l8yH-9*vvhtoyBDU}9k&?f{ZA=b znI*rcByKSz8>XacmTaDqxW$mvO-cPM*)=75WXXOhIWS8OPsvePa#Bi8b>cet#T9a9 zalD@GJw|Uu6zc5)S@d=>`Q9!w7QJ0Tq26wgMQ=Bf@9lPD(c2vq>g@qp^frQgZxf6~ zZx2(bx2I&$+cV^Qn`tb1dzD;oC6B4RC!53je85M{V?Lh{>&WMP!9o`CHH-O{?^w$B z{J>8vXC=SzE5EUt-&xC_{KY?%qdXf>kqxO#RW>Hpkxi-2=G0(IYEp}>iFIT<>QI+@ z)MqDlrXjnqE4#4=joFjE*qeRWm;KnE138#OXvv`*&Ji3%D~{nfVjVewHk`!CoXY96 z<&5k)>6B(^*KGcFPxCi!G0gdEQ*vFF+?0~I#gN>Sl6$jcR7&C&Loy{LPh`n+DS0tV z-b~3mS@K~@KF*S_Qu2)x*U7K0knfA*^+)e9dRsxE-u{q9Z-0~Tt&*|mtqO&D+d>w- zZAHGf?TtlmJ5Z>%-DS~R6Y{+^Hx|7eK%w4_ltphxlke?BW6|3wTKaMw{keew+{jJb%&pwU?F``#?&5ClVHo#v zKe3KH#0W+)nz4*y0+Wb!8!e_)f@+AxTim&;GC49%S>^fPMX6c%2{;o^&H*PV^`D!WIEK6#qByKSz z4N}r5OPZ!6ZZRY+QgT?99GjBXS<)^gXJtvplyu3G9x3VV#C5XD6>?Q^yk6ryMsNKn z)Y~nx=xq@B-i8{B-iA}Cw=uHlZ9MtjCL4?1rc$W47i7`f4D!9rG8VnPO`+cA$)dLf zR@WoWWU~Lwh=KE*n7e~^j4ihy=^0l-s+I=ZD(WA+b$I9ZEsohwlDeK4lx$J9ZI3z zj+aGmZOHf5)>!m*CWU(IEQ{XGC*Rwp#-g`gCX^PxZ$C zn}gD8$xrph19S0Hz1>Tnp}Vy7ut(`(&(gzQrH8$E_ZRug((!+k~F#S|-zyIE!>Rr)%)*H_5S-)z5m;O zs`s$^@l(CAU=Oi;-^pDJWf;S`kNbI$hZxCd#xRcYOk@&|@F^}F0+}#Tt46<<}sfIe9C8h!Iv!JYZmh@OIXS>e&8pTvyz|r zl~t@}4Qu(6*z*0uI?7Xlifl+_suEki^=%!tG+vWh)TZp#p|10K)F-xlJJW!&TZcWI zH)c}*Pjg;9s{7+vS-ck0adRLpE z_Z7$M1O7-%#Ss*miVw?TDn3SjDn4Z_rs6Xcnu@Q=Vk*8tek#6aET-c76q<^k%VH`n zBtI3uGZs_vdkRg(-()creR6Lt=C_5E9 zIghE>l|oaor!1!8W#p&gwZ{5#9oMtIsd%gL+qj*|?! zvSf#p?BvAV%ourGXSVC^%X3F+9#Ch~~ z7k5*pw~{AV9x^_HQH*9RwEnY==*Bd-(d z$eX;yJG@J*BXfD55BZ3Xna?MDMyw-WvXHO%ns4})SVxu->&TD%#0pmO3#*8AWDT*7 z{K236%|Dc*0m5r%JtRtJTIa{zLTTzRx*_K#Gwx=#TP@kP>K&&H;vg@Q- znx)ON`P(AR-}rLNoNt|y6SJgUO5)2cNtcv#%aR@`i7&S#{Zi6DOKwTYpez}llKZn{ zOiIRQ$<&lgbK*Mr-4*g&alF3ZJw|UcDAe2AvgmC#`QGLki{2JcsJF$k=xqu4-j*AS z-hQS~Z-2?6w{_%ut86TK+lWHF)s#hVwaNEZ&sg-fBe~v6o?vM#YeG}@q8ax9L=#DM{7>tL{8=uPUCdiaRz5`Htp%axpbrxUFb?TE+p2GOXyAy zdeWQA=);x7I&uxy(vR!tPcZ`+$W7eBtqkIJhHxi$F_e24&b{2vgFM7YMlpu5jAsIq zc!XF-CNqVpJi#=cW;)Mi*U4*Xmd?uN@0>J$%95oii7&S#t5dQzOUkDt zzTA>jPf3j|*(N1*vSgQ(?3N{ar)1wOIW#3lIB}hg(bX91t`8DH=vi};$we9ID+vWy@2 zk>#x9XMSZBt69TZ{v_6se^^I(Do~M1RHiDij%-3Tsv^ZWr_b#Kkg%oP;ds#I16Zz(T zGZxMLPNC*DkVSJFl5cKPW6|8^6l!ieSu|Idd~*$rMRU7SsJVS)(cFIIn`>z-nme3a zb0s&TC&=1x5+`#ir_q)(h?V4Q&Y_47oJU7G)0OkNfD5^pOSqJt^x`ru=L)XmYObL# z*Ks{JP|S@C0xj4zZHF#~j}013uzo=JQE*rF@fS{dd`{Uy){g++g^0 z_&X)#vZP8%;s!&qRZ6zbk{wbKHyDy8DcP$-_8VHB{5l{#`E_uX9-Y$TvOjl9`g5me z$+;=%SWAB7 zCsweMU-*^ZSi|r9!JquiKa`^a8&HW2sX|pYW)n7LGd5=nwqz@6u{GNgYsvQ1We4iB z6Fbw8M#NgOJA2TCJ=u$9>_c<*;{XoiU=E=rhjBPZaui2%49C%$6F8BRIE7O=owl68 zS;Sh>o+8fWJUY>Zu5`<;mELKV_Q~dNzchd2Cd0fRl#(G?GCU=5lOY+Ol1W)IH6?MA zA(@eqSF+^ol+4bO1u6L~OBSbONtXPal2uMzCx5v@{wR*uzr4rjZ5@Sr+ej9@RU_Y9 zO=HnpZ3^|aqbz!BK)$!e#-g{T6zc6DS@hO|d~dCcMQ_JasJGK((OWz6y>&1ay>%ql zTgfvlm&m%)gP!!}GWu{Ov5s8Bwe;gU`f~#V7|2cB!mSMAc7|{#cQKTE7|y-i&jUQf zNJcS+v5aQ|lX!$!M%W6|5o6zc6=S@brCd~frO zMQ@){sJCxr(c4n;y{$ABz5PnAw~}XA{*kStJQb)&B`Q;uSVuOY8r9j18f-yLYEheQ z*p@nMPd)0hBRkW8U1-E^>`r6$q$zvTjD2a&{v1fGBZts}Lph8iIFeQzL#!jm)0#G% z$jO|_X|$ysXL1(j(4G#QM@Kr-h4bmggU_$ z&DnKwcbcWcviUor!~gjo>ix$xvQ2TkZs%P_b9E`y+^(`{ZV&R!?PDyO+mAxc9WIOJ zjw0XO3C5zilPJ{O*|KP^h%A@-UC^7?XLNCwP*lc$#N;mgjkamzc@Ryvl35!7Se5 z9bzSUk2$>02Ykdl=JN@$l6=k=EMyU1^9|qf9ZUJ1ANYyotmGGdvMJTsoEmJ&R@7qa>`K`wT`3K-E2T+V>Hq5{!+-ek;PfAUY?-A; zr!;Ode0H3k{@fW^a&AiECPUIaB|Wp`s+3%tB{!wy)-1UzCHG{>sFaL#;!|avtK{M0 zczx9SjP9mTsJrK6(cO#WyL;VOboVBOy8BQT-F-~HyDyDJcVAJcyB}rI-3s#EtuYqe z{XwDbD#@a|D&)J{%vf}{1-b4@{#oMovbyX*eRg7J8q$bZOLk`uny@E(u{ZnBoc%a} z138#OXvtw5&XF9&(Hz5Z9M1`y$Vr^Sshmz*&fqL!Eon~?=W-sM=uB6-5o^gsTugT^ zr6;|)j6Ph!Rb0)r^yNDGa{~jok(;=gTN%Xd4B-y$;%@F?8255Nv6ei<2u3lQv5aQ| zld@}NTAHQPv-vwC&EL4mFz08dWNwx$NJ-peNS35zS(f~qlDNr`tV>CSEZHa})v}~^ zO190C9aGXEOPZ#nnG-+M>jHU`o#VgZSFT_7L%r8!Pte8FcGs6aOxM5k@P^XE;?lzb zrH40`9uCY7<1f3ZboA!Z!&^!ZZ!JB%t@LnEb{K!d?WLoGOAm)QoLy;y&C9PWm!9&Q zU+(jA<;pKw-%nruzZq*>se-?#LizF){cZj~DqrrSvQPQ_`%At5{!;J%RsZQZpOi&D zDU0lKihNoY`Lrx@uUlldQ)IVOfNt?_Dj8%AivZbukrEPfkeB z9u)d`y+#%vul>k>ybd%LAFsDi=;L*$EIwX`lmB=fX)Hco$B_GYEqUZ)vTO=dd4g#? z#dMw}wtFw|A~TrDE4<3<%;HVn<{f799&`DC51GfuEZ`G9<8!`b5nr*GZ&<>2EaL}a zySJPb{LC+`;y2c?me}t7#ow%>92KZYB`Q;ejo6rKY|3WTU<+!p6}8!#ZK=cd)ME#B zWG5QXkVfoAZ1)<|gr@98Gxnu9`(wKo|7G|8pZ!vAd{)0*9Ix+skI~&63U&9XEV}!G ze0Sd(i|&?EsJmZf(cNnD-Th-Mx+_ni?lzG{ch$*vSIby*w+)55+gTRf?Lxl0J&i?o zd$XSI{-ZBzPVsl1MqAo(CTDRD?diaIbfhy~IG=7@$VFVjrSzZ|y}6t|T*=kMTGE$T zORlFs#SCB|Hxp~gZ46>CL%5T>8Okt*b07EfAP+H;(TrgnSxKWDcK`S_DjiuS#o$vj>?jgQgW&jx5%;gKeISq&-Napw;~Glc7ZH< zyO?}$ml=!RuAoqFH^`#58_D-}yRqo)4hr@5fGm0&LB6*M#-g`}Db(9jvgqv@^1aP8 z7QMYnuD6oMKHihXI`Tdr@DcNv&nLt>@;P6ykVSmWV!q`&mhwG6@Ds~f$uIoMZ>;8b z*77HR@ek#Qbz}o7vLThJ%ErVxvMI5SY)%cfq$ai4npj7+qYibcM}2l;XBx5#yRsX5 z(3m~hi@n*0ec6xwIgo=ngq9r2;T*wHwBi_!<9JS>4JUCjr*b-NIU~DHI;C0KHJiWP z)BKHZg52(2o099YZlSM<+Vdm2Sj3auFBP zolEISFD|1GS8x?qb1i+jj{e-h0B+&%aUVL(mG4prR1zE>6nr(S<)jVy`8vDd`;qCT94OjyvOLRABB3mMHamcBH!Ck zW6|4i3iUQd7QKxp-`iwk(c4rC_4a}+dYeJMw^_!bx3?+O+dNtHwt#$Zi;P8Yi^=s? z^1#P(*$RH<7gq5bYgkLHBY*KX>nKMBHlPxfslrBVOf@!TGitB}HQ9>VY|XZ8$M)1? z2X_)63jcGzt_M#d4(475=b>tuprUflIj3YRbRvgW-97k(T;6zU56i(xG z+HnSFaSrY2z`1m!6J6*^H!dXBkxS@K4|>v@%jv_F*>zH!X6e9e{tiy__s(qoKA4h` zSu!ytk7UWyDS0+aUQWqtSu!UjA7sg=DfuExmZs!~EcrDhtFxqhN-8>Wo#@iPv>vaU zc#qLrbqe*ijVyYrL%z42jYV&}P^h=PWzpNdaDXZdOM$dZl4^zOsH?Pk)LTz(8&$){)y7#9)SSCwDQFVGQR!?&m=s zVkDy(!#Kt>kx4wlqfF*;rt&1yc$(=v%k#uK@)9$6nOAs?*O|pz#5(dWvzfzOKHwwf zF`or|%4dARmn`CI7V|AjSjsYf;3t-|lArmNRjg(WYx$E{NB&_Q<*7hLHl#9Dv+HEb zG)rq`^S5rAzj1rvvtf^v?3pF|r6g`IBu8~<^j}-N#ntEA9n`sDzP&-piqfAtFZ)v$ zq~zi(xgsT3XUPpIxiL%bNXgw!Tqzs6M(!()*9W}IXl?|BntNCl%{@lGxu=XpbI(wy zxmRV;+#BSZd(T)j_dbQ1`&<^yEhOLEcgCW*?|ov67t4ITX=>^XNopx^g}ja3L3S37687UR=iIT)~xG z&9(I9Iz1fvAHqFur+5Da2(U8YwPh{DPDVv#P zZ>G5&w-~OKk5lqVmVA|xxW$mHNXai*@<&Sk&XOuA**HtKNXb@NvO`LCa^gy<>>Am% zI9_-6PNKOc6l(4OSu}Sr`R0x^7R?<^q2^AJMRTWR$wn}W(Trsr6PQG-B#-hKQ}`e4mOSz?-Pkic z$Md|%OU&dIUgdS(;7#7*9p2?V=JGxt@(~|1pHKLVSV_KQAz$$|-|#Kpv5Z(re&i=s zu##U`#c!e0TGVMRyA* z)ZJoPbhm_jcgu}McRy38yT4@7-8%B!RW=sgZA78&YRaO!+T^>dXDqtgkz98rk9;(i zHK8ec(Tsg)&i=$&au5g8f|eYHhX12`fV2Ji_H^J}I?{CI*I z;YwmHxrS@$$943lm;nqV){R@WoXJ_7 zL%z4p#-g|LDb!mpS@d=}`QG{(i{7rMP;a-%qPM~1dmCmfdb^K8y^WJaZxhM)_PDX= z?MZUImHe~Bmt-?|nOAs?*O|pz#5(dWv5w4PE+6nA^O(;9KIJpM;7btrKW$fw2e`nmTQy)C3rZ{N$Jx1Y%O_M5Tj?RN_Gwt*~q+mL*3n;MJWHm6W;+sUH0 zy5xInXe@f$l|sGkBa7blBi~y~W6|5;$!nqZe$=gb1Siq+|FR`;7;ylD8smy zSVtbuzf z@ID{#5g#+3PttW#;hW-(W}1~dmm3m~#(5s@r%D@?yJPe8WZZiW?<_sMD?5y5?Cvfd z9a?&LPwC;X(!=4UhxcZO@i*L8I(mQU;RB_I50)N2RC+j~^l)T$=ofk&rDyuem5-*;5w%~8)|4@$dY(Pa~TTq3nY)owDHl;dc zw*^}{uf^8HcCPHUU1o!g1n&NXBg%5DpqIFIezUc`28AND1-1qTq@xr2%ATuTn+ zaE{<8j>dLwy+ve+10 zOMYW8z*uYyZlch};4WEg4DKPnF?h&WYz#(GXk+lGEH(yH$Zrg$8;gy>a}?Sbye^B4 z!JFha26K(Y#^6J88-tPuRlbxhV?PU?pW|m9jH(Gv_fQw_r=k&d6<@$Bf*by6ixG%Ff6}&SOUI&K{JVkF_4T%q@#w7@v67VSt)}zntv0cetgp8njYn?{h?S&lZ;hQt zZ%v7nWPQCIWIR@q7Q{+YwzpQ!qqk!@j`j6+n(Bn{S=LQBakej%LTN%Xd4B<{<9T`fjBg46u`+0y^M@BM= zF^pwA6PUy!#5yvWDNN-FrtuW9jyy}OBQNkGGnmOMyvFOy;!WP>9cJ?$bNPT+N9OS{ z3;2Z3_<~qR7V#B}`GzHY$1;8(){*6`;Aehe6|0GLWNmhxR7|t9ayEafr}-P-UzziD zQc^EVc1cNme_Z6bwwdr}s?Jx#v1myAVkFH@+ucV*Gr9P+)* zHx|8pN}=Aql|^q$$@jL>SoHQQx!y`1RQX4?j`CEXB9*92Rbn04glbf0GitB}HK|2y zwqaZ9us!vt&yMU&19qViyRkcs*^{R1O*8hTIs0=Uv5p)<3l8Nlj^IdIaSXAJ98YW7 za3UvjDyPwwcAUvsoI`s$a2_4$Oc&0l8y9jhmvAXP=tXZXrw>x@NrH<0VD z8vXC=SzE5EUt-&xC_{LMdTCzDc*pjWN#n#!ivQwI+4YT>%B+cKwviW;(N?K;g(J47DOHNP88Ch~} zN;+jp_muR^lB-g3ZI;}Wl3TOnu9V!9C8JU@)`{z+x+~=2;&^@3dyL+uP^h=(WYOD; zyu?gi=2c$j4c_D}-r-%|V-D~0As_KE`QE-X7QKB%q27L!MQ&WixK@;|5FZO01nzJ7Va3BYB2rW5` z!#R>zM~>zgj^lVv;6zU16i(%I+HwYG5$i~Mia3|^=tO6_(v4V0F5+Ukb16OP&1LlA z3a;X6uB9*6(VrU_z>VC*&D_c$Zf6L0a2I!T55u^Z`-yerAx1EY(Trt06PT1;C)3g_ zou19#8EO8;Er!pA*(sTuB@0p#w-}NoDOr{!Kc^&aF(m6!QXxw=N=daWshyH-vt-AV zG{};sDQV`!b+VZ&>*_JwNPd#>EM|PqC4Qa$~ z#5&TLCNyO)nz1j<*+08Zj!3h#RW^Uyr1=}S80P#rDd~_U=cgoYF(j9#{VI#zR+I1TA7jy5c?$Kmi7a}nPQJHV#-g`vDAe1|vgmCW^1bb8EPC6U zTyG^0sT?9}!J!<+5gbV?jv>~O<7rJBPUK`x;WXOPjx#xnb7)To&Z8rp>B9MR<3cXt z5-z0&z39#5^x;abCf1R@^y7N^Q_KJcax<}x+{PdVGlV<2o1qM2IQMZs5AqNr8O<2R zF`kJ`;t?KWGLJKrCz;06Oy^miC)Sadn8C}u!fU+2EZ)kllaJCYouAF$g=zlA?S(o2 zQ%Y85$?qwN+Y8BtDXE$zo2MjhFC=wSQa?*}P01cvvR_IL%#y=Xa#WU_l#)}OxK3)g zLe4CX*R#FH=&guCyr+YPel?MCvw-EJ&;yMsc#Js^wTMv(7q zg0blBVG8y3lq`CChJ0@`jYV&-lIyMHA(i)Jb9kQ*_=tJT=M!Qb`J69U$RfUGG2ikX zOZlE3_=)AL6_ZDb?AW8f-~TYOyu3j%-IA z>QayT?8MGAWEXa2H};@0d$JdMvk&{SANzA42XhE5Ih4aWf}?1~F&sy%BPY;?lQ@}E zIi0qgkzFU9(k$(o&EM{6{>JTvIe%?RuFH~}QWCcpl6z8eZT~>`#4|l8>|GtCW1>#FeszYvlXlc>U44jOJEQsJTC6(cItUo2z6j znyW&g=C+VUb6b&bZhK?V+zu3KZg*KU*Mxj?&5cEK2T-WFBW2Ot(d3&u(O5Kh3c2P= z9#d&AE8<+vqZ6I!N;hI9xrmGD&ZYFE7njk8E4YfQxt6|MM}KZ$05@_IH*+huaXUk} zgS)t!dl<&O+)u0|4>5vKjAkt3n7|}rC3%#`n8M>c!8D#?I?wPN&+{TLF_Tw#mDhQL zH+hS9n9Y04<$XTnBR*z6pYR#6l6=WRzT#`XVF}-{EW1)xrCGWro4@PQ{EZt7v%XqN zHp`OQDTx~lNrRL$%95rji5m<_iETgDt4ZR@7!|wq-lErye`7BRkQ6hBRU~Vl8P*6PmIY&De+L z>`$yE2XQbhXvtw5!I8A$XpZGLT5|#?ax$lI8mH5aGdPQLXio>ur6Zl_LRY$RA+eTR zLU(%5liplTAFj-Q>o{Z8-H^t506_xtAm zFL~O}UsU#Kzl9C|{heNqG5z~Hz5mz#*XDd;7Wu?1a^F^Q+bkUJk4~T z<#}GvWTx)%(pCIDa-hQpIFXH ze&$zJv6?lku)S@(v-bvMrzqwG}N%Xv)2eJC^)TgqZ89?lVzor=dfkEwV9v5P&4{8T){SWLyUIft@S zv6J(did`u*6?@8JDqco@Dqd@>FV}HB>zj(V8o!O(8H}k|@_pz%j)!q?b~iIN-Ahc! z?lPvNyNoBYyNnl8GBZowOi6sf<(}o^lzfsUU!^3z;F7FJ$uC**M@s(Ak}4_LI7_xj z$yQmiLrQjX;%=svD`eN=c-`H5jNY0MOUU|qJHU7>AqNvnNZH+KZd zv4osXEFookYwtXIJC|5O*4Nub#$yTTPAnm1d+XyomXNEsn)UTIz<4YnH*qs%dmG|B zdb^9eDbriYGb|4oAHgU_GnR2oU=p#8JW8x1Q+S*wc#>F0rW5PPb3D(Byu?giA=Z)C ziFM>n-r^nJCDxI-yw8Vx#K+9%6Fwu>kuO=uSA5Mkd`qk&%ZPR4M}A@jEBS?0#5%Hu zSV#WgPyXf~%29z>M=G%)RjA6wR3p}r&DfkR*pjWN#nx;~tRve~mmR3jPBb9akw)2d z(k#u==Gpvhk>;;2xMI$?PRWT`(k>7OOHq-0Q*3{T1Z zSu!Rii~n@zsAdB&o*1r+LSu`GI9LcX`< z#-g{MDb(9vvgmCc`Q9oUi{3V(P;WJ5(OYfuz11@oz3oV@w~}XA8q1o{l)Y%iJ~U^4 zVjVe%gK0ra4&!i+q!mYVEXUEB6F8BRIfc_WopzkTS)5IKI&dx>=|mU0(v1s=b>tGd z(}SM$<}&(lC9#fN!?pC|I{H)000wdsw{RTAHP^viUnF&ENQP%bforC5y6TX-eYDEy?PXtj&`0 zDTyz)B-K+=BTKePNu4a&B_+FM$=)g1H%ksp$q`OmCtJHhjxCPYp*^C{F@FIn_+){)KFoGNU|)@;jmRAmQtVrQyRof_0)S8B66b*aam z)Msz@p&^ZE%>FdtKn^C>k;7=p;T%B=TGEQ6v+JZynx*Zs`CFXkZ>MbjUXqe-S#niM zuFaBuDH)I@gHtjjONOOnWR^UYl4rAIVoF}_kbO|u-^IL{{x0U7ES-_kSx#IlJGn}} zD2d}&o@aD7mqOkBD2wivknip{W6|C36zXmxS#(#Ce0N(Ii|)3fPmut~t5x*58VrAUl!PoJBi+;K@YCvDz4^QuHy!7q&Iyip&$Jjz^%kuGLS(G=1zuiH$%CPSW6z{A%-!W zkvz&{jN%EN;%S~`G|%xoFEE}LnaCtw=2c!}GE;byw|IxCyvGN`S~894%wQ(7_>?(( zo?R;o(kxw+&F*DscE>G-*N4ATQYK3_PD$KiNVZSOj#*MOC2@-(X^@hAv*e%-)&A=a zBC?}Wc5IfNl77{x*{|xDl5?H7Qg(KYTv!svi#^L|t}BI_yG9nxT~EHbzQ&@tn<>=X zU9xEI9`emSY%H1^L80cJkwtT3$Tv5^STy$%g_?U?7R|j&zPXQ$MRT8!Yi|7~S-z3Y z}geq%Mi^9O(OH)|_%)zi_M$!w*oS>-%ziZC01o0{4&^YKaRkjdl9n9B(HzV1 zoWM!6<`mj+D(yI(Gl`X?J;ijS6X$XsUAQ2-Qo5&E+B2KKz0&-Rn+!Ai_LSU_CHJNz zZZaf~r{u{j8Jm*0$&kFBk~gyC{gix^C7-3_%Pg6nlJB!*MM_pWah>eq3i+cXj(>TM z(c3x-^|pyDdfS|QZ`&D*-gcl+Z@bE(x82G2wzsk9ts#YaJ46<}H6`C$D`U~yF%;^p ztt@&wjeKt%j74wfkn3&z=U6V4b>%WHr#n69$<@RtOZ<#i_W25<2; zQ+b#7`GAj@#>dR$6K3-%pYb_g@-^Quk8hdJ0={PvKkyT=jx1#vEBJ+#tYS55vg@RL znx&Pp`CBE;-?+&z=c}cpMwZk~N!(;e_D{)yS#o$v;wD3KVoFZVk~30rc9xu2}Q%@uM>NgQwU9HX~E6zc7MS@iZ0`Q9Eg7QH<|q26AQMQ<;X@9i~X z(c2UX_4c7GdYewZw>ie5w=XEv+d^6NwwQcx%Z){Ezmn^1{byL#%GObqa+Ie6m8eXt zBb%`~RoIfP*@o??$`0(r&Qzm1HK@g|)Mj_;Qja~^i@n*0hBTrv`_qI2Iha^S4x=fD za|A6ol2#l|tRu&9JSTDzC)0+uwBt0+;7rb@Jss%8IdtYc&gTLy;u0>U8<%kf-MNyU zT+Ow_I&uTO=*>-((2xEM$gYziX_gMn=I^jHf8!>@oPRbY&t=KPl(@-=C4XkgMk%S7C0nIrTPLoQ>aLKTO5(VS=NP>eQK+{)WYJrF z^1bb6EP6YDLcKMYMQ<(1_jZD@=&dz{dOJ%Ny%m%1t&6ef?LrFm)%*)p5u95U_37}kx9JF zE4;>Jrtl_j@eWgYj}M4-WE#_%!Axc`n>l<=tRr9XHFKHAcPwBbi&)H${KOKLvYcP| zmETy!@2ufZ{$?#@D9c8ary`Z8%%;RTvISMximlm}?WxL+*>$p8nx%EJ`P(4P-?+gr z=MPOuvn)9(C2@NpIW;AxXGzDD#O;NoYf3K9l50|OeU{vul3TOnu9V!9B_mSus1w&o zkt^h>k~lu&IYw_|DAe0avgqwq^1Z!nEP8vFLcM(=i{3sZ-`h9FqPK4;)Z5Rp=xrJK z-c}on-u|FaZxv+G+s5R3+tOI{whg)7*8eSHby*QLsl{&8rVjOpb!0E<(|~>0mqzSI z6As`Y4(3n}qZvogoFi$;Q5?;&9LEWqL~Bl=4X4tM(>aq^N7_?NM>=sXo$0~_#5!^@ zm(Z1NTuyg-(37jUhHJT=8@Q31=tE!naWezBmD?G}9Sr6!hHwuv}&F@lji z%HxdU$?Q5AmuBgNZ2rEU=5O3ynDZZ{@l*H|YWL-+iWyvNf z**r^jNXgDwvTI6q&yt2IY3#%|^wua-W~z&%cKi&#GGnuE=zTu>>|A`#?uF8i(Tyv8 zIKK4Zi=_`Ils=qT`fyVAFn+U_N=IKVefUc0!&gfmzE=A1_3UB%4wFkqr<6W?!^3G6 z%K2ZAKHm4=_65CP)!E?VegA$z@4sKr`|lU@{`&>J#a@_;y)YMhgQwW0s@SHg*t%S7 zV^wTp^`HDizw`yY&1z*|&}*gk1-)^MSJ$Q#dUZWU7O$?ylYez>Yb;(}PovPQ>p8M` zbv=*#tLvr4;??ys3cb2sCyQ6tUgTe0`x}c_*IOv`>Uy^L4=NZR%CNPQE?Y+XQyv}6a;7#6UD(~_>AMg>=_?Ve|!fZa}Gd|}_zTz9^ z@h$UN!1pZT2Yw=UdrMiy3VvZFzpGG%giYC;E!dK+*@o@d zo*mecovB83YEYA1*^S+)Lp}B+c6)o%fQIZ#WA>*B2V%GPzw!%u|LYx`*auBXdz!b> zo@SGpu95dk;`pIw8SPD{P_OtuPlYy+e{Yi zRUzMARb$cKP84ddwk+DKOTN8*j758m_=ooXqxWe}@q4zV9j9>yXL2^}=|CsWp)==k zJ{NEi7jr4yxQr|4&Xx4!YOW<#lN*TDq&GKFLSOnbfLKj#<8}sd2X``ryBW&8+|L6% z#KR0{B#-bIkMjgi@-)vfn&%kH3ykAMCNPPYiPhvaVl|n<8@$CkOy#|7?>{Te(mC0l zd~Vv4$6G<>?~;@(&ywF$5^n`bDyF1zmTZ-hcq>R!l#*ImvPVklXUPF6IXFw2r=+F7 z{%)0BudUP1>1rc&pX|lOzg&;wS)ONfS4^SqE|f)gmyqwShq37HDhhSiM;6`nBj4RX zW6|AU3U&9OEV>&;zPnMzqPwRk)ZKVlbT^TFcax1pcW;vGZvCe|rpaP0nZZnEF`GGj zPOK$g@ilXq$9K$UA&XedkNm_Ema?2*_?6#S#qX@)PyS*pWr($8Bg#{eN>pZ3VlCN% zSWC8IYqn)Os{UGJt?^_ zOGcz5-VTzC>F^)_%k^DdBR`hJ@n_F6np;Mp=KhdHbAOX>u7a^>Zet2Hw~Z{C+n#)L z)s01SH7V5GUb1Me0r}>d7>ni(qEK^3%A&cW$TxSAv1slTa?P#(%tw1!F&*i|xpbxr z7Z5AS#au#Hx^X#I(1V^_#Wh^Z_1wUX+(aMx(vO=Nz^&ZIK<;2LcQJ%}7|MM-K&&JW zGmH_8$um657@p&K#xb4=OynhA=2c!}GH>uEZ}SfC@*W@X5!3jX8GOPl zK4lJ{^Chv8e8XJ6Tb3yy8DcLck_%zck{`0xBgQfOJ&Pg z!7r@jH&(NTSWEumZ`M(Ua%@BeDzPz}uqm6f1zWN;+przmvjaP_GrLfo8q{Q0c4K$y zP>(%{wPbG^(2#v;%ziZCKw>R9ghOdcGmfAIN79O;IEG_6o)b8UlR1U9oXTmO&Y7G| zdpgjOb2yjt=)whDM64y3(v{1&obFsnPp;0cm69~O`)BihP@4C5X7m1`lnl?3CsOjX zi@^0C_c$-6J=3Htos!bH*>Jr~Prq(vmVA+tud`%vN`A_cUsJLwOUkCCyc0XH+OCpK zO5(Vg=Na8qp-^`_$)dYzpq3#;VqPzXccXybv=LDq}j+(Zd|>CXUSExC=`8N?mj$z9ydQ10b^ z9^fG!W;i2xgvWTCCwP*lF%SNuJAt?T`l-A}tR)}v5!3mYnapA~bNGxe_>!;rhIxF) zd=~OOi}`_{Si(}46Klz@tYj6dS;L>iTC$c{OUhD?@>HY}m9uMQ+cZn7X7jfw&EL4$ zFz4&1WS=ZKASH3LA!(VCqqC%SO5#RCQk;@bS#n`YF3FOsQgUsU^hrs-EE$}VAx>N; zySqZ}FNx!Wo@4Yjj6%IVC5zskWi-z*mKPY$i%et^FY^ko@j6p@lec(>d~ef?MQ<}G z)Z16G=xr|f-WC~)-hQM|Z@oNLwh_7B)_?M23t1JmVr#Z#JF2oHv5xFQ zHHxS~Eq0|gb*Rf8>`8t0W*_#Y5&IGA$N?P4!5qS2G~;lZ(}I??;%JT~){zr9k=C3{ z8`{#2(}{KDEY7Bw4s_yNI@5*oxsZ#vgiGnhc0~Hzglr$)_p#JWIY!$$~6d zmXcqx%tuTTf%r+cgyGt*tbIWem^pJTEYw2~6ZAUglL^V=`~>CU5f&@A4iW@FCOq zm>GP+EIwrppYtWLj(o#hzU4a>u#iRkK&&G_vxH?V=NDG;8>{)9KlqcsSxXtpu@M!h z$i`G=Q#NM{wqz@|VOzGRDm$_>v5r)yh?>-5H+H8E^|I@vahjz~viaLI&0n_}@!D{F zN>0j>(^7I~mYkQ83$o<0lyuLMUMaaLOKwTY?OAefN*>6PM^f^5mW)lwI47=?x~`Cy zO5*s6=NP@cPNCl3mql+Mk?(D`vFPnH3iUQ$7QKB>zPF{uqPGg_LC^tO(CZp%Iix2ypT*_X!bM-vVt){#Rvl%_P}2%2*wtvHHf zIF{o%fs;6yQ)tVnoW|*#$yv0g106Ysb2*PLT);)dI&vvpxs1!{P7iu=HL;Fd$My8$ zMsA{nzVzp2ZsAsLXCQZQCwFl-_i!)w@c<9;FvA(aBRtCEjN(b2Cf1SBjA1O#GmaOT zz@+Rtc`MD*soDIUp5|}dUbs%aPRYD1S)7u%y^yR*$(k%Fo07P_kW@*@)>*PsN~&c^ z-IVN^C5=+Df0i7ck`_)}C-qz*$CSkJIL|S9JCQ=Yogs_f&L-bmXJgUZ`4sBy3R(1a zCHdZNFc!V_rciIU$)dMGqPG{w^|t=+6kn6Q&J^C@ zE#77-?-A?BhkV3zK4vDLFq=7i#ut3a*L=e~zGFTM`JTo6z)$?lQkJuVUs=g2RnKY(%2R<#R3_Gu&DfkOY{}Ma%XU;{2XailejZq)nQo?Xvk>oaS%bUYPTjq@-JxT$Pfzy^!=v z$$%^woRYY`kPJ)7$Sip(CC_Hb#FV_8C2ywWoh+G=l37k%CwsU;z9@;~SDs_^HkU%Z z{V0pxmXPo5H)GM;?-c57BU$uTk$i7k7>nMvqEK(U$fCC*^1anD7QO93q2BhBMQ;a? z@2#1!=&d=q-qwHW`vlpEwB}^m(3WVla0ygu5BaeZ)HQAP+H&;f&-_9%B?w@Dxw; zETegj=XrtgyvRf*@iMRS8k3pAo4mz4Oyxa3Al8v-OlJl&nZ>8f;q!Ezlv_};$7Hjz zb{U_OTmMD9Z|y*4AC``ORQhmQ z>BH%z4?iw_IHUC8%h&mnw(q~~i+WeNVTzx$+PQq0GEMz=MA0*j4U3+2oKiH} zv31cH$8U?CD^sS(hyTVpDO>cs|OMd<5op4cPO^&EY@_fri;B|6l=R!+r?friZx!W@nWwW#ab`cda=8QV$BzO1u544 zzdvE_-=8q|fBPrQSyhYes*A0x#a7m0JGA05XK^;|DW(G*>BKplOJ~lb3+HnI7jh97 za|xHym2O$!nm+(>V3q7NnXr62vdnE~9w{{}x{@<08e z-gdSA>x+70%dp6Dy_gNR1UoJ4E>X-1xD{4Cm2%!V`aJm+1wAiH7do^BU}{VU1qDS0DH-cQL#S@Ky*zRZ&ODfvE2R-|O5 z6E`e-xk~;hiQ`|MWAwI;SV{h=w@r*kZ<`Y<$%egc=RA7bfmliYskdE?M{m0mE6Ikv z?d?2zYe=jl|J2(d#$zREN~|Os_SVXI^mYu#@=v|BH6AO;X`If6y>)ONy`4j>BpdX$ zejT~gcvmjta=O!lo?K0=Bi9k@NH1>WCi>8q{@lzh+{*0?v>|;{hHd z){)_i;1M3>aYpeZPZR6NXvQ#>=NZR%VjY=8tRt`RDz7t{H+YM;naaDo&j)&TkyIw_xKX{BubR!Q?W z-oG;EtEHqymefs2yniLxKP3ld$>Ax9_pc-;rsU)-IU^-!XUX{~xhPAnNXeC1(mN$3 zPFyGTT_LxW#PK%IF?t(Bq2BJ7MQ;z0@9i;T(c2Rg>g@$t^!6h8-d-~ny-lG|Zy(B{ zx9Q}2n`10``+`EfEtExXi^=!4+*tJXE4kj*e@JDmY#n7OM|mnxiOR$}vKgCGg)P~d zZP<>g?7&X!Of{-ggIerLZFZ+F_1KfW*qeQ5NFy4vKTSB0gNb$IFq(2WN6>;JX~ogR zI&vJxb0Q~kGHqx}J5J*a&g5*`(}7N$Lubz8d@kT3F5yzTaT!<8oh#|d)m%%gBR9~C z-rPh9{pint>^d2eX6evu{tip?H{QQ8=bufC_`8>OUTmTZ-hZJoGI_I8ErR1(KsJjdv*h(f*XA&cJXlkaUmW6|3I z6zZ+HEP886zPA&MMQ^Ps)Z1CI=&hK1Z(WQRiw;rqD-$^&e0f zC>z9J?qmpeGnD&?b>u-FVi?02$s;_*D4yUcp5|Fb^Bm9f0^@m+iA>^UUg0$+Gle&K zi+7mHdwf8wBh#493}!Nm+05Z{VjcO4ubIm{zGDFkS;S&~SWJQb-#Wi}<&ku9jgR&33-Y)@5o%&wE&(k!i$&EE!T{_dO2-$PTJjV6Ueu=n`>-#K*pDV0z(E|$p&Ujt zj-WY5(vqV%nqxVR6F7<1oI)E;r5&epCb5pRrV2BRA29zVzc}25>94GmtwN%v}uO9)@xs4-o6f!wh2tBYBj^8O4*?buuo^ z(g__ljRhk<`ulqNL5XpT;q~F8lzyDe?$6Tfj#~`L_bK@yOID;LZZRb5Qc^BUHc83m zS+YY)cFvMrQ?h%OG)zfjC$5!!TqOsU#PJZ%GrDU^q3({6MR&)O@2;(}=u)T&yM;pC-7Smm?j_&daAVQkBjmbU{~?vpvN4S1 zdB!oG2}~l^l2>?@*O|;4yvf^4?AEtR;W(H|r=vIm%OkN^Hy~Y|7?r!Io^zHf+cC?7)ufOf{-g zgPQEhZtPAS>ai!Wmh4Rf8nQ2q*`FpHm|ZI^(kyM2&EFH#{Eb@-bN=j;JX~ogRdU71cb0Q~kGN;g% zcAUl;oXOd=rvsfhht8bG`CPz7T+F3(<1((GJ6F<^tGSj~Pi~+Wy}5}J`qG~P#CmcY zw=;-4xRW8=%~0;;ejeZ<9%eWrd4$J!oF{mar+JpqJjYmGU>q+pfl0hftS7JWI#YOq zw|IxCyq8@sv(h}Blg;qCX@D2v`MA>UgMW6|4H6zZ*y zEPCrlzPEwKqPM{m>g_>U^fruqZ=;MwZ%}geq$BCvxYzUi?x)YEE`dtid3R9 zn-VL@7F1y?wq{$lqbfTR>&Pxtqlg;RVmE42hq~;+p44Y=_F-Qdu^;<$00(j~hjJLr zIGpCRpe3z1nq!G|!Smnx$Q``P((k-?-H<=dVx6jahPYO5#>S za!*R`%aRc(iCYcHm=6E(KYMKC8u_s#jz4>r(cCf$HTQ=sn){o4a}|t5a~o5rxou?8 z-1g*~t8Ofst4X2e_L4<&4ahgw#8@h2X;boV;>?xq@x?%tD-Dl*xn`bP#n@_I0^?#$d zRJM#2{K86pV>N4twd61UW*ucH$3|435*xD#o3c4uuq9iw4coCjJFp`=vkTR!K}~jL zH+H8E_1KeGOZKJ#4cV8*>_-z0B-WBcIFzO|;|N-CB&|4#V>p)MIf0WnnNw)Xshq~? zoXOd=rvn{1hjTfPE?mGx#9DGGUAc_Q>CTn(U$2rFkDW8|M8(DH)z6 zPo%`nMkFt$WKxz)NlDyhNT#P`W|n-BlCQI5aY}y5l3!D@Doe_yq`VWmul-yho0P?W6|5;6zc6bS@d=y`QF+Yi{8$l zP;Z@O(cAgtd+TN_db@&LZ|na?@djBhdUF#c^rb%ou#Wh`-uTnJJssD7VQ+kVF21n$ zlhRMr%_@C3yY%6wr4Q$nKKv|u7$4>Pyma)7(uZG`KK!cm;n$@PzsVlP?=ZJ?bYAJh zZ%ZG3SNd>%dYHbjcYgZR-+$W|_AaWk!B6xnI@KTHjG}gq9g9wLTv2qoWACCf9JeYu zGk%!ph@!I`8y21IIHjn)W9uS6>C49gJ7gaV?C7LyQ76a2MdvuyEIQY5Y*A;&!z&e? z=RY%w{{1*rv3r(cyNzPIjbisL#daLUb{xg-Ig7n=729z>Q(ICv)JyV*zTj) zvQ%sbQfvoO>|UnWE~MBl`@=)A^X0%wjfk_>3?3 zlCSxOd3?uw7Vv~=Ezqlqow zhFgc$&ST5hhS>78<1{whIutvPEng>M%h#Fnh^@ng#Fp<8V$0W!%eaE>T*+0~^8MqR zhkcC4)}bF8Y#shjZypZb@C$qQH$m?&iQ|KQNle9I6q<@p$zm!#OMWVjHx^TIB88^n zo3faS?~tF0(~QMboI#dkQ?Up6sd&Ay8@Q3){L@st)%a}; zWDus}`u9Wc@pvfrWp^`=rhAD|*3RGlcDzhn@6D!G^?93x(l-8vXpesl3ppfDNAli$?aKkZ%Q7>l1EbVc$SP!$v7vjl>=NQFO|gc70)xe zd!0hvy)TRIJ|f@UY-7>gXB6sgzAU=?o_u#pjYW4WDAe6wvgmFd`R*zii|#g|P*Wp7yn8nQ2q*^ee1NUSA?a41b_#t}53qygK4CU<_>3?3lCSxOd3?uw7VpccDQo875PJ@%wNd$SJ> zX+&f8rwIpgFo$p$O*xz+XhBO_addXAv`KTgT{ee{(;V)U&F)K5(k)A_O3AfZ(k~?g zvSe^dhGfaGl#I-hr&984mP|~^%USYfO5Vwm87Z0N#C39zE98rkIDX|hMsIT|)Z34; z=xqu4-hML{z5Pz1-Zqj&ZxzY+wuQ0iZ7T}(wu>x!D3Nvg_IB^mY&V-X1m9hEMqyp z@GHNun&0_@Klz)rl%X6OQGtqVOl3A@Gqzw$wqhH$WqYc!BRdo8NOg*+NiBAxHg%{+ ztRs6-p9bv1zBFb(ns5LIaWIE+7|l3><{U{&j^b#J<#CkaIe&Xf?#PmRQxdlrlE+i>WR{FgN!(&cUQfvz zS@M2LKFX5MQu1Y%%umVpS+XJ}E1kGb4snJ2Q4+_$Jjdv59ff+^L>9emPQJJ8j74ud zP^h*>Xf+(aMx(x02TgZT-aF(mt^uvq#Sk}tcQI>L)rvjCzOspfDu{l-PlC9Z>?WoEQ?8MGg zqdGOH#jez5cj{7)J=u%B*@uQSqA~l^gabL4SVs<{DTi|eEjW@^98Ihv$8kI-auO%g zhPJfhG|u2m&Za#b=)^g6<~+{l0xsebE~OinaRuGElAc`6wZuAd1HI_YO_b1&{tU>j zlObuA4$bE8urz<;_QIThHYLwx$;6bz?Sl)RTEGg1<_7m~Rt`7TR-Ov#cg`8_3n zX30h=shA~OrDR(tu9L%DAv=}CaTm`qdMlz(Z+pn1xBBFJ+s|0^b^wKXYc7l4T9WVW z1Y^-#YYO#tmMnTJCf{2ZW6|4%6zZ*qEPA_&d~Y`zi{AQ>>uvof*$2u7F_=3U!rctz zK4KktkcSw?a7OY7k1>iTc#5ZameD-N^Sr=#USuMZc$rstjmb>mP2S=irt%&i5bMY^ zrZa>CxB`jq*zwj%+v5MbW!=L=kTFOwCjVMn= zDp8qDiFITPs<0JXvn|_Gl^wI|WVbX+>tyq{L7KmDdtuHWnv!N&a#Tv<_Cj)MN>0y` zjwy-T3rW|MT%IM@q~!W6xj7}bX31SCxhG3Tq~uX2u9Nt|TThk5@fpuCdK*Kb-d>VL zZ?BT??QLVx+q)F%?Gst__9^+^zA+ZPeM_OQ6T*Wn9%k|vA zjod^Z`qGb^8NjXF&Oq*9Fn2M8dl<@nJV2}?4>ODrjO0-sXB1Cn*U7jvODA}ncA|m* z9sXrxxn>)Faqs->i+dMjpP`G-+bt~pINkT94;Pg_TwMC_hth{XmOlI`dlX0J*rpVEg*`?Vudv6H ze}!#pEM8$xqtGktIkI?#J&*h=?4`!y74|X;y~186i&xlQU?8;e)iTPXAjd$%lJ zVecjX3On3byuv<0?iF_ZCq72Y#xR!W8OL}gFp1dly~3-!&Sc);P2OfI@A5t$@DbDa zn3;USY(C{PKIcom;v44iE%RBx_blQEej;{!OIgMWeqklQv6?l+j_)u2W*ucHM|mnx ziH+HWP1&3+*pjW;hV9s%9oUhbsYZ2bP?KHRjoqn3J@zDae0$S?hU`mY_NNI4V#oKt z4?WN5Z#sqg`$87|eNDc$(O+Hi{q18c`fJ2L^!FdV zTXTxvvn}m7jWaltvuRHUI&lu2Igj(XfQz`8OXuq94|6~NxV#~ zC$ACf$rRq;E#6@&?`3xYv(hY`W0qElH-xI0zww5UyWS-!S)L`orzGAGl2lAdwW@ zQgVEjoR*R^v*etVoR=k+rKGzP*GUUk$TcN#yv}os-g;4}w_9Y<+wJ6gyW3dwb}xl` zdqft!Jx;#2(Z-^;u@vg<6eQenyRsX* zQ-^x&NvtD#(}0HTOJnw<2?rAE$RQj`Q<`xEEjW@^9K|sl%kiARNu10nwB=Mz<8;pC zY}(U-j-11}oJSWf;38rjxsqT#FqJ+NmX8^H|+{Wz;;tuZQF79S1_i{fE@DL9( zoRK`jV?53iJjv5M!)TskEH5yQ7n#5$UMAL&*La;Nyun+%%~aka){zhSi0ORHOlC2g zIef+!e96~*!#uuYJ`4Gt#r(ieEMY0jS;4QYWEHDf!=J=DvX*s}r5xp{NF^#~*U7eN zmR8N?Z&8}RaeHCT*H6hlS#m&1;`Tz)G9^c6N$Zrv?S-T`C7rV5!jxQ+C0C{7+AQgl zl73k-I3+`zxK3KSLhdh#0a$)dO4$@f;qSoF3Lx!%@);$sV06}Dn)wq-l2vLmsM z>_Rn)s6j1ur8ae_%O31WefDM__N5W~u|EfJAO~{@htZ6~X-*4T(u$)wmRLtl;6z$; zGHqx}J5DFok+V3PVmi=?bLmVM&gVid;u0>U8<%qhJ-CvqxSDIZo*TH4-t?h_e)MMm zw-W2fKn5|GI~l?~4CTJ;I(anB(oxy`9n;}|{l6V-+eB#wJ|meE`T3N?3-ESfu% zd~-({i{_4^P;;lqqPbJaH`m@+G}nu=DLz^uBWkR?iva;*H;$J-Aul@JB&qh zcadvu{bxQNmJMSBBYBj^7{!ysO7aZPGKS}Po);L;1SawlFY_v|F_|}blec+?cX^Kw z_>gIQ%nUwZ7N0VQ&-s#ANxoq&-|`&`SjZxNAXbu}S;8`w^9w8ajn(|lANLWMe9`DVwteTe20~ur1qDl^xlcSV^i=L``b38@p47dfAoII9(}CvMZ%&TIt69M0uDx^Mv(5o^h%bmcNGr#n69$<@SKavj&xiyOI# z68h4gSW9l{^+gu9djSu#@^aCG)amaZ2JQL$WF*YqF$lO5!F%QY9r@XUR?}sg@;m zQ?h54G)l?-u1SslU;gdj(XNtXO5%8&=XpFQauO$V3T-)+(>Q}OIh%ZUosC6z=ToS= zD`e5#mE^m-!B}+Hn?l{)CX4O{k?(G(vFPr83U&9GEV_Gwe0R?oi|$?^*WLQRQG8AI zI#YOqw|JYWyhp4h9};WHbUtP#pD>#_e8v}i$=7_tJicQ-3;CYK{J>BA%u<%Kf?rw5 zDps?GKZ&(uE$b*tIm%OkN>nD+lFithDs0KtY|D03We0X*XR1-18q{J}YO_0asmGqw zXK(hQA&qFv{xso04kp%;!)VIk96<|O(u$+AYo$$^!|k#;T%6``+-R8hm!zaymRyyR zxY3aGOUZyN8Jv>1(U1&F$;d2uDkaZm$;6broF#9j z(c4@K_4cDIdRs!ix8ICKZ@*Khw~b`cTSfA{ZDA~W+loTH?IMfbipcj?$5`~X2Zegu zPZqr$K)$zT#-g|8?^#3fux zH!kN2dT=FIaW&U+9XD_zz3D><{pimCZY9={fed0WcQS;#8OnXcI`SY7F^u7ipORbuwY|TUet2$G>BH68!}y@x@1>(_N+14F`tZ-vhkunm{5yLXzr)(n z(RHN{%alGWTl%nE>BEgmAC}J^`r2NP+NS>vW#^Rns?5A~|4;s}jptHs&OiO44=a=t zlq*}dyq~q_w=Y{}Wu1S&y|>s6N3j>1VlOtuHm=29aEiU)6x+NOd(kQOqEqbVqu2sg z?1iV;BKH6KXQsR`{rltQ{$Kuaa~9WszrFWfe+Kt)KM(LA5AiU=7|sYr@(7Re7>_fG z+>e|5PrtplZLRUJY`b#mid~7WC2cMAJAFThx$~UsPe9Lz%U?DLhe;{V$&n#gX%h_;7u67r5dCbTPRAgf+v*C=~(s|6tZP=C#XXMV#V@6h|h?>-5!x>r6dCbVYsLzHo zva$1+kxeKxBae{9j69N-Y&av2cYXpV(VBmnk!Kn|i}n<=;fy@bc^59o?pwO2yM~_G z{Y0;HKXFrbKXH3X?#PmRQ}RHTJf4y#vt(>a#%0OtDS0DH-cQL#S@Ky*zRZ&ODfvE2 zR-|O56Zb90xk~;hiQ`|MWAwI;SV{h=w@r*kZ<`Y<$%egc=RA7bfmliYskdE?M{m0m zE6Ikv?d?2zYe=jl|J2(d#$zREN~|Os_SVXI^mYu#@=v|BH6AO;X`If6y>)ONy`4j> zBpdX$ejT~gcvmjta=O!lo?K0=Bi9k@NH1>WCi>8q{@lzh+{*0?v>| z;{hHd){)_i;1M3>aYpeZPZR6NXvQ#>=NZR%VjY=8tRt`RDz7t{H+YM;naaDo&j)&TkyIw_xKX{Bub zR!Q?W-pX>XUM(dxvZQWG;;k&n{wX;yOAb#-i!3=YB`0Ue87VnCOU_TpMOku1O0LY3 z-YF?@;yO9r6>>{S9B=a+qqjj6>g|46^!5<>-X1d+y*)vp-d>PJZ!ePX?KNZ3+Y}1* z_Mt3#n@+yBImV*5FDTU8LRs{-n0#-`jYV(2lIv~#Csx+V)=`#nl&1ofs7$OQo3S}n z*pjW;hV7`z4(!CvRHHgIsKu_-W_RjRk3HFoz1fF`G@>#4(}V*#m{>;+qbY}T1T8p{ zRvb;NBgb((Cvp-e(}uRR<226TOwOh~9q7b4bmlzH=K?O`5-z11mvIH%xssk-&9%fj zas$2S%}tchkNynEu9G2YmJZG4@31s~<83Tg!?P)QE=wk+B;Ll7ypxjmvSda|;%zL+ z+?0HmB|oNQNtXPcl0UO#qm)$4lC4s*trOQt{Gk1vO5(VS=NP>eQK+{)WYJrF^1bb6 zEP6YDLcKMYMQ<(1_jZD@=&dz{dOJ%Ny%m%1t&6ef?LrFm)%*)p5u95U_37}kx9JFE4;>J zrtl_j@eWgYj}M4-WE#_%!Axc`n>l<=tRr9XHFKHAcPwBbi&)H${KOKLvYcP|mETy! z@2ufZ{$?#@D9c8ary`Z8%%;RTvISMximlm}?WxL+*>$p8nx%EJ`P(4P-+iVLZ?BT??QLVx+q)F%?Gst__9^+^zA+ZPeM_O-5H)>Oddc-=i7xihtKI}^)_M-_0a1aM` zD2LIEBWTW%wB#s`=2(v71Wuwgr_hE|X~*fDNvtF7DW)TxIG4_J;R0eExtL4nN;fX2 zJ3Z*hRb0chT+a>M$W8R2Fa5Zg0o=;%4CD?5a~DInhoRiZ1H?M=FvA$ZNFL>JM)72J zos3Jfbb`MQoM_;Ghkv8K$w{t~gG%Cfi02vIHKkB@$H=0)i|)>w3R8il$$M;6_k zN4~pDjYW5tQK-A?WYJwO^4;|}7Tw)Kq3-UMMR)g-?{2uU=lEBTGptRdEtzxbPVl%X8usX!$*W)n7LbGBehwq_f)V|#XBM|P$f)u};E zc4aqqrw;YllUPgkrU4Dvm&WW*6AsL-l@{q*X_c+@C#JPNZZYhm&Q3{(EIB_Vaf>0j zG9_1MN$-@zErw)JO76^(`&05zmOPP?r(KiJWY=WjfBD$jRq}pG96$6tqr2%8>h23! zboVv+?iL!0?iN$1yI*C|-750ktu+?im8DR3o5`ZPD&)JXYAm|ji9+4gmPL1U$#=Jp zvFNT5x$f3~X5}zhQx4|{T5u$-IGR{Xj^lVvQ}OIh*!$pcCiNne#ZG z3%H1jxs+~P#uaquN_uiN*Ai>V4fLWnH&H@g`ZIu7OK#(K25|>>GK9Ms%Dvpr13bjT z3}+;d@EDKt1W)ob&oY|l7|RQc<3%PgiI<7BrgL)mfKVM>e1a8&Zop)TKTf5$njNG^8<2Xhw5d z(2CY<&K7J%TefB!I?#!2*^cemk)7FvuI$S0>_IoWvp4$^>qrj{;2;jB7rp62-|RdY zlxFFWZ2k^S^EYlY%=vRtGA2vTPf6TnNUloB)GWCvC2^Y}nVFLNv*huVJe4K$Qu0cc zyq%KwvgAMf&Bvb3kZ(%j^*f(2dRtDh-hP)wZ-0^Rt+KJ`ts2F8t1XM(>XGlQk+JBl zDaCr*QWm|nBi~zRW6|3V6zgqIS@gCS`Q8pR7QOW(*IViHD*a>y1~8C83}y(&66?qb zoXAjyF`N;c%1BP}$uHY)BFqLbGb>s%7aT7Ol zE4MM78N@nrH}^1;S=`TqJj5eB%Hur2Q#{Qap5-}S;6>)~60h(Yuk!|P@;2}A9t-$@ zkBD{TQx@_$i};eS_?o5JdGd3bzpJwOyC%)wirM^KKP5G@q(MqH$&!{SX_F=GQ_?X@ zx};<`J1nQ~fAx2JdpS$aEQ!~%ea`4^G{w5RKo;FyOuoA-jYW4?Q>?pNWYOL2+W4yboV~_?mjaX-F-o>yV8G`xJ-$j(}M#!n4TO$Zw{p|hjS$T=+6L-Vh~3& zgkw3LSW8Y~C?_+VQ#g&0oROU?C24j~$mabeY2L?ehU>%iDY-FA?nueMZZkZc|8V*h zk;k(1nUp@C{d4ovKlfIae3+6?vSdk0zRi-KQnE5j{=?sZjOV%5DT&whe9q{u2F1GD zSQgziB;Q>NW6@n}ignjP7Ts-2zPnwFMR&VWth@bW(cJ;$yX#{tx;uqTzLzwyajh(1uJ;L)wf_3FIdG3cJl>S z;exAh!H&M*N?dRyF1Q;jSkViv#s#Z-!Kz-ct^2>~w|jSL7Qfva3-)Bo_hnqpl}zDk zuHjm)=LT-%W^Um&Zs!hWa2I!TFSEFh2Y8T&d4$J!g4p&w&1|0GS)S(w=JFD;?R%Bi zn9m!$#XG#q0^a9CKH?KTKH~t{DeXCi+T2!PG zRj5jJV%zt3TZcNv>r$Tvl;1iucHD$!#I~;mEh)cs*vfHRwx&HD=tyiGwqtvCB({CK z(1r3_hi;C$vp2ErE5CI($Z>4@dJ)^cJ{(H+m1GKD@O2Z}-Me*B64V=)yUrPx$_P8L(~Me2RV+ZID}$Takwm|;;H1P;yK1f zF@|&byQz4g@r#(mC76n(--ur2{S>BVcQm)AyNv1CUB=9Gml0obxubb1C3CW5UP|Ii zF3Ec-`5;R^Psx{AvOFa}X36g<`72ARrDWYKshyH~S<*Bm%^mnQxsNks^OAVo(r1j` z+7V00-}SbG@mNB3CYF%$z3u5ZdfSUwLjJC|p2lMd=}jyl<$LSrIC>jEEFpi_+X=>F z2^mT(A?14;={S~utR8SVGQcBISFV>^OS6oGU2TTj|p*HyEGBP29|_+{Scf z5bMa@#5yvQS=`S9#5(c_v5q{>6FkM!%;8yL9eIIRN9OSoukb3dj=aH}yv;kj#{xd! zBVrx-l!biGBEDoXv5qVy){*b{p5?6IM}8*OkyXSx@;iU<7ptj2MPePPOckn8opo8C zSVwBIA+@PPJ?gU&n-J?rBO23`W;CZIv5vIK&XbO5mUhnOZ2pSRvnkfy%d+V1HS*oPYb?5ZpJLs8A&c(5BH!IIW6|9Y6zlF!S#-CCe0Noi zMR)5^th>6h=&k|z?wS~j?lvRWUFj1oZDs9f&o*?V6P?+fSW9+dXS&dp-PoNy>CRs4 z!@lfK4-VvDdU6Q8Ih4K}&JpyZKLa?5K^)Bxj^%h_EjfvyoXl`e;Z#O)2CwZ3C^mYKndOJ)Oy&Xxux537uw__;Q+Xz|o zb{hHKMj4CV&ZSsy7s;ZxOUU;&#aQ%q4aIu9O%}b~LB6+H#-g_e$n{qGG|SVn**wFu zJkJZvfuH!9m8{}7 z{vg(o)vRGHDpHBcRHZtxj;zP})SxD{s6$=q(}0cHgoZSt3C-Ay7PO=_ZPI~$3tiZi-PnU}bZ77EJn5BYX`gKV7SjA3n9bi4Q!*?|PEW~MS#n-V zCT7W{DY+s`rlsWOEcvhe&HKZgAs?2+>&HH0^tO;pC0xp7T)~x0VJfkXT*viH<3?`gR&HZDcW@_naS!)0i~D(ihj^Grd5kA`il>>w zGd#!hyvST$;uT^Yd7b&Z$y>a`do19C>^%80&FrtUnY}#C`MAY!)%YtV6|$sSO5zqn zQZFSNWl7VN#4U!TT}rmek{$Xt{MQ{sWP7D--z@8y{;A&CKQ$mFgB-Y`9PS)Bt|VSh z@F}CYp%iQGOj$H{4*BNB8;j=7r&x2B%c8lf$TxR`v1slliZyq)ESj51zPU$?MRSi+ zthpCt(cC=p&AnkPntPjEbEVI+d@5VW=Pcq&7V|YriIwC#zGpcr_>rIZg;o5@@BG1E ztfm4LS)0mKp&Hd$m-X0ynrujI>QImRY{VwSO45kNG^H8MX+bO65G%=+Y(+b^W*a)v ziOy`t4(!Oz>_S&|V|VtX8+)-g`?5bhIFN(rNiTZShrS$6tR(#?Fo1y!;%J6&Y<8xc zl4j}1Z2pc;^LK1Ee=knS&Mhz3oG> z-VTvPZ-t zVltO;IahKOS2LAsxsDsSk(;=MTe+R-%-}9!9l4j8+{gVq$U{8BW5hc0Bu_D$IXuhr zyue)M@iMRQ8n5#PZ}B$o@*eN=0Uz-RpYj=>^95h>6<@QIZ;5qe8O!;BANiS;tm3!q zJgJmscGYZV*GO|dZZKQ{8m6R4mb6Yu++awyP099IvTI7>219Z{N)FDF!%}i&mK>9k z0m?b5l~{!1d%vXUGL5@p_TZ7`+Jzq^!70M z-kvrVy*)#*-d>eOZ}Z9bw!m2Q_94Z3TP%y-mXPmlg|X=ECvv@&KF6|JwuZH+NF^#$ zmFmPgvL5SGgPPQ$Hg%~_12$$88q$a+G-ESb(2~})VGFjTEnCx`4s>K&Iy&WNo-U{S<8)7VaJC0(#ohpmoPAA{n7-P}fIC8y}KF2;ub_thq8CP&6 zQZ}JxJ@GcAZfLKR9<`Wk38H@Om#eB^YzTsQGXBjK_k)Qa5mHf(Y{J~$WrUGlR zHkGJCRjRWtv5ss&4K}0}b*M*uHpi+Ck1E7oh9*lx6c^8&7@dw zkISOBr^xsAg0bjr9>sclTNb^&N4~dDjYV&tQ>?e|WYODl^1ZDx7QOvWvEC}nqPJ?~ zd#hVjbC%t!T&AY(ob+(V6YofgRbIUFgbg?9QHa zV=wk*U-qL12XYWS=|yk)(3iuBb)+8!1~8C83}y(&66?qboXAjyF`QF4m64pznViKr zjA9I98OM0e<9sG^Ar~`=$z00iT)|aLVJg=W>&OjE<0fwAR&HlHGqUsK!8A)B$>#6u zG=Jmv!km9CC2wTO`ziS-OTJ3U(k%HQB|m4$nv_(`l66wDewH*y$tGE{SxQ=FN&A#^ zbWnLg#s1}gv$t;co4xh2XXoNMyZU91(KRT0yHVNOjmzF{QucP!vbPPhxABKHDjRKF z_O?mc+ool2o0YxYEPES&Li4iG7G-Z+dYk{v-irUZ|FYlTJoy)o_kH{y{*!(mmVdnO zpWp2LUwmZB;_%OZf9{`0CJQ!51skM-8?Ay(Qo$yv;3m1?f?Kdr`oH48KlyL`cl}zf zS*!6~|N6~dE4AP3jn}xkcA?nSbst$=UH2z{bv?vbTwM>P*wytYSzKL@CVzE3$yi)n zPo~(_^=w&OT}P9@x=t__SJw+Dc6Gf{7FXA+$zNS>G!|FaTgY8qOP}?)S2mOTxSt1k zh(~yg*zG;ZQ_N-#&+;5EFqe6}%qzUc>%75Ryv@73$NPN1M|{kue8%T|!Iyl+*DU2* zVz;-9<@~^p{LC+`;x}Tq_a}d`h6+@q5|yb+HP&HW)@K81Qj6Nur5+8~h)rlnBbv~Z z&1ghE=*YHoW_#@R{#SmpH-7K*0sqz_dVM(CRXvmTG|#6!%{l{| zBQKZ4>#P13(cXNDwfCVc+WUljdy9=ldrK(R-cPb&c?M8syun zZ!Fr|m}2dV@IF?Pr-{|%8J^{NUSuvWWwZ31G)os`d-8>8 zPaa3=ANxUB6Ge&O( ziuHD!EP6YUd~c^3i{4JBSa0KG(c5|Cdz)k|db^ZjyrIZg_ZouZ~VcZtfm67j;u{3s!)~ctV^sT8xZTrhSZ`Cb*axr#5%Gm4QWghn$esV zw4ybevjtnxmaW-_4s>E$wqtvCWM_7vE4#8gd(e&U?9IOHM-L9*AP%M%z3D^W>^vEi zX7-S5W)Dp>JH85Xo}81CF^$yF(tnk6@-B)$rg%uLDsS@L*Fp30JWDS0JJ z-cHGTS@L;GzI5Qbq@$c6-;~7bcRpkEwwz+U{Vt2%{vzL7WnHH!6CTNb_5Bi~yi zW6@hviuJaoEP884zPHZCqPHC=*4v)4=xs0Zy&Y&Qdh1E9x6-FQ`pF6mU?77S%n*(x z){zr9k)aG@I3qZfk(|z%oW(heVhm#$$9T@;d?s=s7cq&+T*~EK!BtFQD%TS0$PG;6 zCT`|dZeuz#h;`&{?qMdgxSt1kh(~yo$9aOMc$zsp%X7TIi_GICUg0%f=MCQEZQkKM z7VrTd5$njOEaY<*@g-mJHA}Pe z?Nbt81xdQ3WVinR*56wnh>MR&K8 z@9th>(cOI%>+VTebT^xPcXN$JcP~?{yLV;L-TUOb`^;E$_XWA`N}u;wCR@%A{K(Jz z!YY0v){;N@i#1fBB5PBbs#Ieg)@6M*peD7bOd{q-riF-z`9$z4tY=YQPY z{15)U^})`PbxPuOJ)bkWt3k2uHkL(q4as-c!dP_Inqu8`kVSXflJ9O8W6|BN6zgt3 zS#);*`R@7{i|!7iSa*YE(cLlRyBlUKx*I{RyV7SqM#)BVE@LTSJQJ8mtR)w5F_$oz z%eb5?nZngv!?j$`4cy4h+`?_#&K=C)F3f{}>mJ|*|NLBDBG!^ud5!tJ!CSn;yDZ>+ zKI9`l;Zr_i5nr&FuUNuTzU6zCv4U7je&T0VvWnmMgIG&e6Kly@RHPDBs7m$hT&a`J zi2B(X(KwwEahu^9u~ka8&XVm?vSXHXOUd3@a!^WoWl13=1GD6~l$@9)r>EqsEE$)Q z^Rnd9lw9G!{_ALG$TcPLdY#W0y-lN7Z+FU~w|lskS=`41JjBC1%40milRV9Ap5Zy3 z=SA|py>2Xedy`_leJqRK7LxDnYh%&dHx%pb7g_Z7EBW3k7>nN4Cf8f(GannsYOo=- zs6$=qvk|e5Y)V5K(}ZShMhjZen$6jQt!T^EY(ob+5$niyY|oDD#4dDYS9WI)y3w7z z*_T*HdT;;-aWK6&gg*2o){!GPk^=o1$RGwYgkw04<2jL&7{+i$a4M&9I%jYe=P-&f zoXa>$IFAWTrp0en!H~H@R8H?@)P^`NXWYOJF^4*Oz z7TujmvF^spqPz3ScQ@Hsbay$q?nd`WKBvKzazC*9eLeb|@%>A`^H;2-f!#RR}^k)D^F^HoX!m%7r ztRp8el#?0GDV)kk&LGy2vpI*+jA1M#jAsJpa{(7}F_W0gWn9jcT*cK)D+Ao&QJ6At!(~&l9JD|WJyZC&61TV z`7KMCP38;jnKp;&JtWYODc+LpK^mYgN z-ewt#-X0*=Tj{@1d|Eb}XLy$9d4ajSM64sP@*4AbgSU8_cUi#ue8@+9!l!)3BEDcT zU$KOxe9Lz%V+B9(6F;+(Rs6;u#5%H?HLOKNDp8rLR43Mv^;n-8)T9=5s7rksurZs^ zkVZ728Jp39mb9h~Td);v*_!rrpd;JTneEw;SVwlD3%jx#d(e&U?46w_z0xf0lg-~k zn!j<2;d*jnN`__0=_!d@49R&ZnV2P)rX+4LB-2uIbC%qhl6$h`(Ud%qCC{bg#VmO< zCGR+Jo*d^4`LHBjKlT};w}lkz?HgJ2_C5LDelZrk{YtUk)|N$YRmk_YfwAaqLyGmb zsVsVHOun~P#-g{)Db`yjS@gCY`QEx3i{5r8*IVf`-#ugpa1aO6i$mx`Ut%3Of+H!= zpMf03V1{rE$8kI-auUNB&InHBG*0IX&f;uFF@|#)M+xUKfr(s5tRs`SgiE=ME4Y#= zOeNNl>$sk2+{n$`%56;N4({YG?%`f$aX%065D)VxkMRUg@icRIhUa*m7n#dTyh5xa zuQQ)Fd5d>=j|F^?&XbB?mK-<7tZY%iQ*x!h+1sk@;knjjZ`)*V<3YR4%SN{-d%I=X z+pWspwk>tJo{lZGhZwvl%yqXHE#oAONwguIw&bq{QZUbsiep^t_ zaeX!-wsYmT1x+2tcCIn$nE&GqR22n2}qu73F7SN5?TEJ5y{%?k0;F zxhLHyKO^^d+=Bx-h`*bWhZ{eFeiSG_Bae1Ggk!TCmQ&L0!pQ7KVsyHZi0`l5d|aH8 z$ysuBO5*z~$?YkbktO$~B)-3r%udO(S@Lp9UdxjAQ}R)ke36o`vgC)9{OrID%L&es z-%H~4PoFV*TSKfQf7jbO#-q3OiIt>$Z*?6&V%}Ix?CujAb0-nZWs6z=d4QBqnniv5s6xtRq)5m20_Y0K8Mrvn|?mdJl`3tiZi-PnUY>CWE7 zO0pmOa{vc&Fugd0J{-zn9L|ySqdx;VioqPsF&xYBoXANG<77r~3a2rWGdPP_Nk%c6 za~Vqs=P`ka*_m=hnx#{+`8zGm-}na0oWCa}v$EvTl*Bh!k{46*QkJ}#lK2KovM?o! zvgDhTe4i!1rsR(-Svw_FvSh=Q)N$ZEImsEaaY?*x>N7@fjVadK=CbH*EAqW{G8VmU zN3q^^mql;g$oJO6SoC%f#d^mZJ@dOKAXy`4_Jw=u?|w{hfpD}795 zlI#*Lg{o9%U1A;CfEsK_E$UE@`fQY)C(Y9=ZI#X6c4_`@lg;0qQ_?j{ z_DadVS<*Wt|091jeW)|!&XRb&+h>g4W>T!T$7RvmQ{;Pl!C3S*k7B*OEsNgXBj4Mn z#-g{+Dc0L}vgmC&`QBC;i{5^xSZ|eO(OWh0z11`pz11eyTj~91BUxjb(v0S`pcQS1 zb!1Dnq8(ea4ISu2XSQPpc4TLEp)0$wJA2ZNz1W+5*^eF^$U*d^7rp62Uk)eMk$w~y zz(58um?0cXtRp9IB10L*a8BV=Mshl5au(+>iZP639OF5U^O?wnT+AdUb19c|1y?bJ zsa#8}BR4ROo4A=$badc)GRzsWLrJ{uk7_p8#$y3Z`4$tx&FEE#Ryv!@S#_PPnTfEJ?yvO@| zz(;({r+miee8HD|#n&w5TVfqq#&Uk(M}FoPR`DCLj{M1Atf2xGsYGR}QjK+3m-X3z zn$)5;b*V=KHewSR(ugKBWiy)7l2)`~3t}B+C7`U2c-EM zw-@I8ktyk)CC8*BZZ9OKrR0n(IX5M7dm*_bC6{H%H7U71OYTU?U0L!#|Kfk)@nq-7 z%O&yps!tis&8Jv%AIhS+Pslg7*jO~TgksJ8B#Y)&l5cLcv1o2BiZ!>MESjr9zPb9w zqPdMJ)?5o&G}oGZb6XpW<~opTuJj?5U1VL@mEG8bJ?YNg#7eRs`*Q#XaxgtPggzX~ zVI0np^rJrmIf}s?%`qIy@tnX(4C7=*a0;g}k~27qSV=}PnsXUT3FDc-L}Demh>N*| z$y~-2T*(x!<{GZ$dT!uGZsrzl<96;~26u4}_cDw7cz_3am`8YwCy15gX=d{b&+SS@LU2;s!%fB_-9fWW$ui4Thv~N}6TK<|)}K zOSVhNj#;vMO1fpqK`H6wz!hb+LvM^mZco-cB_Zy`4_6-p0wI zxAVyNHpy7@b}7YryG|CpO(WmibYs!mofPZsAzAeHDEZ#z7>nMXBiCE$Ln^P!=JO_R z@ec2@fDeduk{k82Gn3fYEg%})Mq1N9odwIG^Pp7Xif`S(VETKf~{!F)@(xuIA?XU#KH8UH+|@vohO6REFF@~-=S$tQAIVl;FCFiH)!YsKe zB~!EHrj*>8B{O{+7ANri{&3%hJ)W|svTR=Zr(Vhaskc+|cYoz^gmdJZl6d{j9~8|k zr&x2p%c8lz$TwHnSTt9SV$Ic-MRWDYH`mBmG}n}3&21@*=Gu{OuCuXdZU>4rx2G(c z+lzd22O5j!dXj6d^f8rwvH}Ab$RGwYgky=7n8_?mp#QLseAMyd zJi${u%^aTPIbPsJ=J67*@EWi425<5<@9-WA_<)aymE==x1(vJ3QLq|H%neBKn|uShtQit>C539Nk95CfTI}1(G1~OjwjZVlNid$4CfS1VDPcSln22@6 z&-BLsn-{0o(x2&#$L8W^dOMdrNw;0u+wIHV?ojr2$FjFOWpCpVzMacPcPV?@rR;6j zvbVdIz1=N)8-K#?WutqPz1_3yZMU+w-P7CjGrisaXMd)5ajSoRrq?53|NKmE!S^Kv zJCuSQO2NHO!7im>mr`)QQ?OGh*r^oU^Aue13U(_6SH1sN{5R)ZG5>jt^1t~p%75dL zzt$f4tFT+M_?g~VuqRu-FXM8qWC~Yv4cBr#H*h02a|^d|J9jXHySSTsnZbaFeBR(K-r-#q@ID{%5uflWpRtH9Sj<-}VJYA8 zJtYj6x@dvTxTg@8Qq9T>3LRG30TfV>BI@B>??htLH;j`R!6}@^>DcuB?bnCnjK}8TJj!hz{=?UY zmzMvb-qTFcYf9qvI{!#a#%UCrjCaanGTuXeGCpK1CgYEeWNc+TCgbL8LHWtJjpLY%ohUXLyUJoR?#>>RpN#uDj>*`A*vB43elqqo7L)M^ zj-)_;Vk!~qmVP68mG@Jan%&Rb zn(ij1XZIO1{riwvviq~_sg%vhvU%wqB);TwNAq4vKFE^KQ}Sh&EKkXgS@L^I{>qYS zDOop5YNw=Lmi&jmY(LUDvUy3oZt0UmbM1&_!FVhqI}^)D`R4X?9L?=TEF*u{ zTu&SxU!o15%7 zn!B7UDA!!+lPotFpT7M$=$?CGL!$)Zt0UOj~I)U$`Gdb$O$90vD@kRlP>t%W%lgDhQj-m-O&#h{pN-grSVo-5T=URW%mftwXWy>dK;PG&f#a4I7?gIG(><{U;dhOv||o(Y`K1zgC*Oky&Z5o^hnT*cK)#p>PmZxR2d4^|so)?(QOT=38Dz7o0H+YM; zd6xye&xd@(Cw$6hEaD3m^A$^2%C~&SGFI>dKk+jwS;cSsL98XKS;JaXq!N{>N_Ao_ zS&#LpK}~8=hq~0K0UNUk4QWIZnz0!zXi00@umxMumaS<|2RgDXo!OopiM3=Gy09y| zu?OAg&feL%(ksp3KG_^Dq&Yk=o82d-WLTD*o|3b&zT%l+{~@q#&quBPVV9!?qwGD^8gR=Fpu&WPw*5^ zGlyq*j^}xixxB&Xh%O=aBDhys_x*e2VpUxh#6SihOT37>nL+qF8Tt%c8fLL>SoHQd#d>=| z7QM|Q-`g9;qPMrn^;Y^k%cru1e9j`iWHDc}lvqc;<9n8~f*<*bUs%Pj{LUZz#cC>0 zk+rEz6{=C4by<%MsL6)ZrVjO}&qi!QtRszROjDZCoEEgA4Y7`F$yT&uYqp^yo#@PV z?7)uf%r10gH+E-Fy0I5~voHJ8g9AB;p7f$Oedx>K#5&TC0s|PxAdY4T$7birDQT9D z%;xXtG=Ilt^Y`MEOwN+4Q*v#V+@6vdS#n=W9?X*2DS0+aUQWqtS@M2LKFX3WQu0-n z{E(8L9XLGOzF&uk!|P@iy=B9`Ex3AMpvF@)@7=1z++NU$c~N ziFITd%lUyH`I(ih;YYf9qw zLUKS#4$hLpQgURL9Fvmcvt&d{PRo-2@HZmQafVz_60aBejM3XA6zlC8S@d>2`QC0b z7QNj;vECk#MQ;z2@9k-0(c3c=>+Mxp^fsS-Zwrh?Zy!>ux5cvPZ3+3_Rv3%kej?Xf z>60w0WouZAid3R9RjE#_BkQp~HK<7~YEzf`G+<*kp&^ZELNhj_1ubb!8@6Ce+Ojq6 z=|D%er8C>JBe9O`LKk*rH}+spy0bU2j_k+&9KeAbOfL?h4~KFXhjS$T=+8ioVlYQ@ z499XjCvp=G{JGOplCrZAOQN3P>~rg0-Ta|^dIojbUb zySRsYnZ^A)z(YLDqddkFJjv6{;TfLed0u2LFYyYoj=au%-sCOb;awK+0kMvJ%qJ}5 zGZyhBi}{)*e8abV&oWlQImR zY?PfR&C@JxmCfIFY5vCTg*m@-O1fsrUMY#&3rX*k^v#k1DT&()$jHlhMwQJ4@pAZl5uFn@O?W9+yRLPm%BK1!K|MJc{-9wk&#k zk9=>R8jIdOr&w>_$)dOA9exv5~AXO=(7R zTF{C%#5%GiThWfK*@g~uqBGmE13R)ayU>;0*quG;#$N2rzU)U24&)$u(u>~op)ZFM z>qtKe3}7IG7|alkCDxG>IFX?YV>qX9DkC|aGdYWM7{wUIGLG?_$N5a;LM~h!c?v$){z^S#!cMJt=!IZW@P8dgK3sNlFi@QY5vCTg*pFPO5Vtl_frzL7m}}1 zvNTJ6NJ-pYNYs0vbXVv9auJcP}$pq%ii`Zd)uq*?IGFQ_!D}U zjrJ*fd#Jbn|M;=q_sT!y_s@^@{_|tK1)GwB1*Kp?DcDdJEGh+yO2MYGU|}iPpcE`F z1&d3;CZ%A3DOg|%HkbvAOu-^kuvz)%!O2(rfAIg&2PglHhy2oy_3qHDLWQmTt#vE4 zAM1_RxVmoaQ zFRbD>Vz>7vf3b!NRHPD>sY*50VO`c|18P!>+SH{U4cLfHXh&c?K8sxjHZ!Egom}1?vkVSW`$#=K4 zvFNS?f79K+^({?Lf94_d;ZP3aaE_!O{Tawn4CZK#;aHC61WsZYCo_UmIE|5S?_2$D2TNwX~3JSAIY$#yB(F-vw&Nw+LHC?&ldxI-T63^}YMUXSn@ zqqhRZdOJ=Qy`4zDw^NNpZ>LkNw{f!Q?L6|mO)?g}T}rXuu9HP?)5!NW-B|Q?C&hYu zNEW?4O1`%_#-g|9$n{qGq{r*BSV!LEE#Bc>7VrVFj(p4~EaWp5@dbYmEZV-KUqx$VjWqVN>rgL)mfKVM>ZhVkqxOu9qLk_jfiz*QyS8kCN!ft zEoen+HfIaAqAgpq4ISvjwrt1t?8wgSLRWTWclMwg-PxOc*^eF^z(E{LFM895zS(&) zD9zF#+58=v=5KrvWX_+Hk}+9weoEqtAjwrJnVKaxr6j%xlFUrW{aNyON}kG+c`11% zOWsb&ds*^%O1^a9JQ?Q<`KBaZzw;TRx8)S;?RQ!9_80lyDjSR5s!^=B+Op`a9{JuH z8H?VUQmnTvWzkzZ^1XF77QO92vEKHSMQ?kN@9jWi(OXY)y_G)c(N9)j00SAsV1{rk zv5uU;i40{J!x_P;jO29Apyz1>c}w|k96Z}(BGw?ebQr@Y&k#hBR}&CtN4vrNB-n5)=+_ptW9OAQjK+3m-X3zn$)5;b*V=K zHewStr4dbN%4RgDC9P<~7Q{NzmUgsf8#>a7&TLPtBRjD(UFgbg?7^ONXD{|)U-qX5 z2XZhyIfULEN?#7=Ncz#A0UX63j%EnQay+q)oWxL0W;myC8Y4L)J5Nf|ES-?e-%HZ` zy)2u**QeyhEV&~kcV)@LDS0eQo=HjEUN}$Yr{t|H`7kA)WXY10e48adrDSE6td){V z4xA_BogwR##Or!KWAs*oV!drFi{2WN@2!Qg=&d!ydg~yI-nJ#*+b+hUw_PdL+kUd> z?Evz<^)VK`9Y(R<2Fs$iW61Y5%vkg`f?RK6yu~}b%L3l#Lq6gYKIJnO@dbLo6SL&>l$@0%<5F^7mRy>WD;zja&U1!bQxdP&`Ha!qG>Y|hr!0EAhkS1j8H?T? zrC4vz$)dLx$@liUvFPnhiuLxfEP7i=zPGQ9MQ`6wthZle(c7=&d#hk9dRv=ZZ>3Lq zY#^(_hSZ`Cb*axr#5%Gm4QWghnz0!zXhmx_XA8EXEnBk<9q7ciY{&NO$WH7+S9WE0 z_MjWx*_(Zdb)*Lea1aO6i$mx`Ut%3Of+H!=pMeZwFhe+o<2arZIf-EmX9TBm8mDsx zXK@ar7{j@YqlELAz(g)2){#kE!lhis6re^2Kt!b7{?_d5WdjGXYjG6yb%I9SB zdtRE~ag!l=FC`yj$>%AFn+(bFl>C?_zo+D{EUA`~b+e>)O6p}v)08xK;B1-TJlVV? zUbpl~qrG+%Yi|cxw6`<)_VzRu?d?Ue_Ik>qz24;8>t`(58$hx4PLM@=L&>){(pa>2 zCdJwtFN^lhC*R&=W6|E_3pfQNX5M|qql zc#5Z)!?Qfc3%tlYUg8yA<#pcRP2T1m-eUnD@DZ_^e9A&TXAxhrn6Ft%tR~;_J?d_WzpMfM9SoHQj#d`Zf7QKB% zzPDw@qPHI?*4v-5=xq)8-l`gl-qxX5Z*^tSTLbdFH8B>wZAPxQ(&s(e%G%MMZRkiR zIv=Vav%5eAP@5hk7eh{^J$jO&F1g?G=JkJ!<_#lC7)%C+UvLbaw~& z?q(T_?j9i5UFp9~d|Eb}XLy$9d4ajSM64yR@*4AbgSU8_cUi#ue8@+9!l!)3BEDcT zU$KOxe9Lz%V+B9(6F;+(Rs6;u#9FeNHLOKNDp8rLR43Mw^;n-8)T9=5s7rksurZs^ zkVZ728Jp39mb9h~Td);v*_!rrpd;JTneEw;SW9-H3%jx#d(e&U?46w}z0w@+lg;5m zn!|CUVcwsZl3`hLdP?F(Lvmh9CT7W{DTx~m$+VQ*oF#Xr%EPC6Hd~aQiMQ^*4>#g*8?;f%PIEaJk#Ub>eFR_js!I2c` z&p?i1Fhe+o<2arZIf-EmX9TBm8mDsxXK^;87{j@YqlELAz(g)2){#kE!lhis6xSt1jh=+NU$9RILc$zsp!*e{(i_GOEULn?z z*O||oyu~}b#{xb`=SjscOD>pWR<@|%3AxfA>g`+h;M`$lZx7Gj#zS^Tl#L!)_O@Ty z+d|pf{$+0mWN+h77+5xXRN31>Wp4+Uy*;|@?U1s!$7FB)P_K9Yvp>|kveiF7)cem5 z^)~Haa0M&4f)(tB3$9`XSFwUSnSvFu;7V4oDi*AY1$Wp5SG0mFTEXtP;Hp+|RV&yb z7hKs2u51Onft6afVu3*PpaHT7_(iQBQ3s%j7t6jm$S+H^z z?4Ap*dIeX#f*o|hm9Jn0E!agD?8XXqV+A|uf|ayjM^>^m3VtNEbHA{X^4o&H9IvJVYq2(!h;2bN zs%_LiwqOTh zJGV2jo$Jc3?9Lu^V=rvy{`Skkp2lNa(3@i0f&sGF77XJ5YzzEQ?}h#!jg7$x#KvGK z#Wn_K%3@=14*8A2cw@0KIGGChUJuWyD&1l zkr|T zmGj>({16Grlrp4*GAAO#kIeHtRfeu;lBq$-Sjm-y29yeER*42887e86Q>2Wc%ruE) z>Un*>=kvR+>pr*jJkQ?ubMIpx_u-G%+Uxwj@7nA5y}##Yo#(PxA7#nXlzf#Xt5UMY zfg6^4oF%^%$KxMfWAwJ3m?VGK+h*3Iw@Sn$Dcf5W$I)9gVv_t_Z@XEK-f9z*q-<~d zJC5G!5tHQadi$64m?RB}Nm91AlN?8Hr*ay9*V_fwW0Ewc31xe0<~Vw5PE3+Ay_L+7 zYpu7XJss#sCpvQ@F-LA8=15n%(Vg4rK~L`FF7Bo`edx!1#2gtw%#lG1<{=&?=EyLH zGm=p}${5Bmo|q#On8;+FWC~9cb7UGZM_%AXW-yak%w`UAd6n0BgSU8_cUVBok%hd^ zVm{y_J|X7FXME05mhlx|^DW;Kb7VOySjB4A@Cz|V)@A2O`Ls(bWc#;r+Q0D`mOWoR zB{j2T&y>VxSdv4U{TKdFZ*S+wUB&Tuk5?JZ^`%gA56YssA>^AIZ7rG`OQGhTl0|dR zkZ*3fwP@}o3N`npESj4~zPUx#qPY(#)ZCY{Xzm;G&8@T+&HY5Kxsord{3Tn@25d-q zHl_jnhn2WfWOKD0oE~hyyX~h+^;VQ1-TH4W` z>*&b!bmm5GCMHQ2x^f%cDdrA((knYt`ls_@V0JzXP3J>=g5`X8A|;cukK zQu11s%uh*tf+bm!k}tC4yOjKxCBLTR_bk~cC7Wc))+yP}fp?TX&X668<8f!NF?y>( zq2BhEMQ{6&@9kh~(c7UE>g_mL^mZco-p;fZy`4j$-Y$_vZ$;#LYi%uhyOKh^b&^GI zH<0hGo3-fec5=Oyd|9QBtS|R+AN?7?Kn4?YL_SRP|MPcVT=Jjqi$ z%`-g9G@j=LrZbb5c$wL}!dzbC4PuVG%{=C_fcIF)B0eDI$j5xb5ZVM4?|?l?02ClZg&(vwqqTDH3{On8C3mLe z?ku@KB@blD@RW>l;9TkJEP1Rr9v}BQqq~U|>h3vNboV0p?&esF?p~!(ckjxgyZ6a= z_o=n$?sE!t_k%3DTS30Nwbr7$-ze1G#^4&m?bxHGq=)(ZgeMRNe}MePI_@Sz3D?g?&W^^^8f=G%tOR1d4!=1X9S~o zlrfCU&Xp-?mrl+0?~Js6<0ixV!&@nNCrcKmByKV!U!~;RELoM3xXF;LPsxT^vRO(h zWl6P^?35+DrKEP2)JsWy2hNjz&XB{4GvXpZGLPT)jN=2TAO4D!8QU@dxU zOrhSI%c8edtvv`>~%;i;H=MCQCZQfx4@3N5hS=XNV?)ZbF%{U9&DfkuY{^z^!?sjmd#bSm zJ5ilosL8JE#_rUn4tuc=F-P{NF7-H&`W!+74$IDyxY zRVLqFRcq1SjudKd4_UOgC;9dcuomqdM6SJ(FRUCTYsfLgG&!CVIEhn;X>vMea298C zF6VIpjcCk8T+F33r5TsgoR+lW3fgcLS92}xXwP+Ym3}XZ%8O@`NWgO#qf(cAwGEXsuXPC+~o+qZsbY}1p zvzW~*%;mN0YO zn^1v@Y);IPEvd}bY{Pa`p(;BNb7W_#Q-hk+Vt4kS4tugU`>-GTa{vc&5C?MzhjJK4 za3n`@G{%At(}I??rVTMkuI3ur(vA*XM<+US12=Iqx6*}fbmw+@a0hqNi@Ujp zKJ?>W?x#NwFp$AKL`;%L7|L))FpANPVH`0@9_I-rG6@^#KU_;b>-agI=S8M7lUcmX z9A4p7UgHhk;%(kxKJW4#@3V*x_=uP!pR$C{`GRG9#n*hBohfV5u3ww&`t@no$8CmP zUnwP9Wl6P^#BGM8c1rfjl6onL+YCv=lpLESr>5kLENPsQi?gJ8N?K(}`;>Ha;2ovE zGvub?c)Z1HjNZCZsJFXh(OYlwy$!Gyy$zyJZzE;V+oR-rn_w+^n@pkJUXVp^GsyQg z*IM-UI)!>$D2v_}lke>_Yth?Ma=n%O)5PVn6|7=4YxtS9tRv>gANQ}OIh%7ij|;ev#x&t#E~P2WxQynspcSoY!&Sr_xt6xHrvn|i zp3dBuohQXy|Gv%esn|2=Q?Y4T`cg{cCc~ebm;T&> zEcq}cpJd55DfvE23jfQG1DqwB702TiUT1VynL^#|D2wi@lkaX1Yth}F6zc9ES#);@ z`RgwK9OPod*kbK@q@i>O21KeW$DwaN}pa``t+L8r`KjrK zK5bw6v_t9B>q?(?%$~;I(5ZCw`qHPJOP}6Q`t-*1H2q-jjsLYj*!y{%e}1snSHu4K z!QTJ2|J^z7n*aP@@BhzVr~FU+xBSu%_8wI$ey}$Nc0c3$KJI4#0~y4FJj4(lVHhJA z$!H#BEaMo@<4j-@lX;3MJi}C`@jP+aH=P;0#4Khrhq=5)T=u=mTg>Af7VsVmS;S&K zG+Qj56k+k@Jay>!^m@%|h@Jr1Hiap`a@BTDSPQ~qT`b| zg}Cf1d+BhFi{tTOe#pSBiT z@mUIO#g}EV6<;C072mcNTX8;xw&KUK*osTYZ^f^z#a8@|LR;}?S!~5$$#2DS)?zDe zM4_#?r7W&uw`Lp4Zp9rO$5z~#>eQenWw&A-$FUXnW*^FK#RDD3Ry>$OTk&XFY{la^ zp0Zoj=l7%AIbQ^(QWWyBcyyY8;G9%G~}F-FRE z*V%E5k(;=gzw54t^%x^}(u=a)^>ZBE-A{kYbXW3?mPf1)WjG@k#c0Mbj+iBn6SHI@ zlX#M+h*>g~m?h8gJTEexnam<)$sA&qyvl35!JEV^d58JD%X_@fB0k_FVwQZ$5U?u{*ViS+ZAluGCNaw?Vdl8>XEdA9A^iKO-e)XG!Cf#D`pxRw-$d zCGArZA96{$rlfn8+?A5vSu!Xk4`s>7lsuXxlT$LqfltW>IYXW+j>i|g#^`MZg?f8k z7QMYizPE+eqPN8q>TRhkdi#oeZ_BMkZ>uQO+aI#%Z9VzkDp-r&Hlt8)Rbg^&~^mZxv-db9V-maifZ`aA9x9iFG*2P-%b{mCyyGIti z^(EiiKx@(4gB0p*v@CiXOTM>B)}ptk$n{q8ZI8N9?SW;2JmyhhBCH+hSByu$+C zWg&}L%!ho$Cw$6he8Ez_~>h7$X?PXvQ#>#~9BOOkfgE@)S?=49_x+=XsIo z%;Y6rW;U-dm)CfMm?Lj9kNGU%J>F*#A7tmrvb0OT&i3z$w14CF!kqszCFQbY)0D*R zg=G7b?2sk9reyalshg4mv*hq*)&G6>5P$0Al%1Ak=cPY&VfLpkOGyg{&Xk9oBUcv3 zhiJw`^ul&aE z{K;RGV?#D#V>V$^DzZ6Suq9iuHQTZs+f$Vt*ol}VyHJB&sm1Q>K^^uYCdt0+M_mr! zKbjFimElGju6R+cPI z$wyhTG$mhU$*PpBao{|8*ctL$aXkLvHAZjiDb(9$vgoZ6`QEBni{7eHsJGo@(OYfu zz3p!;daFmF-u@+v-Wrnc?Idf_+o=@l?E+c!)|h;6&8$Ul&B^sv@;#PoWo>Cs2RhP; z&fG}Mkz2Txu5_b2x6^~3+{sg?pkNdcv0X)DU2J;XP^9aKj&PYb_C}SAMcw&xB zU?P)wk|{jRRHhMgM@F}11IZIi_ zSA5O4d{4}g<*Z;8t69S@tYuwxo|I3!v_iIjE2sS%HyHMO^_0}il08!rHyDybn*A64 zTaiPYBXDHpTmnhWSo3dzb z9{J`LS&QaAq)>BT%A&b%$TzprS~T|)x#mi~$?}(MJsYqg<=L1DR3s+J7F41#Td@t> zQiZBiV@GzPI=fJlTI|Li)MiiiVjuQpe-5A?2T`9xXux3{K}?dPXvi@f%kiARNt{AV zlG8bZvpAb`IiCw?L}M=EVlJgA&A6QAw4@bR(1xqHhHGg@d#wY@L$r9C$~0#2K<)Y~Pp=&gu+Z>_CGZ&y;Nw@$L??FRC_b+Z<|-A=BzlJBwi zk@e+X?xQ~g7|38^jy%i|hBAy1jAS%p7|Uaf=Lse-i6?oAr+J2Fna1P#LxV~udL&D z{^T#pu>l)Vo=vDgMK&kq$d*)QYqnuKwx=pPWar85X_wZ?_HW&^f8!Rzo6cXUJp4@%Xsc7`;uT zP;bx4qPG{x_cq5`^!6%+dV5zEy}eJqw@NQ{&5~6qi5m>b`jl*#C7Y$BQkGOp$xd0aTS{tY zNxhWRci_i*hn4-Y-W#(Y>%A%a_FR0^?&i`j(%n+}^w!d+T}q#JEq&Up^yzKc)A-A} zm#*Gk`n0(8X^+yUca%QunLUla;m*?4UZqd(^7MblkM(|7r_2}p{`s-qfBqYE|NL0* zv}Q$ad5gSx7P;st@&;Pu4YbG&RFR9HA{ReJZla1@02R3aDsl^4x}vkNuZmEG8#+SFk$_93qJ_NOlOIFR}rLIV!N)!zSsKh`_k8S;8@ zJih5QMtAcl)ZK@&=fVJrEApWMi|J0{6=lMG?pb?F^h>N+DrZnSnn$wb2TtOSI;%cs? z9qqY}j$BV?ZscZSmUJOz$!&C}m>%?`7coojp*MZ$$9?o?00SAsgFM6#9$^?G7|CcJ zWh~g{1!^fr`y zZ)2=QZ;w%^x2I*%+q2|*n`te2dzoBsCExaVTNZOJ^AK#u@=qk zN}=ZVl|^%P$v4-)S~Pb!g_=7-7R{YZzPYolMRVtoYp&$`9!+IMT*l?Jpe3zoLrjvZ zxrVm1qXXB`iO$@>P29|_bfFvFxt$)|!JYKtZtkHE{kWI=>CXcUWH1jAljISGGMo{N zVl-nIM@*8(d4h>d!Up;eSCY>RTWHQ#1u%9^z6*JitZecJW$QIPYYQcAYUl4>c5kAft%Q?gf<)JsWx z6eMYwl4G;v)Rdf&C5=;Zah5bsNvkYrpOTIayrYbAhTK#fkGFV@(OXvv^>&vmdh1QT zw*l6ow?P!@ZKN!Edz5@{6RbsVlPT2O3$o~K2KnCRT8rLZr%-PTWzpMW^1Xd#EqYr@ zuD6nJdn}i&U=^!b!_TZ`9Wh7#;7`_5jt$v}jj6zaZ945OZXI>Qavbsn5YQ;4os2{EH)L$k80j@tnX(oXn}5#u=Q+*__LH zT)>4irU@5wDNSj{Wi+P+t!Paft|I2hwX~%@9q7pQbmqqFJSk4Qv}d+|`=vzm?QVlo4)kpKJI4#0~y4FJj4(lVHhJA$!H#BEaMo@ z<4j-@lX;3MJi}C`@jNj{rZa<=n8j@7FqhYeIr1iNF^_jxz^#{n?d+=A&aRPmcHCfi zZ`dy-2V}{iDTx~l$%!dBB}>jpN!(yaic)fUmRy;VYqI2ql-!&px2NQeEV(x&{T+Be zdDI#5U~xP?>@`MjLn+kTW3uS&3G%%?Z7q6xmO{O~EQ{V=A>Z5E)}puh6zc6`S@gDq zd~aV{i{8GYP;WoWqPJhk_g2nY^tKVX-b%jfv8AjsTeA(@QH84PK+KVysZI@QQj6W# zgF5WV-t5DE?9Txl$Uz*;AsotK9KpXhilaG(<2arZIf+v^jhG{6au(-sF6VOrjc7v5 zkxRIgBARhIEoez=uHZ_p;u@}{9UZuiPF&9o+{n$`N*B6u8@E%;9rUCZcN24@4}H0p z`{>UD3}kS2o{UPnbWF3d|IXmQuMuPCznJow+5Ubt?e90T{r!GQKFE^KQ?e{eR-|Ng zmi(5I|G9r_aE$Y0@8Wpe*DHQbn^!)4Llk>uMu!CJI;GKJbZPZsT6NWQ(M)}p=3 zDAeB7vS_a@`SvC9vnFEfW%c$L?9gEx7bcbLz+yvO@2;sZV+rpc!);d8!V z8DH`>-xAa02YzG)D_PANer7Gd@*BVNCx20n4cUl|*@R80$mUdHOSWQbwq-lErz$(J z6ERJ8p$5BBi`}VB9rnu3milRDH^_E&!?d&GCc}AhMoP}klEx{Cn+!>-l(fl`_9=;* z3`y6NbkCBzQqnt12BqYoEE(CX`oHfE;!jOZ*%X=gm9fr|=ZfR;1+Oxin?a%GUYA94 zZ;@|qp|xmkF@>62DvRd6BH!F{Yth^)3N`nKESg(SzPSq4qPfi|)La!=G*^v$b2Y6+ zbGwmiuH-u(`^)N5j{~XC!8G77Vv_ueBWcLd9LsT>z)76Ushq|coXOdo%XwVDg*2uK z7jp?sX~tzVrvUUEED?`p}R2 zxSs($z#sPD8z2lrErxwTK>0W1a zcNT@ZyGRz@T}r;Yme!)XD=5_6b+YL0dh*?Mu@>FkMxpNRkwtfX$#*xVKGl#jnM$D2od5d|x!vfxAA&XedhkV2*e9C8h z!BW2DE56}dzUK#)vyxT(#2S8KE$jH5m?eL)o(fBmGjf? zZj|lrqO`l?R>Qe+O-kBj$qgxqTMfw_De09Z_ogInH6%k*G9pVJOUV;i@@z_;&ytr@ z@=BJ>Psw`@yr(?o4EeA)9zXUPqqij#>g_vO^!6k9-hQ?gz5Pm|-Zqj&Z<~ z+tw87ZD(2ZR)c(Rb*x2idsC>lgJsd%q2zlz+FJB>9J$^~zVm&i>@3dVT+ZhL8qtK9 zBbRU~MKt4bn$wcjT)~xG#Wh?@J34S3ow%MGxRIN=g)VgCHg2bwJLpL-?k46)ANq1H z_tBpL3}i4dM;>MfLm9>hMlqT(jO8)L^8^!^#FIS5(>%koOyhZ8WI8i>iIAj^-?<;+JfA%!~hW@3i14^GhQ2KOW>C-`_PY0JieK33K2YWsHul>Q^Wp)1f z!QOv@6~7i%i)fSK37;ZILUsBGb0Wv@LSgR%GH9nYcx+x{FNRB2%}>m3NWJ zTjc7y$n-5TeT!Vh6`86<&HU_*1vzSH!c~zHm<*+ z>}A0U$17P)T+aQ>FOxG=br zLKg=2%i_Y|0rD3Hk64QfgW(jqFnC-R7X}l_Ul>fa78eH3QRu>8jw~(=UL}8F@Q$^( zFnE{Tg+a;JRX&w1;d8!V8DA1R@>^m@{=ko{U?pXD`vTRDy$xh>mKc1P~yICkVN)L>U?QFce} z2BjoE#gaUll5trwIVJHKmSjdsW@X9iDS0bP7N_K+ELob8ud-xSO4c}V!}7SZFPUG)-yTE!(lEyTlY;VmRM{muENm8b_k~wm%^|rL910Cr^ zXKp0s$SuSi=}I@cb2~lg$(`KA-Snmp{kV^qBLj#zGKj%E#KXiK8OCr%GKxnT!#Kth zb7TS&naq<+;b~%yOe5yV3%tk-W-^P}%waCC@;Yzu7H{(o3y3+gkoQ^42YkdQ#2opI z&soYczT#`X<$Gd|EN2C)Sj`%KA?C=s>^v!-c4>ud|5i@>H$KC%=c}irW|r)kl6|t| zkdz#jCC8-X_$)aqCFf?zMJc&7ORh-CRatUfO0Lh6+fq{OzzA^IEhn;IdVE@a298CF6VOrjcCk8 zT+F33r5TsgoR+lW3fgcL*KjTEXwP+Yun@;39B&jQ|K zA&dBcm?Iza2}}5lFIdKxe9bp}$M^ima#pgMpZJ+y_?311&Y%26IW}M;%CiX-sL1BT z9NCh}Y|S=o$M#fZhwMDrJ?+vu+5WAY_V0n&{yj1!M`y{&DLE}mE=)<2EV(QtEwZF- zN;+i8O)0rGOa4Fpi|G@cA&(Wu)_CERE zKD8FTeNLg?evn0PE6De@)>`!T8-;q?SQfo)O1`(PtVM6zlIyMHZgdw}4R)m#yR!#% z*o&AW`?4Q(Ie-H>h=Xasp&ZT;9LZ4}&9NNE37p8uoWg0G&Y7IeIh@D&Tu39Da4|7Q zno`7NTuuvG(wa8J9J!inXiGaf(2-7b<_2!!W^Sbm-RREk^xzKeq!)M7n?Cg8Uhbzq z4=|9yJVeZqM;OX*Mlgy;8N;~jJeiVq_S9@=&qzBvZZEtyyp@u7vSe{e;`T!FRZ70i zl2s{*+Y8D1lx&zKo28^umQ+j0PFb>BN@{0Gy_D2<;QeHhGvx5%c>I^w7`-*5P;aNo zqPH{1_jZA`=&dn@dTTC=-dd6G?OJQmTYC!ic8e@}>q@@2p4OtbyC~G#09o`lhx}vkNuZmEG8#+SFk$_95oT{?w%&2U4FyXux6Fd2)Q(r6*yTM5M^tLDY-VU%9y&XiZw~{ZZ93^YWF&xYBoWMz(Ld=oVIfJt}n{zpj z3ur`RF5+S?r76w0oaVHo6<5%PtGJqLX-9jmqa)YTnH#y8m?K^2%58L~m>%?`7cocf zp*MZ$$9?o?00SAsgFM6#9$^?G7|CcJWh~gF-Jb;6PEB9U$B%f`I>L|j_>)A<*Z~iKk+la@GI;1oj>@Ca%{jxlxGtv zP?61vIkF{{*_v(Gjw)1T2V#!wOm%8dlUnS~9@Jq^_GTaUV}B0dKn~(y4&hJ^;|PxA zD30bBj^lVv4_;&R zwt_;v{U(dv{v_Yq#@3>@O)1pdwzBALd-A>QVl8^xl|sGkD~sOhlJBj7wdn0|3iWn^ zEP6Ycd~au4i{8#7*IUUKRGP|)xQxqbK}%ZGhL|H)a}8~2M+dH>6P>w%o4A=<=|VTU zb2~k_gFETP-P}VT`f)G!)1LPy07+FPtZpQnFQ+R7*+RUPx-EWUnl#my)=>kTgumu~~9zO3uiV#woctOPZ&o zRhG0*Nk<3HlPS)Sn~LM{7OydS>q?>C?vh1sy~+1Bz*_V+h(f)MltpiklJ9MTwdid! zg?f8I7QM|N-`iYk(c9}3>TRJcdRt7sx6iCaZ%fJbR`Smem&;bLiq)*)XV$Wgm?MAi zC+jK4hHS*fRA5s!V{smFoT z=U^Ie7%@lw#gR1RXpZH0PT(X?=2TAO49?_i&gDEV;6fVHgp0Y9rZnR+n$v<-w5APL z5p(2P+R~m5bmV$Eb7OX%6sKLPQJH2 ztVM5oQmD6sWYOCp>}&RZFHxY9`vLa=7^u?jsG=!r^k|?=Z!DR#n1CTRQmn7hfALhDSi4#>C>U5 zPlshs{m8;>u|96>#4QgEO@!lHEJ?>hgg~!P?T6#RDLXB4bpZPWZ`SMheyOknW z8bz)&irldjx!NdlwNd15v&cJFkt>cO?_NdTy^7p*7P;~$a^+EEEET!>D01~tUE^@VB&gT?Xqus;%8aVUkh z;$yPdicgT=icedMt@td3w&KgO*ov=^-->Tri>)}HLR;};S!~56MH=@v1+)@@-v0JkZWw+uEj$18#%=uFR=nH#J@lb3wqnUAp$~XIkipsA%&2rPF($jqnAoiPzdxObyOtMI zHZ#j!O?M#i@s{NMlzfmSpQj`~-jb|H$?7cmEhT?u$)+jUJWIAs$@W>YYf5%^;C^PR zb7b%0c-+^kjOOYRL*(z8JKTBoG*`q!(qI>*qL{yPy7)X|Ck^E00(o z%5X+7iqVW=95G2ACnm{6Ch;Us5tC#pF-e}|d0u2XGnqw9k~zdAd6n0AgExsu@(%NP zm-l#|MSQ?V#3cEYC49~oEaOXJl6*@{k{|ey6|7`6Ylum*mY5{J@jHL=7v#OsSuCX@hM4Hcb0BKHjqD z&q&GHS<*Nq@$r_VRZ7}qN&A$<$6J!FDe0aiccr9vmJCYCLs>G?85x~En!Sd}DVrj5 zrabE$d9FAfU+{ks&CQ@tbFa&yxwptSx6oQNx0piBEtN%cUy*NaxwU9+6@{AnLl(`g zC*NEJYth_h6l$)DESjrEzPXy#qPgA3HCOTtmi=XQsmFoT=U^Ie7%@ry#gR1RXpZGL zPT(X?=2TAO49?_i&gDEV;6fVHgp0X^rZnR+n$v<-w5APL5tHOv+R~m5bfgoVxsjM8 zw{R<6=|*>o=|NBKl=P^kpFp#sx!De$m-cpiz-8Bel9JD|@p!t|8Qq;lq3$k{MR%8y@2;h_=5BF zANFH^4xkoRXa@=chf~DBHtDX%AnX?e1$*(k@GG zNXgAvaz{#fWy!rM>7OM-Q!*k;9!tp+S@LX3p3jn(Q}Rld%umUC4!oy4=M4FTPFP^j3p>Z*{CiZ+lay zw}WNT+o9xpJK9?Gb{x6hN^VEbl%2&noXh!KKqHzEbL0{(rHE!+PIFq)nk%@HtGI@1 zX-5aHqZ8M212=Lrx6p-d+{Wz`a|b=?#ofdl=|f-c**+yZWXY~6iJJ^b-IN^Y&BE!swBjP~{#9>} zU(!nH$tgW8`*Y`|KX+l4T$Yj+4xB5`J4>!Cj>oIL&giZ!g}S>*7Tw)SzPldQqPsgO z)ZP8E=a~JhjRo+aui2%EXQ#ICvq~U za2jWDCTDXF=W#w4(ugKpOw5v|6mc1s(}GsCrcHLPbWFRnbGCoGru`c?8uoqfl=RDz zK`DtF4auV^8J8uKQxdlsk{KzPl_jsIwQY@`5wux8ivG z!)uJ*)>Ejr&1BJACGx#hu@=2mqfl?V$)dN~l|5*UH+`o(^=R6P>w{m?O7vD_!YEcW$Q#J-L&+xSQVe zp&$2gKLdDxK@8?09_A5-F`SW%;!(yhj`74CnZQIQ^CVMvnyE}9=Ew`Y$P8vOi`mR! zF0b-BZ}1jx^9~Dmmxa8~Vm{y_KH*b7<8zj>jIa2bZ~2~>Bg^v!- zc4>ud|5i@>H*PcR`RXaDnI(IsByKY#hot1NEIB46$7jh|DLFSwE=tLzS#m{6uF8_@ zQgVHk+?JAJ2hNihogsG>$KyR-WAxUSLcKjGi{6Hi?`^cT=xr>8dV5M1y*)#|x9QfR zx0fi?+nciJZ65jF7FmnlKBQ1@U&^AlZ^-wy(pvQP6S>|>zRB{JY&{#WA?4Ya3REQK z$QD$hGF!0?+fs$9RAWbWqB^@!lUnS?9@J(}_F^CQWq%H!9tTmMLukNZ96`*HqiD!6 z9Lw>Xz)74!%#qVMgR?lBb2*<2XhdT!;$kkPDb2W?=Cq_0SI~y5xQ1(KM|-ZLBiGZJ z8@ZX7BVFjqZFHxYJLpNT>^$k8cIm)u{|-(2H*PVU4Ns(GQkFcMlDNf?ypodFvSfZr z;ub@)Bqd*D$#*IFF-v|;$?sXRQA#$+lC4v+odf5|bZ5wp#qqea*BHIkpipmn%c8ga z$oF=zwdn0o3iWoJEP6YUd~au3i{8$mP;ZyWqPHUQy|uO$y6{zp{?s`IEmW#|CUfc{ZT}71^AaBU@6Lt=Wd{*q*BFkew&Hr(Ien+rM?w{{8nY zMwPg^*v&1*(OG(OO5+y88F69yb4{}3vXsOvhNNvuI%LUBDY-RE?o7$uS#p0$9>|j6 zDH-L!xiZ69@>p>^KJIl!cM~bp-E*?&?nUz5&9N5Uy-K0(-jzjn@00KDQ)|)P=M?Jh z2U&Etf_!&ttwndgQK-9(WzpTHA@Y`NiXiEH+|^Gz1&ZK9$+AYd5D-L zk1&+sj9?UxGKO*4xiTf~(y7`0ossr$++x`CZ>8j&ELohAxW$lsm6C6>WK~My7DKW= zB^zeRW+|zZCDl^0QeHo9pDBGhwe;z;rBA12PvdWRu5|VJ(x)$Y`oH66 zdKZ`dqTfG1)BDfQ^!~T{FVA_S{O8M)KlTD-fCB=_!G@|}+fvWZOQ zNv7~LQ<+9w?Y+Q@%wQ(7c$qoOCo@(sCPE=&5Z-rq>wV&7)9vAIhS; zPsn%orM2kp8wz#zlPtRXg?x8^S&QyApip;P$fCQ-*n8j>fVJ@#_SN`v%>+VI_75S2MMIIjpxr+ZWB`dS!*ObJEL6S{U zQZY-mPDy+iB&m^-T3NDpO7_c=LsN1@mK>Lo6SL%;l$`It9r7$^$VJ8Rc!}2-y%kZY zw<~4Q+co5S>tro@yMaQz-7bsX?jYY=A8XOuy%g&0VOjJxlzeYvtVM5+QK+}4WzpNS zK^^vF zZ}wq7_U8Z&S3p;}2eA^tOURz5OPO-u@)t+s4+Sw@oS3+qSakZF};)?P4u@ z+m%AS?JJAk>XPrRfwk!Ea0>Nyf-HJFnS5_&TZ`V#BiCEWH$Ixminxr+X+cX`(}tKM zS91+*X-5aHqZ6IEft$FQTj@eKx^p`{xPv?C#ogRPANp}G_tT#T7|38ABId{=3}rYY z7{zGDFpiibkMjf*nZ%P!;c2GwEYI;gFEX8(%;II{@CvW;8gK9xZ}SfGd6)NipGADY zN5mZYlqG!57cAo|zUJHPJXw==>Dp}nu21_nJ_xesE2U(sEUA`~_#jA9J0*K%NxhWB z2SJjCDLFPvPEE-fS<*Nq7iUTHl(fo{_9^M;z|8RUDLYb|o?$4L`G%b;KO`gFjhMIW}Y?Hl_lbvKgCGi7nZRZP=D7Y)>_IU?+BF z7izLAyRkd9sl#6EL(Gx=sY^W$q&^4JfWwG6@-L30AxCp8$8!QFaWbcJ8fS1OXLBy+ zaRC?7m?m7zr8K1(m(iRSw4ya_xQdt~*V2~ubf6>G)0rEy^Q1WK(w^D=?VI-RecApU zl9FLrGBzdSv*ekSOv{p&QZhSB=A~pomVB6!PqO5jlzg8hKc(cCEZHC>aC?Ldb@&rZ|$r_Z`YCQt>oJtU1VLkjqViFgP!yv=EyztrZ4@tkNX+GKnC$3 z4>5#C7{&-jGMYyj%Q(jKI1`w}WS(LQ&oGr~JWtG#>CE6IW-*&N%;hy=j=afR%;Oyv z@E!|U#A5z0_U;2ps%l*uH5pL>MG*`LqJkL%iWwCIBZvu%jS0*Mf|xP1Vj>CxCJZ3v zoC9D)R0L531A=5!q69I(eZINQ?(VaG>mT?2`<{EwxWhfhQ=`^=H|*NHbIqEoSWSJ) zcTDF8W-^P}%w--6Sjf*TVlhiu#;>ek6{}gpAH+JcmUWb;0+pyjRjOs@$);(R*2(5? z{WO2$^1^$=t|{3)OZH7kTwX|8rR2ygX_u0?ypR;7Zw~xs8_PMd>?F$O^ z_Ps26n?b&}`NpERpD5JZN?G*w8~NVK8H?U3lIyMHZjTzWnruuhHl;Ro*qm5LwxS;O zX+T4^WqTU413R%ZyRsX*vnPA8H~X+J`*8pVaxjN*C@pA7D_V0TM-%Hv8`{#2<2jL& zXwNCcI&wN^P((-0=3LIBGv{+57jX%fav9yYoE}`km0ZO&T*vj?z>VBYF}Kp2+qsii zNBYu_0Ssgi_b`NE*?BS|&C*dF|3`gE?>OhlPQ~%Ki&q-$HK9;@`^%!egUGjcxUp#O z2nw}#f-KrQnS6U^8jJSMqELGm%c8w5dYdha-sX|-ZLzWFZ5f4n`%@OZts~!CRb$cH z`us<4RcfUFXJwnKEsf^tl5ehov1o2va?O?8@v)n%345?7P1&1f>_@C52XYY2IfTP# z!Qr#(@tj-?I9aXcq*5+~DvQ#g&&Ig^f@#W|cyCpvQh7ZEGTrF5Yy-RMpadU6%9 zl3dGm^x_6?qL^Fg&28Mlo%EqE{TayJ4CWq&GK~9pfCqVqhk29{JjN)V;3;Ayd6wsR zffsq1S9y&$vNPp_G*8E6Gk9W}!Eu>k)=y8#%q&@ulDN!}tV+q6EGeIoxXh5$Oi8UQ z*&-$NvSf#p?3^WgrDPv(W*YrpetK}c^W>=Fcs$1IjPBY}sJl~T(cKy3yF1TVbay_5 zy1QH!-CaSxyX%cbcQ;a~ySrr3T|e^O4KWto-AkeFM#`eQ$H{m1ys_x+C34-B{C(nR z*%;pCJwD(=#xb5)O+I4+6Pd(hzGMnhnZ~z#$8>&RCbO8$T;{QWh5XDfEM_Uo_>~o` zVl`{{gIG=0vX1gppc0j-N;P6N*^uhgWFu;^DYdCXUAACL>ajHqXvnr~Pa}3iOHy)KmRy;V zxYUr`nv&bIWI#&dQbRI4C68pulPP&7OI}aOTUqjcNqr4 z#^`MZg?jr*7QHPZ-`h%K(c5nn>aC(IdaFXdw;INxw~Z;(+g7sZtv>nQ8XJq=cA`*k zd&{D?eaZKBh_UFc1-afz?)q*cYfC$h=R{7TJ*N=s$myIx5gj?3b2yLAoX>?^#3fwH zWpv|mdT<3-auwHbE!T4cH*zz@+)8h5=T2fB=}SKbFpxnEW(dQGb>w~?U^ox)2qPHD zC?4lYp5hsv<#}G@C0^lGUgr(o;vGgamUnrd5BP|2jOSBg9r>Jze8FVC;%lbzO*&61 z%qjk4tXa8zIrqqwd`a&+rMJ$FE`2&Cdm6XdjV&F0xAf_IrBB~4efmM^(+{(!@jHA} zIy$cO>Bpr{$Cp0+r1a^hrB6T0p8Aqr&;Dz_q<3lKf4-#mpD*eC=SzD3`I6p8Iu_Z* z7g@!Ntl~v>gGE;I|K;DA{5NjtOJCC4uHnDFq&Kz<^DWm4D7!6K;&>^`iS67<2bf++Y#Hj#_T}ZZ9x;q zv7OtK*v{?EKE$?Qe_}g#5V4&*ghOdTOImRRwsU{`@!$!@V_R@Cg|-D}$zoe@F8{GD z@Fl&U`V)iG5L+bBxA8L_>$blpyXziA7nH5ky*@PE-@n) z5;O7_7O{k-l%0{Q9mkCPoj)i$BP%$L8CjVstVcD<&d7}%$Bf*BO({Dgw{#pca%<|d z4Gk$fBX@KhGjbPprRNsX(GYZYf!(=fd52qDnXXLSt+i)E1_`4Z-n(@;)lOoE_ z$WD$sb3t~&(mh=+^vo_KdZi1A_!P^&T_XyU>+xbf*VBxr$gvt|iuyUfjS<+{`WX<~Hu& zPWsT7{tP76k-@||GL&K5$Nj`Q@(>U6C?j}`Q9Qv@#5(dU&+!5;@-nXw>&P3#I`TH} zFovIx>Z?nZ`GK$8=&HnaPjLW)Ab1&q97C){(_5VHwL= z!75@MS(BY7mC`J&n$6#uY5vA%Smyly_D9qI^%=tKnp3^c)Vq1AcbW97+NR&{`0V#P zH6>?c$@wX{C`&F+$rV}hpME%ff^+1K;&{BvtBmIQQK-3lWzpON-`r?p(cHTfYVI>xG&hlab5o5)bKg>^xw*1vZUOn`mKuxZekIpj$?Ym@W$P$U z1u9XQs#GIZk`1X&O*Wzyn^2oN)MX2{q#j$-fQD?#_B3J#c4TLEVK;VX5B8!d`_PR2 zIDlA54yHMWau_W+oYovktR%;9ENwZC<2jL&Xio=DnVv( zup}R)WPFx+{$Z= z-s)4Rx1D6s+pgq$+uK<5wl9TxYaxr?T9NOqjj`yh9ff*3T^79+k?*auvFPnW3iZ}Q z7QJ0bzPB5UMQ=Bg>#gK=mAr8MJ#41%lVa+tl~G;@CSdfmU5J*B9*8@RjRQ8v5wTBCL2?WP1%e(Y@VGb+of6B zIGevs()``iUw2p`;;%dYTYflwqO;`5;&^=8>x}N6qfmEm%A&h>$anXlvFPq&3U&9T zEV}!ee0M(>i|&4;P;uDqPimDjR!WlTC(;v&QQ!-SMf%93d*iHi)$yp$}=l4U80iww!S zlvK!)^;1$kOX{X%%PiS8C5^IVkCZfZ;5}uMGi3kbcs$T+Jc#BT!eO-Fa9VK$M{zXA zlJD&#W6@g&3iWo5EPCrizPC$_MQ>dx)Z4YP=&cv|-g+C0-tM4KZ-Zsg+feeoJ!C9; zdz4&nB{!=)D|?O?c#)TRh1Yn4SV!I_){!xcx)L%Emh7971G1z=N?K(}yOf;hzg_^V^mYmP-g+2|-mauj zZ#T=Lw_C~g*4J3{Hh@CC-7kyYhLi7Yl(FdTNecD$iY$72oqTU&jYV(olk2VIHkHq1 z6ZwM4e8m)|@(r<$e9v@dFq2u#W-jwtz)$?lA{Mih<^0M@R`DBa_=7)LOF7C@kxEpd zD%IG4SVwA5lZ~mxrqremn-lBER@9?D4QR-AY)@l$U?+BFS9W7}_GB;iW*_!tKMvp^ z4(1RJr3Ec%MQe`aXkr~{LtEN$JSTE8?KveoPtHxVv~xCpyQKNsEt|jBrR4wdk39bC zVj?~ddo%rh?_|H<$LaU`H2YurG9_PU$$$Ex$1j~DKNZL0FJ5Idw}e8?{Vt2<{vzL8 zWn0%9e(m`muwWpv|mdeDvgoZ3`Q8Q_i{6G(sJBOD(c5F>dwbSc^!5UUdV5#;r?P@NiVL@hRu(} zqB)0f7%e%R)*Qi69L=$`;W&=x1Ww{)I&cc7aRz77k+V35bLm89F5n_!9l4Y)bfp{J zxq_Ztm7OQWX_ofR=5N0=f8zqfoPQuC`5$_Wb3Z;0do}%IjsGP-_&CK`vVL(qZs>JJ zcQq;0-4?Rwt{(aBwl@~t?LeXK_L4<+`;hPMU}MqUp%m)w7+G}JmV9^ZjYW5-QmDK0 zWYOLEW)x7xu=VW}oy}@`b%|<6L}U?}XBO z={_%gI(E@$UA3Ixhhm;J*u%j8?YhOsX&RCbO8$ zT;{QWh5XDS7PFMt_WjBVRHM_Rj5ieV%zt3TZc`J*QO42DZ6#3 z@3;XCiEZEZG@|U*VOPho?c1H$_Lbc_?CUtTeFqTRzJtkc9aWw+{8XH8ET-a56q<@FWib_hBR>_(8H=e{kwR0khAeim z8&iw2Q*m?0F%`F>9`$KJ*{RsraZJUX*qO3ZaZkrF7564~vHP+gWvAjHjt`{;Eh#${ zk9HhWu??|{ZAX48o?RJ_jd_1wUX{M}T% z)A(KVr5^(r$RO@v2*a`~ni1)`WmLzqKX!lfRM)!cs^*=Pj?J!jK2Fy=@gbM%ov%~! zO_uzak~vwjBqhtUc(Bqf_=$u=q3&Vj4{sm_v}isNw?uQR%9LM$VH z*WLccqq~EMWu$C(hdYk$jv$tizw7P<S)m+2hb$5&LSVnH+cFK0w-*I$zH@WUg?qzvUHk^lfgb|En6i*Oq$3 zIm{*2l7+-t@(YVt!cvyAf>=vd^BceO2Y<1aa#SGJlFC$JJ*u$*)rqxaBQ|CeHf1yF zusK^2YsuEsXB!%_9gT>!WXJ4WX_{tfvuyr0PxCiErI#gKImfd7c*n>T3%HA|%KVls@kb`K>Asj{v4yQFoa1=*#ENwWB<2iwoIGGNd z!fBk&nRMhV&f#1-(U}Xlh*(E1r3+o@Mt6G9ldFhzl%%hCpF-GwOPZ8_LvpmNOyvWPE%4@ulohKipSvoG8zZ28^ zot(|z=_#3+CI3Y~av$f`q3M68WpM-@9lbH(c6s_>g_IB^wy7jZ$pelZ}(EDw~?~w?Q!zGJ#Q>}dx>0cCHJw6 zmW|uT9Fp)`2=1Zn9m1%s-cTDF8W-^P}%w--6Sjf-(!eW-Pj9*#7 zDps?GKZtc?E$b*x1u9XQs#GJ^kqxO%O*Wzyn^K!P)MX2{q#j$-fQD?#_B3J#c4TLE zWjA(b5B8!d`_PR2IDlA34yHMWau_XXMQe`C&XW___P;*+= zn%jv&&Fw9V=JqAu+#$xIxfbM_E4dhLBWp`Lj^{*9qCKY&E6M4cK@lA}n{zmi&YaJM zT*M_@%4Kxpa(ZwDS8^5Ca4pw!12=Lr#oS77Zs$&7CFx5)1~8C83}y(!h?V4i9$+{R z@dzUr$tWJ@NuJ^vp5=L7v$IO-kYdL((KAduGZ0DLE)h zj!4PTS#m;3PR^3EQgW^X=gD`@kPD0B@nWwrdh0@=-maEKZ`YCU?G|Iv+ieu;?QU80 zb`Sa99yAucJxrnAo|Z*#&ynx#HDl4+n-uEpLs|6pG5Ovm8H?V&B-dNXoh(1dX7D4k zn8RG=vyfOveqj+ySjuvKWhJZmjol)WgN@jjP1uypsKe%L zNvtDVQ=e^U$aZW`V|FCgkzLr8ChX3hG-Yp^u`l~`00(g}hj17zIGk1-!I2!zv9#eh z+HnFWax(2Xh0}<2^$k7W@*oC{`N}qH!d*D`93M>pCv<65*HYf z$5Qe{mb{RXxWJH%Ny&Rz@<~c2WXZIYe3vD&Q!+10mZfBc1Lw*2&XC`WmVo(fc=GF7QYtRx#!otkV!EjFPxb*Rf0Y)L(~rU4DvmhEZ84(!Oz z?80vB&K~SVQ}*FMrpEtv|9`muUTcmdR+3{lmbM(n@tnv>w5J27avEoFCLKAOb2yJq zoX-VZ#3fwHWpw3ox^o3Rxr%FumE?M2CApECDCSmrb9;8C3`*z2knDUI-m%`lc8Kx* z@Jz~{&$8Fkxe}Kcl8;g{K1;qxNnBz`W~5|Rmi&~GMOpG&O8&@_iYcj*B^#$?QwQEt zesGR#Q5=t3d6m&zeF`l5g$?W6|8r`IJ~mK4&6dFqyBI!c@K? zR+8_T&J1QUi#g0?J`4DXpIO9Wma?2*S;;DXV-0`s7i%d;tRxkwL=~!1jSYyEqy{zF zm|ASgX4GNx>`d7%&CQ+r+J9_1T7oY{&LAW=CQz*@azc!tU&ehX1W=fMfjnHXKJgPT)jNrah-{8nKp~ zNfBppHs^94owDu6JhHuj`$jeO>Pl**$b| zzuk<|+v#SOKK-%u>8#SHvrC`ODSbLOdm6vlywcJ6rB4@>K3!P)^rzCNKW9(lclf1r zbW!Qk#h(6u@pZl5m%YvJpReov=j(d^`MTaWIu^O`E%N4BWaCrh4YbG`XpzgPA{(G0 z8=xYWQbjgFMK(c2E`*D`nHJdy6?sD~@`hUEg1E?rsK|z>$QoE=Q&ePAROIri$i}G1 z#;C}}agjIJBAcTkZ?Z++WQ$yC71<;e*(4RY+$yqBDzZ^3a3_t(LHTdo z=9j*%_mGC!*Y#SdeO+%n9^~DmIfrl&9UU)T~9I=@2(vv^zM3&EZ$u^ zk$-o+)L6W`cBRm}>$S3YckM;~-LEEvL&oCW^-*%~t|j+- zJS%&S7kH7Ed4<<_gV^o8P3-o@FqZdtpAQ+w$9%%4OyF}SF_|x!!q-gW8@}Uveqbg) zGMhQfV?GP{nb_?uW(mt!&I(qtnl;33?@#_>9p$J%B`Q;u^;n+`s7?(wq86J_o6V@p z=4?qlwx$8wur1rsh{o*5&ctqSH=3{qd(xDBXvTi*pY88krl0U=o$WN*rk%#|*-qn( zwA1LACFiH)qAd9@{Mq#%ogtqT$Kz*SV{|u>Lfw5Ui|(eA?{2QK=xzapy8BfY-K`?u z-CASOU3m(1x1lV$t4Y4QI>w^AEhyC8_Oj@12lCzRZY;Xni@)iv;NR6A?!VufBZ;-- z7>=ba$8kI-a1!n5z^RqZ8+I0T*#GmvR|hxt#7?K~JvY8e%QEo>)t6 zDAcGjp5QcIu_wfJ^@(_>kC?k1{$9aOMc!ptDmwA=f zc!RfywPZB0mb}Y*e85MHV|=#r|0Q_x4y=rw*eID?S5JGHk^EKql`swPg1D2S7g!K>*RYI zYb<(upImPx_k4UVo5&YT<}0Q!m2Zf3lB0=rqz!Fp$MKxV$+YK`>^wO)&C<@<{OywF zZ?|mzUYC*^vgEdu+?gf!q-0o@Je-mdS@K*;Ud)pJlb>9l?F{*;I39oT8l$%*6zc7F zS@iZ7`Q9oUi{93wP;Z;aqPNY+_qMgM=xrMc^|p&FdTT&V4iLKiNh8<*3Ao?OY*T*Gx-&kfwf&D_GR z+{W$P$zAlNKLfa%LEOU-hH)RUjy%Y49_A57Fp^O`L98QB^9;}NJTLMxukaeL^CoZc z4x<^%d%Vwwe8k6$=Tj!|Ig|K;FZqhEnaVeON30`1FoPeN#T@1_pM}|ZvLemw)!EEm zm*#wY5ahj~dP+9RlDa904}v6(QnF)~?2(fAAV|_YC5L6nQ7Jh#OFE?Fv@AI%C7rUQ zYf8F1@P0DK8FF=TJYMTHMsK|+)Y~1h=&cX=-Ub_s-iA`Bw?}2s+hgQ=d)8R=_5y`^ zds`O0jUnILIAhV#5%GYP1u7yY0BO- zV?SaYIgo>B&LJE|OAeS+`s^bQ6?*AA6-PNQ}cU#D!yL#li+um4ow*!T`+e;SR?L)r1gN;RZhf=7!V`R}?Tk_qtHx}KU zN}=w~lSOyulke^_W6|B^*IP^FK*-}in)c}+)k_|chQG_^k*PUaj3?HT&zQhOCNY_>n8H-1@h#smogbLVEM_y8 zc`RTdKeLF%EG5>GUs=H_RJg(-e!>RZN9PS?I#NLwo(?o{YJjGa>k;!isX7Lx#y#XtR@>%i%qFb9X2P{k*%ml zeHze^ZP}j2?7&X!%&zRl?(E54>`kmA`?4Pga3BYB2#3;wmb9WZM{+c=j~7Tt9r-(62*(cRS)>h2ax?(QbnUCCV^56Xt~Fpn^Tk&NOAVl8=^XLye1d6AcRh1YnUH+hS97|mGT<9$Bl zBR*z4pYj=>Gl?(wlCSuhseHqC#9HzLGx(8N%waC`SxBrUzp#iUEM++>SjlRB<9Ghx zFV<3y3RI*rRalQ|Y(RBtun`-x37fJRb=aIOiM3>F>az_E*^WjuX2;3Ec zAb!;gDSKJwU1foD`gQFBUX|F zIf&*Q!eO-Fa9VQ&M{zXA(uU(Wo)b8Ulj*=IoW|*#Nk`7&9L}W^owrvQ)rhrZL#k7gji|+@)TRz~*@7*p$JR8U zA=|P&jo5)5*_mD0josOUy=cllG-E#wAl8zDY0jY>MoU`Jnj^Dw<-|0H+h=pQD9z!x z(6H8Dl9J1^fm1k@(>R?oIFlkeau#QE4(D4~#Kl~~rF7vk zy3&oy=}r%>peI*y6<2c&*K!@#(~BFpk(;=gVs7D9dUG4Ma|d^F7k%hUKl(F(f!xg? z26GQX7|Jm2ynW-*7k#Ee`>%*bC@#1fWLc1Est95eEF{-ErPtl&6iWM!(b9@Qv2 zBR6s!GjbC)rRb zk;gi2!*R6Z?`Gs_#!u%=iYPlHJ2~#m1=%G__jLKuGrRogl`cQxb1au1eNxgtONOQ- zKF5+gmXas3TXkY3Dcf6Z$I)9|VkP;z-nKO!y)`0MlCr(+<~VxWgIG!auD1h? z$4b(iSV_wE*4lCOb`(eRcfFltJXVqpoI=^&Iy#Qt&LLKkGQE|oBbOTQLRY%cogVb$ zDq>LLp;o*jNmaw@dQs1 z>&UY_#|ym3%e+FYBX1Dv$lJWb7{>A*AMhdL_?S=llnH#!BqkH<$P~V28sG38(}{It zCOg_XG^fr-vZ&Qs$Z{Jd= zx4E+DZ2|e-mKuxRekIpi$qg%OW$P$U1u9XQs#GJ^kqxO%O*Wzyn^2oN)MX2{q#j$- zfQD?#_B3J#c4TLEVK;VX5B8!d`_PR2IDlA34yHMWau_W+oYovktRu&8ENwZC<2jL& zXio=D&W%=;zn+wm|N-1?b&%U zD9zF#+58=z=IEcqfOUuDURl+4PKpHi|YOMXkqA6Zf{ zB~`Lyz3pu*dfS&my|s``Ap*2Y-$ z){a8Goi2;sipclY*;w>;A%%MDA&cIwB;VT&#-g{I$@Nxpvr1oCKL#+6K@4UH!-#d{ zejZ>r5Ag_(GLlg|&XYXFGd#=lyvR$u!mGT_8@$EajAktF@;)E%5#t!or^Gt)ITQJU z$$Z5Wrt%H3j(pE_W-yak%waC`S-?;H%pw-El;!-&N>=e3Yxsk|SW7v|Q;|wkp(@qb zfLKRrP?L?R#indV9X8L-lkL(hZJf>DCTafena$sWQgTR^9FdZvv*hHIoRTGHrR3Z! z>5`IeS#otsuFI0!QgUaO+?|qpvgF~EjBwyQS>g zXe@gBm_ogMDU05|Cg0l+#-g_$Db(99vgmCI`QBC=i{5^xP;ZrG(c60Dd)vrZ^tK7P z-b$`Ux0cms8yd15+tZjGiFITbcBKisvnPA8H_h0W{W*YxIG95?j20YDD~{kuj^-HJ za2)M8ffG5I_MF0L#5!^&MV!UioXdH1<^p0JxtL4n!ew-$J3Z*hm0Zm=T*vj?z)jrD zE!@g&+|Hf!p)dUzz}*bu9)>WC`-pYqL5A}%k1&GA7{wFWdGd0arLSf4cTAeUad}}6 zH6bOFvSeCH;_^Z=FC`1JWLZk$@$%o3KdoE5BOHEW1<p6(hnRsSC%MR!|JsJrcD(cKQ@yW8DZbhj6|?n-V| zIat=5Lph9=98PPFB-WB+IF_~?$MKxNNwlW}r*ax+a3&o&n{zmiPMpsLT*Sp(%4Kxr za=LQ`J-Lc&h_&Q;dT}E+QOqs$=5}H&xr;vZqdx-~#9)RnlzX|42Y8T&c!Wn8$zwdu z6FkK;Jj?UEz)QT$tGvb=yhW@fqZz}yyvGN8#5l%h=gL=UmQKxP_lz{V;}XNXUzC!i zS@K&-;u1qrB_-9eWaE^?C5EJaN*ZR#PAS(2wdb@;tZ#|4fZ&y;Nx0_|r+pXk#>uW4}8$hAn?w3Vx!^!tH%2@RF zB!zl=MHaoiPQJIX#-g|P$@Nxpo66_1iG0CizG4bf`G#0WzGpf!n8_?=Gne@+;3s}& z5sO*Ma(-nctN4vI{K22Br5xp{NF}OJm1=B2tRpq3$;Q-TQ)*L(&53nnE9z071~gqBTcyG_j7fp)Kt=o)bBl_MDQP zC+DVF+BuuQUDEuGOAK@Vx|H0ICAXy{E-@tcq-0o@Je-oa#E?9fk{7e&&6K>8B_F5c z(=7QiC0}RBk13hszKj{jNX<|sJGu`(c53-d#h|LdRvb|y=@|k-ZmrO z+t$XSw{0lY+b*)`tqJ+wni-4U_NP#9hs&b3Bgpr5oU!Qb1aiHV+@x}*tcbHXn{zpj z&RjsOBNuZCUAT;HTuu*qawS)D4cBo!H*ga-a|^d}8@F>OchQ&r4B&1CaSuZn#(l&( z@*u-`m`51FNJjAlv5q{=Gd#!hyvWPE!fU+Fo4mz4jAktF@jf5&5g#+2Pnp2yOyUc^ z5qX)1x;P%M^%|qMUKHx> z4q5cphkS2?jYV%mDb(AevgqwG^1VH4EP8u^LcP5$i{8eN?`@p1=vU}VjbnEKt(E3mGxMk4X92HHlh}rP@B!D z%jRs!R%}fJwqaYgqY;hSk)4TkWH*|y2Yb?#y=lgN#5!^y2hp5EIE z+Hf4la{?!EG95UD(>Q}O>Bw1}!?|>#GZ%0Xv5s6y7rN4o?p#4nuFB4n;xtQpXY;pT zn!j<0Va`90l83V7@szm4h~(9jypbjErX(&eBok9IIZM7x$@DB)kdmLXC=j(Pb+0lx?btiYNb!t z&z{EbutDkQhNVxdmp-jg`m|{)l|AYVh zT)9HIauq9-FJGx#x$=Jd^5y0={^uKe-N*Dl;65swj(@(fcacAX#Vlbd%UI5@tY9Up zSj}&&;dlPvPyS*p>nKNg{<)8`$VJ)ztM^g<8~6OBZ|ps+VSHn6tlRyq;sd#x!3<$2 z_i`T(@E{NI2#+$7$9SA4c#5Zamgjkamw1_1d5t%Ci`e;%W(@E09v|=_;}}ou{61p> z6Pd(hzG4bfnZ~z#$8>&RCbO8$T;{QWh5XDS7PFLP{K^Vev6?mfLG1k2vX1gppb}N6 zN;P8V_jfypO^w&44s|KJgQ)Mg0S$?r-}W@3><(gA$GfpRd$1Qxi5@|KNUYW7E|#H3QfiDWib_Jke`b4jm1>_i9%Cxr7Wi6Z{(+9 zIb$&uD^h4G){wkxrsCcdnu>?W zVk)+vC1t1L(T-y(wjnmN?Z{8XQ;fw_Je@NrI~C7$98<9~g{ERRSxm(qe?PBAx zgmfX6kg~n?bR0{_)m+2h^>&N#SVC^&cFOkF-*NPIH-jkCTgi8j!fbUzT_*uW-8zC z9kGu5zzlw57ITtTov4o{8X9cm2tR~ix-}!^TSW7u75bH=~s<0l_*nsN9 zI7pWe3ia-<%vx|;{{&iWnSeq-pJ0A z57I0hm(AaaY5vA%T;}}rl+4VM1u2QoxFoAmvL;K)rzAe(lGIE|tt{ChCH1mohm`D` zC3~f0pDZ~vB`qB|Pu4g?jw+7FW4y-btu2LmJ5?6Fok700^NdAr=ToS+%Vp8q736!n z-dOZ@BZYdqOBTKLBj4K)W6|5a6zXlHEP8vKd~eSii{4%$*IUVrETd&(c$fG1fDakR zcw!y-j0sF+5|jCoDNJP=-|`*P`GJ|tVm5P`#{w4eGrzEyr7YuDRJeOIp#IBeV15#57CWXY;ox&EK=L`Flx9F3XZDQ*up~+?tZxvt&R@ z24%_cj{o-0+W+nx`L;M7zxOJmxfv8{?k8C^w}^amD~&~Szfq{Uin3^~3i;-07>njM zrciTR$)dUXPNF@h5G%>) zoIw#CIh%7hkItOWgr5Ag^i7|AFe=SiO88J^{NUgRZS;Z%hCpAI_2si{tTPuQR&q zLZR-imPL2hk?-ynW6|Ah6zcA7S#);~`R*Px7TrBeq3)iRMR(7U@9s5Y(cPOA>h42X zboVj&?j{+F?!F|~UCFI1KgeeABeR&pT;{WoSWA9k5ldLga(-nctND%J`Gdb$OF1e~ zk;+tIJ*u$*8&ZRf*qBY&l+CEa=4?r7Hh3 z&usqoO7k}^GR*rvDe0djLsJqL8Is3R@&mds*^HN+x8h0TSuYZ)|W+Z)yel(+gS8gmqNX5D~sM5k?(CcW6|3l z6zc6jS@hPNd~dCdMQ=w@sJD}3(OU=dy>&Dey`4j@w~|{~E|qnmE8XZ$4|;MHv5s8J zb@bu}ZsKNcp*Od22Y1qkzVv4xcQcrK7|Jm2<9;6GAs*&YM(`M;c!H;hb>vx|;{{&i zWnSSm-XPYIw|R##jO9H(;6ujoF`w`$6Zo7-Oy*0b@HNx;hVPip56t98W;2I*%x57# z6YI!gmavTFtY8(ZS(BY7mC`J&n$6#uY5vAVhB;p^B@MD zy_MX`vR1Z^@>HM_m8nWKVjbC#>eOT-YOx8msY6}1U`y(;H4SLUwro!$c3?+#W*2s2 zclKZ}nz9eg*pCBv{0b0~+=lEZ1uk;FQ349C)z<2arZIf?dk;8afI49=t@XLAnc z(TVf9fQz_x1G`BB>nrk78=30?&u8py1t{sJ%J6#sd6_IbQ zv$1IILJBq4Ll(_lNxr!oj74)dlWVTzM)tn4ehgqBgBZ*Zh7l{t{XD>M9^w%mWhA3` zoF{pTXLy$9d6AcRg;#lPU<$XTjBgQeFPl=V}b0+cyllh7%OywJ5CHbD| z%wQ(7n8RG=vw)xYnMEvSDa-klm8{}7*6;^^v6ga_ry`Z8LRG4<0kM+Qpe7qri%r># zI&7YuDchwprEzwqG)XJ{zb-QT`;P~ufB*52EIlHnagpJ@?iX zs@ip7lY@#R5m2E4i7Fu33@CzGF#!e|lprReVgy4YV#J6UGe!^-W-wv|Q4}*O28>_= zGvD>hwRd-)HLO49J$rm-oZ*kFtTpf5?0UWDnl)Fk>>}UY^TwjP7b(=;o3iNcZSviH zWGuS-ghJhYD~s+{k?(G;vFPr13Uyai7Twh#-(4MJ(OrFV-Ie}bVpCZW&1pePTG57f z#9Fcy?dizYbfyd4=)pE@$M)>VPV{1Dc41fgvKzazCws9E`_Z2RIFN%Gz#tAK){?;# zGn6A3#&AY5idajI-iM8YgW^xlZb1Szqi#xM(<-s&d=VkMEewx2=kzvlimXg=Aylzf#X zKcplsG9>F#QYlN;OG&LPX_S&pvt;v>w9b-FDe3CK_w_nKUZhq0D!&S~v+wJzlif%c zH{I1OyQi*R+0*)EPd6%i+Mw*|#$`_%W>4b}Yg9Jcxa{dBWluLPd%9WK(gvUqzP zLH_Oacw_PQI+j9jucyi4?R7Hwx7Vr0;_dZ33cbBvA&a-ytH{5--e@e|UT-1y_F8(& z$86ag=5jv|@(}ZQl-TY)!IR8q0nhL(&$Eyhd6`#ujYYi98@$O|yv;kj%lmx5M|{jD ztl%@g;7h*Z8)CcnJ*)VEANiSISj%t3cJELAVjUHzL=~!1of@pi`qW}W>QImRG+<*I z(U?tXLQ|U2oXu%TYueC`Es5=32RhP;&UB?aJ=hl8z4!(1|CfDXZ$)Rw%O&yns@E9Z zEv8U+@5!RO56O4;xv}VOC55{CNfzC$A>ZBK#-h856zXmRS#(#Me0L3vMR%J}sJm9O z=&mjK?zT1--F4v~y8DkltU17+c@P5_$RQlc;S6R7M{p#=8NpGE;uwzQc*Zc6@l4=E zCUP>T5^KpMVl6q7vnXK-Q<+ArB^PiZ7c-qpxtuGQ!Bt$twOr2)+{n$`!fo8n9o)&? z+{y^*z0D-w+bm1`j2WU-Dc;SHAZ7Rz{-SVunKLzeR~pR$6_`I41<%{P3< z_pIhee&QF_@GHOZ2Y>Q66^M1DGF7NXb!xIcv5ss=tRr=)$3`@uA&rT3WHXvjL^E2@ zl2){#EnBc9?dibQbfyd4=*~85%l7QZPV}NTyU>Te^kaASWH0t%U;48@2XZh27?hnS z!_q7rna$tPY5vA1K`wVsPstfsa&AiElOV~JDY-gJZc0gf5+s?ElKZpdv6MWSB`>Dr zl`MHPC2wcRCn@>Nf%BxYGvw=%c>LCDjNVpJsJGu`(c53-d#h?JdaFU9-s;Puw~fj7 z*3?+^)|^7UZ6%A|I+E|Lhq35wI|}u-t1NokjeKwW8H?TyAlF;zO&^10#SG;LhB2Ix zj3U;NV>yn|jA0z(If03s#3`K0=}h7b&f;vQa1Q4(jq|yHiG$qcUMT4Ei! zftlRI&D_du%;HXB9l3{lnZteD&x1U~JRadO9_LA(Vgb+aEYI@-FY*$v@EVJFoh7`< zQr>16@A5vej(o&&KH*b7;|spztL!}aInC0w+5BCX=5KrwWX{)0Nu4Zdl#=))NYXkb z?Xsj(O5&3sNzat*oF#jtWbZ5)n36-YAQpFi^N=ZDP<~2rdlPT2O zd9vv3Lh`*`VJv#PibB2JB8%Q`C*Rv_W6|4O3ibAcEP9(yzPE+OqPLeR)Z1IK=IS^r8+fOkM*g=hSZ@R^=ZJy zG@>z^vKdWjMsqf&C9P>gJGLa&kq&gE6P@WwH+rxwv5xG(j`XA#JJW|<>BnyD!Jh2R zKJ3T-9Kb;w%s>WlD2FqcAq?e6hB1PXjN%w#9XX!SjAa}XIFX5*oSi2nX_ijS=I_O6 z{$85R-|JIyW0u^JlDo6y;gmd@B~Pd1xhz?nk~gyCy_9^IB`Z_%O_uzWk~LXUF(p+T zI8Suxzg>^V4ZOzatu}>v+e8+3=X}9RzTz9c<9k-~13&RIYgo%~{6VZEf3uE? zRH6#is7}r7JlQDC(uUdmElTq@E-$<{v`@*_S+Y$^;_^b$cSyVc-r}vOz0B^Q$=S>7 z4N_K|e(H$qr;bj^aanRwN>0s^vr}?TmRypO%N;mVsyRolDT&AHyvk^9CWV^2OBT)D zOTM{>j74*gP^h_QWzpOV+tz1f96^rauWvnR2V?8CnFXMYalAOCvh^TaypYZgEKjs63$^N(>R}4NiO1IF5yxx=SpU9b#|uQnr7*&Z2r!1Ysh`F z`?KuHlr6}z7t`F1OAP1A+bMZBOFl_STw+L8rR2vf`8_3nWl4>cte++IQ?hZEG*3xO z2hNo0&XFxj;&CglB%13;q2{)eMRPlnZ*Es((cEqnYVH78Ght8M)?4Z~3@EHj|sUnOnJyS=>piB=>MHbNH`z zOKrTE5GvxfAKdJs6=I|QjHqaWPNI}A$6!reKula8q%0eiIt=& zMKq@cEon^~+GS@$*ECCeWb?OYn!heFVvUGTj`vQthU}N615+B88P13i>E}je$=H;{ zWrk#OO3uoX^HOqQmRyyRYqR8*l-!;rb5ru51LsN&XUStF@%V(-8QsmNPo-8%B!RW}yhtw*8m8pxu%M&!F|W-Pkf zoLqOMw|sPvb)*xW=}I?xur0Bc?7)unq!&A*;s59w;4nWwm>~@1NQNM$W7eB zt=!Hm?&NM_Et$<6=5jv|@-XvwG&@(GOS5!gHh&kV`5TuR=KO~#`8Z2frX(&iBx_Rg zTb5KzNnB<~YNw=LmTZ!eCRx%pC0k}mmy~qRlHMultYIy`@dvSv{LMNlQi&>5r8+f40qh7N_|e7a8XKaVZ&-B`2jME;1zNq-0u_T#}Nw$dJrT$<0}ES4!^9l1EbVc$Pey zk{7aMNlKPFaGtE^40*349zXCJqqpT0>g{V;^!6S3-hMF_z5Pm|-YUzYw`$~j+t66_ zR+mD(Z6=G}ipclY##r>W1%-O+CX3#-A>UgsW6|3#3bj9zVs*7kpnr10SqG6 zk;6EgVuo-8M>3p|9L3Qb!*LwX7{)Q46F8BRIGIy9jY*urnVd}t=P;FNoKLJH7jZF{ za4DB_1v9vsSVykodS-GXH*+huF^fC6i@Uj(+1$tdJitRd%p*L?<2=bzEZ}LLPvcM6qHJ`_vZq^>J#An1v_sj`j%81`&Yt?B zUeB^G>a84i_5Gi?r_Uc${+_{l zThocobfGKV=uQv*y-o7pFY3*1Do$V2yKjsC{-WO4GJI*dUP<|F!79hA`H|Sp{lXf` zZwvl%{5KV-NM))J+kzU@WPM^gw;{DDzb)9uUS#^4lLYz$5(zcH9%EH(z`QfOmvnJhL2SCZct++Zv=1~*Y? zV{nfwHU@LZZw%%ci;cl!6xtX(FN=-Ai{v*3uN#Yv!JFhZ2BkNud?Z`WCw$6hd``^B zuZS7>E#I+<)s&x+YaPdo{GC52KO-wSju}~%YSf@6NsX(4+_o5on|{tC$W;0@2#KX=xq=7&VA^!U{g)3%=wlz9H6;?^(qU z{K(I&A=Z)Kvh$=$nx)mV`CB{9-}wB>oNtnnW?9lUCGq){qL{SoHQZg?f8c7QHPd-`g@{(c60z>g{t`^tO_GZ>x<(Z$FXit@K8f zzh&#FNF}OJmFm+=(vB_JiVkc|C%Vv; z?(|?=wkOt+o#;t#cBT)z(vRJVb!0F0W?%MWe-7jz1~8CAIF!Q~%n**?NQN_lqZq|8 z9LMpDVJzdBz==%cWKJd4kx5MEOwOW&bC}As>^!+V&C(g!{GFNRZ+w1b&flAo`?BPb zl=%EA&i(l7mKV}rx4e|4OHvx2Vfne`>E}MplCM+pU6%Zsl0UMfa!RUYN!^rex}M-DAe5+vgoco`R=+Ii|)3ePmfVz* zTOBx0YCA*jDv8H?yvFEl4uyJqOcuR8$x|%gX`bbIUf@Mu;uT(H5sO*En=IvR^1Xdz zEPDHdLcM(}i{4g|?`^HI=cV zBU{m)j%-b5y3matY{PbJ&yMUwFLq`Zb|u!4-PoNy*^7PHkNzCMfgH>L25~5{jtr)l zp&Y?5hBJ~;#5!^;$1$2QjAH^PFp-lug;P15Nu0r1oXr%@;asM1J{NKk)47DpxST7Q z!PQ(#tRputlbg7iTe+QC+?kyx52jf#g)gmD#d6%;kO_lz7kNnIptmQXi9r=^LSVsjaQH82hrv~e>KDF48 zI@F^+4cM4QG-gwp(3EC0XLDN8nl`j!OJW`AKu0>!nXYuF2is=nNuM-J`(^WY-!y;Y z62qK7JS9W2g_#Q^!6e7-aa=Ly{)8BZ$HVRw>9K@``cLbR*^!zZ6J%@ zYLoA+p|R*~6AJa#N*2AfCEwfD#-g__`tsBd$BkBvLE|% z00%LEfgHl29L`{da0Ev(oDm$wD30M+j%N&G8P5bxWFjYXDzT1CVlroP79~t!D$|H{ z7SAV9XLLcJX=i{6eS-`fesqPLSM z)Z5vz= zvc)Xn4VLm2%XpVqM?T;~mh&;6vVza~l9hbTH+;wUtma34;uqHNE5GpvfATjKs7Ph1 zP>t%;WPM^C*^t`Qr5+p6fQB?C){)ITho~?bfY`lur1rO zBRkQH-t0mj`qGcx*^^jD_F-T8vp)xNFasErohQT6EFGE6-_dFQ#^r@Me|k#J$dYqY z5|4H5Sb^r%-cS$)dTATK#v)#432(BLw^_!!yicqoAF-TI_>|B1 zf-m_hJ5zp6vvh4Xf7hk?8y6U6eXW$#$&yAXi3y)(1l1?d!3k*rmlc} zzYBYh^t-V4&eDM?Jv95dBh$}~$da)snc%>=vXQgol#+Nn&FhTrCR3=p^JLN8h2*=t z!dP^76@|LHMHb!NPQJU@#-h8q6zc8?S#&p_e0K|tMRzY#sJpjh(cL@byZhK!bhmL zGn%tGEon^~+OZ|EmUN&ao#;$gy3vDeiM3=0cBCi0*qJ`;N+7WE95`YsvA9W-Q~Fz==%c5FIWJ$%8RB_-uY2XZ5 zuOuEf@EW7H+7#+-6It}ugnVzUj74v4Db!mRS@hPOd~Z7$i{5%usJFdj(c8Y{dmCUZ zdOL(dy$zQ|Z%2{uZH%$#Z9KW&O8+Ktl58?(auy{_VJg$Gj`+IX__ujsdMy3A-neZp zzOJ`Z*`0Kq%bs>Ad)l?^X}7Yc-Lt203tx}2(QV3}Zd>+ryRxU-mp$Dfdm4Yjj%A}e zl|AiQ_Ow^o)86T6`nulU>2AOH{eA!0SM;VEZsIri{jcBCyS&Z6-_z@sw12;+x7g<; z#davgb|}RbxMI7MV!M=L*E_{_D#dmx#jbgZz2gDzCAa*Lj1byu~u! z;XU5xLq6hTK4k@;^93vUif{Oi?^(?c{KU_!VJ*M$2eIY*n{`yA5>=>1b!rk@zJJ;} zY-GFv4QWLAtwWLHX0#x-e646r`K?2H#~s+3PIRFwv31ynZP}jK^6f-V%5NR|I_}5r z#Fnr8)}g=S*zz4nZ21N-kn&rHV#l%NJA&Bq4QB+gbvT;X@*PKP`NlAo@l4=EPQsS& zA3r=i+jwjp&Y|4a;eYw?@RIW1)4Q<=dQC|@Ugt+*D$b6km}$1xQ*qX|Vcqx@8C<2a_`7HmoRso2?ZOvP>#nu@(-F%@^A59O!g zo{nQG?nCTi`;(uFgN(&gJdDFBKNW{Lj;T13LQ`>^ET-ZKqXXDOo>D>ZfGmENPySmJVFaG<1e+Q4)_^d5zIqM`8*2r{1EbWoa-=1my`hY9u{N5?qFG~idBtG1dj7Z6- zEE$`U_;5=yIVERh$$2TcFiWmV$+cN>OG<9flDR2)(1G)$ku&76l6ZW=YmDCJQ>eF> zWzpMfL|SoHP|g?d{di{8E<-`n@bqPHI?)Z3r3=xrVO-l`jm-qxc~Zw+M8TO;zl zH8U2yZBDMY(tB7s$U4%A&UB?4J=m65M|NOGdeV!X*@a!{$8PMwp6ty&?8p8bz(E|$ zKn8IrhcTET4CP3MF@lkd;uvBbIiAssWgHVYfr*?`ZvOi6sWC8?c~dRekbN}6Oz+mvjXC0$a|JxhA0 zq>lsVNn>Zo9wqU(m)97*?MtEF4v|G~hm-GZxUuN%C<^s9UKYKbNWQm8#-g_~Db(8q zvgqw%^1aP47QJ0Vq26wjMQ?YI@9jQg(c1&$dMmw$Gp5_^z<9QbH60wfF%4;m< zb>84j-eMW=@E-5;As_KEpR$6_`GS>v#W#G*_pIgze&T1=u$JHWgIGuYW*rr&L=~!1 zotnftvH`WIO&#j75e;ZaBQ{}En$VPHv|w{u(VDijV@ul8fvxF87rN4&9&F3@#5%GQ zJ?YKP^r0{P*gZQ>4otIjKsJAi)BHUmo4?1UWK5Qvl#)}kc?dBXo=e;4y?`n#AHvUEvGmpX8+Y~n0=uOuEn@H(TrumN478h*`EVBhye^D){?_GoMMJ>1V=KQksQU*9K&%O<uro)b8clQ@}E zIgLr2!I_**3Fk1CX`D~2B^PlqmvAYUa|JWFnpjJ&<9cRtBR6v^w=s)5xQn~Fm)YFM z{XD=!Jj^3J%HurAQ!LF{W6=~tJ3U_ zOAPN1f2E{Cmefc|Tw+KzPD$e|X`Yg}#E^7MN#`utZb*~=zJiEsx0LOfWe236Iyn2O zp(z>Wz?rhCbL8lfcs$mtjOIpDsJT;Q(cJ0eo10=Rnmd<5&0Qvo=B^~)+zrN}xtl1| z+&!{rZVvh8<{69T9-~lm&@7s)sGy0K{PO>)hZ-pTTjY&oCsDWCBy3}VQHl`ts*_2pGno>k_TF{bK zw4oibl59nLIJv*`!z1W#u*pbjb<$4n7|24{GMhQf<$fOIA?EQYv5q{!lgwuU&+r`2vyc~gnOAs?MZC@%yvbX< z%{#oy`+Uepe9R}T;4{A9OTOY8VjcOORs6t@{LC8G@>_PER7tb6dNzM+r}-Nf8RmSG zlr+ndwke5=3`zHtY?~#$QxX>$l6_OMf0i7QlEbs)sFWO&CF4_aVwRklk`f2blP1oP z^Gf3J0zPHuJqPL&O^;UWh%ipqfRHPDBs7iHe66?qY)S@?t3#xR!gOyEQ&ax$k9>&PS~b0%j|!Z}Q3 zT6UgXo@VKcZ2rzn^EWOs%=vp$a$lA_k`fmgk-U(Sm$GC@O5y@TvOFc9X35tn`7TR- zP01fwQaL5nvZQWGHge!RY3dBwq$D0U^BSYKA`11kg)Dk&PrkQq#-g`vDAe07vgoZZ z`QG+17QOYSP;ZCHqPJr5y^S;$y&X-V-cFE3ZzqxO?F?hl+u7uLE4_>TBH6`U!lhi! z70lpjVja1T>zT=o+{`W9#w_mOF7D=DW^*6+^8gR=FpuykkMjgiv4E#}mgjhZg}lTo z#5%Hw#Vp|smhu+Mc$Zj5KHx)^^D&?D8K3hdEBTsl_>S*c&5!)VFRbBLe&Y}R;%_QY zk;+t|8r7-E`ouc2A+@PXJvL%v8qzpBPgI_Ny+J1a&Ah_&yveha%Gm>l#*K=I8Tb4A$OI;<2_zu^fre= zy*(z2-kv1i+w;bvw-+hY+nciJ?QQbCePk?p`-DQheJhLJR*~;*t+D9scMA1ZRTjO~ zAm3XZW6@iEa=n%QEn-ty5zT2qOIp!}cEmce747NB)^w%|-RQwKY{&NO$WHWPXLey% z`m!6lvnPA85Bt%d12~X_8NeV8CDxI_6f=|~7{+i$GKyG7j^#K;Glp?Y-~=Xe5~pw~ zr!$E&IE%BH!a1DFG|uNjE@C>Da2c0#B{R61Yl(H_24->-H*+huGmAU3^W?!aOXp?t zcYd0`ad~0Rzm}5Mv*ewW#N~zLi`h^9{Ydvv26#IlFf*?z7va>^8bSWlwi4d)l|`X}_|kyOllN zJ$o8|*dAr0dzL-jtL*9CWl#4hd%AD-H2#GB%0~N_J>B2aMO7>LUyyF^`ycy)-VfT8 zyS?wz99ZR8i*VAP2?mC(LyX#bA@$Pyah2CASkj1;} zRpj4YZ!{L~uD6hTcP+iwW43G#bGe@fd5C#DO6>NY;7R7QfM$yv!@S#v)$l z4c_D}-sTlz7kNnIptmQXixA!N1v5pE9nVLF70X7YwjF*xg;K6^(v#i#T07qJz2E(A^G+`Hx})!q)>Z5$)deA zLhWrJi}q@hZ?B=TXm1k=wbx1(?X@M}-qyyVy)OJid;ihrGza)I4`KiV zIfO$woWTs?2##bpBRGmt9K*33<uso(Y`DL{8>ZVl|mWtR`o279~t!D$|J71)0C!rDS!M{F;*ZC`eK*B{j38Zc5^#AW2b5T4c!< zDQTZ2+oWXsEZHR`ef{-!zwCVNpMFo*fl}AW-dy~b>+yJ)*BRXvQ>eS6WzpSnI%oG)3)*L=fwe9vlr((w+`%O=r5$ zjqYs2wrtOi>_jhmvkQIbOFwpJPxfLT_N71jb07yZfI->0GAzyEk=Yy`o#t?S9Aw^~ zo{}@N4H5Sb^r%-cS$)dTATK#v)#432(BLw^_!!yicqoAF-TI_>|B1f-m_hJ5zp6vwm$h>(`}OA0G&r z^|ew`CrcWoBt8(5v`$I8Ea{Yz_&`X~GbKBFyKn;k@BWwTEuAH&l*Hp{UT1VSnL^#2 zCyVYbB;VZ?#-h8cDAe68vgq!1^4-lg7TwLIP};75Mu7uNC{v6lSFU#z17m8eWrs#AmYSf5&KNFC}?p9XA9 zBO0?Qo6(eJG-q>K(wa83V@qN!=|D$1(V4DvqX*j(Ysn7mNKbmPGkw^Ve(c5`?8)Bj z!+z|~0UX4^3}g_8ayWw-!cdN67$X?TD2^f4lH(c8SjI7d6Pd`#*|}1ZX7|)=-d~*N z{iWHwzdj{5X2~5Xx!XzL{Eus#htrmrNpx|8p2Cu7lF zZwhs{mn^#5mwa~vj74{cP^i1%vgqz8^4*Ow7Tt{}*IntIACqL0Ig_&}VG2{3Myw?l za3L2nolCilE11DmT*I|o&kfwj&D_Fm+|C``$=#R-|IwAe^L~CIFA;0WtGvcyUgr&# z@)pZ@hxd4&5BZ3X`IHrW&KIoYE56}7zGpSDmi)xetYIy`@dvS%{7tMS6{$oOs!^Sq z*}1Y&nxzf1`CF9cZ(MAc^X*fzb(Ug@wr^tPOQZ(kaV-oB<#Z@4^GR+(IHrFVX8 zD636f>ah_GXh>sX9odW~6w!{<2iv7If;`w zmD8ET8Jx-4lyDAHna26VI&u*ga|xGnIae}+tF!au)-+3JW%GAVn!opF^Y_V=EXa}< zQ}Rldyq%JFv*eSMe3m7vQu1S#{GO7(vZO{z*3XjqDcLwnnx~|t1LsK_XUG;M@wk=O z7`=6*P;cAGqPHE%_qMCC=xsL&^>%_`Hoer=0|?! z7uNDCzw-xw@i!HyL}jW{jT+QseQL2Gb*M{yHezEM(wI$&b)+dpG^YhEX-ym2W#>uP zG)sGA^S5W3zb-T4ym)}v5w4P?<Gbfp_T*p^sF zc3?+((u0HWXT)~xG#noKP_1wUX+{7*1%I(bJ zPVOewk=e{)F8A{w4>ONPv-9M+G)os|^LKHYzj1ltJozvsA7{zRl*HwQWKBwb%aV#I ziOUN~?UdBZl1)<5Bum<+WXmk+l9KLO(mN%695_$fIYag+iO0RX#^`Nd3iWn~EP6Yf zd~d^zMQ=w@sJHR5=E9_nC7aLFJi~K5&q7`z){$3vjm5mq8@$O|EaM&C<9$BlBR=L+R`5Aru#&I% zhHv?v)%?Iu{LC8G@*95;>&V}%qau~4LRG3$lUPSKpcb{MLp?U40S#%yCTvO*n$nCG zY)&g$)0TE@Nqai5HJ#`}SGv=KZP}h!M|Pqoz1f*Q^rauWXXnX*X_gMi=5KMDzj1kC z&L5YOFuJ(r@+rYnrT5L#d>QI+@)Mq0aurUp3L}NB#Q#PXs zO(~)o|BwELIjd^1U3IaQwb;s9Y=>4{;V=$oFvSdEC`WK4!x+v8MsgIRIGSTPmg6{{ z(Trg%;~38bPT)i)auO$V3a4@!r!$GkoWYr##o3fFg>#t7xlH3c{xA3qlmEeQ?Mq+O zJD|mXe^GC28NRe!ucZ98V3p(5{77u)eqjyew*`MW{+kL^q%u{AZ9xrcvOck$+mPCn z-xh4_xFL;+?Oge7L378kooh*K=i1Pg^4o%rj$=F5nb^*CqdT!J*pArF?MQ6rdeNI* z=tEz2!*=c;KN38^cx(#}rqH%vs4TVx!}zalfiLRa(!bHz7#vG%3`SFEV{nQrHU_7Y z-xy3W78`?eDYP-TOcoo1E6HyRZZH-bgPSO{F}Oz-8-qFIHwN>J#m3+<3T+IYm&L~5 zMe-Yi*Nw%-;7xKHgVI}7K9Vix6F%iLJ||}6SHz6`mhV`_YRb>ZwT@#({>~qipOKXu z$Be8>HEK|k@-woI-GV`KK9qsPV%XOfltW~BOKEHDD zabZfPXUSD5iO;Vjx2NRJESZ~<`20#TKPAs($;&BuElb`>$@^KdA|+pB$qy;{*?|j| zt(+ylm&D_rUSssOj#x?lskilvM{l)=m85)c4ID>rjfj=xpL*Nec=XnqSV_wF*1>V~ z)`?h2{;9VejK@mSlUPa0_twvG^tK0k@=v`TWIR@qfefO2Z$lhMZ$}aozoejel@VjX#uSVx}VN#?VFXLye1S;&jL%qzUcB3|bWVjX#l zw|R$md7lr7b>w3{VFjP@1z++N-w^A__pIUve&lD?5bMZq*?CeW&C=@G{H>klZ+w1b z&NoR(vn*+wlKA{e(mf^HW=Zdq#OGI%eN(c3mK>6j!?Wb5lpK>K<5O~CmYkWA5(mzc z_Rf&=O5*VXuQ7VNm_of>Ba7ayC*Rv`#-g`7DAd~nvgqw$^1VG}EP8vILcP5zi{2KK z?`@f}=&OPwqBeD?M|~R5 zkVb66rZk}`&1k{qw4ya_X~&jqMF+N~6J6*^cY3fb+Y{@^PV}TVJJW|<>BsKGIIU0~p959LnJgW(Y@cB*PiOQHA&cJHlkcsYvFL3Z3iY;& zEPCrpzPEjhMQ{Bn)Z1aQ=&hK1ZzGLGZ%0$8w-aR1+ezemJHuG?b~d@*N^elPNOm!o za4DB_1v9vsSVykodS-GXH**WOF^fC6i@Uj(+1$tdJitRd%p*L?<2=DrEZ}LLatL0K|1CBw30bV|l$$tfv0Jxk6_$@y7wSxT$@liWvFPnZ3ibA;EP8vJd~Y8ai{3t=P;cMLqPJD#ds}NPdi$M1y;YS( zZ#BsGR>xTMR-asNrB|a(Wkocn1ubbs8`=@;$X2wcBU{s%E_9;@+przmvm-mvi=Ekp zUFpki?9QI-#Xjsue-7Y44rTy@IFwjN22;#Xj$jzW8ObPO9XXcc7|j^QF@Y19$Vr^S zshrLv&fqN0W(wzUF4H)l3%Q8tT*75s&XvsIYOW>LksFxFP29|_+|DfS%+8Ys(=44g zWc^q$;@02!=@%u&C5HEh*HZd=HoM3?3lCSuN zSWCWV6+iGJKl2M~`Hfgh{^T##QGrTSp(@p>!FsGuEjFYM^{7t+Hl`7c*_0+Ur5VlH zoR+kv4ei*HSW7z4kxq1`E8XeAw%NJTC(Y7++5Fu%&EL4hFy{|X$&f5LDkX7=AvrN6 zCuhl-DTzxA$;BzTG)t~Y$@N)sM@sH?PTrH9lMf7O_}|wD@sm%d{5k)}&X!Kjlb1{4 z@l~%h+FMMa_TH04dmoZ-?{j0(-bxC!_meEzTSLCRzl}wE6)Du-2C`_cHo5kyHBA32 zqQ6Zj)L$!E^w*Yre_I=i{<@Isuk>b>on$@f&Cc{;SNgF#v7YS3-t5bM?9Txl!~h0z z2#0bwgBijR9LaD-a1^6BhGRLNF^pwA6F8BHoXn}jdNPU0oXJ^~Fomg1Bi54(xR8sP z&ZS(=70lo&uHjm)=LT-%W^Um&Zs!i}&T}oDG$*(DiOASf2l+?_Ux+#fE4M|Z-T4c!vxGNT z%3CbsU1BBqfDc*D$9&2PKIcnT@-^S^9pAH>ANh%2Si`UU#vlC2-&CL?m8n8Cs#BBo ziIrqSYEzebY(xVZ(wJCBHlqneG@}JAX+;~_vISexo(^nHXS&dh?rg)hY|oDDL@#=? z3w`KIKXzwNVjbCsed*8s9LT{8U{H3R3`?_gWHx_Cr}-O~8s_}zDLErc&P_>NYDlh3 z$<@KDhf6CyDXafi+pocjYV@cDAZhiSv0pX z`R1A$i{_eBsJX3V(OgIJ&Gj%A&22}a=601ubGwmmZa-tu+yUg8E4^K1u&kJ&9KkS# zGm=rnN^&g6F`6-qV>~A?k&`%uQ#qYUoWWU~%@oezT&8h87jO~NxrEEOoGY2Z)m%%g zBsVaVo4A=(Z=`3k|crR!Zt*Nu!j+g@&Yc zO4?;frXe_#WnL^#YC5!IfA>ZA{#-h6wU8^!Ns ztN4K*`I%o>%WuS5@+W_>jtW$wGF7Qg4c23QYOx`8s7HMourZBj%%*HcQ<~A7&1p$% z+R%BY|UVORRG8+))Pd$SMwu|EfJ5C=1mK^)5A z3}y&JIg(+FU?ihBhFD9EXEb9O#{^DfA}43(N=cgCQ?q%0ahmsWv0>g{pOPE1s@&WMxXe$&#N^vL;I^rlg7kyRUA}ko8L9aRaY0 zdaF&L-Zqg%Z%xSe*2-A))|NuOb&*AH-O2a1ld#g)}6er0hb0%j|!W5=54eN+6?2Uh$7pBM3FYJxm=i&={k1V^R zZdlpV;bl)plsz3;_VlRiY23;;s%-S=vZu$CJw3MU>2YOGkI$aQpD?;?bWGXPv1L!k zl|3Dwo~AGC9iQ&{`yczl-Y?sf`;C4@2m4pJq-cQ0p+y5d{!lc?YE-8NHCd1K`S*6pVyk?y zcjsa&eX*6k*lxeryL7R4>0&$nV(-+&-l>cKgIoX77xwmP5ntFF3-)x&_oZCM70lo& zuHjm)=LT-%W^Um&Zs!i}$M>w}2Y%vb*07e}_=7+Bn{`yA5>=>1 zb!rk@zJJ;}Y-GFv4QWLAtwWLHX0#x-e646r`K?2H#~s+3PIRFwv31ynZP}jK^6f-V z%5NR|I_}5r#Fnr8)}g=S*zz4nZ21N-kn&rHV#l%NJA&Bq4QB+gbvT;X@*PKP`NlAo z@l4=EPQsS&A3r=i+jwjp&Y|4a;eYw?@RIUh*xTI%y{04{uk#}@6=zatD&8fFsdz8> zsrZnwn2L{3XevG{i>dem`Kh?bSWLww6q<@3$YLrkCqEUxG!|3wYYI)pUt}>Ae8*~ERP5|HreZe=O~qca zn2Nj5hw@W#PscG8_aSz%{mD(F6pNhjA$5b3ip{Y1d7E|#A@>B72W0N?8 zGx?{fc)sxqxQL4}6-z%4z0&g;T%BFb+?uW>W@T3ybA~ke?@uV=+T_WUEy%JL(-p`o z*%ip!DS0$I}(dX`Q~q{BByXF|J2+R z!Io@IOp@BvVO#34J@tu6(l9$xnx$RZJlnr5(*FI|M_m3=qhr!vYIJ;-o|@7#vfX__ z`sXgnk}FfvF-y9nq+6EUk&<3nGB_poWyymnc_>RBPswC294mV{N}eu>$7j9I=xzqZ zx_ea?-MvA+yZ5X`cOOu!yRT%?-Ph#1TVgG``-x)R{V9v?){yV6g0<*wLyC1*T^8Nd zB;Q?KYth|y`ly){n(!twB#TT=1>mfaE|0Cj^S92=R{7T zHK%YYr*Q^nayD%_hx0g}3uwf(IGJLyTb@j}WtDJQH}FNlfM`rZ6o#R%WGLIw#w| zbJPBfkGPyuK26EzS+Y1K@e!9~MM_p>$$BY?kGLdNQc^8Twn|B@EZH$7J7>x6DcQ5_ zM$u^eMPmo1WAYHGV`Xng$&n@Tc(nH!-5p1XvzW~s zULj`5>%75S-eMl_@E-H|fRFf?Px*|``I4_##Mdn5TfXN9mavSU_=V-HU?r>ggP0|& zS;Kmir5xp{KqX?9Y)oaUP?c(IPIYQfldagATGXa4_1KObsLzfxWM_7z5xdcZ-D$?2 zG-q%2C1%M1wBSGv;t*PK7)NBs%E@UDx5@T!A?@LFv)z4JO0LL~Yg2MVmfV_>+q0x! zN(N-fu#}9ZS4)|v9jYhffG5I*0kX?Vvd~2Srll?xtvEk+H)Zna|xGmIahEMSJR1WxR&d< zfg8D*uG~U*O1PDt+|HfE9O+FT`q7^O3}g^Ph&ggULm9?!Mly=gjA1Mf^9YYIo{3E2 z37+IBrZSCZc#i4JBX2U7x0%Pgyw7|-%#M?VX_tPJ?cb$o|Hf^G z^TS^$DU&4?Qxdlsk}XoQWtME4lDN%~G)_s=EZHX|`)A4FDLE=jPD;rsS#nNF&iBG` zvaciL;*xm0)O(EHI#8^)>t)f~P2_v)VJ&*QjbgpsEsNgnA>Z2r)}pr&6zlC#S@br6 zd~Z{%MQ=}2thd>+=rY7<7a;1 zS61>Hzw-xwv6?cJWqr!C0TrplMr_O`RAo~(V{^8k23xW_Kz(VjuQpe-5A}2XQcm(u%`5f}=QwV>zA^IEj-vg*KeV8N?hpn*!%> zF6VOr?YSsBPCBMt+Bw_5UDE!ITMT=?S4#S3$>5a4Er#Twl#I)g$5Rrw7?K$&c_B+) zP01Ts@I&XTWE@^zN{l#=CMI8OF+g#2C-kAHfP(c2n|^|qlbdaF#nx9ZlSx0)2| zZ97@?R-b%tjjTm)O(@peezNGT1^M0%vlhJ_NwMBq%c8ea$@kXQTJ&}vx!%@(m*sL< z2d?BQI?{>GTu0228@Y)tbfp{J=|N9!;|}hm7rp6Af9_@=_b`|t+{gVqz;H(JAftGQ zF^uC8VvdYw0*^C^$vnvvrV(@GS)OACGkJko%w`TR^D3|L25<5f^LU5%c%KjWkdOJ4 z&-k1#_=<&m%{P3@_rx4o!cuqm8{B+lX7X7R><~mm9&537Q>#em6EzyvSUi( z7DKXUO7_l@15*;W7n0*ra$=U8o|3b&G z(OVyi^>(i;dK*fsa+Ie6m54dAF_o!8RjRQW)u};Ewqk2)QJcEd zV>@=BK0DHoo!Ny(>_!uIrx|B;TcaWWw7 z(m~n&9hUZQ++Nu8kELW{mP}1a++IjtO35o(@^(t%_Cm5CC0}OAcPaTXOMXkqA6c?~ zN;b%nO;fVD7mkwy93fkk#N#&JWAs*sV!iDoi{5r6-`gJ6qPM*$*4x3d=&cp`-j1~v zy`4a@-p-UoZw2zbwYL_%T}-jwI?1B9YsvT4)mrq{om_8gzscTP)`x!dX8;2k#1LYR z+|N*kF`SV+$Y{nemWO$S#~9B>M7QH=3 zzPH)dqPLeR*4sO>=|C{|9o}w zzu>Er|Hjw+>aSU^&LaoZ%YL}mr1rzT@fc^=78E@noeu&u4d8TM3)ong?5*#sVE5|eq7DNG}-_@3oCW-yZ%c#+x6;bmUsHQwM&-eMl_@E-5;0Uz=)pYR!< z^95hAkgxfMZ~30M;#Yj6G@2-nioXZ~5WgxU7$>Cx3>$d;9^D zbl32Fy0)5?-2oivD0#Ic9$)u9qrbTn>+d63^!F+G{uWt_{uWcLzh7k0-wN{mt+p2Z ztw*u`HkL(yRmk^O!&>yW6~+47K^Fb(NWQ<_tVMsj^EdtdTc6gPJh_>eC%4dz5_-^++lhH{7rp31U-~nE zfed0W_i`UYd4S=JFqx;A!Ze;C=E-zoo;=SB%;F{H@Jen@Al zZYAGaZ)?$8KZ^BszbtwiM!vT()}ptEDc0MQvgmCp`QBz)i{4%&*W21}e7q@(Ir291 zc$fE>&xgbu`Gikdz~_9)S1jTi7V{n7^CL@G#?So1udLuVR`CaavYIl)99f@oY(NDn zu@NyxHX-K7rc`5ds#Ak4i8-ajgL(10D;iJjS%M(jpYc4rUvWH0t+U-st! zT5=!~Ti-d-r-%|XFebDF)>FzV*y|AB@6kQZ}>JlPL`)# zx-#3pYtsHLo9*AqDXE$zHB+*6mefy4!z^i%l4e=bA|(f9$&o2JCQD9D$r)L4UP>;= zk}Ffv(F@1PA&!viOXBfH?=gDoLb2ZNkVS93$oDqTTJ$!UV!b^mi{2h0-`jX=(c9w` z>+M-t^frThZ*#0gZ?96UxA$bx+Xv)(``lXe_7%C_)_&t-iEJr9@iWW$m6fa_=E$G? z#Tv>`mh~x51uC*38&R1}s7f_9qdHqqlP%erZKzFMwq-lEr#=m6$j-zZX+&e1(3EEE zL38#d=E#2RPYYUd5QlImhjBPZaummKEXQ*qC()WyIF-{lgR?lBww%LxoX-Wc=OQj4 z=E&uA;7YEdBiGQG>$2mdB<<3k+5YX5_HX}e{|-&b@GKddl1H-Ssgz91lIK$rw-?SQ zb5k-eOFl};r&+Q%CEsVsFDY4(CF`Z6oEMIhLmeR-mc--6-edGug<`#JC5zr_k?(B> zYth?|6zgqwS@gCi`Q8q&7QG!vvEGiBMQ_KE@2!os=;$uGLGd|}_zG4wy zvzTxBo*!AlGJfJ0ma~GDtl|%1j;v-4>rs|+Y(NDnWyi_pX_wZ>_HUiEf8+MTp5HYk zyJg8>D7QMYhzPC56MQ?9YthY~O(c1#@y?tXX zdi#!Iz5ObS-hLzBTN!K7+xp~sTl zcMAFD&bAiKokOwaE|o=d9mqG=*;+JrJ;j>qA&cg2Bi~$KYth`@9gogjEJAgC& z^JmkRb2yLlxq$Xu#3jTmxttDM$yIcu6P>w^m?bxI6J6*^H%jP1Phytb!JYJ?H+|_( z%#wlJ!(fJRA47S7;f&xxM)4417{?>TEE&%P9%mAhd5S4a%Z`;<=~$VQ9V>Iwu@W~K zuB1Lq$>&+JI3;nDAz6`(3 zBOE11mc--H-sdqK$MKxVNwnq^PUUpY;4JdpwX+u8T}ZL+u9ii2*O2e-W^2*iEfnkS zE?IQfhkSQ~tVMVCQmnhtvgmFs`R*oKi|(Ev*WKFxqc~kQgXejHS6enY(U1&F z$;d2uI3vso|YhW#U+lgYm?IDZa_9EX~OKZ{F!Q^^d z`@QdDWyf&>F-K0OHElSJm?LL$76saJF6YsX_FTxtT*75s&J|q6)pX(-uH`yz;6`qy zE4R>{5^kj@w{s^kM|#tTe)MMm0~y2+VvgL;P=+y_k&I$AV;IZBJi=p)XCjk$f+u;3 zsZ8S;o?|*Qd7c-U#Y@cL6<#Ce$eYaNZRYVV?=znd({WOEVabs*?aCd>_?Fz-pY82Y z`sKM>OP}`4p2ipLZYy2Az4YlFrBClHeR@~v(_Y!r_&4+}UF}o)v~TItex*_({A}-0{)@(i!KK87K?jOm7+f!l3xk`;Ul{bT78eG$ zQS8FtZdqIy+(Z7t-~nrKVK9PX7Y2{Y;=*78`3r+7*5bn8X^LGK%$CK4!OP??4BoO9 z7Y6T;yD(V$Rh7?V3;2RBS;!({M}AA}$RGHTr7UCJ9l6r$*pa{U2kY+0vR=oIEYAj1 zq!R1y$f{n)j@*pRS$9Wn?RD(P+SFlN>ap&QZ0L3D$X(c#b$4VluVY6xr`V1>NESQt zP+GC>jy%TeV>zA^_`4l>hV?T!n*!_Z$P2t~&qdh{OUHD(&^f!2=#p+Ex@9*Ky;9OQ zO9rRpzASktCF8Q>@sv!?k{Ky^AxmCO$s1YnK}tT(lCM(ob(Z{;lI32wVL94S@_R`< z{^>nNZ)=E2@^`&$XgzwXOiYq>d#mns^j4FYB!Ac2cGjb}`ottzx3@-KM{iAtN%D8S z?PonENeg0xR3jZIWn9PJjf^>VhrPW zgqS1anZV;rVlqz>b7UGZN1o+5W-yZ%n8j@7@G`IR8gK9>Z!wRUBk%D(AMha`^C>Y$ zKIaR*Vj*Ai4d3!TF-MlLl%M#S<*Xp)$g1o(DVKI>g>3&;N&7cG!*Y{eDDHpR=PB0P>$2!=F8SW(TZ`U4qF8T> zWYODV^1Usy7QOvKuD7*cRaq@t!+MmZ9ObD%C1Q?jOl7K2m1=B8b!t$Pt=O7c)TS== z*p3~j&yF-?XLg|xyU~Q*X~v#3XK(f;=EwoG;6M)I5Dw)qjv(g9(Hz5Z9M6fIOl#V3 zDyMS>XK^-dIhXTj#|2!-MO?yVT+S6-$<=h^8ai_wHxP5=X1Z_--6-K!dUAVqoD4|2 zbWpZ`ho$`+pITJ-ii#d<3*i{2`d@2#q}=xsA{ zy{)|)tu3p=w$x*LcAx-bfY^x zxRu+uojd78Z~D@YyBWYe3}OiP5p(1LhB1PXjN&22FfKbzCZ}CG#s3GKYTCag z4lna6uki+N@)q-Whxd4&5BQLe`Gn8-oG?pRWYOIU z^4+br7Tv8!vFExt*9LchQSJ^rb%o7|0+7b1(NXlm{5jNFHP~4>6W;Ji=p)XCjaD z1e1A+DNN%TVwOy22G8>Xvv`R)ypkO&@1{LGKil04((aDi4Ez4alq}1V-%=8{8IlcB zQYlL|O-bBlNb01dUY6{Xl3lZ8uaxYYB?qUZRhFEPl9Rn~{dJ-vk;_Z7q81N3q`Smql;G$oDqJTJ-iX#d>>E7QIa+ z-`h-U(c6pUdRzNNl{aN`d7F8>%X`e{Lt>76!lx|YbH3y&7V!;>`Ht`TktHnSXMW*V zR`46E_=7)LO&Qi>eaf)`6{y5U#2nd#Dr`zMHm5o@*piqd+fa)-)TJKVvjYv-k)7C? zU1`K_G-Y@8U{Cg9Z}w$>4xl9maxjO`io-a9qlh_jEXQ#ICvq~U(1z2p$ahqY!-;|QBS#n!S;xPOxsBVole_3mU;1%31GtAl4BIXOky%mGKHx;%`-g5bY}7bFEX2#c$rstjW>9cw|JX(c$fE?&xd?W%#qJn zz!!YULcZo3zRix4&lGv71@xDsLUo*r5c-2oh_)zmTb*7)TS=mvK`w~p9VB!XJVE#qA^WqN;CGL zIeQbcWIy(&1uZ#AJ$ddo?M;}`|N;WKs$Bn(u=&lOIy4y+?-PI!B z-452GyB#Uk-R`pJZcp;v9bhfGJCI`C9W9IQjw9b)8*9EP0* zLrS0Cn>~#$^4(XudVlHDp`}kBD1ADt^y%>IY5W^Tl&+2}efnVO(@~{QN2jOhM|(&A z*Zyelf(HNmXs<6e-N{|_qBni$OF#N^Hv<^RJq+Ui@RzAvb^P-;&;9dd%7U|Q!CAN9 z3cldXTX5zrxce%Y;00&jf+=1w#S5F_Z@w&PX0)G!HSBaXiAKjAtT` z^8}N5iYZLv8RD{UIx~2l7nsFt=I{z}+4nkcFqgNO$Gg18d_LeKKIT(C<8!{`D;DuJ zi}{xC`H>|o<0pP$IV)JnD*hlY`&P4t^(ad@HlPBPh|9jeyL8yxdUa}0lXWj0>Udq3 zdcKYV=HZ`~j5jo+}pwRt;$!5u;*-{5D^8`@R(w$wTk$3G zTk%b6u@&E@*jD^R7F%%v`K|bkwb+W^QEV&zDvPc78~LqR##(H}^(nR$H<86v?50#> z-L1H#*Rd71p%!(h%eq^!f!DDWcVcJO-HJ`Uj;*){#kOKgS!~6FIfQk$;!$45Ry>xt ziamk+Ry@sGY{fGi+`Ci9XY)`SRc$F-+Vkh!j@g{3G)0JEJyRCSq^}FazA8f_7 zpNQV$`5=a5cQm8YUB;N~E@MKv%ZLxT+|fLjl9^fZa!TSuF3I~T`7leqNXf!1S(=ic zv*h=b{FNmYQ?gN(Y?hKOvSizoZ106nliN5#b}EU-UA)KWtuZk|{;s!utj7r1pBN$Q z_I9Y((c9s~2>H9-PO=^&

    41RnH~wY;@R`re{*{qg7jqwu{cqk-xQLWEDfyB` zuXotggN^vqQTJiTlaB*)eb(O+gK!)#DdG?A-{^#{7+>bZ_6k|EzuOGU5 zU~(j7goi;2M$R-gY7YISS%oe{@gs5B-##cSHyBW@z#43PBx@XA4S^WtCIJC6N=4!; z0DxQY1Bo%&*dt6;2>7GJck@o3a#9T-w)bQ*Z?y%+GkOezpIiy&?uM2jp;NxhJ$Cmx z=1|T3<(BLp*Rjq;|CrReKyiV9f}0*G0+AxO`Z>9S&dB}4>?~9@7>mmMiH+=8o4~9ks z`lsyB>hIGGL_o}zQbGD_kjnrpz^95Yxx9PX&A^MN5bge7*w=DVNTT>7?{&IjlkZQ1 zBPLXVnZC&j%Vwzq&xA>ajcyRuVu(g38G8`x7>+8^*T?_K z-$Jt9E9ERekjV1jB&Up{N!jxm7+)zIu*hkLsL*B0=Q9H}fgg19W$<-BG<#difseAz zRbsnt#fc$!fN0TM9r*-e00)d)-5Yc;RtpV4N7gff5DnHe5M%}3MIG~MJz7!)QE*h% zn8%7Ay0J;(^eTu#P;7rIJu$n)?aD|^2jquh2`m2Dr&tUt^$xj51ht+M=H~LLJ379c zhtdDN$oH(LsM3ACeRiU?4il;urGh3puAcHh%sL3af|()+Lta6TH;L~}YCilI{bFBS zGdgH^vwB41uz)&YHIX2ad-3zNHt~RDQcop?f1r{!b2-&>dD=a(9ke|BT?1q?Cxxh(W0?8jtjhdi-U`y>I z&zzTy9qx(jQxZgXULHI^lyfdyR~DivdcC{i%*=dwW9Qt?zg&j5BX)L)eO*Y=zy#p= zK}5`COCjAL$gz7ME79S_{0?nbis|OHW7H9zKon ze)IVl9o7H1N?j>E6h)VVA}%jz;>v{;DR;1-(j7BJjD-b+kL)>?Msf-3$q^v^ zX?5RFzrgS%#WaN|-Cdzc70|X=uerAc{HY`RN!0QR``k?Ujl}$W`nrVHVPimdPh;f} zmk0DuBW`oEd5;dqkN)|^%OFbjQ`yynM#@l%{I&5ZB z=z2f;hm8#5FJ-1yX)iE@)>1?tem5R?3^b+i^!0VImT<7Y2!!C<(yih@qo4I+3pA>)F7S|L{&EPCk%*~;_yAvRHF_YR_^5gje64re!iS}m*`b`3 zptYt*_pfMmgP~g8`@|-209j4yyZq#a3LY1A*QSj0ZadHIDe$`sFkL1u`-E`Ke7TJe z;^Hp9;eTwC_$M?{P=rASNS4?SNA%>X=VI_))QT%dN&mjgxW+#G_W3 z>VTcaPgGPI&P>bxSgjq38d{=LBNSs*U%#jbk{6y*aYO>CQlnfTB9YoJG#eWyE)U~m z5Bv$G9)4+oSmgdI+%gc{r0ax^d{Ffg)%(ix7!DkE8`p5=Xui~{X}gwq?6--9YOe{0 z=6?$C=boGAwDt2_0uNkGA$jokg4_hkAGnCZUn_585O)39%{>EZoQ`on6w1TxN;jA*Hc zIlAKsy(YO>dU;W7ec0yfGULz%y9y+NV&w;#2AuN$ltKot~<_+6Q9Zs}X0 z)0Y%HN>JyQl*gaV;T6)SrvlGhxeeO%IN82hp zzufduqLb$hj>19ozA*6Lv7#Pr#N4nr~zz5rK{#_rUx~a8}dUk(U^@xYAW~JMz_!3Q3PRD z-F-*-hf*9`f9@KM2z0srR#K&=IyO}tLtO>Mb^k6)hl15oBk4o}LDt23j}-x&z`VbW zkKdkvh|kxAf3>RyAZvny+`hll417ca2ga3qDH$4@ZP}eMNI~9qE9U6LxB4i55jtfz zl%>!8&46(&*S0J;QT6#v?L95Gf8{0(<&za*2!oU(-cKP7sc!GLJC4uuzFI;Du?CsX z|9ubl!+4V4@TP}w2f|){{lV$g96uZ7FR69Y2CRK7c-FnnR7pAKOcSzBM?|8`z#}(# z<|r=Cb$VZ+#Iw}xkl^VPye0q)JL>CvsI)+w!^8Z7S)(WTHycpaeM74#$P4BZj+fqd zUg2jpP5mn?bPhY8(ne@4Pg-zcztD6&NP>1~!YeQVD zX`EI`v2@2=i5~;WMiUYdBnXV0p&Se*j<2Z)_Ue*hpTR1DVaI0t!189ex*iR#n$OIS zGo<98bf7qQg~GCSO`p$Mo1zkvg8F~?;O&97Mv@tGxC9jhrx1WzwksaHJ$;)P%Y9GA zDVZ_$AgJ< zzRrREdCcY|3}n=Y4S>#j*t7oA^_Rm3l&hsu+29h%URIf4wd$!gKJj`8W8WsvmHW~h zXWEXU2bJIZmNRX6!lw$#4Cttv@!RIn*Jo?a@7yFuFfrL2#h~U(&)5SuWN?uKHJLHD zXkM(#L&4=LB9RIsuaf*;B85YId@= zmbO8t+_q5W&MB9!51@9)%XPf=Yvj`oT_p(HUul{e>R?K+H~q%bNs>3qN2HyNnWnol zs&HInsb^-41m?14^X$cm12)wpzB#)#4>pA;WiX3iOTy)m#l_Pn+XCOuV6EXmy1h6P8VmL!CN3rHEoq4f6eJ9UxR zd-HfSe!sN~l9PwlAT3<|w0KH|?yujggOcidT^ZfI`N1zLY6BFjn&q3cn3Ll-ie6@< z*Ssz(WIr*VeKVrGenAa`0upk;I`@&C^3U$HR(t3ATieq3&+IDN6?#;%E>pVRPdA!3 zWBFCA|GRUi_NCdf{^f+)oJyvzQ*U^06iZkr7;A)vU+k6`k~o%KlzC%r?@>V z#li?-yA8b7bqUjm`Kg@AV{#46H+#*QdcShH*WR&NZcWo2c=~(2emSPjJDpplrz1EY>8C=HK}L>qka2MU5|w6#E~nivgEP6idUCeo9W`i!UcGJSaIqtx89B5#DDjHYkV^Eqv20y*#k|$rWypE-d4Dg*sAY zfJ`+UY+b}|y>bH;_u%j0@jba`v4gtL}2X6DDcLZy4lZ%lN0%1BGM?@4Tuk1x#=2 z(ogdJ>K_Ar3P@VB;~Zmv&t)Ri--3AgcWN_G^!G;*=NxZn8<5HMYmSw~!Tb{_Rs@e3 z3H?Wa=3ES3Ga0#}?2-8D&8bke;>ydVk@t)i5KS*7Rn@tT^H6JUFl_cMq>O$7*UMK1 zqbhT2#&@rgLh`s^@F?#(uhC*M;J6&) zaoMgTF1-Avfcf>5J0CgFH+0lrK^U(?GxxH8BKb{{m%Il0Kxj`ogXZG@N;`IFQL||-NgtCQUA_*|Ch|4D=5nJ za@+8Ib>|!MM;<*DlyT8&x1(*!9_*6#?{nL>j0%=<@n|5fQvd8XwUYS$D_93j#-k!9 z3#a_1lUs&35|H+n;f=AzLbwaxp>Y^od{pV^`m_i|orp`SH8NEB@R9;q(Mb{$Zxc%& zA&CA@#uOTWo}Ujfd_ilbQzH|?rwpv3M+maj;_gouD%uR@VcIbPPktNFUW1fQSdbzk z!~C(A%N;tJkfpXGro1X8>h^=BK%X|FXgS&$|BmLIs#;VTmJ zFQZt2_}5#(p?)0dHPc-$Vy-w<(dl_S7UiES!m5Zs2^MHO&>DA;#TRh39jux1oj^KL zPL(~QQzi6z(cP}oq~K^XZLd^?pV{W`#abWhejn~a023eVrYj}dSbu{tq@q1UbNMHt zb))+Q-TKLiug@CgmQk76ZTL8&YTbs;xwn-z+SeeuwkZl?ss&sG1;|mE)zD#*ZW1F? z4z@MR4#CR(i}PJhhE*x%>}c8PH$?0v8$i_PnaU*%X{4zu zOJmXi#@pY7T~Z16OM~&Ek-^yT&DOF)UHT3CfQNDP>?gFf!S#q3GGLq3`78CQbUmjz8?!}6b76(zMoywg z=ThAg#jFYwLo?Wp-W7Ia)5&A4jh0KnTb9egBBTzyU!#PC=A$DSdR~=@TfMA%jD>8+ z&XpJ(;$K<#`=jkW2iK*nhE$+_W_;EjJBE1=Bkpd8aqP}lL?1+Y?`_vji>ode==En3 zHE<*@DY$?Ms?}hU?9QnAxwa_%!Intzj``RN-)C~hfLr%Pb1#*uU4S4_Vn;FP<0j!#8QKN z4?{;U1cop`*mR29b=%98uCrpgudMzc0%}gWG4w8dsCJvc*Vf|8ZYS4c#CoCPg<;5f zs1ol1)WGNeEVG?CDHK?Gyp9bI5qdn%KFzTI2Q2%-_DoqNIw^znT+?o(-49byaC?ZX z&0N6<1uli!C}jl3LVqhUWHI!w8Dph4QJxQ!3fy@#E_5jEB9DJeNBpTyL-Y_&GyJ{& zMn~bv$M-q`h88KhRY-jwk+gVrbbIQdxR7Bdl0m5hIsPDZ*ZOJEO*g3W0+pA_pJfoD ziqV<)ONtmlSQx(X)?+)|8@oB~Q zIIkc26)PFkHV#ep)qeB!1#iQI0)Cg;Z$TodX?Mop7^*1s*T{%>C6R$sO?57qXfv%s zABM6kNMA4F7y_&a<)o7yw)q1;K!_a-Cb_q2JYV0Jn^(_0CfFObhRw;9A!`5zBykGvX5 z{qJ+aLBRA|A_ud~w`j$ci~^}C z;Vzz=Y}e<4Qz2xp+WE6K60$UacD0)jBzo#f`Jr=3e&*j|dc+E7%RGmHjbrBLg`(;! zqFt0+g|0t%==&b%7*mgfDXw^vy5?SestuWFwDsf!SfQv__-}tK7ghGj*!24I*UrKM zjgF?MyMHVD(Xjk8hy`umMs~4z_@1hT>AfkMG~Uyn*Bd-ywKWI{f?AKQH>_*tpbsGJ zCj{gQwd^U=x|1wWWVQ3dfqDi{&!ba)XhQ_XcvMJ{Ud4Yfik|hgyox$YcG- zMM7qyr8N$Gk>%>R()8K)6H-x%r9bGwDxYxM_<-Lsew@#^P3BsT;;TVls8x7V#x!^42KItz zL`U|t+s-(-W=Q%jZ(ZyGetOqPUT*xH@UYTYs748Y?N_Hk8k{rFEK z?~n&<^zM3W81btJW9fyvTM z5x?%h)_9zd?Q9`iu;3AKMBDcHO}J@(blWC}cTRPbhlp%n_t2S8+I@qu7N$7XB2e2Ye6_mAX^ zOCtvcVm12hF-Dh+#ka~~noI4X0-zoRhbE$!0Ne!7B%Nf(xY39X}+iE`@LAwwm1 zaR*Klk`@D7bS0y=>$!b)p|=$aO5JhX=>Y6_2G72>~Np zOvG(VtBcT|nU0P0)Xl%jA))#fe|9*lHqFw20y6Zo6PVfC<->Ln$eI~K77cC@=u0d!UB_rVSApkv!9OE#Ft*1j&48+7T z?qV+8#h-rm#R87Ynx9Ah7VL{JLb)U@6PLt!JMKCa=iWOf?Jopmg}x={l3PjV{xa^s zS(kTM+%1*-(DHfhGH-hGc6@APBp-P0{@{_|-7~W-xlnE8KKHth6u`?`HbqDKXQo!q z7WJ5(dB?7tGQM%UIHC-e`m*-|s8U3k$UIfM?NqVn3s9Xz;uL)Xg1s&Htqkw9%V zvH}p+_|1RXi9reD0OErpq{M<}(gOmW$biT7xEzBG zsqm<<Gv93SyJi@blGnY9Zt<|Tu^W%v~?y#WmFmXa$52CDJ_Uk>>hNMGix*L5-G z;B7Y-Om~+GeL10G0jMmH3H1npZKu6A)(<<8xm#C`TMaf|p7f4Xdt53S-?d-8@)2|9 z@~q6AEV?r8RmF%3L^+#MibBkIt>#I_w7#vV%*L~2?69#|RKBJ9iGo3DbuBfP;5f&? zYZT}%m9DRHHOdB{pFKHsC~E_aiZ#rAaW5>1;$JF0g?5$kG7qKlfs3qM*Ab>$bpB^M z!slxaIEA`yp}m{yY3LfSGmbMSe?(DuyGZiG4gU4;UP8mp2a(#hC>Jlmh~R89JkXz$ z19xQG?9u0B#og76^}pXYar14j$oZsS4bo%iWg>0QNkb{Jb)W?EmkJER^8 zZl`(@={KntV&c?0HUG5@Ck=~H36X8gtB|bVYgqiHzBy5(A3o@4u)+w{#sKzwqpjMvhorGXZ|h7o1IZ%5QDF)9AYw^)(b=z zqJs%Mtqdkb!Le=4o(17E6GrGM*->`AZ-fZ5eSVS_zh3J%?gn)f9;w62V0xhYY62(4}6zgQ*+Lgb#-=_PhRh!=f_ z>7|_Zi4w_Lxoj;1(3`%E!L@H$*=VhP%rEU39yf1x`ZDN@LG_6n&nB)ZFPugp;61k# zMhej<%{M|wNApVYTRyDus^+dS+yDj9MCBHNf5Aak~tl;pF* z&+TI|m0vYdSA3-j1#=JlgjEA8XyzcVm*EZDni?-JZ}Nj=?!a+NKC z?F#pFbDIR#zm`E^+gK})-pZJ(F@1upi@b_$eK)4=AC>nFr6u`8Wv6$-sw4H?t4X%L zOT~Q$PU>fIg7#+8*Adh{;V-VL&TaL#Gg0Kfv*?G5sNUA~GNOl|stdS%>sHdVG!B$P zu~4%g(@2Y1H?;^E`c+1omOp9ENP-YrmiE7{J<&S6tne7*NIZmPk^Y5Y^${+YdkcjS1gPm|ko1K{70N=!QK?9*cvhDW&Vc z#^TM{w#H9#9CZ$`FbT8j*-C#j&t8oF{VM%f@psgt0m80+QZ&R7*fDgbQH6T{J)(tbo0UvvD!Y>a6ZqDB5;4g}p;z%mh}!LZ1?BbY*U1AnD)NA^h?vKiNyyM6WSQVZnw;TT@}98 z-Pfhy&MQk$ak2Iqt9||2vCcGc`^h!#=1)rzmUoTzpG}S&^B#m*Ac2iAVz*&o2BaHU zgUO(rreq`Ipty6N^S;?x4+UC~+#f)ow-U^(A9LB(#$#0l&A z_|S?%@}KvzOb~Av3jPsmUh7^K<9o)F5h|B)!%rgrqf&3+0~N%!!O5J4N!UtEhX}%R zQ2(}1YwQ+h1xq^i6VYv}4MT_5HcBW>OB>EVPVWGtC3}73%Vg-c;eXZsLds>EE<zQboyL@{nFJG}C{PP@O zZbs7b286NC-k0ik|h(Lil(i(lJ58}%60Ki0oC!Mw4*RM8m4Mze8}xR)Y3K_6>Qgk=A?66KLr z71wh%#V~KyP^g(tH@xt!ddAibj2Ua*vH!C-s(G)?4XP1X8s#YoEC3xx7bs=e@Rrvx zpF;TF?L8f)%TWMu;7!p-1)RGQ`*+}L&&brCM6|ei=HXP*A5?a(lkR1vYMcKXqOcdf zr{a!mIzQ| z^S?joDIQUGfW`m)>a1^Fs%%Y4`udJ;`ng*YJ?Pr}!?t^=2p4ye0Xd^^I^ZCdskQZ> zQ>Nu-uD^$yTmJ|$8d}dF4SqULi72F;R)-p*`B2Njgb@JEzUJGh*|`~|lLL8!g^5tJ zGk7snX|p|`E^845>_)tIl+UfY@)(MSiHP}|M*a~WRq~T72+M^5JXDwf9&j~Rv&{Sd zKgvUs@rN-bkYiTmt+Yz#plAk|R3HJfRC)ahY%T(CW7J#}y?9O7dPOk$pi@ronI`ZU zHeBv$T4+HqBp(m#@;yB}EcYh;v`H*MOC!;8sf_T^0s`sL=VAtkp|ia=NQvi}1>Awu z-ndW3_^`D@#p%nXNxyI6U%sea<$^-<_j32fNutf^_xGZ02Js(G1X)r4wMb2eMKIik z*Hf9Vx8h3&Rp%z`9#pBWMFdj*q0!Wv2evD; zDf;l+{dpcKXg3|q>`baM$N7d#qhB27-0$nxXembeg{HW*^ts|@m`EDw*nvJS0gcX-lz*WNN=FM{=H~unR9%L z3LaLLT+O8sm%b1Ggyx}5=9XQ`$H#07nacMIP- z;3`i-W_blHX0{ROUUhkKi54woc~HPh6$WYt|6t!={(!@VRInsVWl{c;;9v|SB58Py zdk6c<@JWqaV6sD}>__k%Hf8>!j)E2O21ONw_)3YRS^tgVv3!HcU0h zg24D!zQm6J5zYPkin^&$B6VP4OR77#bMw;IAM15d5e)H5#WOQ|#AKexfW-jlYGGRM ztSAj31lW315vw@;*d?#dFmxBt_#hZ&w7~kC*)Ed5ba5~Q<95lY0^m7>wos4kr{Mes zEDMD$K=X@i(t6}@xucqhB9}G1A0|v9q``bP2BvsFWb^$|v3&6E4xLS73Nm2!hRin( z0U-O)&8FP#_4Up9e4ZgJW(Cp&WlwA4Zhp-j1j3J{8-eR-duyfSnB<-?40O2n*WL;; z4h$lI3bkRjWfL#|(~nohG7QT96@JFIcNWY^N)3A%Irn4&0wdUB2e_mi{(hEY;;m?i z_ou<`TROQQu)2o@CR*}2foC2ygC|qx$!caG%a@7h4R9~ej1xZL{tN^D8}X^X=gx1X z_Y=42%Dwje@%@6aeUtYlyBY-VMLulp>HKrT zT2MVP@U(jr5V=i@Oa%PgI(av!!`L8uH_=0}S9yxr^NBn&Gt+4bJ{i$xXlHks2U_Zo zp-ks|q4s`1yVH!5XFkPJu3y?wa)lw#!~hj-Z7;~_+ntAa#qWG?xq+_P3qipkCnf(s zze#9sEq6ilDf=Ag+S3S$SS7IQv7%~s!*SQLUj6b@ktFy%RP&RjJfwDyr1Y~7ySTGX zN2#@B3^J(IAP47E8(%<;c9Nd=qLz4#+4=O&PAE^`z0LFnsO65IL%6DqCtNt8*#-|HGIM7g0nHwSLh+X8 z7X9v&tXLok=VwXj;8b&0%e?(e;bEBa(n}!9_$m^)$L*Bp_}KI(5cBnk`wo4^kf-ga zlqdRaJ(x>NMF&YNWkJ#%op*|;(j9AHgPod8u<**$aC_2rL0T+;lUL**%$g)X+&kRdLX z9L&ITJanKhc*3s3;nr9hlL?y}l_}%X^+~Nz;+rfuXkZ9I`ZqVt+HKd*uy?B1>F6uO z>0AOS(Ll!~sw`FwtY-Rf5#NQwb8RB;&tB5@pUtd4j|+Lyz3h{6wjsE7vgXtHOZX1@ z8@{IZ3xwA9`uu@f+K-5KAL;@*ayItGL(x(9D=fV-oX%)ah*1Lf#}v;M1^9SnNPD8= zd=E45;Kc(Lx%^y67EOml_1gbzI4*r;9N}!CGk=j!fcRD-z0JM}){>~}Qr~bEUzmI( zM2vpW0n6gWT>=uCicQ3&>hTZ}UI;vU`yPAM%CDXyU_0^P*|ZgLp>^AxlwwTgz)6Ek zM&t|^^KU7QjjVEqsfxHc*NiGJb_W)VCkvFADcik*s;{2*b9`utOeuSG({Tv23Vz7A zCl-HXarH`64wFTva{nh)?tcHW?9g4LO(lsaiHp@ZTAuM`iKdvxRaQ>U@~7pT-)^2> zX;(K9IXRo+&MRa7o_{-!#4mY^_6L6r-AZ^VaX7f1)a0T~Gwsxrr?gI}1ont~IsG$H zk*q_`FAQ{&^ezFbKJNb6<<`}$IwOGNp0RmyRP83yZ}e!0w9oVtc5x>L`pStJ*52Ya zj#bPu&egDELd3sSQ8gkLGtGD2tf3U4If> z_5HF@_iwPzkIUz7G{WgHhrSo5<+>SVOo3>*>GkJHVa2x8Xwm531{UZD!+)8Z$1i=* z;BB4clk@XO!f;?f9vK3BnRHvY`A6?Kt>^TBldx+ooyiupB$YZca*i-6|C8!s$BEHk z*8}duyT*paAT{=}Y?Af|hr#m)+|{49=rO|1H_1MQFCIRbmOdG}X!m_a?Al`gJBde7 zSItuwWl{!vIkjQjzrcbZ{2=bSK39jAe0}@M!i8Zz-)t$`1B}SJ<8nK_3XP2^G2wU! zV1^SJ6hdKopPO;^Gw4^Au(LYvM15l6x*N)i=e1(Yz(ahexywy_3;N+_R8iyW&Rq6!eV>HC@TyGc3^h$zL`8#sZm!8OuUI!tWb|&@?Iu0(aA9gRz1%8 zqmhRvo2SVNgVfp+T!kazj^?S)Z_Yn>Rbv+AMzaHphuuC6rL~Z0%06^NpjQHO*|p=$ zdkrPWj#QAS0M(qslLubr1RY;LCR;hx@!=yNtL+)Y{P>$ zSdEU;UQi2kc#?Jn!&}Oq_p3~8g?W+40Csq2S^Q3)6MESG*chU;>vxT#At@>n0WvM% zfk_MK$sWZP^AF44UstVG9nHw}_y}IfQH-0%4`3l9&|G0XO$z7Gp{(t`mdQAky+$Bo z?3t)*8Pa1{y)Ul0rpOx>iAud87-Z8?0%le%!F`%6d<$Ys2{{7%|JX*1QGyOeY#CTbAB+b^&HB9*?X8a@bj4hSCjlt!7oa#tLe8d4$8`Ym&1_BLI#JS+ z2K9X5z{I`DM3#93oLc4;eIdR~Hxe%_|94+W5ZMc!K~e;DMg8cz5Ol=yfxUvm=NSYz zx;9|vPcQLkVxWFSJ9O&)B!xKp=1lrtGB-4Eg8+dt$Ueyei0Svl_ zQcC)MvafQvZcrkjq5)L+$UR)O+qR10@p<+|vDbf=fAU4w*S)J@+1!_49d~qaKzUJ$ zlodO5yzAT#7L#TyVKsBFQJHV$z7-|Tc}@kHLN`;?FT&K|!K7VEc++;hho=opVe0?h z$qn|jI8fjFs1QSPfWNcf*zRYBpF=Yit^o%kX^nx}8Dj`fkEFA&qrCKcbYRqNHLXI# zbR&5*!ScevK_ITRkVFR9sNgxk-fW?`1wh9Nh!v`7>nVrwrzb3>joTpoUQ|p=q5Mbp z`JNYA*wtNJY^AL68%2hTgl${JoBxQUH0aqNGOY_e|7cOH@b;Hx^sA!iwRFLEP)!%} zS?iOwj9GaU7z~@Fd@%Nntpi?;$5;#@9zc%(l#%O@*D6Aw4g<{$rR+p-nEQ`S@{*qN}$cw1SXoNp_T8yLyd z4w@57i^Q9VTWoSZ&oEZ`VryH1#kv93>elR0IuCMu3A#GAmO;+HK=!iI`D5l4(f$Ju zAytvF*Y1ErBeyFIm50%?N*5P=duFZhXZb=Gt0VsF$IB*096W$z2Glo5pS{UGc>0&s zldgiw>X|TP=1kh zs3hbm3~ei+xLCu0(pQCx`aO*^>^jOs|3mfo%o!CDpc8JinU2iA`=eMrllP*lx8yy+ zRcSmLB3R{NJC^H*Y1d&jvoYkl-Y!Tx;5V32JPto18kuJ|-#I%M483@_G@iUgMGJ70 zgW^g76gb17u0NenJcm}%yG zj{s`(bAcUpyTgE?y3Q;1zjsA>2tX4*3Jr1lrn?a9zVF`nq(&TRPs zfP(M4QKPm3f%b&>LIHfA)yX3nx9H8Q5`!sXPvO!}3#GrBHZB@NBB#TO zZ23`)9Y56+=C1TGdTUkQR1{mnW;cj7mq$PsW|GHn=mB3@77pq8n5U(wxn?>dgx$Q? zwgodQWblkD#6bKl{s2`s!I&Y;0wZH#4 zqW8@fNFJwE0w$=*2cjoMU^S@ih0aM8;+{!s|8%O+O|l6$7CyGth}fp0h*Z%oRH)wJ zlw>?E-?nwCUD) zTnUx~B#ux$NQ8e}KCQ95{5U-uZtN-MYGuObg(YCHUP{O5PDFHWuoxr~qdKbBP!ok5 zq@#?FkDTwno6M{sR8Qm3N`hE%%+7WBp+4n*&w~=Q9_IRDo>2#Q^j}_{jD3QBC3i*6 zKb7rgAnQu{9UJ)^a!f82MZCJ6Hty1tEZO75v6v&Y)qBgkzE7waZ!W(1g^K(z(R~tc z)^nQ%|1tAU@_a;SEXvCJ>T8ksbjQZoy&3v8OyZ<}keV_K9T}bmHRJzBnNy1uYy$h^ z$JnvoPX{=`5}cwacEgceh`N_+vo;Q^V0`D>+m2n}x%(E=X{5WzLLYxT{fVhOI4@3l7TJE$D}rM#xPLa+GXQg!XJ*5h?3ObZ8|GXlY*k}kVZySbp> zW`Xt`XsD4G%Kn=OIJ7@yOD1@2r0nfGR6(G$1fb&uKzd|(A!r%A=eHO9Ht!@p-MC>E zQ57C6Gmbz79+={8P*E>;rep5ZsPO>M)CC@q)5r2Ku)H({8e?aZL}p%o`UzUbHEy7$ zAm zV<1cw2qCn<79t&kEMj|gtu-L~nvs%_v}WK_^$v3#3X<%zlj>4MHxDK;2pYhpcvMP= z|ACca7gUuLDoPT`ttZEYLd<$ifr)tU%0eYA$hXDmV`jGiVX4;hAG(@5%dVXGM|RgW zT7UDQnoSS!QS($LTa=))3&w3$qu)5orZk?T_oS2lEE7KO7jQ!cdv89?&B{|o%G)G& z0m#|hN*j9A-?LGW5wIZ0w=X}Yn+wYU+uxu3EvlV&Q5w9lVKDXpDte3K8nhWGF=9_` zjq1x!a8aSi2xdlO6b`s_(sSSjJKu1aT{X*t7HBzEhQ%JhXahiX!3lrm>@FuIjiE&} z@cFyOgr9758#}PF0g0bQZ$=FIwIG}e-iuiZTYTvH?25_HI z!xMOR^xaVO>A8iK5?>RGxqGIUjORDJ8ewlLBs7487LZ`A$#{(jbT#BXne?e=g*Um?R?w?g(5&B+1g(=(&%%>M1+4J@bX6-zUZf& z;TgZP!;|qXBTvVbjyN0doMX@ytS$SXDaoy)!-1`kzfbvcLS@X|zY&00K?X1{Wa9nN z?4Fb(63Dpd@Y_InHi*RQlou28Jw`sTAyrMJa*S9I4-h3rn0a|!`TJU8X~AgU>Gj$U z8JbOlzsUG8Zb%Vt_F$vK9IW4{ajxrINdoqjX@Qxcm+ZOmr-}wHk44z`f0?v^DQ!|T z;8_nU-<81AvJ5gn?^xb0enuNP7!yxUa-t-~=!y*3^KR92T@MnO!5cjhqQ8+g6%#+?R*~k?H2r-+pfuL< z)ph-3sK@V36ew*TG*PT7FIZ;p09&3Ep>0ob!^77R!v*O3VPRpB{9s4H19<5S0lpP3 zTs9aK?&0eYq-ZmEryIkGRDUzBRtTgd@bU8Ov^kLB0j{-1SX4u9P^`lU47eu(lB3Qr zz*#^_MR5?2ra8iUVZKoHnKF54BG=&i^c5-^!XKmOZZKf6sk6QKl^#UXy|p8}m*p3y zp*w`-Zl%d_@$30uFn|^_#~&f9+D^rX+|yQu`8S1>huCE_zcsUD!`V>r`h2$pLwcqW zO(1G#+}SC*U==Kzisywb8fM4>aAQc@g0_J&c(goQ{t3wX7>ib?J)?i?b{yn5TThMRh zV~Ill2Vx+n9J>w+1(~NTiVOHWna-iV0mi&w9H93|+2KdwWW!-WE1`C6oK)_`fb;fsPAs} z*=UVw*y98R$6gCEcsOZiJRyw<&cRR3;G%Yo1sZ!c5+WA&)|{46XSi zg%_wDo#gDjGVy9f`KLSOFBfhdgoh=s6gR+2{{gi9CkJoYF>SNgK)u$Vmfi2AzsHb2 z1>;_|oJF=hwLY%Py)dO}v931kZ6GpkunxMet>K&t4+g%0`6x=t2=Oi`?l)2(?_rM3 ziNFyjZ-EsM%j7g{4)jg6E&Fso|GUj&?<>tT`nsWkGdgKCKo>4`@B>1O81P7XP&?`W z=k{KWt|)YJEYI-zo}L->U*jb$YCFo?dI)drC!4+6ViUW7a+uQv`#t5;-U-;hBz*_U#-60fAbhR zak}|IZBA#X5ObZ<4qJQnkb~NC=&4%Wr9~Tqs&rO5vb?5`5il5UEDCa!7Ypy8pl(%G z0V*Ak5>Fk^LjI<<3}ipihw!^Ra}^lfpS_vHMg}lFK*R1z=ub9j;ne@Bg5uyN1iRpFo3HFJ&AskD4K_Ud?v8r+eN)xrIlggML}_7ew`+ZUDV2+*iu20=6(nvZiBZldSrV%IwH52qc;3ZMv)j)Ti>HeW&DpTMkY(szh%LN_b zw8yNy>MC!r4eN10NWSW#(D+HIbjU;N_9xCFsff3@3MiriuY@csgy$YcGsMY0eNb{6 z=RY8{m3Ot@KQZAYcS7RqG4~m@mmiK?09&)^f0sdn41zF;NdQ^()fXZubOAhIgkBKx z%?lG9-G^Ah-wlI`f1}IZ9~sVmrGtj)5N2?z&TImri4R2d`y4IE`TZ*cFDF*yJxl}zgTco*1)xQ(KfrYN>#dQU=ROJBOwD%#3wXUb zvYegA-H>E4;ZY;N82$f^W$S<_f0c<8^m0|soZtJCsde{8asy~{H}Ow>8bBdB`IB$; z)A#f$Z8^O&;g)-{OdjzM_6jyky=Mll!mk>6lsCKMs0sGcNZULdU_~ASdEe#u*MpWm zrc;hH_=%4*SyD$+4m>q}=A`AMF=!v(k@OhXkTtV;PP+E*qjCRV;V-?qzF~r8e5+SMDM(XGfcg?-m z=2L@L`J+Lxm*FEy|6n_~Cb(gLhzg3T{bSG4{e1>WRVR@lu(cuEju?|%UQc`-V8ZF$ z;+GWrRB$`kf!k(WZ;R{EE9yQ%Em;1|0{=Wq>32i&H+4I$n9FC3YD5;p-lywT6oFc& zqzIGY`ia*5v(nN{N;_EyZPxqY)+R^c=Q&TVG?pW*t`fdMk7((KCjFNhYe8wI_-hJc z_}0NW_-zfHaV3d>z{5mMA-e3Ker!7_(Vzz-|3E7$3>W#T5{)bG&#ovtq*IIl1JQ^! z|0m&t>jL!`D!~pS{&Vz%2T7N#MR+Vl@9V=~5<7QEV1uS9i&QW1&g5Qn1o92LIx&uaOHJR?4|^L{7q)7c!B4GtI(5(6<)^Xz0o zWYNT*%b{i!9e5N9!h(F!Y9>77h(n)MiLefJ-Rs)ehIdp@@P^ypc(XB#BR09R<&4W< z@UmUg7Wcc|8}FW{rGt0Ow+qmt6{U=6YVjOds9AB}e=|H69OLd+*=|#F3 zUH+P*Xz$`NnaaMIUU*c<0;+P^=P%$>4O*JywMtwH3#HsKEqUV_{rPn#yXv)>V?kef zR>iLCDL;VA7ctjVr8sh@HO@z0{|*H3CkPudGsmfbZt;O zy8qqTh$$dY8T+V$*fw^s2!>H3;VJGdnVXg zxcX92Ru$v&3Dm+w(7BO0vd4r?uBTStFj~d^s;&P~yWC&-NuPf$s_u5!5WI_Elv;=lFhXDt6+#{E7lHfyNBH>WLE>8H7QUZX&U!OZZDl}wN zMC7=LzqG79n#id*e9w%v#SH|f`K9rtQ8Y`D_peU4OhULI%3rR14-%#>qNR$&urLAJE2_e}uQY29ZXfVBPvCu77YA1Utx4IyyyyLWNRppGXP_o&fqHVeVF1N@ zbcAs;vp9OjelHVQs1Tfa8nL1WY4O=z<5U$p&J?i4Ay%lyE`n;l$7@A<4_X$n;n>qR zgc39g$h1QXJuj}ynn8s1rRUTs1xLIs8%r^Vf2e<^@ApFetB#J+J;K)LkKI5|4n!5@xH+|UO4`cd2xT+0g2?}j@}=JHzSXkE}>Zp z2_xUXVLq%GwcEZz4uU9~=Gdss$EpO@@;P}#q=sf&K-YHdcaWBKHUb1__yfuFq#Vjw zHf2~DnSq5($;mdlvXRcB&M>`KmctRrjxESNFP$~1A%ZU*zT+;T<;Yi@)k5*4SPPN< zrUo`^Oi>oK(s&m@*9`mvq-~MlXceBi;j4Z_l`{P+@r%RcRaS;k%!avW5L#1!$e2s| zY`fc>0}ixI2|BpZxN*!W?2sE&zl2Lwc`r9eaWhZ?=rxDO`lqJBgVXQY8;nh z;1eD?{BoK1n{{(DgJTw7>Gy)XGrFouE_ZKLJ4}EwR!)+v(RT2LuzC6Nr@2dTQTjJO zuTQ2pWU%y`-d_aq3DV`j^-uPVtD)j5pDE>YtkXbx+cfB)6g9P*X}E4>d^Tw~M=Tlw zF0hA775gMnP{M`k<9#mj%^~u{GbS1}yz`u6Nbbnn`@CYhmn&W^_0*DcMH|fa@o+${ zJI$V0{!R)s|5h@r&HO%l-m*ix^)|yFG8MH@+YtK{UI#$d`i^(LVyKeddf;bfpqrGX zwFsmq0=8K7_k)TK8pgY5h*zEM@3-f-(bZ{glN!Em#-+b2@RlY-W)>C=z`T=sY}&T8U~;kBy4e^Wy|&h+Y*#))DL2_aH?;07w)w0I&97 ziCO!~-RuMDeY0=US0+qF<5eNH{6d0)7xLO%kXq&7=J~PMC6tJn9$QyyyMIKauYQtm zSV$4E&aP>Ms)^G4&8mD^lKj=M`RMI+cLBk^06p6mKi%TeTiqXQ4t_N{pZ!OE@q_LG!aXY9G8P$v4%eCLP;e>wHLCLS;GhVVKZsyN9$0w# zT6UK15&IuV0OqNb2@#o0E?+x;=!7~zzKKiup6{p!gJ#MW-TD255#J)kE7;Gp%OWM` z*J&M#waB!)+vQQ&SFi)7qFoWcd4<-VC*xg)6U2w1rs0+8qHWH?95y;}u^%9~{N({I zW4Hi7%#>b16E_;np++wgfs9@3c{DGm%BXUNsM}j&e#R12WV8O@Pwq^$hmv5xh=K;- z7o)=$4_v-JT#tu1Kx+BlXlvV-PBH>MtLvOA$5k-Sr`B2?!B^U6_%%V{@LFU934teP z+@{KdwViuqL)F;%+LQ0zTD5#>k3vbk2x~Rt@988a;PA-ikib2WjTYEfehK7be#`#t z{!cjg<%_$C2n=iP+STt)zsYQxSFN26J5Xu{e0c8bT7Bj&ODw`Xvb2JnDw_p(v^9D7 zYmKU;x`W- z8qthhVL-uMY&LiG)K`IrILS`&M!VrJ6~`pb)EoX@*mzJKz*ZoFsy<%Ps?p76`{Qp) zI>m;stv5Niw$kKJu%|It5uMXf9bpI9w;asj#BU+z>wjR(X%#%d!1(Qh)XY=!g#9D^ zE*xdcgj(#J*@TV5l-jn%{42W8+yOVN4yWlHKn&!n~V1wU~&zBjSit z@Gkij@jp>K$A=(V6DiEtZ4DWI^yCWjWVKy(GC?4knzh$gOcG0O@q+i}^lmJIPoZ(d ztk-4+$memhv$Jt874%-o>Ap5LZnR9mK+KIr`16m?J@e20Z~&bE7l9s%44()14jq3t zJ3A_UUPWh#wVPv*<29M!0{+nW8{R1^0sg)s%`?f_K&41+^IO)Nl3@z)K{vU#NRvnI z`Lf-7vRNda)<>fUom$&&+uVq2mLVqk*>$uiTvUdpVs}B&?VM*jOznugBjOs7fArZN zgl5a3cz`*Q@|Q6e594$Fp_^8gu&|s=!ctU;b|6Kv>1)HsctG#>+hM&I>cHtT#uZv} zO)L@kq;$3|7}G=Z^YcFD7?byVHx3dYigoE!e3i{Ce+-*-c3143j?;6zyE<>Iu>VV~ z^-8R8894Hq?)^?_2$KKc#8&ixH117T?=uQT+EW@)jqLg7FB|vSz+k1uvT3<`;`j2K z*T@h=#*=lEr@b;4!;M1O-uGnYngE}#8@D6L%n7IhqhXKN({4}IEsvcPOTS;* zLU`WfioD+_-o93XFLVNU1la@M_t>FEP`DBw6cNS<;At-^ds`AHR&nYii?Lnik=!%e z9GN|yx#3H@+@5Z@G9Qi|-|x0wJ`Zi_?pWXwJmO7KxA(voqDufE`4}MiC4{?dGD^(a z@K{tsX}AP4P64Cu_AE=f`df7PxRF3Wtu1toG^gq4W07T4wkg?*0on1=_nVC^vBG&2 z!cI$)jDC3MHaL=#zfYe9RIab4$YLVasg;z5^^q-KLLiC9*7nH&3r(oqkDve$B0m<^ zNl_AcLnWpgK%@qwV3G4{9!^v+Dl`+uyT2KcI;(L9y}$p~awBoeIBoanA@8fQjBSt& z#m7TeU62MLY5uE&>cFJsr>*H_xMw-6s3vrL${JjiIJH($Ofm5wHRQ*UU-if zmdQr|w&y~zv`mSW;ck2ci0b#dSXbmEmkv5g=+3Gi^{&Yz0)T_zsRzejd0i~0B@j_M)S68YYUkED~*yeW|xKFahBf#4^0G(kI&0A=$iCJ-O+7?ey@PR z{4i%u@ncM4U&^Ieb;#}|iOBIpj(8=yEJn|oZkT8yeI5pKZRJfk6PcA9_>k9@@H?3TzdboI*pCCO9~G4$*NVrdH2-yV zBBG@9zeHmLI`|<&tcFQHwNmyvf;W^ub^ue{R>!{r6Vi?(fYPqBq>!u&ou#pEa`HE5 z^pu9!eSe_(qy>J2FTMy8DduBk$}-df=OTCn*Z#)GtL%$6a=oYd`J=Z zKfjl%EzY8hhxgsd3OV^YP_zCS@>m%&;44(NgSuRfpF4h}=FzB61k=#td3@gvY`+IN zc?!r1O9zl!y^1~~W&@;2+F6o6IY&f)(*v-oRSBc#0)A%(g2WPH0vIi$W<#^>rZ;$~ zo}F?5sT7=dSHr)=S4iuxq=!7|OO{$DvUqcx#?vx^N_V02q@xLYD^NLFqk$~@l30wp zdNv-n!TMpzF7CfSn&qFw((3B_4 zZsIS?KdiBaN|=QIw0-tn>_S(z>>qhk{?B*M+%$b_3M;%S2eCf>17|sajD{#rqyupG zz4jvySci^_;eb`RKPXS%Ha|f*XU3C z<5yBHy)BKR&bE`@XZ)qre}AgEOD&n^yUS5>WatPs#=dsaNag2eRYDIxi6>DR`WwyXm)Mu!}NGkAzk@#3f-U)h2l0^Q_|FFwQeQs~J;;|oH> zJrb93WtjfQJV3%FoW04_@dydcyyTGghC0sSKf@7=yr$SVSXF{fCrkbI6qetAT?sXb z8+So~>XOjUMLR5C97vS`@5D>APl7?rS9p6fcmRPPL}cYF-|L^&<{X{6!0F@~=~#c= z=df#gifaq0&^`v)G-+wb{EWu^&Q?VH9>Hpi7^MURTxNMsDBk<~HCDO=J`k8+u-FT6 zSq}mEbtGE4Qk)x-L?Eik;lcpNT|MGhc~OOL#)&Y3*q(n+?_Geryx$&zA_FRCjkC^t zw#ENhUn^$dmX%W&-6v&)6sXA94~v)2>Ibs}dJcM-C(&Nu0q=o&AuT-&#tgE2_CTtH zC4vPZVx?%FvQ5ljYFXiXrL`_uD>DlofS;5DOs&4f_}`ao7pheHU?7goVIh6WzGgrN zNK?l-sl4?0!}KIt=Ms!X0GY-3Mhr}&&p$!edD-zbzrS#P0}md*M^g*rv+v zVEdwIZAn2c93B17d0eRe)%FWbk5A$DOijy0g@rR?;3fB^P*iw!t*6YaJk2}*DepF7 zQ&rhrykErmTq-C#kM~LMCkvCC#y!&&G7L%!V!|K~FO~d^+1^fp0UlFMRU}bRqn>a( zHu1$~h2aZI;@KUI65te@Lz}veu!n)!pIeXPfvB&tCCAM8*aahYgXSfW+iGl16-6FB7`PH3}E}C^XrcKtEt6_-8_ zaGVbMUi&Cj%01JAJYO$kfI$(scmRO(|A_hvz9_%% zdmO%I7`nTW?gph{knZm8F6kZw2}MAV5(!C>7Ni>lq&q}PxsFv1Xhl6z^C%o zxNG+6sed{WR^H-Cm|d|$(cjQR*r*<}f`cmbF8yU`UkD0!ws5#5Y}sC(H0P|4Y1s(} z20Wt$8;{^cq+-e7yS(}5>4tcQU#0t)r1_kG#4GK|4yj;3W zBm?kp$WvqJLvlQk;Bw2v86y(zijJ8B_+?do{_g@S%w5G-&6d6qpg-_!nm)GgvDsok6D{C z6p;uJr7K6Ka{G=8vjOD*E3078uQcxqW1)OKCp`%nhj%(#*O%P>G;8JL`SNZ>vqX}K zR|Fe{CQV6V&FVa>L(^Z(uggG>f|~&Bu&r3vT;iL%4-DTmRAC1d~{Jq%2aqOXtke0KL88moe!npjoiVU!o@Hl9>B&M`T3%XY)y9G5fKa(q`KWr~g z`skX~{Lh_HmOQYAx4$X#vVKQ^ohtWyRrU3n@PLPb=##*Zh&%&&@Ezmi_l8G@3#~5# z35pnd#60GUZ|Oc&9kTo=rhb#Vpy7@eq3%(Z8Ml){5x})};SIlG6u`KO7ci(Bz=q}J z;oCT?u*eM!V%?^w&ANEUVIop9kF>UI6pxi6UES1dda3QAQJa3<%sjehgb`Mm3YbG6 zfF_nAFt3q$-qTj5{*D>&A8idkUID(Hb-6$83tQavNxmwoV(Fl0ejhdNK~cp|)#Nb+ z%|B~Q+73(vG4miaMkD>38JQo4cdO)w1YjP==TmlUdCRN8uJBfKwmj&`!9L!oC&Zd? zg~J~=ThOg2ZT;%tMnPYS1~gAwWgB1M!!G#{?%{%WNjmI;S1536`hyFvj6?1+%@r~l zfUpLuasCMpKQigj5qj%%Eq-l219=n6RYogO-nxgQ{(DmwcZaS!FZh`WZ#`zeS1{+x zW5eLiP)%oQL>Ft;x(k?6ORI36QMO&GL{$sQI?W&@MwHf=u0ebI4hD;?MOi5P{RcU@ zbEs7&gP6F1r9`4){wx9Pvs@$7`%bx55=HS}YUXt_mIm|`g9bx&_;3Iqic|sqc(kj9 zG9?47wv@==cfnIK@fMey!n@s5P0O_{KFmcJV@dwUjc^VFYAw>vU>%TAE zxn=jiNPyVIVFSg-6yc?0YF&V4lu6>PXri0!%I)^*WE=0zsO!$}50Ah3ai`|ks%;EV zmMG8{HeUXPBHEJliaU&SPaJS~J`fS!TEgu^_qR8&p4P+sl-1QoCyq9Xq4h_vKl<1{ zX;11abAO9m15(8XMDb9Xwen|%jj_`KUhY+TbRhe{!b?Pi>G)*YI26T)BIFA3Shfv& zxDwVl{~7^+=?>*HPJjDXbD)R$K{P^VkgL~I6zu*d^2CzjF!W~>?J#ot;?NLQ`!WH4 zV3{uR>chLm7pw9WXy!>PE&fY2|IXStrawWi8C9fQGNxjSxb)ZR(jw-BFynC;O zqQ~dF<(64QTuf_=i3sCJ9T=!zIyJA%tdCJgnnwxUlYY9uf8}ToCY8U^19)O-B;GH7 zds}_1a~WuMxcT=eJ#oe)@YadG!y1Y=VaGT3YwN`;yxWI{?SbhP9ye&?uBGz)k@~kP zp!+isY8cZYF}#)FDn~tRD}eNIa-a!X8oPl3d@=|uz-X1r;pr<(OmkbMlFu4S?69TA z%qH5e#3g@z_TzmH4D4krv-{Z?w z323^pfj!aT*V`e*1bjo_8;@&d?|W~|Zgr$&57^i5ey}r;V+6c^VNt4}%>dsQJdy|{ zibjL`y{k}^`zbSLp|-c zrB(fdj!RSITw$zoAYfV%I9Kr%VPoPcVo$jyvRx|nYc;tv$>1v(hlB!33YK6w<^13F zmXDxSjPoylq`Ill!2=XDba;sJ3!^3AO#anz=_0QzP=28L;Vmm2B(H4{(a?y%0H<LAxN|{)p3sXQFum)5%0XX zl%9EP;(l;I$#geIcsG_O_xwvtdBGPfPj*YfVI!^}dRjJmTl%~siKMgl3UZ)AEN~*% zjtsBSP(U$LR7L)?p0_R1>ed6b{PzIOwhOH=gyxafokLUBG~`A}`k8>z+P**Z9)dD_ zyK|nKs`ey|Px(dCZFbanct8k<4=bN`T|K-0OMicsBLi?AGi)zz(so0v5CBM$MyHn8 z|HE;aqK5U~O*ohriYkVVjz~2x(8Q`3@PLHfK_BZijw9-?ZWwGjSmeHD(m}_qE<9$% zXZB6vnvJ+2c@y3JIt<5e(h}G76$HzZ{<4*sbQV>yt4Z_e*wLILw0CTN7r&gf^aXK+ zw2=_Inv75r6<5f3!_l)rCE8#8?Yfl4yWPk}WI3qou^&{=T~JYj_H4^aM1vu zlk_LVq$;p>#jh6+@wH^Y@XM6-dqzg!l>NyHm5F*RGv72M7NlYd*R>6CtUh^|8~Srp zkD|eyvXl%~@~w*I68$KU(;uar?9b4P2OH{ZU!L;enlW7 zY(Nds@drZ`gH6*H8Pko#L8=IS(X@)^CHaK4O27vIPDM+m2qwP)THuz;AlK4H*J9YP z^nK`>lTNs&8DI(GsW|?AldqPj&Iq3@s^Mxvp4>l8HiAaIS{twN0<#FRf~J!;9(h?{ zUixGG4Nm`7eW| zkteOMtb&85L&;`lk@xNUOZklHZ^{*`PTU?R$FD(v*j|Ihd={rJOQmUXDeI^)Ty)>SauX~=$k&(yylG{t^brLz!l4SzCJM-_UG9|6IgpWM zEc&0h3x2vHbSeD_2Qzz$!l7&3A5Ty`wTNw{QOkK{aA0|JUl#foayAzz+{<#`x|SaV zuODULg#Txd6MZ?rSY#0<@GKnv`-jdz8Wql&fv>`96PS}!P_&{N~J)5C{GArMP&)t{$$tUO+(o~{S} zq1!>EMh!&(WIqB(2VZ>CxvQ9Cr=X=&p>DXY#J?p5qjdPE6H)+EKu2e4q#R4MiXV); zQc2RS+$*tPFR&GY)Qa1w0D<41oy|?T8dZeq zxyquc%~4tKfW*HTbOsPqPH{L!C4ATM7%yjTF2&5D%!RUtBm}MFt!*d6A)SsG&Tads(lb=cx`T5Wq(Mut?-J-_SZ;-cd!2!~ZX^i0%jRl4!Ar~Xd!0@+q|k@fZ8 zD&9<6=SfxrJ~T!lDO%6Uh927Ea?#Mx5WQ<)Pulm3G zPH5Z=P_6~vbicJ!S+g%*s8GuaV-(s(Ns`a~rQ&sSK8jPC*^aX#vU{=l&%q6S6EYrt zwW|sh?ULG$eD{g*ii!Y%x_%tiasTrj!^Z~F;$c}-B!03t?Wc;u^mnpN1TtlBZ){7N z4{mLo{5o9f?j{6^gJ_|^DwF_GHsQ@1X~ej^^5PpF2jFZVX{liqJX#JBHVkj+^WCdT z^+DC71q^lNx5gJ{KJ<(439JUvJlbt3xIdELHhOReY9-6?u&{Dox+olX;CjC*mP;1= zM2T=ccWwSVS>N(zFsN}>P2^opid3;&{a9KOVCdr!jGvNrImS99-o1u40KP11b^eAl zP-AVQZ92~wcZhNUn~P!>bW->NeD(O0${DFxV7V>{AhKvqkDZ;0H&WrauYo2d&!Gfk zEaKTuDNOK@4fyU|;a~BQN`ZcG{JS1?H`(>{Y3(QOHKeLo-xG23spg#t4P5G6ca|@S zV`bAmcA^QDM#u0dd$6*lUrY@KoK|Y{mS%&Wd(^9j1b0yNKZL>soSz4yoNTXXP>9i4 zCAxDczT(XbyIT1^@Zo&CE(sWSw8$~uWHu-s4H*j=Jq|lNyn38pLuf%9H9egh0wf@I z@3Q%PiudrBEq=6DNWWd?Gsxe1Bcqyx^xX|Lo$Rr8PKg%MDdI6owcWuu13)`p{`%KJ z6@53F>seF8HsKlz2zvg3Hay$&F4`bEKRrBPs8AYBWu`*u$K@kyQ^TK(Xj<>LeQ7l! zNXy4vJS_fVR@0fRp**^=}#Ft!GN1&9)Fj@fG= z)`kgfMfB~!eW2FN2jiuyHw9ZHKHrv^haGHZ&M~yl1ys+A$VsF0t4wVdo7!w|v!c5J z2>h!WG%xJY^ny3Q<4h(@wVwGCHa?;d#T<9HsV2+Rx2WNApo-fMK>&Pshuo3EC3p?xJ7$3;?{Q(35I~7Z0PLbkn$Ujok;X-7GwI zz@hT1C^3T<(R-oUnod%nqovsI-#z;f1CFSkMm^KLBr_#jkWNjo7=~Iro6F+la$PF` z1HQaKhmYJk&ptkytBrrH3RJPspGy3!h&-IfJX2dBA?uFr`1{O&hj00E=gOl&k~|Jc zl6Hhypr4qd62v^OPsrNh2%Pw8<$GYz5;nqCCqGb&y;$5)mIW~84(DqMDg;AkujfkC zvJx)F2h{vpT^ih`nNg-pOxRd0k>Q6c{2OHw7lmZh3x-be36e;uXJ=++*j&@W2lJ<8 zENeJrL(A^u%M-?4Flb$Yz+xzlSNIa)KTFI!B)t=0=eulUY(gAdDaHDxE zb3q6`88?Jg>TvbMvaA`b1O6E3>aw!MWIHlfJ?rB#p7+tr!$ingR*_(R@*B4#1+qVd z4H$fZ3VzGyJgwnj--!#u{NCQzXIS~l^W+^)lCLUY1wK3fvtj@HOZzQb90=K#nNPRO zbf=(2d-G+P{Kjm%@<8VoA7PMwuOdo@F$ROdNqWgHJjUK3v?KIEmfhD+!~!jts2AEEG=l)X)^ zTtO4h;;gB`tXEvDoBuU$>5$7kJp{dTWcbC}~Nu*ZM#+EW(xH+)6oT_jLiCBsB2PqKE@I%Ji+m2|?X4k?!h(pg; ztcWejU^}epO3mWYxKr+wMyT{^w2($2C($hwBgq%HE?yOQzzFSifN1Fsm|vCd`F6&> zrFsBeeKIqX*|-!1iHgWiL`{zXB##rN$^!Y!y8JD?%nC&9O+*~h)Zn;z@;+XRFoMal z%UE2OJHM8pfFpTN&rKJCe={4*Q&(}>g~rUlg`^dZF~Ry%;p*BX&1n%-Qw!K>%>6>#0{pScM%Wlppt}1g>p?tLo=Z znRBrw##{$;UIKgB;vFa3FN6PzD}-16<@j_}ReFEnBKX{>@f4NnDVJcY$QJRVydYT| ze5722Yi_nunLmV_Hv2wdSEGGHh9*h<+1+HjmO94Q^U5eU_0D;F$_1$?O9RIb??(7nZ{6=p<-*Gl7K-J0~PSOB+A>Av;JV}F#8<#L0- z$@IOaZ$y8d>}L=VmrrQuA$mnSN`Ce%Bu#2ei~3(}BSldzB`|e=3)QS@ zhhv76>m)|VvDhn$8Z6w9Vq+(FOh+#zzVST##sA^%m2-0$9hhb2TH327OuT;5obua6M`vUGnbSd2ZrFn;6X{CRR3TuPeOY*O1l?h z_P35*^06Q9y51rMo21cGf-__{e)xRF#~L_VPW?jxP^T7OR+#nr5O1!seY);p|B?33kxrdYcF8Y_Uwz}!Yf(xn?6eQ!R!5uf}f_>P8j zt8d-$`3ZOXul;(Y|5-AU@OV$L=s_H^gl+zZ%a8p(OWyo}n`|XzfFv8a9^DF&$R?&? z3p~&g{!#2UuKh;E;)#@J9QyI|2z3O^QkpRY_GFGrxj_CnCWMIw%+MJC*Q+lsua|S< zE@5nsX6mP=!l7tunqT@t!?N#3ysf~xaS}~LlZZt?D+_@(@bR{{?;%HG{B|+w3!aLU ziwYz0$sMQTW(-O}mRsFj5cySV4hYQap6uG}0d>c3e~FT4RhtWc`77~0P4H>5(c4i% zaWvJ}w9tBbb99=K;&8!7MP;Zs-k#5s2UuiveEsloI;De?>+X3KaU1a3K=`u~;;D?g zCFf1xTPzqn05ZU1D#^-2>MumEXtMAUxNoyKg4AE!!F_3tuMCl z7GKsf(F40xUs5?JzhwP5oVuDadK?1D*l8ahKWt%9yc18#;EZ7ZJH)@!{^87L47rYG znjf;$;G!nX2zCRibr|#8L)LhW4EN>`TyuCC`Ms>x;n^R3j(N1JKk>s~!Y*DRP;}(&-(s*>O7Bw69lr#^7;A1C!bmbs!w~TxE+p5h9EX7j;tOn13@-3V>nAlnWZn@EaAt0~h zccg;vBqYwEFXH7ZMM{oQFFyKe7QO~Wt#V)J=S_uoWY(R37RNvYSscs^G-UCy16utP zJfirv>y=Z`6G!h}6`Cr40r?9p?eEVSc2LM{e!su|E#VtkNxjwxJuOmJR`!1gFnvdD z)|{LBYdx42&}Qn>jmyyf(yZ!~`ihgD3o>3kwbThJw%vuM92lq8;L_rG9?sT`vsaLh z1gO;6=5eF1ztlzxfqXsx*Ui{ZrJqfa%##QOR@4jyr)uEHK=2ZMv+9f!Bq?1M4!+4h z;uB|(;uK;a%G>uTB}##*~LCRUC2H;v-MVT)zBny>gJ^9 zmk}^vneCB}>`- zT0DRW2lLy~9G$(9313Rf8R*E%fx@r|Zd>(G=R{z>e5+B}AfV^&@8vqwZ*Rx^`rRBe z1kFd%H!{vfu%JbuMXg*(sCXjF#qI8Po%t+aTh@>4)fNif*t4=zEM{i|qnom%1}c>i z|B);8@QPs%jVOFXmQ{c%UuWUlZBG;ETi`SRNps{el^ww}6LOCIeBAS0$HEta(zVBo`r+xH z2VCva3!+S&hJ%GI-hb`gher+y{Y>;tN!zU~+4-`KH zkfaeq1CHDi$9c|sAGul~CNs4wIrP_P|`-G&4lA`a8VFT6MBhwRRH0 z;$!}k^W$g5db!5xztr*3diCC0S?yGq7a5w5xgUtFFyYMIXq|Cr9N0?eod~|3fb%F@ zR5akm@uWP0vw6!B=RzvXd)+{a7C?x`MeFSd*1w|y1xLUsPA~q!k3TCHdTC^mmxxp@ zhpVvdwRM3_HDzqh;eg%U7b&S%hSnIqww0?PBUx>(JDyA<-sJ6Y1sm4kjF~yfaqaT4 zxv6=`LO(_&x&Df#A8rY92C>TbMc(cNq4@vRZ=P^`)RD9*TlDSws)LM!8cu#{feLs1 z-g4m3LA!K>Bx<3Ufs-|7*4?@jg}k~DiYw>DX#@7 ziw}c8!}TSRpyGqU8-kxfMKuP8Gje@LsU-rCy^z=A^=!!(+=0aUS2W=7%?b8_aP=zBtGy-f*)S9`1s zQ0bNvD^l8>_&oGq`3v;U#ShF1yvNus9e``&vgKoAH`WfSclRz^FOjve&Jw5CbMN_g z3HdP$(Ey&!g-_btGn!z;80m4m&C8-fX|SG8Dkxd+$h`i=F7W3=M1Ez_LidnPG3P?p z?9k%+bJOq_^@LK22wK6X(GS6e_p(FM`QojF@0Y&}4l<9Rf6t=Z@iEwviTdG_&y-l# z$g}JU<*o9*d*`qvK+yHjUPG&2_M#AqBk6yOvaY4DW|O_XzLy3>xfusYrN~qIE&0>) z?emgft5>aL>!^WsThRd<+>^jpxM*-L`3kog*FHzduuCNL%#f^)+Ih<)*rfX+>Y1$VPKxFPI9NHz(|< zLngj9%pE#9-h2 z_w$2m>n*jU@p91nzH@zrlbT3ar>}j$^}TN2`f3tvCt6F>hy&I+jJm9#XZF6C8KCk# zN0K%vJ(@7U&p9Vh0eoZ@N5uS{FW`Qce_EPAvV@J60R{}vEYots%4Jp~wxf#Gt=B66^kUXQf>9bNPpY>6Hx_W5 zBIeqA-33g!o+)kI)|$WRcLmcc<+kS4^{?8ozG6a?sGBROg}9*qjR7W4@C2Y83>UQX$5ySEKLMCM|0 zgW=t+7IVj&dkrr1$v#Y3`8wzKpM`uuCL9`wv?4&*KTN$?X8eQu-;Jc0==6+S75lY& z)T}{T*nQ(aPPeVI#AiIHH>|AJFf;k~mDi;zBW1I+I#=wps~05jRjU2Gi$pdrk} zHTIyfnG&(^;lVfuz3LV%!3!ywb~h(qWEUJ@0_m5G1u{4@7z!;YbX7ID3)A zh}T^2XZFlF5R>p7G~dh@&TtsLuWsqPWdO-6v34`9W*=n};C;o>*U=+rjqr}zDzfm*3TtdhZY;1Z_GRw zZrGG#mA-o`gTfVmGMIS+PpK?;_fHbwVPY6{`~gw4jMyqmKLeI-T}F6k8O#g;>zHkU zla;x)ezc9J`#XvM*#dA0KUtX=p)&e5Z^0ED`F4*zG(jbhq`+bV5Wk;x$<@y*Wr=J_ zNtOK_0o24DW-49()$lG74zwj7WQnDRae>(dmo7{0y%)l=bM-7{0cqez` zq5MSROvbsH1(Q+q`bkR2ObYv8*xGInm@5XDcdj@2-1P~;0!U>yxUo1E$yq@Vjt$o=@66QfsNd_3?>`$HIG!Gs#21k# zZYnHaVDVYAb98eEanoJUR;(wYZ$afd#{*m-7-OuJbITBZZMBPOP;LFI{wppun5+X9g zY}naPIiCGMw$z*p=M*ph&kn~{|K|lYNV{OiL|e9pn45*?1(WlW=ql;}!2`IRe3>DN zZ}<-*J{~#RPY3rP?6SJORk)vI}?6k8Vau+9Wii!-EmYyi3 zT6jpsTxbW={-iZ9+SNH9`bQiB-y%?k5^9SE?`v}s@i?tqTsL1ns+#dxGj_KZEH=L5 ziXCt9bXDsWwqqUbWphv%*5XSm1RBl#U#ryocrKm|R=-`EE`N(`O@AS^h|-Y*R83^V z%_8KnKw*IYP1#TKxvb&454%Wc@WU7^2&>0@tuqxiYE`dG=po6i-7D{6WsN?u1ep{h zPggIP!B^wXUbfWb@Xshp+CX$sB|^nZoAv+32}#}^31Ldjr7&McutW3qK2t^ivIT*4 z|8E=u=>G=D2-Mo0Q@H22YFxKZ#5@J1l)^8*%%X|2MK4hl_tXSd=9m=l?0Ygs4h|_K zMvsnz)6pfVRC=V=zO+YM-&3py^%+8aB8_Y)?@xoz9cNxRqXCz#zn<2ro6}|M^DP7# zo+H^|;B-l|0!#90R?`gzna>jO1hJstl?c%K+A;#Eb~2%*&!e+`H`L_B<2WrlymMNW~S1o63-15~`38QlaIs8g*xO}Blpa2a-7){02>79KjMILf5DZ}OQ?Q(3F z6%z~4NOUG)*hetFJ(|_oCcA!MvU|e`QS{tf@*PGd-C`j6bpid_+Qj;sNQfj8&oTEg z$0&03o}7TT*l5ScKIi9Kk=bHh7f>g}3t79Y^3}4?%ekH@2NA-z_;}zI1e7v}L0E%p zTD-(_cM8$;ftNIbe@y>xIJ5qWU~*Hsk}_s}0P861s9SY{K?LN#>OaqT8L75_Lnc*E zhHjt@)vOKNh{Us{+w`K*kn({k3#R}sA5#uahgMeF`MAtt|#NhK00t^5P=$#x- z0=aBHkbVrfr|+ITF6frE1bsf9lg%f4V}VTAg2mzZ8&`!_(37pSWb!vTv_?f_+d0zR zsULv=SJevpgsu;{UPqc7ajtSc<*_cQ`fTE6N->^0(Z-y>81D16p>3oYT_S`-)lJN3 zL>m$wa3ihn2H2}n3)njtVEp*eg8@jK%pg%}aZPZhYApywceOIRj%_m00ur-5l%lFl zoH`B1U0o#cCLt>P9U}{v0^z&*xO#D}3e3~i5Z14TJ?U&eZ@>|*gsx*oyGp@r`xjXr z1aS?St9MI>W4zz!qXU+I4T)+gYOp41P1R$e2&Mb5TmA?-iO&Bz^G-H`2_P=G^GzQv z;JWO_Ql}F7P9jnA?I$RoQm=}aprz(i;l7DRB+ODWJ4?@(>hJRdn;dJh$3=kA402)- zp^M*NqT3QucKl1(5rO?MhKJFXm!u*1vH5trmFz8y6F6<7B&YbM(-_`YU*)+JHle3Y z3Esw$Fh?CqEmNa+&ko1;fLGdzwn_2tZf=DjKX*wQt^-t0jT_wpZ`@W!+thBN|ULxQn|Yg^6E5k zoV)VvG!0}&ys)rvBSPpsiMY$bHXdCiyfj@8NKSE~ACA>3A8H3j7bbeX>yfci*RSJ%(&1IK$rmOeEY2>CNjAZ>PD%7QZY(2~^1Fw+$jirUhQ+IXK!B{7G8Kq(nnxY={Ih z6T}m8aV7!hl&Pt_@$%jr<~|wDZ7YS*PX3f6D7q?8T5d2MVM)Iqj_&=;Zrl$&(K(yb znq+kH6Zc;{tEi_{MUGpPL`w^-1ktYb{oY?AvWw(E>G@H32>V$^NPKj{rSq0_s6_{M z$aPD)^)`zP&}!gYD<#(>R|!KTEPa;pEG)IN@kf{g`PL<7RVwN0BI1>#-D}MgAqfm; zJ#m^w$24##m=|><-aUtFL&pd03WN_RW5ex`pqiLF{*2qWXBopCIMj!j$O9!Pzf@Lx zp7o}!CtyLoUj8|!g>)DXQ~>4Tm)y7;AOmTinu$_qD{1l(cT4YQ#@cWfCMbBV?wkpt zhtEZJek^O!L-VLuW*2m?Gi+il-v*^bHax)jtC1snlSe?swVK2a+^?L*ecw0PR&)NiMjn^yG9$-P6OG^0gm&|4f!@| zKg5wIf7;v9bq@v6b8=fj9N)?-++ZN%B^k2-yszkhrIMJ|QhqHPLPW}Y27UC}|9%c9 z0b3Z{@a;1{_7&0r@)yl~j*D-H{q98H>)y^S}O=G2FZ?;oudZmORG?=`}ZZtT5tfoDYpxIb&kj9OlYPzY7 zCUu%4;!rFy^*K|-du#aj8R#_siH~KTiI3e3XKvp}o@5IfZuL}95Nw|hcEwM=Cz)me z@c2PhE(QSdwSD_S01se;6M>~@KF@vBgW)JTAT(iA;W}U_xz{#^`uM77x=r~ z?N49-@#D4BJXlIlMgXhPV5lMb$Rr?eN#X!G84bTqkjV!bI7sHmv|p=zAPpWM-Z(VmzGDL36FX>sMosJb(c>W9qwDWuAI@~8Za8+WLksmhgSPo# z{uof2XlL&1`ZAZ@XVEVr?8DP<{8IWi9V4(8m`0w`s3+BaG8*H&=^z8XnR->`ZXgcwZwn!%Wm;tek`zow-thOE9SQWWa(9#1C1!H-i#A zP<|NP_Y-Prx*{hPsKUY`C2wTpMOF`NRFM~{{!IF4by4w)#z|2m`q@LHkOml2Tn5#| zC@fs5*8|uhP)(uDyA1;J?~VcOi@(VS`?dmog8%%A-r0(hX2X~zB--%ROekoc$H7fYs6787y z$(mLQ9meh`D6XdVl2R^rXLbMU5O;W+>2R?-vtXSjE?|aq-Og`vrjO0uQ~tNXwu2Xg z!m7&l-_@O7|2d2U;0;5^*(l^>JK+H^_4V}HM2g>-cclqit+eavxwY#eIZVAGZrkr6 zMfN9$%XQ5R%E9{*@0t`*WzNRNax0v|04!G#XlqNd z(Ys_Qf>d;ixi9Ngi8g3(86())Xei+y@JveyBX{N`kJ2E$E_)A#g#TG(il#ajb*o)L z9+o=5c*L8Vq1N^N``)KrDfb>qk|#uBQjz{XUCCPH+XiRNjGmfB3bG2zUny7+P0`Du z4}27j#b1TY5#>;o|fWJKbG5;(ZZ-ElV^b~;9ZUGqR67A38IEm)|=;1v5 zjKIi16mBwX)sdHhGL8_j*udJtuivolSa|~8+d0Kf&P2R^3FP+1VDS)|^b(?pTdi-K zX#8FeFJ`GF+Baue>oXlKuvL6QJq_<`vO-5Bql84UIWvCNOCt20N^0Nbl*>c!jIQVz zlPY48Ee1l$B#0oSbAI#Oaz9gX>XnP@M1^IMgR@<7zU=gl{dz4B9MqmZ$4;I(=q=*q z72{rGURI}FfD)SXiwQdhHRI#DBs!?IstXyo!>5>2{}zG@VGZb-Z_>m^L&(yGL96q) z${1?Xtln(cvj6}a0s-(wG6Ai8_l%}D_DADbamD``ftM@MgNYOt!P70YP_xJ`-!jqR zF%n#7b+}AILK3}I-}84f8(C8u0wESE+IX%ZbpU~MzGep)w(|3BhC7J)|QR)t$;E+ZTT%v;036K|5S|zpLzSP2n!Oh-(mk( zsBaWIecJ*P2}=7In+upKS&LM+xM2Nn91tMyA|L^oi>`Z*;?jjG?f+&JJvscY~f)lkt?k77mp9Q zbg=W7A%i?p@O}pMbKSu=+k968pm}a`cn~jX-=SDn>+*d27;`_?QVjN-=`kiYnGVpW zJNx}rb^V^4*AgGmmr?SD`Olb43XNsD=0>*BZ8iV>A5aFh_azbZQX*LAm~z>GNf!ZF zLO=!`=+(hQZzoPWGSFAZ_CihVytDbygQ~>*&Qp-s6;|l8drobgV{mQ@(=*h397{aVgvX<+Xp3vpND1a zX221bHuDZMg%gKlRFBhVuFSO9{cKr{+IWfc=X`m71;jVU9mp*w8u0~F+#u^brkLzs zNOKhU{0A`%*Gck_@fXM3Xasx)WMEE!Rs|3oT6;^P$spfF{aI&JQ1j;JYex)6udv^; zUH-{kMRC|@7A9ys=#4}d!?&#D9P{6{cc+>uy<6$p!D)BN0qi9&hAV9kl0exy95e(B zp#U7jNO}>&MnnwXgUEjbCuAoF&7jU^Fz7W8pLw}_OV=A^dU1h>B#_}HCGFzp)P|NA zn*@g_`m261yPD({epUkqb*t%tu#`vi5xWD!cKdCEYLfr^XV#ns8<_MPDt!j^YFI*JLh7}&YW7ZbOCPw z4Ve>vl?WlQt@o>Bmsp=60y%Fi*)Zydza9ecc{zXw%-mKD1c=cBjC2vT02vg|d=sBi zOfB^eBVIb^1`sLp3GPR%j>u`cK+(I4Tg##L`2Tm>Evpw;ceD)pbe@4156;q4) zuKT2lTYWT6a@=beWS9p9tJ#h9O>ZjdzB*3&TlHo@qxEtQh|Y7d=wKs7+`q$f0vvt z#4%*{Akc!Wh=g(U!NEu7+H_}YtNyg-wbJ_1pLX0ere5rhUeso-xaJoZmrjkW&7v=d zv+=;7=Q9D{8CZ_aABVx+@+h(GhH#+O|B6k-;<~_*m8h`_t=TSalotj)hnYMTNV}O|M%44Ey$f_nL(q|TOi9pRupEGBt9ofq^(c#lv(fm8rSdu4-mHY!&IiLo(Bi zb68Y;ThR&(oe)rQk?}q%sYXL|U3~wBG5Q1l*-?|oSk9YAcwYe+tPq6<_6<*YU_p|~ z5^R=#hxmgkhQEsnR22E`vIp!M1Y_h6ux=Ivp zIRTTHR5ikJJ*j)fM9iC{*k!)y1G7_7MC-FJUT7T`v?QpsRShp2=B}UpGySzBVpf4N zD1SBgJLI*3vwf23Y7`O9xwEz-eS4*7+EfjrgnQGrYM3NpMIL7s8H`6(#JtFz+k3;6y35<& z4+fWxcE4)K_erm`hS5I8p@L|P>XJg6$!%o)yVwzg-(e9C zH=Th;b`DMJFWhxF_`G;Ol`YTifZ5U$j(>P^#gZlBc#{6rf2i9&X zPK_6viEk+=*M?%y%Z682nxErbFiTyc7m&)`BO?H6Sl$o~w}#JhARpPNqh~N`qghA~ z`!^T*Hs9ZR>IS{aGXs>`v-F2!{kMPv;dq{kI0g|Hl7?prQYLnIF z-&a%~bMw4X^h|cB=(QeTf1U1a8jAap;rDl9tx5iZKXET9*FxjaK)b*xX0-N&)Lb4> z)p4Y^2L$^4jLy&JS^2|<1B24eH2TEIjMRN%kXe$4F^xpIH-{63BGF7DdLDQqSwcF_{#Y*ZaQ=q;DY1w*{l(OOjOdq&$-s`RY)_l7Duc(I;r5)q z?#3O@6LKdWkl9csNpYIXFC?#4D*yZQT?0>t@L%!L6ikH5zK9j?}r8;ZnCxfAL zCdpRE98{9y#nzfKong*2o2P>a4lJYhY|Ze&*>ekT!5>r;i`i73=5%n`0R^~F=)Oac@bjj4ceTw08iX*Vu!3v^OC0R0rb%8;F z+ITziXYMxwKUf$JuOB`|GXebkOuUm`m% zYq^AHY zDG&@nhll;?e})r60>0a6LHYPP5`Mk(`78(o63$a8vBeuG5_I=N(1fE10StY@8S^|; zD4KungpnX8E}C?N#nVjD@j--+;rF+%5B8ig9x*+pkiumAU$v4L$0pvB#8=iGQp3af zwykHl*hI$lz;{ol)9`n#$I;%UQP74w zEp$O2xd-hS32QcBOg)_7C7v@_e+)r`+sN75Z!IwJDs#zqBY6pSx8;-yYcVHNyxfp z{LEe1FLxhlCEl*WI^kmx(X|ndJef#;S%HOM`Zp8b5diH89gz8MXmBt`;&ZmZ6V{ZU zA`suOTxO5%__7$7H^q6X&Q~gk1X|k-qVbyVse{D8&`<_41+0R4gzJB)sX1*gqx5?eKg0|YV+7cHvdqy90sh=YtXJS#pJ~VAV|=n1 zUyJ~N0lLm%ts#B=`uq0p@;P6JptR9};(ruaVGBcp3Dwb$LM2hTCA8Up59ii=z{qxx z$eIzbAf32%*PEi?=YCHqO48T&(C|6?2l^mCjmHKyEwHoLktS;5eH?|Wad5nq_y4i? zRsmIY-2&*`w6r22EhtELr=WmJN=kQ!bi-EZMx;BWJEf6sP`VqWyX!8$??30B^Kf79 z)8PU3X6?P^m}8EvRU?i-$sn-0I#&C$KPQR~^lB}*uPfM~DT=ZDIp&KOOF)2u2x&Lb zf~Je6N#p}R5Q7+l>p(tWuFJubNK&CA;&t;hdSM9*6NZNWGhu#{PMN%}AqleCw2*nx zTS{%sG6kfmsT6^Fl!`MOfSeF4Pj^N-N){+7Ug@9>dqHtyI7he_>*woT6A%D3oHy-4 z;S#A=bl?m{&w}5BetfZN6DXAt0S&iGj_9l` z%sf5(Vb1+9=eWS*{OBUsJoQTa({u(dJmqO@#X%&cPfsCuig$_XU=ps8_}Yj^bFTF| zm;uiFI}f>KcK9={c^2kp{O^D$EW98FatCo?!#skHWo?Y`bPHb26e=Ea6R&AaVIC`o zePXB-u{Ln4(<6zjHMLQy_c5jLGc7Yg0S3K@&5J*O{s>4{_>Up(2|UHLqtr`Qf*+Lf zJ{d^K!LhA&et-`+-HK#2OQ~IhzPvzQyz}~VX05Qk_82;U;-Qz|iWJ8RuM|iRs8`e} zPa^0}9xbypWEw-N?dcoU8)N2OgFF%5XV0ER=6TJ8Po0o19joC!mCBG;z}6eee!x3Z z{}`>M7jLLGg5779^fev0%1oaTh{^fh{t2X62%^H!dh!bj)VfcmzA^v_o~^B|vy(^F z;KlJlIW82zWdCSo6~yiKr7;`!S@}qDI&^`xCTVUH1hz4vo49*lPqnweseZ9|U-{eB zccUV&u6N_YgX_~JvU>K@#6Fk@`&fS#>Hx@Q&X84I$Nmu)`1YKh(ptr}GC*U8zU+-p zOf0-dgh-9PUi}P5m$+D;o%P96BXrM~n3Ui*k~QqWYb_zkLtf!)n|Q!`AZ+PF{7a7i zhSu!dW>Lz0yRqj*6VB5f0P!jVA}Z|To|QR0h3Lyv>0&U7l$el!T>&|Exb$m3Y2Kdw z!Rl40LQ}>%d0Grb3?9rPEZ!AWRy(Dbgyv%Oqh>sYFIUJ@^P6>ge>IpW#2OuCDtOJd zDd2nU>H;~S#h9cfuu@&#^LYbqk<{yCzKnO$Nf6J!>TBs^T@x)og)H}e`@7U=3j&C5Sh+v7t9#sorZs?(!9JugfY-%mfo1t(p- ziQt7}t!oynUggq;m{x9w4Dxm1rcfE@+KIz-8R~@o%cbLe=A`F8p$Yp32fp^R%|D^N-lMaqni)EnQnIsh z@}aXx8JU@wI#6=*a-g$_nK{_Y8`+85SbemyHnMi0AQ#*3H=gq)1UH^{e8n`bUn^yrzj3p% zBY^+=`9BQ&9|r#a#ejGOlRLJVtX{=>uT_%&(248u8jCKK<%^NezUcO;byB~)gYXGC zWdpx{e;d2RAwDIx){8;h)14*O@iv-Ij>%&IpNC1!x2uVRJzu@ZEi~b?U%qm^?3~-@ z^{i2wVR=en0X*<(Jl7WQC{QguZ>!pP;ND74;x6luQt8Lrmx@O&R^u5)$Hs+GbUHTb zRopfP>2-}(0(OgMRRUQCr}ydvWCD)XOqSy@T6Hli9G(@{F>~%{#Ca}JDUIm1sST$4 z!hAkLMCRvJS0!kvPeQIwDJV^!Ws)PJVv)DHZl$*s*4N;2$}-s}E-7F1%R9AqE3{^G z7A~94ut5;65(~(tz5Fs2P72Ztj5_5gQv(o53ak@NO+?Jfcl?*vxfLgI#FYJJ;wHY{ zveu@5(IOe|nReb(kGM&1A2l0!S72?!noQ~53d+ge03HJQOt!n+}8+kISwdX8! zrb+5;f}LEe9OB`0DFqAI48>B$z1l>_h0Ea?k14oUwjs03Y(oZoSa|dBwk) zRCqZIbo=}_fSjEvF4#~Sa`M--_=D3hUkgn5fx&CTs4-#kY&JmUVWfyP;7kdn!>jkY zmGNQ`m$CkP8A}-Jb$d{h&q|I5CklKhZ>79PMJ;)p2+W%pDkf_-Or<^rgX*aQB1$1v zm-Uc+hYQdESPWzWRJWOfy%+B0m_W|kF8{^7R45F0S3=flnhomW5WLOIUd1!exMTkB zt?OgM%@dh|ewqA&@+!6zW)>QNdW_MqbN#R9-LH zpU{~a(YO0m%WYC|`K-)&-rX0B zX(X1)Iu)H9we4X?NmvQbc#DQ#<n~(+h_pH9DYi;Y{_9i|iv`RED9z7rL=1OFo zrqQCv*bj~DQx>a~q1UF0ZK{#5?sg2b=qCjd5(Ay{Kg_fbT8ajWNyC_acY7#4k1j={8 zRYDWDURYJkFQM^M|5A^9uh+;3rBC?Um3y2M&e@i`#j57iJypMIk3X~sU$`Dw`}8x2 zMNb;J?6fBDsY=3_K!~}cO9P+&M}PH%g?4)Fg0{r~-*5rbH@VAINAqX=j!j>#S|NY< zzc58#L{P`RT<4THq34FaxB`hwR=48b>T@xqq-UOP=K`Ty|XTQo5D5}1Cy zL`bundK*o@*3uTX>?)4c{iaeKQ>uxC$%_z{A-rzCHtf;)FFZd5 z4ydrSH|rPxIU5Y~u>*FuVaES`@c}f`59-SN5B)wzfGPBh+_i+c@TjqgC+#d74OM|Nsm-;|wCX}X(LgJanB zsAQ^-RW7w@(uRMgLG@w$&%LRFrOy)dHJ#I*6HbGQa-O?_Qj<*#lQt^|Ko)I3*Aj5UmwKJ^A8@+@xG*%aeqYdwZ-%3{3iv0CN8J^@?E#8iidHWyHj;=S|kFu zzpHlTy5Q;bkM58uXBbRH1MxikG3O|9xTi1=B%q(vD*QfSiCHF`pM{*y$w3?Mo0W`=$VJ{pIfFQ36_`QWV3jrv5cm&z26?E z4|#0(R&Nr=zbqlqCr|Lf;JeYPv`RQrh8U0bB(5!OThr4fMDuq%p}y7o9dZhYDl}VB z!Ypov#UYr_Y5dLy_Z+FCkVS|6zS1n`Rq}dmh_o7O9Y50}O5G$mv2QkKG|kHPO!eIE z_B^8KYpByeBhEFY*eJ%o=r8q1>9TrB9lz>yu&pEF}urh62HGtpk60oJUpx1FCO(#Lx&U3D$#o3?@4W){M)_bSl&S0RZ$fTanb0U0PH{S;jMZ$b1K;G)A?2>&Bt#lRov?s!OW zd2d%xNv~P{SrZ2@tWW%}G2!qS6a|guikivW(9Ar-1(sZ)^H?J0zb zlG|g`>0r&xwq|d9Z6szda*IKOQ!z>QSWcITExDK+`B`xh)x@Lxg%(!?6u*n@Bae3) z6}R`DJ7>z27|`=Dq=LXshLvVLc15Z`R=oW2!InAZhu-_GFI<1Yw*b;9ym5EtxxDMF z&BVrmAVM}U>cfxklFYTow>=~hgYkt%u76JjegTs|zT{bstj)7!e%nMyXKNcs7Ek`4 z9aKuwgzFOW(^K%&ZWSK|1Kk71Wess-Gb)gsw ztEJnv{2cX2n8G4epr%qg|DRLwg$?&s{>k+3n@4`cQsC6vC9}r|ONnhhIbl-Lu=1t^ z4z%_t@Hb~PsI)s+hY%?1gId41p3(jEO52I!;2g_0xr2Iznr9;}z{VJ$tt&;=OcWmwCrd|rN8|Yiw=cpqf zz<=rx0h#^KYR`iOaw;%L^MZnh%|Ztm`zV=XL9ZU!Q~fVi27y}h^LXC%AGz9C2KxMb zwL{lUCs{jj21({)9PS`anTh>urn1Xl#V3D1=*7(2iDE8Z!gFqCMHz1t(Z96)3KLZ1 zpLTjZuobaLyuIsI8X9>Sg??ghkNM>CM*T^Z0K_AUB^YoCE{0^xeH|0&`|ir)MozEm zE!8iNij)+oA(Y@jxV7X%74$s29UisKyW9NOhE8%5XfRl+)Rojv4h9mW@9(J2uJhYH zw`R6~T(+ESj^H%${>V(sc7ON}!Lb29OiJ?jTboN8;R8PAv8Z3$Ti?P5-4{B&78Ewn zJ)vqJ6mKo?xL%UH#<~ednqy(MMj*gDLAc4+-25*dT7lX#vNGxzmjDm1=&jUN6Y0z4 z8fV9y^LO0tsG{FHQdP!G?@tQ7^EI(gL`X&C`O0uHRljN05Qp8=1>g{L;Su1C1i2NO4@}`wtWzqKnq-!Zo!sjCv-lH>*4lgOj zM*p&z4~+HrXAQ@B5Siek{n)M4Zi6uK+J)Pu!S~k%F6-Fva%KKIJ6>VOF2eQOhgT_*Z>eW=qU@Ze$CMW$A z`}1#G$?n)XBTbUj9nhSyxgG}?u5-m`i+`hs_hhQ^-Ff>b&!SBK^RyB-XjwZF;|qf6 zN_(3}$7lSVp&BP!SH+#d0h*5@ahX$%#C{WRcCt*DJ)sEy6-dMgL6f}uqxpu$^+@1fD7PJ<7;#oL}V77(ebwe1A zj&;SE*Dt02TIYwimaeMNHC?FUY(j}sf6opK*IdX*^P3-*1UP^LTqf`~tZIAbnqR4n zT9L}tKxDZi=>Ba%3{>}WFoE3CiGgE-R5rBDQ)41cia<$iSO?JF(S*GyVKI+eF8{I< z7bRD<6)yx3gl+{#ywp{YVJ*-GD*@3xo6+EE*%EPTG4mYp?Rh(Wv-y8$5Xns<=N5rd z#?Zmx*}r#``lB{=9^WZBH2-sgf=Nw70lFnG=zTotWnv3oHz-`~`$e{b3rHbm!+`J7 zU29Q1^B%jH#N6gE@jL&q^{?b{gr#|&^^KEYGqbpbCcz-J70(Rj?@cQujxm<&g~>|X zXk*?NxzS+5shV{BHq?mITw{HF1dyo#uNVj*0(aLNerz65!JYSWv#gTPgP)+tZ__Mu z9kp%D&DdWp_<YWcGOA&cjI9OSU&0$dzAXjXs z6=Cge(_d??lC%=!Z0cZIW{_I{St3DjnV=c)vU8WDeWE#Y*i+7XI6-S?Td}R!%y=O; zEH#lB?j$g5Kr@jZP(OWIlV)39gddYIjgZ zl7s(FbIF_8G?5Gz!3L@KNUtz2Vv+TpP!suxoUMC=ThioO=EtzK(%&~((bu!uHOQA9 zms&@e>j@>?h3~!Qe!XfYY4pW9y~1k-XrY@7rb&p$f;{*6YSP!ZS?taCiDkdsV@qYW zZs%QB4@uYfuwT!P@ep7Tn~ZLklt|!M#Kx|OzB`&>3cjg+J1nn(;Net{9b-&Q=mVt& z5h$UN)}4^WfK-y)JWhLSvBBo%=f8EIA;B#C{gR`L9u4@`X>m)u_HwaTh{&Qmmk-~2 z&0GAVY~XI>(_ySH*4j!x3rO-@Mhe9vpm4d;O8rdQWi~$Xd{n4ga*{^t4%gMq`#zS` zpK8y1>3WdOVQ_4iSqk@@suV@!hDu9K4VAA+Xw-|QVT-og_r z#kJp?W23*XHSmnezp>}S<~pLPvb_#dqnPSq0kf?|sh;xvZPSp}ddwRY8KI{s z*MCqR6-LV2sl`dPR#Sguk)sA`Ik*DkjrS96RtE*gd{*S!wRV$R4IuTPaJl&EX8*b* zCwyXsSN`->4fS|T43DsaxG#+mVD}3{(A_?W zZB*1Ll!LdmrHl+-*38t5-I9=QyaF#On^%udQfe;)+D0oMbPaIj?Y&K&-aSfnTwm9a zJ$L|@6M7e)7+#+3mrx_VBL7Yjm4Rko`#05<2 z>-ZE+OL!pAIpBGr*T1{D$n$_>6TjP$PLvmh=~nq3H@OS06zaEzY6ad4RmaN#AIBa& z>v_*-T%+ZK#QxKJqMqyP`Yd)PRG{4tNI}no`AfQo%y^o-9!heFx73oqg%87lZYCQz zb1rdfe5FNVK3x@VZ)|Ye5cgp$dL&P%ss4DF-5A;O0twhs)?4cfCO~alj#%OYg*w-{ zHTtb4iN%i2%Y>jl9IH|0*7e0`vE*&MWfZ~Cc;)hQ^U$vt37V;V%V?>gD@;Yll2^Pt%>2g?E+K$>sz*+@tA5Og1N?CS`dIZ zWf*mqnwOrPY{MV#xD|h@F_gnU&@n-^pFuCc;S8XjMwRsZco=evBVLEPR-R_?KFz~$ zflHJg@_0Wy5Zv3%^P*(B&)66TvRqbG)>gfF#_~O0AO7IT1@e{=)m_Oj4~#`+%Ox)L zX5kEIXf`FH?(Jyeex8}L4%u}*{>0u${Y>}s9 z)21hSNZ+D5zOh-uoV{(V=t@e;y`p zbtz70o~yw zhm~m(QIJIDV3$yH)+2=mklDd_a$v??Ig4#dqqB^1Hk}di3A$5elk-yTkja=E1lz6B zH@oOB#?AYkSDcYfSkSeRhmAufI-Jdba(qv`QvXbOQF2yJgJq2j zgsS3NPqs_}6KAtJWw zT%(&t_G)tZ!wn_@&33ssHeTz!JFwyG;Bc`L6qLtcOpb1x-a}ENDN$+tm$uz_B7O)a z9dIk8oY-0}OEW(|b z1mxO0D&rverkhe-i|EJc8ykmY_RY+=7q9+pfsz?U_ID#wz`I9&DZR_SPzYmP)Dzpo)%5a)eSB;egE5QLbwlqvEIA=j80jTnud&^-3k^1(;+mavBsjSH`QC)!&yL>=2 zcQ^mM5ea^+TJp>!kmhCj(wmoPfay0r*fq{2Sp7AiivZt~Cc8qnuY*h-z29U!*xeNO z5MiBcgx(SfJ1gQ(D+uZ({zL+E7BU-*ON5~PSeR4l+VF;#*45K-!iy~}O@2A|8wB3n z+(xpumdv$&-g@UUz78(1j51aOtF;q0>C-b5Pw>GfW;tiS?dPDCv{P27EP`lIpdFXwl6FF~nHf*wph-CN#)(z7)L9R%i7=wxXl=y;+sb$3qM_@>~dt$eVj&S7hl-vPS3Y zYV(oW^JLVX1QxV|K}QnX{=9VcQ^*OG75RS5#Z|EcqAc3y@#UQ00ve`47Fo2B8zJTUTOO6lLYZrJ@lI6H z0W1H7kzD526n3leXcG(%#fR5wN%EqYUU}Q4msqQIF7$e_sL0r^7Mj0AAqExB1uExq zFR4Jx<@hGWkZ|M52y94_*aN)sEvPnstCd}!XDTxGSX&{V4~r9IH2et{>_e5=oXLm< zXf8J*(}WU%z;v3bYq?fEoR7}Q9P+Hm0F(P3F`F&E1wis0NdCGo>!hs{!?6?rlP~To zdN#&bhXJlM;vY>R(i_ulJ5TLve_t@-ITJX-0O!v8JXZxVE#!cMb{AdMeeXL1NMaef z{SatnUS$KjnBCIxHvvc@?h@AyCml0|8}cta1(!p&+MG9U30kJ8Q{R=Ptb8K})*2#L z1PaLTNQElqPw5z~W-Ow4KD={Bfk_!>vwat!`$@s240;=P`Qs7ps@XQds!u3juH1d9 zQ|Axg*oDb5LyV36?o8c|>CZzg{@XNcMF>+4U;3c~CmrusBryfK$5ZJ}u56H1b*LI@ zPdL(y4O^)hL7?ofmI)G+wBTLhu0R2lj+}%eI*Bao_puDkhLrvdiU!%+57>dc+wAD1*)7E zAo=lBpq{HnH&LAlJi-~L8{z;2vI}J&ssI*j*s1?`B0ope4RNuP@0h&M1R)ExrPF`q zU5o+_kn0m@o|GH9J#^WTLK3Yr{0Uesv<}a163guC%5Ug+iu=L|NmN4Vqm%jd=nzCH zpp_IBuDtcUBiH-4Tc1q38Z9eoUY|EF;tTx=BfEBeCVVFt7xx{Zr91a0Mc|G&Tb{7K zM394Ud57Wk`ZtQgchrb2-GAh5oJFQ~e!bk=BdjS+c~IIy;q2^eG8Mldm>igTrMyfA z!g=tHFOem#9^PLTZi+wO)$B<}$PB!n{iD_}gWv^QJXy%dJMIpb{3<>$eDW!&u4lE0 z+W*Qf)fp60Fl#8r0>hIEbu{29 zr3(JYW-VCGj3d+Hb}=<~bKXml+PhUVxY{q!SK;Lv1_HGD(bHg!1gx+`T|$BC<64FH z^ zg&hO^^6Z6Zve71qn@dxRtQNS%5Yk$AS4|#G5&8un-wr9Yjb_i(dmY9~$%d0yTL@Gm z>;t0mFrpUiGapZUTn*n-esl?m&>*MkD$m4a=189qP0g}+ILK8EA~K(_?y@PcvO*2h zYYh7w`D-;6)yj&Z$=S)Sv`Q;_g?JxCgq2tAR=IK?mR}vNUmOu<7kj3vWpb3Ct6OWG zMtjnIA_wB{ruP2K+^)*_NoK!dch@V4O01UFan#-&t^SKoV^Dcfw!FA&P@$>yJ7(qc zuMPh2&?@&~{zQk`66tgX2%D_K0Ilj-7$1pdfcUDfv)P^P**cvF6vMkYCOAz>OinL(wR_AX z5iPTJ0nj_j!DVH8G*%q!Nv4mSqdmIy|+au%f>*pATd9x zLT?PbnsTYE$}?+ZZ$N}kI%j8N8F>fuv(d`QU`_PrD_0cenbl*p_ic4-zANU00`jYO z-X0e_kMr`(rV;_lKfO2qLg7G~*P2@AO++QjqRi?B$ z)F>`@k&_q68{5%r?{VM~sxcw_W!qjo9nuup^_H=>KPwf(1FAAva9}NRY zLV8!yaK%Oo1tH63#>dCKQ#MNZ5+CcyL=h3591hk+C0Cbvz~EVEK&-E3d>kgiSXmF!C>Wd)doYNQH+pzix8CcmS3O1Do0tQVePjc(rc3Qj3bL#<{-U z_6vaSRP}|YepfwiWR%g_=d`%zDtJeVju0Ae^4{+h-jO zyODYS!L)qc{ERxfYQupmW37iI1P&%12hqqsJgP zD7r6lCG|P+-LRoh{`B7hL9xAX^jSBDomdZCe5cKgFE$%+;?G{T8)T7sv4-*xX z>71_&!64;~xyyZ0)@8pvJ(h`5IjCaRM^1Z5sD%rz^h#l(r1o~JaSk6(YO+eWu{Og~ zblm3%kP83At;a=8A;L$C-27~rK3GMus% zK@_}%QHHnyqMqUs26w{*ja-J)gddJ?Lpdv`{{@B>hmxGC(QAZ)>o?+jdb;}&-hG^a zUxJ=P`e*C}f;d}TfU?+lgSbzVN#Ntxw676&_s5L)rBnYK_t%+>4}mK^x#5Xv#`?k{ zF35Wd+Ogo}jN#IsU8fzzz(JHR;^MH=y5s=Pl=7JpjJ3vsFh+GQ#1F87AP_fu!+o5Y z^Je-yrQ#Ljz0uEeaJRyS z^JxxopH#=+2l&2zFtyOE1Y2}DE6fyDRYQj9g2ym<1`Sz^U=*k8&2aJ~t8R9) zaJ~;zKnhuVCu8$Na+_oaCsIoM#P1myb z=96b6LQ9sP`HPO!n-N@U(B15!Fe$wER2Dd}4ipi;J*2(o{EYNX5g$RM^S zzy@Cr>BkIcIyR0{gM$1Qh8}yCm_oZjJV&|i3l(|ah!h?s5o?r}uw z5l14VJ=;BQB?%`l*S%tGd;ybh{wO~ECf^3jz^meA2w-)8C7{&4%F^#*5(Ks3O&5zu z3RHEbR#Id?WkUrfe-(-w82(<{9{cGfAcCLom^2!F0lIgzZotQT{v>hpwR8wDRk1zn z#vPM~yds6bwgN)EIEin-bYvps40Es)e6;&9;PP9N4RZO~=vGW)lSBa#5)ym~`UBNs ziI5_;jPPZUj1+t(f<-jO-tZ%#|MjkZ?PbG|+;7;mrh@G{Lgq^aM6n``bRhN^urJo2p*r52$LzxU#vZVOe| zBmEF91~XN9Za6M;_Zi^+FPssHf>D;_`z50e-ZyfwTW9*BQI@%c#x{vtSaHmz&|M2X zrd&Cy_6eF;$2U9Rb`&>KSkb(t^5og^9cVy*p%yBsx!<~BMrnTnA@Tpk4Kc!^H|^JN zDjbb0HqXX8-~?70xkMYc$Gstn0Zx32Dt#Mtepg4jG%Js(v@mm9P|Oz`jRXZsLZo65 zt&d}f&EF!f)3w(U4WIs`3$hg+)N6|Psz8GYqGm9@suA@%8Vj9YmKNz_uB>#qpd62` z?2$-=AChb(%kiXz=Snna@Gg~p4WoOU5tOQh9>JC_H)-u!6I^~xW4iA6*Ta|bh&Mjs`lww<@CNiK%~?-R#^DpAamQOAjD_knq-Av zL!m#NJ$)XRv!~Lgi?H~{dtLeFr`?=Fru0KF7QK{&=bBQUdQ~3|_n||Y=NB%Bl9{F-Gr6<+kOf#1W|i1v2QB+;VuA@k zN5z^F=GZr*7m(C5R<^p9tpsr&Qi=2F`&ibOk$}~LjPbm)L_8}nS8n16S646Ay<{zxn92{Y{#H9O-5r6T zyGE^_Y#D5~5C$ENP&KZG)i>8d|F?iE~Pnn@jausg#2;&>=JTo4Cxlpwd8j(1UGd{71lOi_Vxu zL+lu1`m}nKSj+4=BgiL6_sj3O3H9z>wdhSJhBBXHO%?^N3yx{K0@N>|8VNDJZ|mmU z@>Don-*(zTya?FBxxVPRS6=8vfrLtU7qUQVH;e9B5vwE6=Qjf7nbk> zYKaR`?!TK;vmcGS3s=wFdX0oEddTgGkKv-=dl>Hd_FeXSab-&yO0X5Z{9~VnFi2V2 zMdPe501jTvL=c-dtPAkk?2!dM@HE<&H@pL;%4sWY)P96ORXZ%`4P-KgnpZ0HGl+8oyxN!*p8Qx=z1L6)BH^JvC$)oa(dcp8F$XGn z;Vv<22x;T}Nr*M*IPf2P&kdcU(vjiHZ7RWPBIobwu1Dv>oetyvq*2P9ec!@`WG*{U zA=t5ez1u>IN?&YpJLxv)M7Ep9faDT>$My?~_kSy~XN@E_-@73V{c!)@#JAlP1ib~uAt-&r0ruB#J?w5{Qi8} zBa*e333uu6(V!4!{y=k#3Z5>g)qf7fWCQ{JTYZ^{NM4xFt`n4Z*P4Gmkp;L$?@v~f z(Pw$66p^3^SLSCYgX0JFiTR;d%3*>R#7ZD;O?V=94T+kb_o2MRub1GP`*!-x@qk$g z#>{cK%-of(m|BFsSl2BN$~Y7X*}YzPuWj&x-lO&Xcr(k!HQ#x8g}&H*DBU&LB{C>; zBDuw{xNeMh|8@!!tXLYPRx*|PoLY;$NCvVK1F94mTQ2QdPj)O7<-AB&921D?H^+A$`w^rSH>g(VwBb$s<^rt}Zk(;tM+PVt)eyex@P7 z@sLC%dfH*QA|)HV6jun72x%^JWUfK;oOxapyxcXkIs{ zqo#!FNj|i`xJQD@LT7czK$O3dT2hv$E5AQjq!mYOIn>Wk6!e5a!F!^EkJhI7?tizn zvbVB4%FL2D2q>M_2|6u8pp50BUGJ>?zx#SKL|6GHROOCYy|P7qYE#PnKl`J+vfSK2 z9@R+t6ua@A4{ibt;uU#940N>iPiJIwfCc!*6Q)I@5Icp~lC`>$V;-3FcL8Ip7?usl zUsp6Rh<*Ccwq@%O(~R@{Q$<*qC!vCtcY=@^5Pa60+a|H9x9}woXAzpC+#=_P0;Qs7 zgyp#E?LAsq$K}cw8uJra-E`vP1F1o+FDJ#C7cFhO>4@aNG6 zG?#s*(rlz_^m0|OzVcF4#5)eE5YHR}XcUdvSle$<`OiyH zTc|cOg=O>qo^VBxR}n)ica=Km_Y}x^Gz2EEbKd!w!-x^mEMM2-QGCOLazZXT0ZgV) zf$87X=Q9$WtX_dXL>u5m5;ZsX8U5*<^=bnh4&k4Fti3-R0Gp@bYv?Iy#R+g3_v|L4 z^@5dNt2HWA+GCeN#A(ULp#5GZZVi1zXDeX9b68}Gk1^q^-o3w^g8U$}s=0$t z`PNVk{J5xQsz8HL3L}9p*se_EjL@E;h2thqM{?v8M$Pn}TMIu8ONc4pfK+KWF!kc3 zq3L|CO?7xo{1|Vyp;p@^eKj{pd|3kr;{hF_eH^4)xNmNDtK z7K|ZUOx*eMES86bnRZoQl*Ov6k=j@;L6R}o#sW*RWa0NF+F|$HhV4@t9+>?--nZ{VGi>d*!Ws;j_y`PCEuzk)l+}z}{H*Q<&rK6J<*5u<0=UWt1G!4hT zlS3<4k3>#iv8aE(P+FmzqZh+-ug10050|V&dH0lue}Y3y15X53(T)JeJ2Y! zxUCg^PD6>KcG^4TVsDL>M~~6V`v#yQ5PzUMUJbkKhKLIrp=B|E4A|_@uYC=~qV?iv zbmfUvnJEgqZP*~cJieUpK+wJa)ma{IejgGgm60t~g~KIzW_Aa^skMs^$J!tSwgN$G zz0u-lcPFiD(_M76ylPZaE=ij8&qY=)kl>cPXk$4K#Xne$2#>aVli3xQq14`eCabDi7-vHUC2zzERf^Qu#GUxlLt)cho9Dk$rM^avP9Hvio2d3Y zf7T}~b+J`-mR{#&PwQ0@Q#2QZAB<;m`~Zai3i8~zH~Mn+N#BrySH3X0?V&IXw>(C( zem=0``B%?AH!}+Jgq_wta=D&V5qBsk<_Ct+{wXN`LhS^QuK2F{cqQLH8yzA>c71d` z#Sw5bC6pisUAV*`qMuGq^3?&a_@gXx)D=TXZxI5y?6SwhF-7W-VBnKh>=_)&~oD zw#snvhK+D~tNPh02}HSk2$HHUd!V}zpZV6Fv^PVKF!cOO>l2o$KVK}6RkCbbX=m!Cb;{J*M8Cnweop#5Md_&) z`d6N$EXd|M-JrPgVdC`Uk1SS*B*t8so%ueUHJr%8pk&(J#1T8X%Bxh+`kZSX2Ytoh zo4`oy#lk+u<9ote8vVO=hn;%Pu#qr%+PlpCeh1{1Rly_)6ZuTE2~;X5Z1uPM=%1p0 zN-2vqff5W!QuB9$VK}E5+708Txj3JR$elb;sG!c=oxR)z4paUb;nG z7?u4Rek+js4*R)h4X$m{_^ndnW9|qSUz@_&_&yJfny~|+Pbc&W_37oS+4#?_)vgq( z=uPe(+UHU{$bY_1|7w=?)X&Z&n;Kxg-8Ha(QtL7@NS237(Ir?!gg|a_(Mc<}O&Ztr zgI8dH=F-zGqu%N&UaL}u|0LNp068^d#ByXaxNasZ`MWvPP8LkuWK2%YEIdH-aL{ej zJW=2Mqb3yEk@#f`e3GwDhYaVCTiONKdU?7}NdUnmPe|t7O@tl{m%Dq9V!VPeE4A_( zY0UF^v)kwyQN)rTf?vixpA36^lq#8+|7vZ^xDCO)*yWoV5Fv2)WxZ~)e zAQ4v18+Z2E0WajVQDt-F=&Olgz6^Ruh|}8HgpigPv;fqo0X<*&DHweUFlALR;o^N( zk8VbJ=QBLJ#>AzqUdsG2}_bNkx8Ere!~g{Vi`3x9ZVcxPsNAT8^5oTm;GsxjynjLXEd9 zZh&z{%<{-~Iu*0oGGG2xR--`ejg|n**w?N(8o#U8o>!E;>kXy?BdkvWyKlmM;zx#{ z*{?WDE6k5r61_-7WH-D#-4PvkU()7GJ$kd4NaAj^aESFGVphKGRFWMNvO^&iH@(Y? zpEK=yhoQf-E2ov!RCi}KHEROt{h;<2}nV`Ojw&7g-qrgnbe&XWP!nIIxFrOGGlaf>Ie9iUH zY#)0{SiF|wK`dTi`nU7Kxc@+|5_+H944?h_BQeUd;rZ8#WHMUgE9ac@A`y}2Noepk z1DxLZ`FC;3CV}xy6Fq3K2wNY>#@XhQF{IDA^VPn!YcbPYzb>x&ppA#zAs<9Sd}2Rg zcWyQJRdqO7>Q=_Upbp7rwZ%^8MZAvjKsq#bH6AneIC02Xoe@ zq%Pj)>|*}C+1yH>ip`o)V^fmjdz7?}SHF0my43l;;DyHD#uNx*z1jVsQ@qi}sDX6ldZuknTD=1LiMZL%F8<3aVcd5`02GhflSg^J*2m!LWhj(8R4YyR$q zwKrQQic7Xuo+Zjxar4*l)7f=?2lIAyCzG<)J&WdKG+`H)$Flg5^Uz}+-;#OXCC%Tx zow{kyX2QQc)@_CAU4srlt_amn4P%$B<}ZO{pt;_KVN!+4#`HYqra8;=6EW&r$SEVa zkzxC|taPM0zTNl#u=JH-Sv2q4bJIvEAYBp?(jZ+TNVl|r(kb0_BPs&Y-3TZh(hbtx z-QC^If1ls`eqG>TcXnoWuJbyh>8rWt>E7B_ zG=`P^`q6Me5bRuJ$68M&*8jSuye8lNa~;~Z0@aG8?N=3R-zm05Bz}F}+I-Qc6(Wl% z(@$M&PG313r}1(vb}jjX&}__$w=<>>Sk!v9ves(jl3Z3KL#x=(NJC|8!y+Qe-K;>d zjK62*y6-cJ8Vh;t3Py-V-_n0b@Zv#D+FhXb;iPOwbGrNZ0FMN?>pmtm;s!x;Hu~{bN-FCE zd)n~&2G+?P8Jx?)r~lJEpX}eCB8_l`V~5ZpMfk^!`NWr`=tL0Fw7N> zW_~yWc7mMd60GD%V$U7S2u#u7J-<^^Bi(U-+mi(z0rmr7$qQkb6jZT@&nb#20?Jz+ zl1WHAI^xEFyJuL<*`G)-DxmvXue-rByJAX?;HBC294B6lzR@!(xwp_3IRG`DOe11A zt7vF(l{8m(%-(x;=bp-bt1J~g{VfOt#+c<<3vUtPSlWCk(lSivr@z*FThNEPQXWD* zy;w4;s{GAyfmfOoiITT8ZVtClmMNuor@irhvG$tsNlJp}dXHCrW8Pt(?5lcy>~>y| z(@@uL^2T*V6e8U?PP(65$i(qs7YGA_7^?4_F6YtG)SvSY`(jrP)Xbbj|W` z*00Sl3EYzk<-;oH9)$F-A(dgb7#VL@S63gc(z`-Dd(AlF#3DK^yW1JL^%vBI_9!`; zX`_oArabG7U6s>0Z-0up%lAPP^>2?_Iy*-yAT zBPyCqUqAesNpNv#CG=5VOlalh($DsXErOgo&RDYLqwM1BF_%A`<|i%zb_$up<~Z=Y zb(e5KHR|JqGQNn)!?uNlyJ(v!&*ZyQ;YhE#5C*dk#m8xS9^r$e`=xA3lMKXLsFQC$ z4#bvmTBdwfV%Go1t0zZf+hdWGCroG&!quF@kz9m1K9PJ&UGeka^VtWq$3PN96 z_<^ib)7DEo+S|suv`oSM5v_=U&rbwRY?-nJ0dwfSCgyWui94y+@5^c2>pW+A9XM9W zL5*k>BHvBK+iSs5k>q!w`^h(b)7IrprWIk(A@4>xC?%Jt@|_prQcrW?%AVdnY>4@S zvxY0M@X5&bz*=_8TT7FS`9;yE>ro%V@a9L1=&PbMHuz$SR5+C}Cr^o@MO}F^C$_yi zbwnF~TcK<2I?Vi$(fIG3Rj>DMTN;Mo?5);!!DDR%o8$%s`t?;vp;gX@A~st$ukU#E zZU5)qDA>k?zc6|TzD~DCPd>%~Wp6h(rG9XgY)mo-*R6Jj8Qe)^{klSKuGko)&uVy| zPelR|L=vDM#BaRp5Hmy-OB&8IS@d9)1#?R1*DE_amNjV~wgSEq7Zt_1NKv5MIXh3u zrC6Qs@frrHk@^$t2^@bX5xM)4Z{_fHHbGz}fGS!xIc21Cydvr(ih&drnd}88(-qBM zW2<$8_pJI3&gAzZ`qcKW*Lyo8s}erlBcp*@#GZtmt`lwLl>X17P=u$qcLbI-oIYf8 z^;}N3OyH_9p9WHE#@*omG~WHR;=3tHXQP-Z2QlY2pS^Otib?CV(wx-8$SMAL#ax$I za(Dzn1&iWshT4Taqc>|+i}bS8;D%da&7^JLD#kZt#=B$O`CW_1VT53sa`uVFp1c&H zr{*NETx_qd^>MNH)%!8Z6Y`i*ou8|s|LoN${W^C|t;Wt}gSA<$ByC--zH;O7#YS5Z z@*7g6XQMuu1K-!1CjGP$Xd>#^au06rW83fz#D7$H5*X#y9$r4Zybrlj3TzV%z00bO zm`d=!P;;$VsVrVKz8&z~g&1@u*7I!acP-1BJ)PtgF2hy&*$WAjimz*Ugxwy~0X@@^ zXb9~e#XEdoqw@S$_eG)Z8@XC~?FvDg3QmUv9_aAkICCrd*}9^l#cSVYCMy)NiZtF9 zZePZqwC_N4a*Un!Ea4qLBw?7QfY|@%G_GV8Uj0n>r@e7(%O4iO?XeVO=V@?{DUUK5Qi|JO3WphYep~{T^}1&n29l-~7Q?tKE4? zHWVk&Zn#wBsk+^p^eY+hW4;Y(u2blV5vf(c>vDRRtEB3w{v6z{t2K!)rZIe;*_@GJvKcX3 zq?+EDQRzG#D(d*_*$w%s`d54JnOb(k9;0M;6^~P^a!q`9uB8w9qynJ=P4@S1vaZb_ zM+cwWa>cl^WW;7UA5FDPvIB+~#pFjzTW0%RhV^r9eYO}`44W(2g8BY9)$InoF+pR4 z8|*D&+xd|A3$o`X|MGifez)n7mv|?gNEmAj1~P_HyvW+6~8G>>Vy*uT#_>$+Ht+PvF|gRPdSg#*D$k_9QNqo<9zE*qqr zpy0cDRd(tfqw9}c)JET`tD^U^P5Gw=ShZ(wneM&eJ%l^%nc1M)?lIuxREgdgMsKtvg=e}=3oJ}=X*>QVNFw3u1Xd1 zGelpUY>{FQdyQ?6$yuQgQO(M}o;lwfgVj{0&yGu2X#h>D_B}DBhQH^B+=>*p<&&gO z3o68vgdLMS+Gkxd)acjK1(rnuJY$|r=<|~XTvpTFb*^d_OE?mH%h3?nw6@} zBQq~UCfo}3s&e*!&5l)B-xILq-txQMROHp@)T%;$e`>o{rjHaG6d>(1Kb1Gzr@YJJ z3_i7a>$A?ttKeC?y!8Chfn+|#YM4!&BNvSk8H!m=*EQZLgcD2SBHOtNtpg_V?5R_a|l zPA8zVZ&JC(i*tgTMp7P)G$_`9>2g@HN0gPX;eeG6Vd*4&*fE#Oi;nI>$MqW~+_Ldv ztkF?REfL!GnPg{O>#`fnAujmZm(BN=_hl*G7*I>A^iXj}fmmjW(a`KAZ<9vDuIbJU z>BFI(Ac5R-P|T`OTq;cfhB3(A5AGbr``L7Xu34XNbmx;O(2a&j`-lsV*9UrQrYQlT z+1Co!)xmW~4WEy>VRm2V5>9}dbH{@J&HY};deDV?H>5mOcGi4_kbZO}YLIiGDr9^1 z94zCR6cOO{Io*-%iH}sA zFL-AONFabydQiGB4S5Y>X-$v~HwvkKTsu&i-XcUaq*4W5tU9{A1NihHh;00BI-qsC zM)~|cy2i2ScBD~snF%^#u$}w$Im(7@bmxAbp9?nwPV%?>G48YUi;dDvFV-7{KqF4B zv}b20lke=I!Kjce1dYMc8--TfY5vzc8@Bc4j7NjNr&;`n3~xP~%~Zs;Nw|^w7pJqq&wINwzelP%Uj!Y=b;n4qX5Ap25xrBgK`I*j z(B@P(_p`39eV3~UY-qX3>`bzjnI19yL9C+8imhG>S**1m*SWjjQ(i^zP31iiHK^qd zug&}X~de%OHtGshyz@K3I3qgE1-hi!SRDjAy`Q z{qfiQ<>^7(G&K%5&uyZp7e{KI>Yg>%yiX1H3}k_LFb_Ej7HVR?u=LND`_|T|b|FuJ z4#Lt|g2H3>SbsOWq6QR5px;KI@%JOekJHTC*0^#1@>Fd2pdfHIXtSGbD*i)Wz=;QI zp1zMRA+~ZE9Q}CT<@TJwp(a16Oq4M5C?;&gM4@Z~^57 zo~~Qux{zZs<$Rj8+=W8YRqhcQeC6f3ua>1QMYpA*dlbZU95~3X_7wE~ZPT$`Se$W2 z0ft5N<1C8zKgTtAWk64`=UFAl=Wx-XnJYTf%Ld{vQWg-YcE&Yk%mPxH1l+S5xY3Eh z+76TL6Cu(nQT;i9c5}0b$Khh!)$UqeY|ZaMiSBHQ0XCKCZD(i>TOWd7_@R)2ww+X= zwqq4}$T|fVM2}w+by%qH>_mOsKb6H42GS`M(TyG4%D??7c~LCV^r+n@8}g>07E*a| zZ*wGk?p-NjLWhO8CZRQ}CgZ-D3B8ho*3%4o7g5A1SP#J?-Ogz4~*nl*N{B@<9; zsqa7eYTWL9homz~`hs2i=5eOUl5#VHvO|1=>ufk6%@!~9$`Kn5WgZ@m`l!FAHJM!S zJ|pF11}ln%^M|f$ebr;WIh<1fE%kCn(#8rhW4=q1zuL$Y!9{?d+6jCG%9fW$1$`E- z{b7iZta!8@b5)yR_u>W0O!oO-Rv)lN{x@z)T*@ZQ=>SF*L2GS9k=M4bJLCFC5h1+> zE4!hW4hl0}Fe1qt!kkvt8ASSzsC3rI&?!9tbz^-e`qd(J%5|fgW(pyO;hVD66vTNY zU+H`Jh#9!@rb^WwI4)Cb>VAg;x7N({_M8F;R3jWr{iAI|i12GaX+sR4^vF;(mJ|qv zctc?&r8hDOK8xIxdDlqIA^ML-cckvuGHqhT6%U-yt#a22?yPk$_l`WME2I#?*xmbL zqv$;?rV|9v;UBO98|vhCU19BLa6`GkUxwxS$D3?8@RImznpNneaFm|u*(j@eDsuW? z3Mm3~vyOGu9!TEX>){^k-YCtVZy725Ki4!W+@s(VgShO%5>-LuI2aUi({;^}E81ta z1zU35am!Xlr>O*dR_+HQ+3!cG!C8nA;AR?@e^>kFwB{4k7}FgocP@DA{Nay{3uMgW zwouuh_?oC_U!n#gI_nN;hfM;3F1My~21dW^jXVd2;i%>4}`0Z~6N)*hk?;<6<1VzWk}I+7wLqWte5! zdn;8aF6U62<$J#xW%m+dbG~DPLKW@LDTJl*e?nDystS;i1MdJKB6VT;Y_@&aF**ob z>vfy(o6xpM(!K*!Si(j7)(|xqFowmZ>$+)w=-N6Vdb3i_Z0>%j_2a zu{7QReSee<8U!k9#}%LPuMi1yZ%$FMJe#}~YR*$%2%T=?fy0iF%m&(52vjFA<5DxI z81aZyw4XXuqheF;QUv;cd;i&xCqI!8E45h_Tl& zFmKY1f{87R_at?tBrJd_K8IzK@xH3m$s189*)Y8IwDTC-^+5cW!x|=?M3?5bIkTd< z$Ru%U&46UOZWaC~&t&6N9ll2Q5chafZfD^0uks4k-R?Eq3MnZuHf`Q3%^vLaZE}?f z-g>y0iTEQS$kvGLzuFGLAGtVq3NCy~yQoAe((QqZv-U-VwC+_qb!YGsaInp5%EQD4 zz)yG9g`KeX-7qn1K-~>ndPD(5X*DFj+8%)<2*#J~^t zvS6p(1+uwYd~=scWKqg+{djQzS74bzW^#P=i$rJ+d2uO|!ifSxrLeJR8&(C&?wdrv zJq6f%2F{UJOM@sNiT>fkk}NX3<;}d;SuY0Io3|K~O59p{dbqe2m zP=K|<&CN+MM?#aVlEKb5zi{Q|hSa^|%R$A9C#)xzmx~j)-w{4HB3Vnln6&6xAVs12 z6lRMa_h*a}c7ftn`|gWqa0o5Lxp?*Pu#C-PE7vu6YZum`P4|6g!*`r518LrJFAvZc1=fhF<2CoWbC=Lpg&TdDYzyN9BO zxwuGh!r1g2f?>J2c>k;M})0B82E5t z>R{~*DkyBv7M0q<6sgL+5Y~o?ir)Dg6?NT#-J|^k#E%Z-A6Fc zk@u<_J}+|H%`-AI=apK)-ohpmuICpY|GYIvvtu~2`R_m9I29a-J96FMuWlsDB7jB_ z8&euA+~i11R+QfBS}lep3hk18|L{s*19TkZyBf9eD!*saD%iaD_f3NZh_Li;DNUm0 zmYtBSdYv%k!7bF5EaeB^S1Za-=dhp@@X0{)YSh*w5c`LT@dB^`ucS}B1~)$&00w+ zX^STo2a1CK z^lj7-0LeIlph)L}5W)jD6xosPoo(dfLmGa#$3JnaRE$Kp(m++U@p4=&=%4PF%MT}~ zq(g4VA28B4I7ORAIgXz^>E5utzr(J8=o-H-G(?-q2+3Ey{-KZOLn?ymCzbnL8Hxv& zr=DZ#hJbzC$BqR-NZ}95<*DG*XJHgzDLr4aA6joeFlgJzi495)VyTTbMQv<@+i?8> z`y9$%gZf(94c|+VP4k&VcF!`V!{#D;`&13H`@2dWUIJKiPzNI9IkS(xz)3__Z2AQT z51l5pqD7g$#Pad!6sUlXh`-RK?bl5A%#N}(XwV4}ErZ79O^&Rnudil9=Q+UE2-yVr zmSIqMWfgHKqL>om(czaC@5N@yLsLG$P)r{9(^yE9Jl=C-HVsEcTj7u!i4kSLt4s(p zFHgZ26TGiup?sqJVr51`S>2loOkI$#Y-$RzZLQ*pHr--zL(#c5FhzaL+KH_G-MhPv z#BFIv;w<=OG_Aqq2mk(NRRLooff!cSexc(NMeNhlS$qWmf9HQI#R-chW4Jga9hoFR z5KFuE@xd#WL<$uV;x@iqd2^}S!OJs_l6~lfJ~ziyX6o4C_Fiu2W{@x!L)TisMoe@X$R1T-<#K#l=&5m`X<)N?t10KMch_dCXU(G3p z3I0-= zTST62XILR9dh31jF!TXy8^2K6O-wvpTg-xUSk6`n?%({Z3mTPYi4&l`TO_L8ab`w zz|&9u9D5Ooe08<^qb(a6D9K6PB@@wn8#M9_06G4(JqH6yducAcRJ$b(v~W1p6G*B&a8*Zl=@5Y%M-B_B zQuAJwYdDG@0KF8A!b)#m+!I-Ql7XkU>Ms1=!f3%Qu=F_9{P!P6%PT{I@KnFd>pd?` zypXC-v@6^a$I?YPo?mRyXV#$~) zmcUEa~==Qk|5`|G0Gy|CC~uNJ=j-Bp{k>7C~DZdGYz!rP&~6r!y9Qe8vv6l!b3e-Q>A32KHP`BX zvmSJZ zzudEz|HX9OG7QIDUjix`NODr|He8a>rsqcnkU;v!>A&?8Bn(rEd??8TRMJ-w*duWKtu*tu8Ltt(O_whoTN& z@TWbU4|Y~?!>ZDM_l+PGu4@(^xCv9V^OTb4>Bq5q#XcyE_}Oa^Th8TLVzThMFU?fp z#Q(mD3U%_UXGfY}w<7-cFPkgQ)%P4c44mYG_q!rW$lubZJde_LUr_IUk(hubk+~o` z{@RUq-fpf9!`#KkZ)urq_T~KIh`8Jl&=>uKJm)P;KOyIBoulN$w@(wpXZcvIbO0c; zJ#!@Q-e6+*O3?Aid6W3z`SjHaj_T71KYU58{g+XN{u>B?wNPPHI2Dhp{n2Rkc}G2S zAwp3Z`YqnYcy>k(;w3Z4&V<*A{rQe(2R;vkmt+M8A0(YGk+rHw^97r&|7q=ir>RJ~ zC$Cr}FFR;esCP*Ths7P6!E1(IMK~8x?*jDw5dR53gW21U>V7>=d^kA83rX+c71qX2 zHC!hnYVq_E+~y;kX99-h0SKyW_Gj%bqIQJI7#F>>n*68YWC9(j38^i~6IbdHrv=Pe zmH)~036!wZaz0XkuOG7Kc+*6LcUkN;sZinHX)2GpPpAf`WMv2tN0M$+s?u3>Ln#gY zFtdUT4{`pB#;=v)%3kuoo)dHq)h~`mQ-|S&{W8yoo1W=L6~62F9wQ zmczXk@QJhhCPM%IY|X#7jP}!z0KdR9ReGE4Y4&red;gfi>msK2?Q9H`eMH=jmV#COP8b@Sr=e5$a^QT$8+iI{ z(7utj;oh1gj^g;?dNjK!d>F^if2k-iPFNd=wOT`ccb>7*{rXtmcE%Ch?CDJ608N&$ zI7Bh+TzrsDTbe>j#I#U~hhfagpUf-+(j}lFF0D$? z9pI6LtFXZ(-gwSoV6Z14D8g-5B)%~S3=%Z(IZ69-wM}y zJC*O@Qmy1Ku)8C>8Y3WO$%m$_SGBDeekp_)pQ~ZWiUr2#>Y2yJ`3vy)&klr#qb@5ApCS<9dnSBlBeqzv_fNt5M9O4=a|B%M zmu5G48%)2^LE)`PN|2@hR@_vg%~VlZ1(YfJXR4xG-SiL!6U)6A%vFoiePIjU`FnXz zro-T=7bUCaAHTD;F9`EvwmcV(<}v=n8L`M_y$ zRL(YQg9-x^dK{%!#|LkyHa$FyA-a*5c{T>KAWuv~F8cU3hx4{RGO|=}6*+&w=#?;f zneUq1Ty}q;nq=O~2Tu+?$|UpxXULGhbv&j^EOU4D%^xgvVgQQ!Du)dT|Kw=k{4EkR zYHFO;=sP3MLX(@GsD68X_M_YZFuaQ40z-vruFrRCBQPP9!y$Jv_cn^6B1O*ugg5Y{ zx+H4Fn1~|5yXz*hvNx&1u9lJ(T@_drfO1lW0QtCx%2}P8uk~d1-zvJ}-0m-$eV|$*DfVFYtxdINvmC7n%cQ_ttB0W+ds=p<3knJSeEJ6oF=#1E5bznU`g6`#_}5 z2GQ-+QnMG8T*3+&@6Kz=Jz`0Eeb7Lj(aOjuS9k=5*8)x*?c05oq{(dwccKQjW`ItoIvo_QZWl@+zqlHVxrY5If?41uz%Af4jWmmOos!YNj(fohwf zAvagR2}8*}ld?{syd6%o(qMb$8Hr)VP9u20;ofQPR~jj5rmi@ zY92g!LR{u~RC{{#X|wz3vl}G~UJUmzlh}Y%40~ zHn-BQ829Cty*r_ICi>M&ldW0=k}AJPmtZx;Lbb=L$3ERWSrJV)aFvFG+gV{KlJ{U4gg8nnl-W{wQ@xQTfPk2Rkq+&Gp;jzI*$4+wzB zn-%xUOM?k45h=&V(UJnRpeF6P0P84d@nk|caA`*82NC}T3|`)_JbIh$`+T0Q{$Gj| zZnhr`#?Nxi%qih#Ws*z@Fn9ip$u$9 zk}twkR3UIZ6GK$dIT1A9{pacucQxOC;z#4A{zAL7`JYZ*v2Hpf!11n> z6&mM{{A~cVD&$TUXf!42_R;j_!Z|8HG4%U3`q{Mu~_-&h3B-=lm(hAX?zDeO$cLMC6 z&x$^^7D7Q77?c+2V`_CT@;dr{yTav39=!&+u(J9o_N`&UrT z=CpND-XPzcy!!ZiDLuy%nu&b;s8OHzVf_1tmTwCUg+VfJ3!eUyRZ3}9+2^P2pBWPP zQ^JlI>dA0nt<*ai!Kt8MS;Yiih=AEaf80jBj*u$ zKV3f_V<*Fxb=#Ac|5pFV?j+7{p0-m>abnnXO&D_1>bsEJeF?1gv+5xL;g!WVs{*!x z0L>HD6WU!Qytgg~y=Gu`FE(T^(U)#4aMo&Rz*3*Q4*e@s_zA-{thxFm{Qk$y z@uTs_Nt?`=K(VqyK3^nSEo(V>uTl5=Lk>CD({g1M%t)n`)g8k-vBPWHXKK6?lu}NG z=66n_d1*FT*8+3bSj>B~#4e-c1*S9snu_el>{E7BVZzVe&$-19;~l^)s4X;ny>R{V z;zqNBP)+mj*eNf0lbOGTxz@fJRa|#G`^TDlbQS*RmB*F$hHhZ`5ZOyIEd!y?Z@90S z_&&i)<8ylnz>K_t{9L6JdqLZdSzsXP#(K?9Eekqsv=Zlv(ZE1okt0$40bl=$t3O;} z79%jRei?SN-O0I4Y5@*}ntXDoJWn|6EMH0Xg5cnsdoquTc;Aqp(Vp6YfpW?7-yo_( z;fmK^f}5{1mo}O>13q#(AbtglOvfYjaxM$UH+}K&-U9VQ2fdCAFB-eU47FWSRRm)5 zBNoVU9Uhi&(!5Kv{>sBDJAmACy5`j%vxXjOFlT)Ck~N+U9!wa?%scs*OeD85CKwsq z^QlgYC94tPTv<&mz-*fIvrR3U9L5HbQ_5OG0GZ;##Hh8GKGEW>h^A}&@H37MojLx^ z!yjXs;dSjae}8`PB|KHB{k|tan%H6C`_%G=8}WAn+coLPvzPTEKWoa_9nkSceB`LQft;Ic$su6U5)~2=VetEN$cBV_F@ryrdY-LWJwYvYWa3 z5$SdPesW-`jo2LfH?bobQy&5Ft^HicK;Xz2GJWzK1%6&BLi)8u;pvTo@^6f6%@G8# z{sH(OEQHHwizf|>BKk~ilp6_UR+y~zZ)j8ETBM-Mx)_l?Z|LRce>IQC`~`p)kxrmjxt7j zG8`F9pKcz$c0#cK(y*xvSx~~zf@g^%{)ItM@chF79Rl3j7gN9y+lmDSFn>g~idcLFQLG_FHyrh6tNW?CVFNeAA{dgaxLA&x@;xYKf;M!7F(e~9d3 zIH1p&)~|^xjt8f6`NDDaObPHblFOEGGg8C^4Y*b?88nN2X$w1SP-&`%iFLlw&2Ur7 z%pIzFaGEv0$N#w4j#jiY8*>sBV*?P10MjC%s{9H|zn3s9IB!A& zl^zvUi?rFSn$YDoB=~dJ4AjOp#Xx+b>d;(BcV3?>A|ldy6cBM+W~sJ04AN7{ZBe-I zoxzk49v?SK*y?SC{69p76to%BSLghU=-rm~KE&?B? zg%_fTdW6Qks2>cuU55lIDfi6|#@gXu4@mRos_Z+?q0+!TnheM)nLGinC$MkCw^1K0 zK#qg6Kk|dzCMAF$tu{6`dL9K*71Cv>T;OqAw-rRRj!Px78`&lqF z7ZO|R1%p>(F=B(9{CwWocTQz12+iNFl;ycwl@lH%0ad}%1Zh-w)XE_1D^$?zgqC}b z3&hNazBl23J^dIqaK+l~+_HiD8XFGf9FUYsV*>~9G&UY6+u3i@|78_@0jvE+Xr zipYTROAc7NxzYsTgFZ?*}?RdBs z3CPKr7{qO&dDsOd*Y1?H0>5KEV#0gFwgi){-bE7wdENG-yydf#*C^@UWtAAT_Kc1$ zHhFKyQ@=^$0JcHr*Xzj~EYLSArwIw@aGl}+?~_e3l7sla*Uf$@Seo22lDHIh%Rh+l zanW!Lt}TA77mV)lW^CkbeRI<#ez-Lyu@AaEckj%?-eU_SIrv)1FIkdwu>FY6pWKxH zu6LAuxgm+H%4^xu&Fh(m(Tv9H+mJjfa>GtF)%vz2vQ0!(6uAa*f7(0&!o&*`%FcfB zBU}ol(MGEh{Ld-NTZ$(xt*tIfLv-#6I))-Vn!0c1hYxXB>OV@%93|?tr;7mCJx~;; z{!#vAWISEh`|cOMx-L|sAJWUVtmZ4X_=@MW`?;r>(6}bSdbP^QWKntx;FdQ8sl}uUZW$r|IDOf2>zIO4}Vm| zpjYgsupQD)o8_MF&Lk<{$L$RJ2Op#F`s6=QXnXk$JAeXV~TLVoTVtI){|i0zX1T_4f=gk1=U9qd4+DE+90*dZkxpI~5B zMDtUuAeWot&r(riqs;!Qa!GWMp1{NX;+V!3<;{kpjrB`%XE@|h*z^?DbGHn@75jB~ zcyO4ntYqH2a1mm-Am8kJC%Fw1%jD_0MtJO%D(*E(U)-$(P+L!$;T;)}l%zAUjdu}x z%%AGpP70q*?tCEwmRuHLX@N?WGOGT0E2c@H<^vpGOSSfO7}cX@P4yfp*D=fMr|ysy{h{j9wi!vh3^l zmP;)~G{A*NC#H;AvnJ(NIii-m5@f8uzkH-8Oar zWVc4ApEW)=WS2n&@ooB;(vw=Jfn1fN_V46=>tljuI(9o@vsmTiY|amuXl}395G#49 z|3>V4pa8M)xo!iwCr=c=q=c6PKzCs%wj4%}Lv=4!GZ790)h`>?`f+tJe@I1wW{+8t zxF1yv`hujqLOq_JEo894@BHUDoyot=laL3zVZ10JRNW*7n(ey_iYMr{Il&h12GjgR z7|7U)&ffW;Kp)#2%eOpy_6!fT9MgAah(ST8$AYvIp{lR&7*^BJz?AS!e@h)Eu=^`o zshpV8NEcy`BU<_|b5t@WMe_Xk4bzRM?csCF)--Z)y;?Cc@PjkJ4BLx-dD3%SXSQ&t zbKh!LFJ}CkQn)H~n9}k&KI3{$4&GB7$hP~=pps8bWDSeLz`kw>e^8i-eO0wx#XCt< z_*(pEnvAU!Lznn~w5182EXTve54Kt9R4* z*YM1DQ`H5uzdi&hI&|G}N&Wo*;D29CFOg*1N6Q2@B`6Fpe7Ng=@Miw4krPaa3zf-7 z-UT9vpoqIBQ`JN1wad0D=}DeBm8u>7xK#a%)eTir{r>3Myfi)#-bk6s*p2W-S#Jl^ zAn;icN`PJ)O?b8I`B9^CDrH4Fnz z;t^S!4p8m4vHDpcd~Wd7Ph8(`$l9{lpfo~u@;kXo1=owi!^7cR8cEGZd=#(h7bB)4 zUG|rg93Q2uhq_^FcztIK$o-G9$sYrLN`C@k8*vd{G+DA#4UO>%=ew#X%##+fJX7X& z)@wVYwB$;IG`g-qzMrk5YV0y2?LQcP!(qdg& z_3LcDrj%9Rkdgixu}r>v|muucWoG56vl@S484^jPowFlPdD{M8>Z97%qhfs#fh zFjx`%_QL0QUy}l)FQrOivy3#60rqYfT<DGIC#KT{4(XJaMYIWu;BDC$d<3G+b-#%NzfR*QGYu9YgG%7xK%# zY`@Pe)-$@sk6Upo-cVy)e+3lfRq2qZ7M?SIpAh}qwV`=cjQteEE3hJfh#KX>cg@-2 z<_G$g@BSWd(b?6r?Dq!!;%F_vg^AS>-%+HHvtoi*JIeBHi8EYZV%1?c6TEk-s17?! z@&V997A|#5dE%w}7JxDJgYb|7`WD)$%^yx&6uvoW{8G980)14dP(9LUQICC1S%eYA z0l*VPIO~|Hz-G(JQ)S@sTF>{x+ojs5{~gZ|!Q!1aEoL&0Y6XS!UIhI`RU~;THf+_F`>UrImz2+b!1Mork8_J`Z zb6?TFevyW`Q1{dBen*bm2-Cuk(;fn6R@qccPz2eg-^XDAjWEv)<`BGoGeeD#TLgjA zAHM=0W%vTcthZf6_ts2#1P%9?UMv+Ii;q@F5U< zl(qE<%bO=a0m8OHfm&(?RewxgccCAIHC2Zu-yoM&G4X@3>Ym-P0*!rSkp9?GV@%Vz zy1UCz{gdA8Y?V`Fgr+%C71bsI4?zwIN#B=OU!u$xbM!v<+qVzDMs&nnZ!uuarTuH&ky>h~VXA*Nj6AR#BEHO7 zl)aJ~{G_E$4YX`u?S(%D<;TC{f4p{nz|hfX7`{A_Nv&BM%RMLNiGlbB^&{L95N%Um zx2cR9FT94ApQd8{>hReN_mpT1^czrodlI_0QWpk@LH+wW$^=hGBc^ZIG(&*Mom(Nsp0vKD8Q8Slyh^L2^94rbq1Ke!iS_wBrr7sUvak%YMs z5eA^FYNdt0mxaInH!YT+9`}$r&+jDf$50f&%QiDRYr7td)k@P*CBIhYY=QpW&BHCA z(I2;p1c;eFbWgr{pKk}IYUx&jh1Fvp4uZ`ie5?-**n~bRO?uJ~X7+8G1cLM)@sGYZ zs)oK2fVOYMmxrf;7gyA^ zR)R**L$z^?3s8;r95_Ek0Zse<|Hsr@Mpe~)@xtqnf~2&xGy>Ayh%|z9Bi$fK*FiwK zrMtVk1f&}j=|)0Ik?y;n|NGuC?l;CbeAsL4wdb01{$hTA`;PVA=Ub*~>Y`iptC)8Q ztn;`Rt$4jJ{yR4sP;goNX;F9Fg$%N8zaAFNYo%y*#ubr)AlQ1hJ{MPg+dJj;mujHI zbADmLlg|2!_9&&XjERf$a{#gj)V=ySo*Ew{k&lj-cs=h$0c#9t(?4%@K2^ZFcR6bO zrzK5fvYU+uc}JjB5e5S> z4T0OZ;F-^EN!&L)cCfz6Qcu@_Gh~QtnUTRl-*oU}Fn#;`BN3otTJONitI*9M@n;Vi z!~;`pZ@Q+xRDFVh=CWEHhN2OCu)~7FDinU^ad?7xnDYLOa&IIej+37e1+)ZSrWX;g zjq=8iU3v>qn1JO`?e#vE!cPJnAynadsBOoCXGDa&vM00n;leepTwuEtz}7EFckME) z<6lf*JBXuz!6R4K8K;n9sTW=Dvwc`!nhlqTo@2tcqUfN0O)W$6R9!lRq|$H-p`Cm z76Hqm244>ReV{hcXUC^5m*ip}lQV`G2O1gg>8-;>1hBdty+i74m|LX8DQ?nkFCm<4 zr(3X6B-Bhd%{@ux3l3PcccFf@N=TAI-ILbG3H36}awi6pj=Kjd&C`vh=s%Z+Ap^_0 z&ln_}cDVW#@wKz&o=9NOn?}=~7K;NqvHup_7yopwr-Vs;9uw{`TW;z9jgE2u6yWfl zoy1amNa=DUUr>RZ9hY0yFJG%A5#jCEfnGL!(G+XTru6adH2_|`-TgtC8|<|a7*+p# z6N3tDCi>n*m3?ei2kXlBtqgcWzqeI4+O_k^cob< zy%AXWX%q%QD|^;r?AX+Z{{!EtS-_On27LOq#!f04`^RiP436z^Jf!RH0diLKSr#tP zo)@A51`A;uxsDT5P-8xvpY$cgn}c@Kp0X1%V!_vzwb01XS$wBGsp&LBnK zcZ}y5?(VDX@h)Ad|I+q4oby!I(~Qr1q1u4`h*?(0`uT0ozb62Fh%1600!|3&jM6@R zG$T96`)^x5iPYQZ)KW44`1B0HbMV4nRrKoeD#2}^~2dgiDd}oicSJ5 z=s3}^5^kP@a7h()I}w(246v^C2g-#3c;M|x7xd86_-7)H16IRUw?%9R5y1*etvXY_ zR}ux(rJwj0+W*Be>30l(c8-?yAGy=KdIK>I7QkaOMeU4Dy~qH6pBT~gyf3u*4eR!n zx59)}4z~l018Z+X$e5w0A(vCIbX@I214^>)=i3f+sGy+qGCbOYm4t04{C8c_e}^R= zz~_=?c4blT-y(LeS|3C%+PVr`4%a?k7efT{QP~(KZ_Wb0fpv#nDIH6z5N3A3E!a}& zZu>8~O-w>f?T0v++T&d!nmcrWoLl#P{+pJK`#C{GSot0mEV+f$O_-K`x)44-Qe_5C z&5Oa*Up(;!e!fyFJnV+$jB||Ts%n+1z<@TNJh$4hnn_{QA;59=c{ILDH2U7(`(|7o z8|YrHy2XCSwsF&c?H45j#JBHLv?6Y--$59|_#L z^D;>8T=G$oY$~Bcs`&lpkBx@cIKXD|nStlmguG#}?%}z~|DCx4YWm5aYu?=GWYj7gPY{BL6m*I1;EBt@Zopa2BRB9lJFrlWInw z{8lvpCN78Rw@vqFPkto;){Xw7O0=adtttlr{yl-%f0~Pp`mYzK5M&QOO!U*hs_#0p zQXYyOYpW&FI=-d;iBUX^LQY`nvYRaYYyBs5AcwnqFYPG&tg6_}6czr3G1=)33oB~= zzCstOxgSDb2@8(eTzohz_xntbO-1N2@0_4jT$m z#23|FD{6_N4iFpqwk+@Eq>Mr^R0Fs97Em-O!g3yuvP4BOWsr=#H@1FQ*409-P&t1z zU?+0(qF4GLW@%L8T*Whh&~b{WNFO+f;zkQqR(_s9V?czT-Vr;)Ru&4JNE>{Ou%oG(o*M*INBs?<&p;L@B^Euv^CT2^U6U*u#sQio zKUYJ$4B)`nD}}ScWKqKnq;R^%a82!Cs1!@ou4OU_`qiK(E98`xJHJWY&s*$3bie>` zo?c?pmAKpuDA~|mU*&uPI%l4zr#AkM4yiMn$g=*11&Z`oISw*o(Pcf9T*G)}0_YRp zB-*m)&q|QIL99R6e5~48u{_l_^KSgrQT|H!|9$20KX&{?G07~{2 zv+CT91QQ4zWHPk34{%>X`09K_%5R}qLn>#~@!Y3TVGWghlBPBbLIc9VdX5*2cbE{r zhtLEDKR%VwLu8HGx)KRxvb0<(+B+XX257diJ{3PYrZ3CPK#OM_Z);lxEN9T!YAMSO znN1)&%PP+7x0aEH^|weL2SRjV2YZo{Flq(!2UnotjUY_c_EthXz`D_9|1X00FRj?= z8n;Jua3^YKe{^BOdD~ND3a?K1yMz^nXl$;Os6h_{ux!G?>48W|VD(4DgXKY4>lsk7 zUi^hSbvM2KX;hE>99MdEBqQ!pLXGnKmSD-W$u8+#6c>PZUeA8)bVtVnV7&b6?CjFP z#ztlz3Mfo!WIsrzA+lQLEmMfu`P(^T)pVXZZhs*aMG5M)HvZ^}n-A!+chtGa;Q~0b z{i9-8#5Oe=XgIbDTrj$$z8?A^^D#BGM(?N=J>@)y<5mJM-SVy630I_v~x#*Bl4eS-Fr&6Q@C{-Q*h^@Iluoq&H zUsv)tQLRrLLqj0I0w1F^n*5N&s(&n6=Y7|aU=b)-l`dwj8~FKsf4+waZ}J!Cwln3u zM5k*6f?5x$4>ykxJ_E~M==OVOHYq#kU^?m#I`VZ_ZDMI-$s@ccc&~3oyct`x zGhlxrbMPMZ&sAYPKhm!YoUCpvaGhS3h5R>}>x4H+N$dt)1QpBrei2ftwP@~H(Tw5z zkYovowLlowEkud=hs%Ta0q0EM6;6ZOK>+y~e`e2dqN61R;5THtQ6Kv5u1(<;!qtxg z8d8rx)mN=D-W3M(slB=gdd+oC9QEZML800+8UOlYXXU>V<6F&n+(fp0%(Z#}?h*mT zaR3-c=}G7MUoy6geIKlA^~zu+fo|V%v!F<;#&meP)tLU**A%EZ73$PLeAuqy+;ugG z03U4nOp=S*n&nx1oPTGPWt*a55@8WJGS(=ZvHx~ewFyj}PosQAA9OXjqoo}RAN={0 z@NU!#^=n&0$hC6GHyGS6@HX3&0KY@jesHtUu~JD`HD$PR<b$yWR?&HgvKdXJgfcc;+mNRW<0#HcJ6F$ zyZMEPXg#;hjg7ZP#vekT;7nqHxk`T}M0?5)rc|!1K$4_%l-0!(PRag{JSqyE+816Yq;%@>msF=_JcG6OUrB|&_ZLjy4GFOnq;1_A$k18H z=%=Q#qZ4xjz&>UfiD`I2n^*Ot_E{uoMuRNXx@saNMHBf6yMM46J>gMXp(NSZkUp;A z%w@^K`LR=e7#`@W{N(sw*bml)PD#ywTTsUQX>6HRRc&yf7}6mrSq9dlf9kQ1-gb%? zRgW*yokN#ej|wWpHj-&;E038N!^G@~T5w)FJGSQy!BA~6MFs0P&UmPF>&W;uSWMd} za5k|@M`$Y@DB3nmDYofY422>7XFHh$fi(K;g0)e%b_=hN4ATRlZ0&=Kv03U5{FT7yARt zZnCR15{Pfs&e|Ypj#1zQR)V2!UdzBQo5G&Hc)~B|m0(_LHZKybnJVUL1(Ze2_YSpK zw~KfJ;~^|(n_}uaEb2@Z^hP+>WEjz5k(kw5UY>HglVv{|z+iwh`XdevKjtd7FRd3u z7SU*AKa{i^&{N{3{p|t3i8_mDOA_PC_`^yfDTw^7bkvrM`2Qa!h^MAZ)|T#%fYuU< zP@E}cc%4WI!IV%^L2ajc1!*xMHq%!bGzRk@j{=~j!j?la5~_Y+-KF#E8Ui~PunP)Uf1Cj$JrBO;zYq{56C z!|&WHf{8mx;9howqGJNV&C1(f+ckW=P3*6}bo_ZF1ETitPH03-r1H?MTo6=LVN~4J z?2U%4$iUbDluEZkgqxqgu=Vxp0Xu|)T8W*~ymyeD-d#NeQ@$w*w5vL_+l0!F8V*oS zD^ul9j0#_nU(Xtkq&@f3|L|W=Ge^Jl4kn&+uJg1b1dkMadR0{s(s znGDM72=L|HuCH+p4$y@LgEK>M%tS4J^NF3F9SGHLP(>r@R`UbmmktM|l=DYUew!cA zVh*7iu>a>+)`!K%M@ZlC@?ihKono+y?C&EFi1fIMK99g90Kzg&)4%_>3zus=n@DUR zl;!yE(5I_rXk=bnZ4o9#^?kEg&}12Pz)J zTWF;;v50UoP!f*;hR8{f;CrS|Gp=@ldW{ayY!sLIY!4Z+3ta3eoW-4#RXaZ~zTd_M zH(9*cX3aE1{cM!y(;L0bfo1Do|1|fYuf(-`{k}B2s1MKh!EF5^kqH&(KLNy-6p75= zC0Ga4U?cy;eE($TsXL@Awh|(Kx@iaNkUTcqG)N(Nrv_2HZ$l`P5@&c-lWh&E-rOiY zFW7W82`Q+(3_T`gS*UONtRDfBfYf15{N+ox=)LYZ*@(lW^Q@MB)*kiWPkS{yy2qu zQ7@Jzllm%(WmmPRfCbE`Awfj-iB#PFz7te${2a+sx1ewz5>%0HU!6p+_CaG1M~Dvn54dq2;w{C2Xxn9?0iJM6#Lnn z*F);UcBS}c*WWffNQhIDIokpSI{-6PgR)#v0IV;zZTHn!l~F7()$IKkvug8-j9|$I zI6JekHr78(npCQXtusr8$CB{B=z%N0Y}H3!OLo-p-M1#RdoXTGyqg76KS&pO!$mD3P=Q;QQCd~^RKQdAd_UdDk;pFI z9g=N2A00&TOp5NBg)>9c*x~(Yt*KqfZ!jaoj}i$iKp@Z&Yxa0 zz7nb2&*@WimT(?Qxw)%9J==KTowBwXtJ2aKulelh>JytfG27))R$yib^&_)+#+XEI ztJvdPeV@F{2J1IHQ8w1IL`^M5$F>hD2~k&jOsH`5ysk#Fnl`o<1Y$cwHB(cxcF*SP z2ij!&PBdjs$Z2f&im>BuYtStUB7*4|5W5?_G>GnhZo^e6UG>Ow7se1luAE#hI!0mR zYhQIsb&DPG-X?!{0pq^m5EhPJ@t2=B_2y{^5kasDFJ;%OUXd?|Ku52ciU?VQ{`TdhLs8+i zQ|vo+moDx&dRLx1$3SV^xTXpiz%$jTUkN;3+7R=w0^MvzlrNgyE`P}C>bxyIT`m;* z`wH@0!6V&gOiG&vATE_B<^+9@1xO^T8dbys z1g{Cu!7~KJ-{r#)iOJW%Jgz}qb2&^@2EEYG5F*bCcRn#H);0lC)n$s`oe~~yG#(ZD zWz_u^d6vyOO-f5EK+fndQ8R`{^&x=MRiBs?o_ALELpHUH$z36qwA>83fn9KUvd7(9 zjc){rN`!KM;-F#iWsV9^HNfIXRS&x*4C!>0Aq#=h?01?3+%L2M~RE zm9ut?6^T4N^JV_@zl9eJ>`cx0=)%&o13UdFt-T?lwS;;uq&4OS#(T&QWAd%n?^U8fh8$D0`u?ou(RaVCZ^2JVtN5qiX+FEc(!Kk$B0@I>+Lt1$Xd>= z71NJ1rXKhp6cDp|8aF&Lsb`Kgl}qMZZG|Z*!?c5Su%VpmE%ZS=1PdOIizU_Qx1r$I62|4 zM$JQS#h#{jsNJN4P3uoy?{+@x?@Iy_AZZ{~AvXtg&pODYzJ*S68wQdtRVCwsLk?r& z(t1gQdAX|34TTS%z5wW7SIDJT4z9kk(?A`(Eju{FLn;ktZIwD^Sb6gI_I}U$c1=mI z9hyb?jIKC^oPRK27%hP9@P8L`7*3}_ujCA_+T#&H`Aj;SZG_^K?at9)p}R)tFV^Ph zm|jW`l0OW*R36D)H0^v_(v;GifIrI3TTX$77x3%q8x!l4meo`XT9~%__~0th(jbce z-HCg(|15+U#M<-qrlwGSIkWa&%Hl+TuQ*`Pgq&^Pc}kQxJaxmKV^)io1E9(lg>ty( zQO9K;*I5DLRJq<5unz_@wEwy8uQ@G4dYh3HGSKg-Tj_K0{8uBLy^b2kmF&AjZ1AKD z;(YFgs{EIDK#nY*{#(J#BxM_Zn7o#MpD5e?3!bugYgay>jJBUWOqF5DhbkU(;4&w*H+I@Wn-9fH+dYHF``IQ_wwE$~qTE&+yBF z?TD2III$QLdpZ`O{25mH`KI36qZb~p2mzCCxrBlSrL~5ZZXe1_=&Ry1p?p+gv4UFIvQ3R z%Bq37L&YfJr|CXw_;V z^{e@(wb1j^4=zmzQV1ZY={&skny87`Kzz7*QIa8lK@|1CMkEN;ztTc1^+G)sfPc># z1+%}V1p3!rb5hdUo)S9_k&g*(LwKk=>Dc(I_8PXJr?ECvAM>l%6V%OHE@b`xrEYx1 zY`6JTbODrBO3Na@qJF^!DQ<}jsQ=GflgcDqSTJxIiMTViw7l$u*Y6ad!dvQ3yEb}U zHq>(Eg=iF6&*@O$Ocrn6;GxtDtK&*n)=D)LP~X}bZ#~u>9)3Qyc=K|gQs{L-A_map z_Hqw68yk0ADnAw)8{Fk!NgT!o%+vRgkpuc>e4K}O=6k9J8S_e@6h8eH+q!quYJ;HNo zZ!U`b6Hg3qek+@!RV#dtrnUn$lKH)b+N-BSVEu%jhJ-G-W{A~g+R8`1*^%alH>B#+ zI-TJB&YG~6G!$yjL1mQ9_FSz=rT?dha$b$yb;6b6AnuzbQ(;$r&@Z9_kUtmDKO;>F1T?R)3ylI`&9H5 zq_tV8o_%4W=X_G2egSaOv_2+OIi4FYp5Lr2Rm1fR_7!LQy3o`CcoJdO@{4-_zo@a( z{?oFK0r!&-W+VW=rANSYCc*dTqVN^jAvw9n6eQIcEjX;dp4kDczWJZS9C?M+Qb}gUWTY0}~ z%}P6_NH2uytpUWL%iOw5J)_Yk2I_kHZ6B>g*9scz0DP}eVG0>b=i%wNzRQQ{8 z3%dcwt})w~Mmba55iBrc8`Jsv-7M;kPN1HNQf6}3{rAGuLP6BOqQ+|!g zy_8zh+94X4^t|0iB>=>pL~VSprPvcnOM1J;jpA>^O>z}|Ri^=iNwpUC&~ykh&6WE`YiJ}wT4 zrRPRR6hG}jLqk)zUUf=F1{G62{1nITDJwF;XPp`3V1Frrl=C8l@Pi}?LigKUqgr`GN%lF^fAg7mOukd6m;ku?F}{~NUN z&iinp%vInK=jw;M%0TOI9tKqCz;6eP8JE386ijDrTgyG+?c z)!OnvH#&i?!fz^`>&;DWGyD^>W-h-zbUHd@c>j5g@)PEZnpw38x^<~HKP#lm9=#Ka zGA#5aQVd9TkFBh%+~Qh3Js82(;Qx3q8+salM+?;>Q zhRGSYPr)9qO{m$=*|9I9X1_tsKdW4YAPgYx!X}PAN!_JXGzKFqgy;`Q{(jT1RQ{QW zt3XqTXZ~pk_B#_9kf6+t`=d zpi*ENc*W%HY&39*Twsb_*T;t@B})()H}H~b4$ta`h{fT{M#U( zWTxVc@Fs>B_@&y(YVapkf#!yIJTG7z8ld;?f`aHtdfOo1C9;&?)`#4g@>egizm^Dg zNv+e1n7w8F(9U}zA+aVD;pOB+H&G&=6VW3`UH6>IG-BznnA|xP$xrddvFtT4KC`1glXJ z=j#r!F06OaY3IXVDP`S=B*8zcgOnswb4W`IixtVDiqNTXlh zp)b3DA!)j-*Y>6PS8Y>Ec4UgJ+szSH0U)jl39}b^DN1f~GBamSBd*0KNU{!F!yw_q zL8lCj&bE=wn7K0v3~sHqmy3Sp^SfGmpB2?y4Q_6^oYhhS4%z5jv^ZhU|KMm4ENhqK2{X%u!KVjwG`t&@oNRU%*0+0=svorF%U7`w8xmb_bVY}u+orlNL~nceJ^UN5~+ISvU} zITUEfE8+QN*C>aopuTIcl?vy0+UQXwbndW4f)(}pTe{8bALx6%QPrqozcQQ2zTdBS z&-of*ZDr&L6_WD=u4$yk$$J2*{XW$1BT^k;ouGYyDgH_e3$Q?!9DRWWZGIyuF0n$I z;pKbHBejCzBXy7?r`FiqnEXlm83O#QS@h+!r@^vqA4JAw)yfLX8ze6XM*(IASj5GU%Ya}mnt!)3narc2X3emA@e3U zA32V$lRUYOiRXVH`L>l&UA(35i41>Ev+F+ji2#4%4(Of61SV8w)y-w%Bg~?C{H(yz z(|YeSxXW@n9KVJnwyOIy!)CF+N$4uSIPg!7jie1@%i2Zr5|-EJ64k^I>}uvWP$Lx0 z$bEdj=4a+fX4R-W7g_jH*9q_LYn`SxskB9xwhM^@t_J;CMX9?KJ(EdtG1!lPDSPFDx?B%>btDA}NmR=lF6SVxBQavd4fRkMsk z#4UXNGZ8pKSOu)R;o)8{u48u?1;ppdl8xN>jdOH7QHrD9Y6dJ z^~n3xGpD_HUQ+86^+l(+>$Z~a%^8ie@_>J&PW$v4n@HKEkr^wSAX!E`pb~k=%j>p^ z+>c?!k*COYH6N+NYZ-ooR$>Wvmo&o#Qx3=RbX+zo)^1OJ{l)j>Zx9+75+G<*ej0Lo z7ytA)u{tn`Mc}gLm$uq=*8&38eaYm-^BnJlxa+a6)lPd&Xc_7zBk$a0)rvDb%tIpG zCj_pW60Y2npe+BA&ekf|iL)fnI#4XEHj=l{}A&8H6jhIs9PJmOvv?Z;#ul z2%-0k3mH2>5KoAZGZB46hQS#%XF*QYl2@15AI~}%sE+gfD7XD+geY$HeRWWHE#@U8 zYorzQIC*I*2B~_hxIPiP%UgZlA-Xyt^zJSVwB_|fdFZ2hDxr}!Fea!T(4r*S89kdE zEM=4Y0-0~JeFbRO7Ws6oQzvYu19KxIkGVF?jfeIIV#{RV!OyJ|Q zptei@$y>5?Wf6wh(O!1hA!yv4uz2;fJ?o5#VC-gI<2g`Cd%mVT|L*gHFK8*Bd!~Ap zX$X;FdH0bbz6G~QL3%s56qcSUgEGs-4iX%3GM9lAQ|DfNrcyRM=vX{_& z`X=}GUyJfPMl^s#AXqCT;6y_NUKhB2Qr@qvL@O{frxE5)HZ@~F0hQRgbws2SxM^Bm zK$dB-$8cuDiUJH#BCPCn`|N}w19_XLeCwE-sjbK_Kag3nbA@Smfpw#0oj(poxLNt$ zTGE^)dk}T5YU!{pv%o!a=??{B;r zrNoz7RlF%Q>g>%0$Uu4JN~iVTAGM9v_Ju?JnT$~`D5X+W9gMkd+;!&L2=8@m$L_%Y zOoJ^FmF2V)a>kn90CIi~&FCHw5VT`;@DpZ=GwA{G#jlyq1%)TspI@mX77u+#fRrws zyPElFWOXhp@Mw)#$Zh(nyXbeAk8Jr50tcCdo##V@03ZJu+r|&wgXrszYx&z7xo?%$ zyEu_RX4zEKgqjx<^K;vuZ<=gvH{L+ZqGdlDl9cp^H_how(ePLk;a|N*2=JOi?*JdM zrlFDc2N8X%SrY0=U)zau{3T<_$G0G7&PFtuKH5dfjQXKOA=-kG1JL@D--|qSyh@M5 zyn+C*g(kai+gs_c_qKLfg|#%ow;vMMtZyNjFhX_8Zcyr)B}ZLw9WhP8%znN1e09}v zd!mDb!ds-L>EelFFsj{_^rdodEH;Jt!^1v-?ea*lerfH&gdz2Lw~+*~IeC&A>p$B9 zjqDm#BH;|8#RdtZeuzCebCB)oqHy|V(3h3$iBDS08au?NOUtysc-4xlEeNK5KeJ1_ z9h!?@#9qHJ{T>5{^p+QmM%=S}*DFo*H%j84!TJZBw%P*H5=6Chv7rktQlC1@QUJFf zr{9`D1XeSS*E>)|!p+Vu3cHoKzM0Ta2;Q8)39ox>jeRAKn*5Lrz!~n#FCSCtjtcpQ z!D`d#&ZL_f8e`4;lkkY$((gc(H6V=$Q$v?>v39E(4hb9 z+h$_2<)LY`wl^mt+^|W+uxAFD$YyV^K_9_CJSfU8j3=Q1;P{5Gi zQB&yUK9Oic$rzyO=g^*!XUeMHwQ<(6D0%r?4hP@vJxvT^w+b38$2BI^G(~Wxt^m|{ zpX5nLuo1~21G)0SSA}AYoQFDNuYaok<>?-vx7*d=!iAWK8AOT%F$h?oW}1on8DwLS4+BPkb#LGR$j^(loR% zRFUR*>7B6J=T6aXH7!qb_B0#=)?M>Zk#F@Rm5WB4OD8|#gW0PU9@Ix!dRFl9a@Cj37q@`&T@DyU0l-pra|<4`FS>Q>-?D!`-~iZ&Hx?c~M9IkrJr@U{{v}DRpa1 zflQ||bjaXThJu2kH=_UnRR8{o=`eNSNm!E-w*O^aHYU2%ZZ|WE5EV$0n>_tZv&{}d z4M=>&l$*d`C-(G08X|PQg8jgcvx_G&zw|LvwfKR)_0#9ho3>D3z2!5~In3aL+sB$N z0QhX*9Bl77Vzg@a7siGkm=W{$#E&|9xUihv!pJ967jh>HIxcOuoh;&h`a7%okyYE& ztl+FnZL0_C&0_Mc?F{uvy1Y9!woeEKEay1p0C%sSOdlz&6xbHQ&rq*)F`z?A)cYnR z_4NI0q{h$nly}Trpu{{m%nx=ck2N_I>I&!D6wf|IH$Z!*3$)*BpL4i;`Ww=!n<6Ec zP)w!a;G?JT>wPM$yONOn=)^uE+vcWiIq~<`(+xb;`E|#8`U40g&V|x`%@3=qJRBU! zM)~CW-61I{Dapgi3G(P*;Y+@T5{IhtI^jCPd^CS0|xsbBw&Z zNUGa4NoC$z^bf6Mc&7yS4wP;yFX#sZgqe266~7KY2zz-p1(RO&sGJoq@e)n-E{f23 z^M_5anT($9<+@~lL&$Zlq4~SW_lkaJ({+vp;r%Kuj~-FRH4pv3cjUsvPcb>p89{=c z6as99LQa@h>0&5X+~{}n&ce>2PR@wCXA;x~cJHs6*u%{Xz7f`R3V!OOc}WUN+A}-T zF`oxxzkqm%Il{zZ+qzOeUkk&->oJ8HSpnmVllLUne^|E~Bq9H)#70hPep4ps$^yKT z2E^83{7~$`0Dg594q;X?e8McOpk|FPo$o~Zodq!FNZxxfCybIQ$6@(}XmHXNHJs3d zBfG3}<>)Q0mhNXx_lw!tDwY98jnR=uVK8L z1i6s~m-qsoi9$-W4+!0z+f#xqM|HjkdD$|{{V;gC&ZANaJIaxMf|`+H3i~fzd5?z< zyWbfRS5|%~cUkDlUA2O+zip4wbs?IY)mqXCbLZAZ=y->?2UXqTqwkxWlv8Rh(gRbV z2e$YYR312`nr`a{A6e+8qzlzz3Xtl0!Kb%lvHpV)wPx#v``a*HhT6+uBpBRoCEDKj z_L~h6?pe*XK=ZH_V(OsKfNiYaIu*1%)U%@ z+3~c5{wV$Ru6)h~-VRa5B2eLvF(1Ikk0TG;cATY;Q~-n0=a`nmoOb#CX8g}8BDmu{ ztSPpwlE)EQNb1VrDnVxr)(SIU@8!`VLQ^3xa+Bk6Q1nbXskm6mSqDI$Z9>|ZQ0@fkI4MJD(%eWDzOQfZ_vunmCtU~Y}9;QPQZ|gSh_U{yHzJlPf5}K z5hMg!#!snGB&^T+@7w_(TN3FQ`Axsrg;3XKUgwM$frCog$b)pFdT)qQz{TLU?}iWH z?DUCON94Pi&u5c`K%X~Aj>XhzJMQ6ZX3h?MxyA2`%6QHfP;p$sX+(oFJ)Q78`^}4Q&+;oxrAcci1_^`UwI{*%$nDthFhEhaUyI0E&MDS5q>Z2 zuR`BTr$4UehYq@XsoWKxUgnYOwK*^)bsQ0PoGaiZnxFn+hA&ioHp&z1bJOb}^JL%3 z%+f{q9Val?HS85Oz|JHA5gIu!d z0QlKOf%_rjR0yU=%j-(60C@`pONXy7Vxi2y&GR}1K@RCM7$>Rhs6p&#u5Px$$d@F6+XJ&g44wbgZ1yv!E(9r zNVlmHL_*oyguzik@PiBZ>n=~S-9ziw@ID_Ez;*5oIPNEK%>330+_e9-A36C;B6cfP ze{(3uMd3aQOy&~F{%UKJDengVnDFvBO#GwAHOUB06@V9||E>G6%Nc~w^tAg2DfegR z*NELtOZlfeKe0YzQe_VFGai_cAx+FYVj;jIdI)awxMA=MMDO-CKfTez733Cc8XU|p z04IE@Nx>&UnqxJTt2iMnCx{2cB?Bzuou5h1)RwJtQvjzE(9J>taG*C>=>d#!0SHateR9a3TLA%In9?YIv;#fa6frL*z7kf^48e!QaE&bZ4>V~xcDpS0%t@2OU;Fcr z6{V$KQ;jX#6t>cWjH~y@Tw=z@!m9NwLqSIlDO?*yr3KEmaIRoY1kaq}Ub&lk#r(G* z&?5Bp+w#;-A#CENgs+ag-}C{PwLNv|+lUZ<_v+~o2(P1`Qzi ztk4=0P#AcTu((3*ujtxM?Io`Yqc#5=&rD?*(E=aaVtyRHbEI)_aMlcY5j_bAP^60S z+i6J`)%x2D%bA}}a$u8BZ9MQU7xuSD&vn_P*7Rvz*R1FAmAga`orIQN`LPte^D+2)BN1MW-oDq2Uj5{Zh47q5P} zZDE#Lw92GvPnuaJY z=>Rhi*Y^3KyyF4|WV_*S?Sz$-<<>ZAsCzF4RJti4bCg?QN>$Oot#Y7Aq1(f1*QLg1 zt{IxyD*(E&k@ZJTva*lgDeEDx*B&gGV`{>j$|wydo+px{QiD*|VWy&rKRlAj1Xs&<5TUgu6csc|B8t1ESI2MM7ajd^h)FjCtf!TJATDdb=xr)D?8kdLB(;f%h}f(9 zAqFW$YeQ*yX!P{oVf`M3vU2uKSXE|e}Ed^E7F75~* zb|3*&yAK6uICu%U^t;+#@<216y4SO5PS6#$62dJ?!;o4oT&W`Hk8%;nSw7tIu3$074Pmkrpn^O!`-HaREg0=fA{5fO8uPq%fw*xd8Dq zo6NUQK+f7+)a!87-@ZVczA7)K%v(~GVO9uuJj{w~xvvX+4(`XwOsn_n-G+98AB@@T zHKvE+pYEMRy8Wpq0t~>aRkY#LohPKhDIon+B`qoA$`07Mgx$Y9={f!NPDN!Z{A3xH zwfJgxc@bY);Q)%s+w00Efz#owKWUuvOGL7yk>k#ux3$>*=E7%xJTEzEImZflL(BsM?0%o+4qalziY|}=XmqzJ z$!iro1()Ws+hRS6owLk9axliawDU9A<2y0<+`yiqD4w;uGC}SGbq(f*B(tTXP*q@5 zr+<^fw?YOu=fy)&K+hI$C-qzwK7&7(=fNNXJk1f6*WB(+B?7!*O53O_fe5C{F%DO7 z$S(Z@O;x$cYBtNjn3^g6Gv^O*b}yaEm)y3tRj8Y+f8PRl$C6#!qX$rdzHLHC(I1ZU z1PL!pfIUlk{qZS86@L@$H(uv==$!canM*9EXUEUiVSU$%A598gzgP(8SxnfMQ~HZv zKYsj7ax}^srC}K@dZ%QK^;MNe#OqCbwyLJV%HEi5LmcD9LyA#k5MKNo9f_?Ek$Su~ zUEE29&4vBQg`Cgb5Y0xVS0n9dg|`AzPQ>V#8gq6*)><>El(0~HmfDQ!zZ|Y{c-$Oq z{L04ut#cW+Q;~R93uZkV%Ad%S_nrt6)sooJfc>IM+?ZJ2E=ijQk)OfJG`#Zqte9o> zr(9XAEJd{n+R0l)_^5{e`&*k!G3D8*!l!29+1cGEoCBeOEt}Ey9=BLP9NB@KBejBx z^wABH}zHT*BI_5A?&TP0vq3YG7vf>X&X%BEe-A3g`0ugWpm0;Ruaqwv@d&KU0x^KGw z{7{sRjPfv{#PU52R#U8&T#<0MF`Nr{{8<$76#i1#gQy-%*q~-Q zY>5OCtDEt+vOVX4F&;0xd_4SrFHcsNF?kRlC*iL=wmpx6*2 zlj6+W{+Cd^DS#mUp;iCu_YjW_Jsns^JsWF()BOcSvCf;9LPWVWf3D1DmaU+8v`J5R z^GDuA8Z(&Q=}@))Rrkr(Pa6ev0kJ^~Dgc6AuWEzHpn)7~x)6i0bnSv>++fqCwJBFc z+LW`~^o7fHGsDoc4?tE)=eLyTHew*xticQlAU;ZED;Py`eZh2u&<$f~z1#EMBysi> z`+GY34ilDhdl{IEPVLqBZV?6EV7cou%t6WRS`fku0A&zW6mckjVyq_g&7X$1O;HYx zjMgrUWWd({*mo)li7!0o5!cuwC+kuxoqPf=CR@BAhI@+ZKEK5Mq&k$pnZ2hpL>sjh zk-f2b@gg{n?u=+9r4F&oRyUxF<`K#A(5ibHJ$>3n!7F}QMyq*u#d2?^bbO&Mo8oiZ zgl$aKvAcWOGG?{@<$Gowgtv45?%k=+F?PMl4(87!q8H^2zln0UC`-|akoRQy?k>gP zXhruM-tfHpvod04%hHUJTOy zYX*Ir&y;+Qf@5w97ID%^;dWU!zxQ6h!QlI+p+E5zJx58^f_a-Kjvup5Y!_A>LY)5B zFHl8t1)Kb+0)g0LOVNBgu1#_4l_Xu!A@`3r!IA%mv@4H?>U;lXClVrM$x=-S!`R1? zJ<_#??EAhiV~s2+N-A5HA(aND>>^89LS)I3F_vVDX6%F(zcaQ^ukXLV=JkG^Y3{w} zp7We%c|Xs&GhB80b^WJ*NOz2>oVT$g@1DBC=#^Y4>X`R64mo_<#wCd}svwz?T%m@k zeMJl$-&{YeIvQ(Z-)Ztg(x6;6HM(wXuFPK0MN+a%{`jFqHj%O)&nIEVv1eViN=xb% z9j*E;)9vit6*`W+u;3<&7(0=`V;oR)O_N1Qg+}%IE#76e&;FfV8SgBAeENF#ftOZj znT(`?>BCxY+r^ih-Y3v9WrC*b6AL-78egsuWM&4Q&r62e@NaUlFAw~FacJ(O>-g(& zC%U4vClwEaZm9dMz0G-e>QvG6D_qW+R8#UBfA`P*EM|Q)qhC`8*0104emS{5)|Vpo zG&cFwd`SS3L4%&f_p~_|-{E&KO9Mf{Bh6^)$KX5KMc1hj+t+fRWInE3Io6_k2twUy!6Se-WbNM0qH9J;ThP?6Ky~2DTLSOzK6zrP-x@tkt@GZtdaFP%>M8V6y`Mj7x$qNA;c-C7 z`d#Bg7qKreQx7%x>Rk%QbnMSc#n-JR)wy;?I33^8IW&0HI6D4&d^}-^Cn0Knu0CR1 z@KRi3!ByAHlNR(lakOL2#Q1$l(`X`{bKIqzJY<1Dd6xvDVZQaSTw3SF*z)sUGv$^8 zhtY$6RHo~1L@xb`sFE|vKuo>#BD;chaC7A9w^);TbKdQknft1>cwaw9Zhy=(^M+dP z2kQd4l&2U89;I$a8_y;t9pcLNnBeloJ8H=4#>C^+Wckm)6|bJCeebKy@@tZAQzVN6 zY$DI-d_!d6^UQxGD~%Nx8WzqZ+PhL7>PU^i*{jG?AA0Mlcot>+JeekE;k(FjM@<=@ zRSx8#yPrvej=XwEeR4LtA}y@r>Zx+4qLZl)BFGOV)tbC{s8t~Hmx@_$11cQFhSq2T_EC*#Si1W}YVq#+8YB0`SxVpNU`4L~Ls;cJZ z<~%$+;E3b_;6MiZ5ETKl-2(#5-~ezSlU-**LuJ(ZdvfP8Zx;hXQxal55#lT(m0WKHaML|lM3*ZzW0xmE;KbYt*x!)FEhZ1n#~M2{76{0B2&hhpShw0+E0z?*SnRZoLnn^@u%)0gob71q8o~>({RXtt6WIE)c*b{sWi}P|FsRR zZv#8+fgKWUa^KPKtn2|7Ao7=g>QAY>7Z`yV$C#sgGl5C2dMAWbmqJ?#4z&;kku762^jKK}8?|2X@7%lOB)9q6UF z2#A6Ix#$Yd^g2F;1Y`#3%?p-^V{>Z9kfV7EoYfru7f`0v-rh`ab@PPGHpk1VAT68>mO?Q57h?gEf*kgR|O1d}-c5cqCh4yYEO!{A&nfPL)e+mi4d<^{}TFQEr1 zFAxaOx?O5Kg^u4TY?YVYGLL+SCZ0G01B_bg$Mk9R5-r3Q~k4s!ynnqa3+0R$U-bdNf z-OJO%-ouYeibhz?+0D=1M_A3x+Rt9a-qzF3URcZC!x3CB2A39nIqTaoxSNxpW3wc}W)8wUxBC z89Ng&(}|wHFw@b2M*1!c%VgKjjY^M6J5CR8b_8^Gu;(&HT;u}VM9d}7s$X6I| zUbs@Ln5_At;rS?ySe&tKe+i$r@UMzPzt0$$qv?#WAw4Jg{sP{vAAJW7? z%M-&mg{wfU89p8#sf*OU6~}>0tLCI)eO{?w{E<-G>=f%cQ(}WAw?@tBmA=TnG_FF9 zZKLx0;W0OIK@^;HXZral#i8GXkl%K5GF)FDjY4$6>A`I9clf>+mHDoRDZtrW$k?ML zOiG^j(TBK@Q@;1nDwJ629LZMb(0%l>bSv~E3Lo9gW(Pae3T?7CK!>ky(LAqoD3dG7 zUZhf3YjGWyxEOf1jx@69*mAM{;ziO^%AAjnI`hhQKGu4dDKZmJ(ic*hfcl#w&% z)3F+sI^~-!-;oy{4vICZQzd*3I>Yk*_1i_Nr)m@BR0RUEYGWu}W2((TzOv-*lrriZ z_WD}7!SkeYMO1c3^5`Q814u338>e_>8?akruxuR0Au9R{1E=+SrNYG%)ZmDBUJbs_qq;jqYXvjtTyU7p zUV~}`x|~X#U>GLNV%_1{z`I)Tdho3&QYz#X^Ru!a=ERMor(AEU-@fUNbPWAZiv>P_7#&c7&P5x+$T7SLy?hhXNGs&GqYd0iW+)%`;zXr!^T)Y^c)P1ku=tUXN0*CnZ63mcO%_)5lJv-HDbFgJ zIn69RkgAf8DKsyU2{wO$G=%z`R39o{F2?fNpB7h&B6*xEg)bY6JoSuhv3~@Q@{zi@ z;FA&Y+StA{v7BDKfuF5g4}2J~*N7j9`7TH_0=BM;4+#Zrnd=kjB>{(ZAdl;rceaEX6MRm=Pg!=@5_wJQi5kv}{mt<^=uB-L-b`6?lek6*)0?Nr za!C8uAi>XpvbH^_;*hF!X`;5WOY|cJFe4maIh%M4O{PzJ6q+XKspscr$F$2!CB4zo zeHSxs_|hzv_I?NqF7G^yxFCV#FjJ5B`b*-(X9r6>RGH{{nyyyR1@pi7gNsb7Q z#I_YYy4f7vPIGD$)J?y`&U+!Tgz};{CAV3={l0qSh{NAA0YJiKI{LQ!<$@7kE?kHc z{n6op`A)&Y@`X1q!ojn+$ZA?U6rVI{V_lrjD{hkEN4$!xRR5#=kvnXX`3yGUrA4h) znbxI)&h@o7n}y%^aaGC~8^c#%Z_uJfCdNsQvR0#Yse8j2YH6?;l68qTOcuOw6uu05 zgm$C12^soxMqI7WeeG7qZ;g4BrdCnWplC*&2JgJFarX-BC{p@FbA_hu&}Gc_oQ?JS zj_fytNt33AZ%yXGvatlEd{a;}Ng-Ba9eUu*hj z^}v8elMF(yRPhAI&*;Zfgvd{2cxpG;3mf$lk-T~IC%j*r$H1(JdQv$S$jSHw+g}Uy zF@MBCDQ7R&N4ekY=Aipt9)shPX&n7~)K2Jb%L0>Lp7$G~ig+s23rG!VPnkJknFb*~ zYK%(+uZme^C1zUt7?L3D;E<>Y;|-W@D%`z-~#HC{R-#N}@^-j4Adia&5{Y+I4c?u*I6u5t=c3<5w3aEp-oY@zes-#77FPdNpth9TGNtzW$> z=OPX5TYA&G>@gEvzyHhzF)8Bj(3Qy^al-tf#M#aSP94nOY&C zJ2Y%gOekuulT{=t--*@Pw(Ty3Jx9Mh((lpEqL{<_wd$cCGmRY#SNkHnB*w|5INN71 zr4+5FSy$gSz*4_4@(dr_40WDF$_|p`CJQOHWepN0=Sp7En~DXmHG8EDdi+ zB-WYGThBXgU4+kf>|%PB6M#Z;gs+E6$+93o7qi`>#?#SE!!en1hY73oWejz0+~0{R z>z2l()>>mk*{b^p<&#g_ow1YKHZVS`llOHSIBa%w_y`A!Mr|S{3K7x8J8reg#zPREHCm{~t$eT35F3o4*7x(fvuPB~~FLQ4DEL9F3^QkP^Z0RhWt zZBjJT<&@=9`Iz+x)sSF=FI#bYkOA)9l(sX6&7oKU--gAE-1fUUs5tNpMY^>0Gk-qC z>aN&NP@B++YY5ogx@?KW60p9fy#8`B$BldXr3Os8Vfuge_8I&X@s~Su!x{GT7k$GU z)bL=1x2nI$BNV?u7`N+mv|=Z?spj=3Hb8iJ*u+pmnPV99KnHbHid+PtDOq}avAlO_ zY!KXH@WdAlEK@HOlA6rX${Yn&1}_%R>)Y_%!j*k?k*=h-g(FqXM>WSmy8%DBR$+6( zCg?d@3IU^aSwCZmGzK?lrS!?u*kXgXSCYn<(fxtKMI$5Gp- zqPI-f_!H-8$(>PSIG?@=U>6U0f{WD#CyL!V|GtdL`}o9-Ou4o%&{=CqPQBY^O4YaN zEA)dpN#SaRKhOH8XAR<4*Bs@0)RJ(S8FD>@CYW!7)x6O|^f#%IXp!>9(P4b7GfX~> zi9#B5+*Uo9!2T4HD)jr6Yz_N%{=;GEkcTgTCDb@_XrEb3SGD_~gA7vg_?Gi+Y|6@G zovc#dXZ+6njM#j?!pTHp|4HeK!Yz4BM}6xVQ6;!~w#5?K-W&L)$Kkk;DlF3o6e?LLo+1JjHd%s?>k*B|%CJ?WIzrpPg0idsV;)xzv^kj~u#S5s?b-hHNiknTB`nSNwtQJTwXUA{s;?+M&?@tR1yhLC^mVH&RWEm(WoXSs2ndqzx?@UgE z_$V>eiPZEiiTWW4>CKXnHXlFy0m7{s;>)2Os~{7UzBqh{yrfr!^XHH8z9Ao-kku&M z?4W5K&{JNaaeYFP-ZzTZy0E*@ttC-fWgi^g|p8?@hB%n$mA>e#v8^xP>-Z?#S#zmwm7xv@&%-ZSw6KSrtkI zyM?Z+dg|LufhR%u;TneiSTg=3=n@RRFWOr?CF-4X(KZv|J?=N*_?rHFzgr_-%n2#7 zd?p5MgY)brPSDQ_i?ZNlBC2T5g!hnuOUxkOGis4#J3VB4ppj_^R|*DwG=8k}hXfOC z55Dj9KqvuwvIB6uny=z>(GL^|Ne16iaHdsI6^NY7EF>cF6SOq%*9&Ry^>Yj5S&9X9|%Hh^D!aC-CGWG>Z8faxS{( zr={$ven?>Z>*>QbnD19be%qe+SZI#wCQMdW$#`DjKfYG(>C23RDt;Xq{dQV;DP!H5 zDrhMGHs=-U%zhBVYWdUbx}S$F*<4u4=dD4MxoJ%lKAS#QsDs5%6n>KbqFSWqgN+NFTh7?$h%UR|6_}Yf_2ydW~H}Gdg(Rp0R!}l}LN~x0l zvP(o>xLc2)Dq&&TGG1)}iJ&UOv8xd)E98x!sDVtHa$bX?7tun-!}c=?m%Q}x@SHkc>c zpOrQ#zm@1W47u8Fs3V3UY`9zxyG0(V1X-8$Q`k)LF1dv=uU0eu-8aEvRM# zo!c=+cRl8gK@Z1-zlcc|v7(@>!p(j~9}cd`>2vao=y#-`qaM?J)kS;C3(4V*%l>(R z?sF~q!1QPl1O_<_-F!v&bp-c>WNXsO{sziqK={VYa0{y$N~Sz!yO|?_yVUh-Pg7Xp zgZ>{8)~%4*yE0TK7vpwd9Wx$b%NC4PX99MbJFTsT5+!LMOo}vF zBB>?%p_4PULG_nSqp_68e)oKIvuYOVv*Wtr*lm#$<%+bWiAHZ-9?o^)x~;bM~sk%1=*(D$}EAAXXIp(>@1q07ia*BWP`7`>-a ze@p4N%I_%LPJQ4ry?(Xw*0TJ?v@v{C5Y0GhE_BdH5W3{LAK>> z;@SvH!GybF6hpJ`e}tE*BSr9Hi>cvv#_jV^K6$#EjAOu6&Q4V$hE6Kb73*jJz?7Y2 z?T0!|&n;!$(OHk}Rqi+h#u$ltm;-&Z|j7 z0!xe_#J{TBxNUh?)(+EMwvAt6Jci`3gd=ZTp0C0>Pi9)YC7y##`B?kW+GqIz7$9fO z^yl^O3do<1fJLdLan}fq(|_XD>?S-z#(A%0qh6yJR3}|vYr1&Z?23(;^7J$|GCNqV zPuK#SvrCpd27g{9=>|?C|MwOm+l4&AA~EC;2IstT>zGCAV%jfp)(bXLVanlm&S}Dl zJr*+!ZNL6TBy;zKIop;0&UMU&SN%$MfQ{(hje-cL&M|_UVU&;?1k>MquC%w&Nt)Ug z8r7*kUq-1=zd=KSlL6kaz|~KuRK%*$N5!UmZ}{BV_@(d!$ixRER*EyTu9uGi4H)tr z=Y0JD#(*#L3VlDET~&qS`@ZyMqDt`{s$?wX!-V77W1sk8Tl6@}Wo_I^gn0ccbJ?JH z*;p$~>NN#prnAww{4VtCTepF@r0c^!*iLGorOR7KUMmsG#u|qj7B*3ss8%f`5Gl`1PfyxVGp19Gtw`=xJFj+LQ#_b{r10HtfPoTWpKd zRZ4T(Y9Rj+`wu>xsv|sGhP)|`Lv7VlI`fw|!jw&A<_!5QkpwhzgY@zwF27$@?^qEy zy)iSPkL)N`SF2Js1Fh+Kx-)2yinDGyQs~qOG#Ax;i#yYCP}jOCkEt8FMd_t*ZgT3+ z%NriKT*!Xc(`-%5%}=-czEz+1L-HjfPyC$e$f9uX73?ZYY?SkyH#Jf_igwCB!ea!E zHlw^2Ovj2wt7abQAkE$SV^?UK1ndSZ%>)0|-m^%?7CrmKIW^~=fRxqu+0mIh=RUPVt`&HVNsECFkW3&$mRVnIMX*OX1LeM&_V*X9k>cryJ7cHc_Yi9x#G>+$I`X4A>v|ZZ|T&6vL0l>U+6i zc_*E;fDmuW-?f)(Jm&~qL|S8+LbCA8%Wm{R$z0%&I*yX8Sape2uKc((O)oTzO*D=6 z=mYmFf3gxXf;g5%;Kfy0S6a zwVLrHC5hFschr@u-F2cXC8d2ya2ka_r2H#y+J6ii1(8@FsTAEeBaF046RGfQOJjNg z%-24uFNF1>0{PJ@Wogf^C5d{QVL8<}5_+BYjkUF&Vb9b^u^NAn?lf;}CRo-3Bv1bFrVand_0te=077qz4`Kq8j9GBfAy|K{6R z!c3HLfl9e>Ynw(CyGV-R_lH{XjrN%9Og=s-ew6A@9U(Zf3Ke0m9k$@()0)QA2N_9D zP4-3BB*bS)zb8yC+d|N%oUi9sJE$FCf$L)Hc2MU)@}&KHkVhpZBA8MX@)0oP9LSbbCDN4f5hyd=DDk{T@cXR~b-J}a6I|_x#X&crnaQRylIFQ#DcG%a>G$}gpfg7w z%BgnDR%BFPC`r^fgrlD5dwTzv%irVdY)?LH(_Ysg`Z-eZ;;DSh6Ax={ZjO+iR5Bbj zZ*imynRxgzTjb3(tz2P#Po%Y!B|UXcR@~OTrlE$`%t3r~aelxhD)I1-^9khDh7aq` zq@v+2q14nl)7tM38<({e&R&iL7R+KADX1;S96xuQ@$(GRb&cC-_y8Rh=*c|9d42Vn zmf8w8s=;^(e&!6%ZT4ncDVCc+CirIq+Y77Ei_VO`k@YW}t7qS%8ZH$uF)Fb+uQs|c z=#AW~%c~(g^OzK0tRvLMaz^w+tgsK=KfS&hU)VtZSv^~YkM%ZWUJT`r0}%3Rkql8;nm3 zLlAjyZ;QU=Y4de5mVuF!y1d^6yFZS>!D|(h710Gn6$Ub$R1F@mU*xm13+Fq-bWa}X zI~!Yf<}~uG)+OyR#WbG$F=6Af;O6g_8}LbHr%bbgQ{s`{Go#l`Fi8E}81S%Vb;z!BI z$vGU8Hd**EJG(78UnY2sA9zeyl5Yxz2d~q zwrm)(mC+7EtSNMD3^6q^!_s`=NbBQ+7d0KviUO5MOg0++_oeDR z!`vfMr#c|1v#%f6-aRCd-3+lJemqnjj$$vt0?nxql-69C_iZ^Q^BF9&{g@Q5@?365`tMEXM_>0^6PDmKVu&oNVkbt_HVz=HxMjXNOLFE7Ko8 z-KJY7`igD52%G>(Ei|hCG2T>dH2Qrz)S~c!^m-YE zVB;9n3?)8|SUyK9fs|&?nRj*=jkZ3Dst&bNi0!CZTxEKT-gxf;lP|)>!ltcZ;MehD zPlpnk=uya}h(_r5*Aga$LHy2tn`H4#loqIOl$OY)s87&uI3<#9PCB)Tnl$WW$ziMp z*dsN*hyvT=WQVY^3cWXk)zCltvDo*+Dg3B@W7^%+b-5@}-=osKxOEsW zrggRWTS>$7R~ZqzNi69PPUiAHeB4g9dP5fIZN~SCPk$vOk=8Y`4%1jBYYf)$3At}U zox{veMo#Nol+Q|$QG=~Y2!$1QItQF9n!@Pv{HG5T))?z{+B_B6HVCOnlTez^Fao)n z97$N$X1>tyReLMN*I9FWoNW7C4NDKgC;QDG*Qbl;x8+-SYS8CoBWqESbv<-9TW;53 zf)35EJCG_l!OL)rs5yB{Cpt|%41H_>%jhE}(pl8jvTk6RV0y?eb^qSV;R3!@j~~qH zp++~nPt@e!z&Ss1Njnk{bW(XReg^g%9-Xo2a4!! z_~^SOl?Dhs$EHKQtk24kL6fi|4Kfc!=Z2?$a)Sgc@k-a*(T-Y9b102KK^u!{`8n~8 z{KfML;wK;dJTcA?b~@q<&##s|||N0!Su4D#KH1@(ubMap?PN>EC$W-NePoXw+eg@Ua)QKzQE8#0MiMDWA~cL%63 z@Y-;K5v2ca<4~;VoB!S{vt(M=1VY#a8e6p202x_;NoMi4nE6jJxpt)a_S1I+zpwSp$|~SF7?9GBx7=e0igNqJtHf#DzTfzF>lcj%a0^?A^+6e$p~`sqU|o6lfTydY=L5cHzLeu|FMmD4q}M=vmXrLn0v zQ7D9F<8saVY;$Ih%1?Z-oWPGq4o@O^wC|eUO6kIeNqE@Do3d3ctNKd0{W1xRPE>9# z?#;5bDRXpCe2cJY()CqFEKGjfR-tLR6OuYeIR2a5(&L(UqeMmEq%(5rByD(N54I8% z#oBrlKP}ZSULu90q|PW$N8G=gWJh^x)Ashf^xMZ#QM|SVYO}?qsQk9|(%4Y@-!g3s zjbnCxTb|zF0g2Mrv~STh=hCZVfc1AA4jOE$8WIPZMHESNA(L1Ja9^)897-%-|on9mFkGdc^N$GJ};J?I=R&g1%d z{AZr`gj8$hXGWcxsRnp3vy8$BMcNl*nfvGsYZqj)S@$C)dt2>8qvP!5Bv;+{am2n+~mWkY7^_~bl5NJF*X;N|FjtE zu=-sGn9g*x^=~{iHuf}lmLy!%O3N9#WHHH-wc*>_EwajpKIp~Y$TfzAG&O1Nn_v@({|K+a4KgrF zurV=w)4!K#D4!)m@=$=uILfDFOX_5qV|9YB*uV>ArT`9#8rsJ}Q3i?j+Qj+az~#T0 zxlU&SyI0rvS?p=B};Ouv7T$5zmpy4v}ymcO`D zpUj{S}BAQbTR7n}V+$jJ*im*_S5%|f1~O4x@Y|&9y_Gb2OX(M%w4N4UKC7qc%U>}0rmlthH@^1a*VuuIDEvrwj_SM8d8k7e5;%7yx6UJrJl5ha-g(KlYt&)F_iq$ zE7L|;ZTzWzf1H)Xe_bWmsY{E{$Nfq}!ju^p?BNQ1123oVsEOK~bFZyao$RN4!|+-H zFATg#AAc(AJ$J8zdgAg@({Qg&X;GqmL2|mvJJq0GEHy4wi%~xC-E(oexmAjCKIH#dXuAmQBJ&4!pnyBXFx{2P3XDW=4O1WE$OceJOjX}Q~saWI* ztmKf_4dY;T!*pH|FvyD+h2^{%z!i-v8@;~CCQ){L=Im$#zAs3#d>G$$0lzBZ5tUwk zuftQz5tiZ1cIdaEe6jhopj_FT zW@HBT@UvH{@ftKpa)W%KdGiqQQLB)j0<-R|&npvsuXklvSj z!qMm_k#d&|ye0i>JJK0_Rr{NmC)vvaaSmQHQz(w>Mn58ppWF!h zOxi8!;EL&B&dMs?Qp=N-OXWDhXIEFGAMfiPRrGk;8Z-I&D_&z1RbY=#ZJ+T!Q!8L2 z|GFc##`4oU=vMj9yHWTuE3Ny&rsQ`$VYwymgdcl$3_z?8N13fN9ya$vasehT`eBG7 z{hKu9TYvS#k*Z%z z8_HKhExvS^P8=)9a1`V}+ zDJ*@|HBqP_!D)kpuw8a7lV66-#&7R8B z{aLGI8+h!A#rI*pl_@_lP>fpH_DIkDwoU z&npo53vCAuo5Thvq;i1$<@}=p`*b{?Ft$x{ZpmB!3)x}yH$cM@r+bv62&=c)@XxEx z_fQO$*o+Ln!rrJig;Swq=GgjsEuPUh4Hhzc|8yA)Z-p8dJTO`7Q2OzUkI=8eVr{A# z^;acN)~@^dzOB2nbrNT4b$x{8jt2~h5s+N^&KuVBx9c*Brrtb5B!gc`9z68hg9+cH zW)HVZK50W&ROn%h>7;Ar{=HG1asTbQn!)ev+i74zfj|V=&lOzehnO5Nj8`+(V_H~7 zAP)Y%eBUz>XZCVAX3yg!jh!h*m^t%DTRm{*mh5e>kjRYvulOa)= zRPh%mLAgsHqqmb1d=H@b@6m`5-|uf#fs}=7X__{w0-Xqxxbv2S8mnlPhG^6liH7+b z1gu!1rKI>P{{^9!miimszl%}sbpa31$FxLYP2M`!zCSy&T*M?7Li3C9L;&kn^-PkW zS%&f_hFS~U^-y!OVcU;S&&+kSrM=Az`-KFoPuU`nU!Gd6?CtcQ&-M~26U^0x7NMfEVqd4zgvlZgw@YD`*XfO(W;Ny*7NGip^5Sn)j*WTK@i=DCHVL| z*p^l@5uV8Yc+&Rs&ncTD(iV>SnSW8AF*GDODxE8+xj)g@lkCG)OUsxlPP~?V{sBL7 z=WV@8IBOlW;#y1b;-n{%j{wo;u*l8w>ZbO;j30J?fL=a(>#q@IL-{Mxmf~bTCw%cS z!wQIQ01{wBid?cd;@VT18^%<8ma($PPP=RD#*u82js(|J%eT>*2IQXK>7NGsn&Ew3 zu}Yn+NH7hv6LcwSL;L-V>`0AFRgs5ka<@CPRZd6#q4*I%zK?AGQQGNU_QFo*8L9$$(^j zO@g~TjEW4vb<@05r3*453#)JdB{CFb;G=!`$oA2NiZ|$_MLhLifvowDzJDG@;gf`m zAJJ`J@gAb?lmky`4}`2+CCSoTRt`X#mn-npTC^pJZ;s!p3%+*?{g|xubc@znmYfHT zaLj8{SD5`=e&F{(rsxl^@GrzU!BhK;h|=EF7toWMr|cA`k$mWvl8dj4DePbm6j4v! zwLqE}tqRKvRCX|LMbu}elY?y=E6Dfb_OM(+3f&fwF7rg;RU%T+Mfh2xzy5}xWC0!| z&uV=KK`FCpSydcI6_B%NBFO=j4)QQ!ZMt{!%e4sn8HrYiJt=QQ@6#KWrtOEg{6<%| zn}vJ}vd@P=t=Ur)iT#b!BNe&DuZ^6|kekK(*`KSZETWgTORSd%va?2A( zvIAc`ZPHJjx#NOC2r869!Oxy*e<~OXfW_Hkz6S^X`CL9Q;S96?n15}{PFn_E^-$VjWFe)i+TxYsh~~1lH~;)` z&IL~=``dC%Nj5uU5|WFPLytE6^*nv+&y|i!jY|EcFRp>{vi=^1g=7{=(E&-??_9)c z1vp_!Q?s#C+MotoMs~X7y%nmu`l#vnCunec>|1gDms1391`Y>DjFNWI6@QDB28=bV zxW2OLyDjWXY5Tpz?U|CqUWKeI!5L?Tz|D`nr7?oKNiJZYg-07%+kRakc^owqehNo+ zxlI2?0<^NKC0uX|o%HtGX8$!svGNUTx0^U|=WktXMfKWe-}A#bTA`p!gnd-Mipz7m zHM)ks7JpxZNp0tF*lYSi$RG+E0q@@53o(CAvS;5tk&A2ND`tO+WqvB}5`IBcUP(Zhy|h$6{@kj^uWw19Pa|L2TsB{9o2XD_ z@3)b4v-#uGK1GRtkinI7;XL_hp?m#*;+8y2mmYV2JVJ@((@xYWcjny^TJ@0kI2(@S zsFp*?ZiXL4UJw0qTSRhm$UzTJblMKF*f>hhCJI{4zS8DX8uX= z?69!q)jS<#-q{1Y?QsHnxbvFA#H;cc)|u7Aig!1?ha)rGSq!g_KT1PagU2axd9AsYxy6Y* zt&NS1@#|?BTcwie&%s`NMuX!dpF8Fi?vxAcPQYUiw!bQ;k>nK}na$>|I~Cn+(J%maQl+qw4< zFl7SS$4q#GgOL=aYfpJ4E%JIL&gWLT+@IjnW*cVY4%5*+0Rz`~9km_oF-o-`X-NvJ z<`)EE5t6H$^24Z)VPv?LZnmMJx`q-^uq(pH{-~4lnYPPAuV-6;9T0d7=6!f`y`SCS ztp7JY&Gl6hL&HA;4j@(k&;^QV%W#Dx`z&AU4K>v7Z02av$~XAFS@D-Iud;LbHc-)^ zr@(VS?k>{z@L((TqzmTir<0DYL!U&>Qx5RVaDe?x!NPpX`D_`B$AQ53ZoOE*P3PQszlY+jP(}WaE#){TjZ#2&8}W7z zD1KB#op_gS;3L!v+_lg8B$C>2pdfUc%j)T{tVinc&xgjrH?6KQx=0d^{#pwhI~8!q z?Bh%TgnaMN{e5wZ!S1>9&2J{>S|Q_gznP=5;d64iZ1xuhz!w<8C{497;1|ZC+Ruzp z4r(|DM1aiQvHMMMF^iXp?%ld2$Fu2Nw=|})UtTZxk@Yvc82IsJCMB|t+GJzPpL|P) z7Q&^7ucp%&TZU$3QUbxXe~D~=nf}ooHb{G_Vmq)dx=`Dj!wKfUzWN8@H|8P=(}YQO zKrN>m2beNaNYiHdwn*PWn(g|%zNN75w5P~R&xO1*bn3wKZH;|-jj24`&*QfK<4ac< z#q|l1&5&Qi^$*aKTDM9#-|6ez&HL)9{yrBxMexcVb;j+w4#=agnPTXpl7r3oZ!TLs zGe9lJvy-NApKya+2;qrkb;J1F@1SlJEK9p{{~T40S1BH^(~7O!%HXmh?;o>^s;B<= zfstm`$^7)Fdv1~bob)AS5i3+lSvqy5D9a35m1atAR1Yw3sT#I7w1d#crjB7T1{ciB z;zdhu9Vh*#awV0&QXYF`{E{w7e7RXF|NG}to#v-$A>>VnBGqlt=w-D3Z!bxzcLdN#!g)^9{|s|6jYK*%|$b1PH;pRH##cH<+E1DANo&mb6w`F1 z{LkCIetvIA`Rrtn?`7Qc*ecOhA&<&&Y83LmWVp7^Lp@72RHaRp>-X;Ub+iF(4Ta_5 zC?W{YwEVbAVBcSZQ$K=-4^x>vD7J=Qm#i>;^R$CqYxICgQ4H&LemKhZ2$;MxOO(rv zpBPpf%v~|-wV1)!bh+6CcKmVUZ41iUg}D0zkbJF+k~(@2{{5bh#5dwj<{vcH9ei0M zh8tDwqiRd6?n1=ui$*2GL|9E=qxG28&eHaN*5K)nqG?ox)kX=GrKo%vX zyHi+~20;)hiKSsdC6)$B=@98$B$p*57k25CdWQGs`~3ca7tG9=bIzQ(&mGrwjf5Z@ za1`G6fG-Z&|3J8c#?;Chcq>F4xnV?EkzXca7;&XiKar%^H_u9tHAJo)OP!D4ej$=wsXwnsopEyVN1$%%(zk5?|szzR$ga#d;G-M`Z z3t*C9KVvL;<*9%GN_-y3AA#M$GH0E<={beu9kSCm?QDyc4t;uzb@pC;S zVbcKq${7`xh0?feHYk80mG-;pj4Ms=1zzetd{0(K*+=rf?$CncLrin{4=&Au&Zqqd zOUIx7T$qKD<7CDgTqOibke!1sp-@$A9)c#K`oY=!So|@B(O2GcQ~ds4xn(<$1Byig z9f@}Ca_aSj?>_d_Iw(upZ5f^`#}P#4LuxPvch5&`-{2MgZspuJ_Jl$gOv2tx>NovJ zBU1_(`qY0Hz+>I)&F-vw`VZn}VtJ1?XsBzu)sK)+p*wNLB6BKj_sY7U)%W2mdr;ZG zRfco{?|RestP4DSXeh&7M*t(%Z|n||p5U09;s)x{F#&H`_cP8etJR3b!|VxvaC@Gf z|J?VF-w(y#+P=$zxBc*YdEX!WV&Zib>fV;awfpz>#mX+ zv9&6MDs`dvd9{cyEV*PYp+1469K*i}J;s(popdo}hh1D*Q0M z1$hS$z~`Pf&i$@%e`G7dYscY}*`VW`_aj6Dr<)|%6!+N@4T(qz^()ATFsO)Wva@7n ze6cTzu}}3~2x1&c{;cp51x90Xax@sL@BnDQ&QRa0R;s``{?%s0>qQuJenlV`xt5Bs zbaYy5_Srn}>Wp&Ni_+l_;R2$0?fK7_pSb{r!^5X?0w&XucHXVfEio{0W>g$;9`cjb z8(~yG)nq5t-uA$k&)X(lccBIl{Uo-5 zs43}XEHb(A=8g*}l=CkZWcMT_tT4dlkly9~L{T*>}l0Laadd@+wDLX>RBA z7Ul7 z$E0YE*&)DMg!_keP+6>}N=b{1+4!^lC%u{d*ZY<%he5W=XSL+*?+CDjHzp5j%mI=U z(+P`F1rf&oMXfkwI&~acqf+#ZW;vfG;@7+qZRCPEiiNx_l=;i2vSDER9PE8$4JF$o zC3=E89I)xhd}WU+HEd&^HdcHpYo+m_?j3V*-zN5&Y1S>gRl2sNkmTQ1nt7$_=R?+@ zImmpx?>bQ)8OKi@SaRHTH5}YQ=gW*UwMitAQTaC21HjN$%QN(MJt=oN9JPPTm^CBO z{A)Ivw{Qag5fyO4xQpH_ja=8KsickwDxV^!E^)oxlXgG`9eo7s-zLljr(tpKe*4oww(pCxDddmFS>`}#NLy?M-@ADWZ6kK1dU}?(?zDspW z;?_-2HS(VEhODV(Zx{4UfN|}*B?!{hG{IhkdDA`u9fUJ^aSGEKbrM1Jx4cE~)yQd0 zg{Mg*PF_cXs`UdNp6r|Y{Vy@A#%#5$lBr6QbIzU8!(Y(k3Bp0_w9X{xP$dR)}#qG^za0FT! zXAA95mthWffYh+VRmN)qOKPJbUpP~CYj${ui(eodS?Mfp=3*3RSKA~`pCAP|A?B#k z$PiCV#WZgtfQET(&*QeljeoP4R8FT8&~1;Z7#`SR5jqt4yQG^P4UPlWW&Z74-X|Gh z?+p%IQ-?^FZ%D+hxfS!3r#o*5ycZ$ewO2`)`+NW_q$V?KH6n0IxAe#GW9OwV`sC&sKEHjgXVqONTm zzOHB__Ow_yawhfpSP8-r64qXCUCUSUuT44(gBkR4g`|UhEvC6Crk$G%SxNtI_6}_p zu<-L6lHUa&`t{?Jx2GSJK#jOb95tQ9R*mlll~-hMoi?tM8Z7XgKKgH1BKZ$Q7nI?> z5AU1M(JabDHJ<)Ujt(zq9JLVhBS+x&OWQr9Ho00>_YZyzRTcTJ_pA866d|NXx!rco zw$%vOdvg9dzNCe+C0nxdBx^%ZkeZ|?D^w;rT+n7Er;LzAt-^6g4#y-5g z0+qtBzNYmuF(qZ4DuRT1^p(Y`-(}(@%W_+0jpOPwl>af|kV367-+s<2nnICMDGN!Vt-X0R3NhVknHQW3M-GOAyf6Ghp6!~W8G`KJ$NhQ z)+z75Z+C6A#K90|VGs0Fc&0?pBs4sAa8Q~1X!CtB0iTiDJ^^)kXeod21K~c=hO443 zOJuJv!4a%*>-aMbT6aQcf(Lxo@^LD%I2(yHREm``G}6X8N2f^O@FGu;)6Qxp%(ofe zw{IUXeOAis4<7*W#OFH&`FBo08GHad!P&oWoS{B-`gITRIog|ZN+ol`@1I`4-3^%c z66s=rjK)!*3wv3q!LPIKb|{{7c4 zXx{ZoEux1Wa`0aAHA_@}oIl-~s;U)gx-V?_dEke-`xOWx0SHw(?!iN^A`F z_;H0+ZA$@CtdqRzf5+e3T0wz~A(1~mNBTvJVOF}7iWy30tT)jYoWWYOnC`y3b^_E2 zh>Toi+k@^YH6dS z7eB|}o3!7kjg1xP^w9j4Eic!L&wB=BH;IxBNo>I+A@QpOg~7~6<)eWzp&_vEQqpl) znMYPG=Ktb>x>UE<1eX-k_B}cvpB58vMHT&yu^&Si4vRlH@ZZaHk7WL@ThUY3|49yE zRK9&q8C6f03U@(WzdXO@XQlJa%Mc`Weq(ur-3oNgs*$pc;@^X-XHkZPO(6h8{lDl` z@w*|1u1T^cbWw9 zg=)dr!~iMi2Yxqo;Ufl+n#5pyN0Nj&EZ0gybBeo?saO$o3DopT2UGk8FE*NDBis8!$G4qskMfGglQEs_kb{48 z*MRs9p!I6QD+WTU?X0y};s44RjV9aHsKY;enCj_iyLg1jOa6v5!ydA&kya=iE*S~d zJa%GZdu3tFJqo2%qGF-w%W$jcnsxbRXu<<&Yj7UnHiGXWZ}S?qCmuW)eG5P}7}jit z&G3hwdt=4Eq)6G1lzB+qgL>N5*@^#d9gOgslNZfGrpuNyiY#60hYA54qUKoJ;jc7e zFn)7Ry)$QF=w~?~)&syHxXUjj$=O0OGlu`83jg%Z;4=4v=&LVIKX6!o z%v1!H`+(v0-r!s7*7{SMXB!l{WQS@>|LKXYMiYM=CH(n{(O%p4vzlEti5F`|#uvJ~ z#L#vYM!qnE%L&^pSvoo2dp^%#91Ik{5w6!VQV|6NtJT(Oi9gS~|H?_-`iaaC;`{ng zBW~w$Tdhma2sFv}r)T`UheJJ*?(BXWcm;?hC9K_DsP$)3x`=^VWy&x?gzY&A52AFn z2B6eNxuPVAY*0&qJlDM9ucg;v37GGdP(4{|o`Ek3S;$AB4yfy6dH;4cYWiAg$G=E2 z&OyD2`k|@0vQlnmu38+xoni5CDZtbNjv&2FRoT84QA>5&etw0|5wG z7wD&fE_q@B^GbRdL1Ys}WRi-IyUQRKCCLG~NmPkYyOmE4mwfX;Xm?56ewoFhAV98* z@$PPG-abl0iebw*?p7gE*V(_<@z2fdBzUtL@EV%Ir*Ab*}sr}!5XpUWyucbR(kl1QG>;qvzp5`HpmF}D6 z=6e^S)9d+gpIyGBDToODf}V9s`@n@=#P%4H%%^wc3C%Qn@Q1nt|M= z@MJ`M&au}C3b*52S7-)YTPqfIuW+3y#AC`+(iknOyBI6pV0(9grtg+yHHbU zb8#v&^ZDL$LA}_rNlPB)gxmz$AKr$y#`)Dw29azKZ+$t8W_a%QmSK%K6j&s4{e}P@ z{}i}J4j`W}ZgDD89}vSa3nb*XiQsGbAL{G)Q-C9m5&sq&-finXEP4GCc|U@m`3JxY zB%W-+EvW>~N3O~7BPAuOske!SkCu9V2a+6hG~g zBQw=ufaSi218GRJl1vwyP(w5Q$o*LCmUdS>!+}&@KfruA3$m_?8Opl&8qH+b)wTNE zO@ZgQIY#5|_PJjz^<>KS&a+dSx9u_J*WtSk;(8tvtiUq5hxGUR;&;ticdJ)gnk>kZ z;4RgcuW}ZRw;Bf$p=@TJid>0g0oVM0x$AYjM>N;;*cvC+dr1LgF$zVE0Wy0lP*5un z@A6PTBliEe8PP3#b=7VZQj@x5c(xNznK%NTWbHhh!ZFalI!^a9-bx5Kn$TO6RwQ+e zACxGBrieqnx(-x>$ZEBYQct4}GyxNVh+Ds1n4XiD z;&+eVCC)#w(WcEN#?~i3Yi)Yw-z^Up0;4gwVLHKouVU!t*Ce^7)uJe18;3DeNA>&H zuCNU`wWEm$tl~T-FgX1f=PJlE+;r;g+onSV-~}Jx2usq+QPO)vLM->FdqX4N1Z`q@ zDP+n>{=2Uxrl;=xjK;r?kcA`I^fg(k{^OmP*MdA>U&H*z6#oEAQ{_33-f-LPCoKlR zi?@xv=muo~^qLJS)LKKaHjga)&9zWDYYsPN`4@0G2Fe0L)s7YRg}+6++XlaYZ{hxt zU8cvS+k%eX%>T8eeK;vQpa!V!RQU{kaJmp&AdU8C$M^hpU-;$!J0D=tw)+8yg=@)u zr)B^Y{Lg~$Jw$FE{O4K5<{g3oofIj zppJ6}dJvQJ@^>#gt$fyYR32%YFoSn%&UX zz~eqV^T^JLbuZAvT;HfZ&YYWTQ7$Z#DS|zXWj+1>Sz)YaJ&9D z=1gMnR_xxLP{s5?7rvaC%&p|Yn?{evpkj(o$hNUz-O%g7_ymm~dRXL>oDBIz=rUPp zJWw@r4}b*t2eiuh{RuBaeyRnqc;d|FcW+f`ZB4cFZp-=N<>ADdg{sQ32y3w3gQi#W zJOx0-iT}&WgmYMle)5Opd0W(lbLe3z|FnH9aM{n6ZI{y*!W(RWCf9u+RjK|Z=@0AO zlPMhPq=38Cue&uxl~;aTG-JZVQ1zG~&E^jpB;XQ97A5H_x=q++l`33Y{f zVu}Oa0?3GvHY<3(al^l%_hnF-3#2yQP;*zq84Dc zYa4>P#@x)$NIIweapU^5y>i|*#REb_7l2nSUmcpR=7l{FE`k$|e4?fFjZ2JuN895- zjuWMzr6BftBs3A%2hazTC3dPu_b7>ZQ0At>lEFdn`bDlPV%6oCH0~q-4RGCKUf%0C zC61NQ{;M`{43*)yyuCg6ej z*|LqGj9|#^ZKZ22919D+8>d-XBD_pR7K?gzH!F1Gl+$hFBBhgC(dH5>}DG6#5+t0EwSFs3L3*hk@>im z!1uizM)^o1J&p9FgAWfNk8IM?%B1&!2xD}max_mgmt|<$G()U}eeoV`1r6`B#6`86 z+^hd^IkAGETd~N=3Y2;B`FW*kY%;A4DE;$8<(m=m%-JpyLpyK;=p!!{i+ z{V$n7j+CPxsGB(5o-EpV@M6<888nHZw|qbzI*Duj@+O;Uq!fBb;r6jn43j)DWGq0! z;l7kB=jSy^M`2OGLz?+8Wk2NNd+Kpsz>Mk@1f;lTLpVC1ioEc!{I=}P{5Ob+_~gV6 zs7BHkCec4sMxh^OhwkMI0?TTJ3ZNPpy9_;f$Kd4=4+QUT{g3Y*(|;1KzbecE8DR>x zBE~k|YyTUX8N7WG?IaeO>MN^;a|L;=ge*2KNpbtew*nu2d}Z#RD<}oHIC3fCfMlP( zwQO*zxcQnZiUeZzpQ6+~K&ryDhSH+wg~FD8Xb*7vrR(X)LwwEGa(=R^gbR4EEp+-^ zD>>sTR&`JVWT>F6^R@|lS4+ejC@)E`Iig-2G0Ie)cV5LpYjCC^3H5GtMI>0Knn>_e~GKsYMd|Xv^U)3;r?H*IspJE3-U^4sk=T)W5Xh)75d+{>cTJw zJ@jCHPwe8m%gQ7sany4g5F1MTPqgXk-j;q4mh}aV5?2sM+`eqq;Z`PUIdht}- z2;zNfrj*6|-V}7qq-qfm^Z|T>CED?wl&Wa9Gknz#x;d|3yv-BMLxAiF-^NglrjS~4 zBHBbk4j#`;BjIYgNXZ5v=nuF8V6}cR$>44{xPPOaQwft14QTaTJ++6X5XIYulO+6> zoPgly5KnNjEO2RO_2^(klXqX+5Yi z&XxJw18CjuHY-p~!(iV&jG&h+Y)~tGQ*saf8&3! z(kes}c`+91tCITz0Eo+7?8w0%j6_KW5fUbe9G42(zrNQ5;JC|)CpdZ87{gzL6$)!A`Y1n z!B4(3(ZNkT{GciN!`US7tH_9_wfTtYSyxkz<{*66PDfFAm$U}q=v^E~$Z`{lbwIfc zk#7StEzpd-4G6YWZ;Kqo-QuC0xC zKR@kl1k<&u3;_U{#r|fFN!vGs=aryBq=PskT}KNs@X}{aICt{gmwj)YB1Ky^W#|$0 zU`js%&&^#_v_i(JRHJq3p!fkXq-qHYTXzt!s9GLrYy9|>ksXY z4vUXRN=j*ve@bqb5d%}BPdZpateo5lRjK@J9b7jXEmu9N*o}voMLA!wW*AMi@L>Ci zM<2$i!v}VWpCjwcpNn)_hGk0rwp}XdauNg+mU`wmcoCh@4(7t$6l8?x!|?~4c)B|w zev^zerA^nA>EK{k?#~;=q&7e<&nL(hn%23Z2T`P&7u~HoDr7*`c?M;p!>FUt@eH8);dCj$P9NfT9j#9#u|FSi+J4`_}aFHW6NJ6rR47>z8J zDbe5ajxt>3mvPr^&A^A)YP9)}7ptVRAEfQu*q)_{x`uT%Bi|cEtTl)Mr`p9DZguT? zMOKTz-PKJi^HhqywiWu!SH}y0AQHB~2^B6}y&1d2Jw-EEUgP@o<;_`kgs;8-yXn=< z*@dI8ikq8cY7uP4t~oyt&VrstOtq2#RM?{u0rp*I6vSfBdE9E=A^z55uuVqF_Cg@P zn54{yh<+6wh(4XWr_65hT)gIt??eVZL30x8uUK-y<RA?( zo0G=t(k8OIa+YIOpM^Evlm|m3XnDJl5~*3pd;V4_;&*@ASiOvwSeT?+jH6^G$KkCE zKkNC}xd7(B@vb#=B}Tn~`H`+8>Rhe)`)TpVYsw&0;?mkyI7-53c0=08-qDSD{{;mg z{l+ftPPu~?qDP1EWqb}TfTs-$8^!2ZGE6`V7?*6S$ED$}zC^>?i&|gV>)gpG=d-|0 zfBfN{AF^q@V@$gJ)jt{EMe{^5ybo67#wS#$PNY4oqmhAB6P=k1^gzcId!(`>;XXwQ zW|nt_Y*+bP;#0o+PnbkP>WA`}wx6r4djX~StSUBsuWzSrjfri}!zA4JrJqqV-K!fr z8U*P$>HZ#MZ-xV^DVJ$u=ex|}bU~|vd!@9aYhtZQr&HlguJ`Fib&As%Zzhp(|C(dA zg%O0!`rj&olAl9EcvY{ul2k!_J2X`oR#K4VyDasXW!qO21R)$eI*RI<_9RHeGC?$M z*V=L%Z~wSi_mIytGSDYO1nJFIs_gZ)yjlJWD=q5$*f)0bmsdB*XY`9|tw@jL&fUvI zfco#3)u?TycBa+v939q@dyg`G$k6Oa>~R@{o$^v7{Lkckl4faE;zL4DqG3JR<)5bF z&B{{cQ01YIT^p9t4drhrnGOYZ$KE+WB|7$(FZvY%gYy_m z9KYgzgqT>l^slSUrZ$-x564z&A5FE|(m%_%3!BSyjz08yVK_`izS@mbdKwHwffJhP=7kIx)Yg4?v%|`)YcExPt>`YSARV{yr&0!jr;4B zFtRyBDV~PVojU6brF;gRY3m5O!ol`i2lzkn?I=0&0>pbXZ)ZF~23>!~$>i-+xT$rp zmH3kv;exU^1(WX-$?eV9W24MLMNuXR>NMMwv&Ew^D$Kbnp_I8J{TjPn7F`+%zMV>6 zyh^|E;(BH0=U0bTZ`8XE@%ZD2R5e&WD+fvs>m5yfARo$#sikW$7wD)REv2F6iryb^ zeuVo~ua+ehS5n`N?uRDEya`syQ%@fw-b>XMQ=_&B;jsprl1n9e{ z4HFVl-`nTANYu(IJWL*cOv`rc7j)R^U5`}F3}2AgwK9SbN*euccoX8VJ2oTC7*cF4 zDDa|KgP@AMC@ovy!}%a4uxj$xDSakBT1tx6Z!t*voC`jJP&r|+1Zk^g${j$4{C9e7 z?BS>&vTMz&`r$dCy41_qXmgY5 zq}qM+<8fy>Vn_wJr|;r`gGPL*;ZY3<$;kjuxWHo=geu@X=F__z!zlyohOX%Yh$6Bh z`*Ji2X6?G3g!z_NsipZ*qj7V3L#9va=08Ps+k z&cygpe52E}eu%TLDu9O)5)F>hd$tsAw;Cx6p(gKpQMD@s4PeuHN+9OEN zRz%90H5fn#D9!>OP{@?L-tw?%D+LRUZQ$eyX~zSgU|T@3$)2&EBBdQV-@thf)brnO zxHR1_=x_t4iDKFy@JL)(r?lW%D_h~qQv}jxUb!|Kl)VlU**l#N84{_TR~NzufFvnN zEYizb!*Wp%VWRY;0;!rY)n>T_P<=@&5a_YY46b1NBf~cNj@|DV?r-u@Y?h_%7MA=V+UV~flhes@zv)l*3x($ z-ghq$TIQ<<=qycunvFvR>X3r&(`zBnO}zHsFvlMhbSvi*xoX#O<$#bqP*{HAVWmM5 z;31P^Xg~~k>=$M3KgTKxWOn7&ZdM?!CyUR0okD;;z7O1gc!e}BaK;R>&QnDBpEfa6 z1G$)PD1+0K7W-q5_zobPkKu8~h((aLN7?Oy8 zT@SPDjF}5jP(_k{lgK2Q(6h5`4ypfta7j7eOU9nssg;x;A;(Js&CYrsRcM9ZE&-s! zxF0ID<0Z@jw*j@dZs_wKx)MXg9QczUo@zW%kL~+2?o{Nx3n%P9`sqj3j)gTY>O%mR z>&ogYw5^)>QUoH2`5wmsax#Vav|fos1{70(6WP@}m+!x#>-d_q2Osigi?W)cj5;Xk zYNE+1XhMw@V_uuBs59J~E>IwMAWQKEDl}Zy7H0!nVV+TB1(o*LnQ4`3iL-nw%F$8D3o`uU+N(e ziLaMhw@IELSTzJJASCTUT^anNRt~7Bjh2M?L1_5mxYNKMyrQtG3C>!jwW4?#2)u9i z@{p=JxZ|=Ac!buBNBl?RKkY5{lvMsi0L-72~Jd6(#}YhyPJ|NdCxoh?dA4aqyQw`t$}knGjeYBSvX+^_np zNN=y()7IA9W_1!dg`JvOJUz)?nliV^Obt8VbX^78o>6FTDGdBV!il$r70`xgOP{*xKloK&l3qJ zFctpnj~$y`rj?Ba_~7!_F|A!uQxhXvrOzDAz5md%t3PbI>pU$5O0v!@{?_o;qqL zs&f^f`3RAFk8-b5+SJnh`G)SX7OX6a$Q^BU>`#p3Rn8st{Q<*N_;#YS-m*(&$vDvE zA}}_coZ=1KanQW%QEmq!Yzx@aG`Z zkDD*L*H;;IPc(4}-f1p?l7t_)I;}n9H2eBA%whYpi_wD31`gJ8uM%OXs)bV!F%zlT z{?P=Z@bAa#rcdO<+%bLlLJQoV8aj_<)x@vp9uE@iA(nMoXFu*4=caMbXC-TV*el~(y9IEAkdb@HW#guyn|E7 z`l2?Hhj<3Ok8i4B$=}pL#x=>%yC--tAtcQ3z8dP^5zH_jhPL(tQ>A{x$mP;RmY_yc z5cPdu(cwMkw#=_k7mM$&&IpB7+r~ zLn@RkaGzRWh$6(q@uyZZRVd7&JRL^CCQ!#JsvM3>I6iIQSkWiTq4~VzhFdal=~P}h z;T0A_#@A@qyJpgQ3G79o{Fo_l9cJP8fEM|%PvHwn2$GfN@r3b*Rg zlAtcusU90Y1=cGDSxe?!reH!cLdXo*;bv20oU2gaGd)=l(upJys;>eQAN0Qmj+`nH zwtop?mdza2i%*e8wd@s`d;VCBGqr_bw( zXiULU-p{>vyWMz@|HLyTz2EWdjgbs=&p8#BUM*Mcs;UR*GN_hp~lt*;M~UF8JKnHk*sz62r--QOH}_V*tb@rm3?IhQ#^oMT+&{%X_#+19 zE}?RSTP}}1?3aF4(5`~=XYNgOe6D)rw!_cgo4E1SzeSDf#KZS+Vd>v{rnluj-_bn> z{x&kwF*m%DFL0fHz^rE9Y!dqTu>odL>aaJRm#d}3;o-tY7P>z=mA!}OL`oK|!-O~u zPzdVTdoNKU2lLiE8#$*w?YCEoa=o(@xafJ1ht)v8;ZAP@ZE9WU*pW`8iO^E@iTk{M z!+bVW@`T;IJRp11iN9T#$7eejkelwGgTO%RHE7tB0(gs*jn)!zk+Yn?5erE9PnqZuAF;fCB?5&PTrqQJ}QKx7HF1#=Kt}uM`Hf z3{}D0>svDnn=;K?fXStW?+loX`}Rw}pPO`G+*@7bBSquZK$!QEwk$;cRZ%AnW4lSX zJE5weEoAbKdqZ?8sL(3gBf{ z%L(;*94r{+UI9A@fmyr&kJJb5>Zfd$-||z{(^yR!%YSFM8r&S<;rJ)tKsFQVcJ*?h zd#sdlMlZ}RoHPptTfF$x^4&QH{2Qu53qd(FB&p}Ud>=XR)ZH9JPk$Qpmn$FiPGQDNSvGBr_$Fnhm!Ru8T5+3FGK+P0Cq9s!q$x|W z>BAxMu9G!chK|V@$Z!95>-bUop)Zw$5VUfxLyhm5+k&1>;S}WQFo+nJLxNM7IvTK? z-G5WylQ~&Znwd#ScTV|KTk8K^%YC$7Es%pyiG@B9=0P6wg3uqbc8w2m5xGF;5iGLc z)K~6c9hS%YpjY5P7h_U%4-wGcC{@(DkBga~L`jFQpns@oAlHU7M)q0d;>YMPQ?dAa*adTc&EvLCfK zRjC)mbd8S|VD+(3hf#aBY{3CzCorQ$9gJy@xze!gR1>$Pl{uyJ8*M-1x6+%~lY{Po zgu56n*!zK_>!CxaznOnQwJ03^4X~AP=)sPjB|oAz-+rruZK_J@?} zcjg`dEtfm?Lj#16s-PoqRA(>@qlW6P>30z|h2|pm#Og~{VZYG@TP!QRC3AoUi;%(9 zd{U3rK;@6@`>~Gms)A}uq7+@;S02D9wq%c1<~zQ_RLfLqGud(wP;5-}pS}71Yefe- z&?Gg~Y?iQcXT?Kpu=PcZOS;%yoE1%TSs5fGsrVZjm%azX*uIa_0AcHt%SQ7?iv;T5 zA!20+R$3<%;{?(iiwqOg1>Z;r71PB?rH=dy)c3RqZ!HoQXZ$7>k8wFf62pDz0E<|2lC4|J#f!4gf<@5)&6v;4PaK&KpF)RQ%;6NOG3Ma7ViDjUb-+JXaSA^gVBe?Xpkg<0Rzf+5Wsa+X5Ics! zgi=#SxvNG6f>4gHW1+x;zXgnpq(Rh)J+L+w3MU0l7ew-b>8{a1+Mz*?YhSOk0>&N}Xl zPgxP~Vsfp6z{1oldX!L*y+vaBBZ& z*tCSd!O!7V?E)96vim$|Jm{N`fKC7hI6ph9b?(l*Mige;_-l90KKoE3`}*7Ud>V8C z13J9s1a8_UpO-v3+e~x!$-cujy)Bk#ge6B)CTN-{d zIbZ0&>me|nPq6QVGuyOzVUcI3#8fULDeR=_0I@9|ut5}8rZX2&@8i=`n)zuzd`fTM zq3K^%K%t>bG{xEeXaVoj3BDWz9XcFW+Ny_^|5vQW{u%7~>C;XVljOXUeIJYxXQg~) zyM&O3a9cf%15g4_Ca3+$cu8`Ykg1f8nR-U+nX|z(YF&)QvS0=$zg{*!Pf49OWGPqMHxSF_v=E|$2&D} zHRXd{*9|?vxpLSAXXLikVnFe*9jHXF76uWzvi|&*<-2usf^hvYY z<_IHhWiw?tXZ$>Pq{prv(cdQ7zLf(x(wnO%tm^X%)N5L8H};dQ zw~;zf(l;FZ0x1$g#GRU03s3mIOBZ5h7ZN)DT3Spv;d80nU;^HqpCh>Zk}`9fTOb?Y0d z2Eoi2z2(Hqb=;|r(@AX4{X>eZuQ&E6)LUdkQfYa<-`D`gI-PXzF@<-6JI0`{-WgZZ zT1iG07Ujd_IG#FCggXf_9orlVE^QH@J5iem4rYA|3OZ~eX8>E5Wei#>~ zGSX(Z%>abodtLp?vTE5fb@MN`fIB>G(bdfOa`NG%DN?C}FRVBdFbMKseZV+bJpm#J zu&Agc>Fp-b?#HGjN%n`;V%_7T+}0B|$g;|ajA?_}ohwhLrsP}*UrHVp@R>!qN4(T{$Sv+*{;=~mzEP=4BT&L5|I2g8gm zb`D`r{8wfg2L6cgA7(ReP5U*a{r!!%0ufZ4Hia3Zb@*VLJIvytpSDw(vSi}K_~#gG zYQaM&gC<7gxpw&80oPcmkY4HPoc4ye)>;k05SZ{lH6Q6LDSn6d>1}V9Zl!~}LSr_- z_2SucJPrc|inWKS1zSf0E5Cnc>E3M8b#4ocd6{k)%G)2e^Yw#6lXkL8gU#>N4d>$x z>e-D{77^s3(@FBe@UueE1Iv0s_?y*@H#1@atFzT>b)}CT(b3FK7xH%h07*YbI^;^# z#}Th-xh?CJSD@s)ewVS4aVu!l;BMxKHRIH33a{s*bO&`i`Pve}bw4T9Byy=Nli#4n z^?|?ME{RK`3@}L(ETD!~EhSj=HfkHj(q(tjwSI&q?7P;N?r z)%)JX_4}=bbylFq&Mck|j+&ZcMGpq1k`t;LFRBMrR5V*U$YT>dPjYfPYQ$rnJkEVL z>x~?ZkFO6r_O{T}^bTXJ`G@AV2ufaL@g_dqHrWWJq`wvYw%qL-{f5OCv@qSXnTyTO zb(HiMk9Q_%J`}@?4S$yJq#P#FKPw&!KA(;_qJL&2RqVPLO1WW>_gO2$^JE}c8TnFt z?zIoqwJ7utTQf5SD=P)F`5zATW%!hP49|-_k0)DOdzG+~*Iqnv&Lq2j+xfi=m%#RS z@>9_meiD+8o3%_#3|_Ocvx!4z0(#rP?t9be?O|j`2XEHx`uLQ$FowLB?Li$K@10M( zKu@IK%d!Acylb$H3A|slq zX8G|fivkCmOoNxfTD36!5M)?K`X#7K*XHtmcE_xi&wyf2zz%2hWnu`;^A)QG-DcPR zuLGx0+yR~S#IAC)Tp7W;s#ErhL|iQN%hRsf*|2{{EwNvZoR>awO){@aHF!=)SoeRW zI&(PMbh>4T34*y>@f`vmc<;}uQyts%WG={Toy9j|il^3ZSYCJD9x*FEQo`pW#lTw!bH6VetNST3#R4yx%Zne()v__j*y$85gxYhuR?Tnf%Lb8~ z5RssJ-Z7d=#Qho5a(>sh({mnoDSO1f0;_FXl?;l9&x)41@CdEjWOlD}qfRsEcMr*K zJ>P{XBs3$#VHJ9LI#A&2e4`3F8c@M&WnQK~F}^fkh(A061&BKj7Z#xy{}!SW)SI@L zGAXS7EUaaC9uQP>5KRt(*`IDE(i9va^}k;QhAGiFoXGl$&zmtCbPvT^1Eo{ZUFLUp zMxI$GK6ls!MoydHYA3OIvD~-yg*rv2+0Prxzaw1Hmu%`22uW*O0tP z=kMP*R$uV0jnT}{a*WpBzj=!seC+5@6@)r?T|qDdIyk!cH*e-PGd=xL9tk$BjRM&~ zd&QP~0Kl>fr6%@e+;_W2rAbT|PGbdcvFe&?{qs+OR}apUOLV2;&!Y()$<4fk+jJq?7^F8s{%DcL%ylGDg< zig`62;X&+~-jKJNU{;%Jb5}u^r=gm3&}Nmnrg`0AvMUQ)ANHUoqpg^=X6764qk=_6 zL<8JpxVB;8rEep_8w_!h!WupQbr?@0>lRkR`-zXkNUAz;wL+F}XCye@0MYQSG%#bA zx`D7Gaez6X8O-U!-uT;X3EzNCWez)e;HuX7fjT3v@2{*G=L_dBO2nk|>CrufkEwXw zviR?{N$1mu?%AgsfTYDx34Xki{6_l;SHt^3j-$mHW4Ah!B%>n7Oc>Kam*(BDr~UEJ zz%pCcj1H;X0GnE=ImJ7W#>o<4<~tp|=lF3I`dj>C&64$^3R^lF?LII)A8d)jl+8@E z@Lwy3E4}3Ue<(y?kgFqWkz>nQYhP?Tii z0d~JTaLSpj=1q&bh)wO^n@kRTX_ew?r{1J-*bprEbrZR8S*0P#`oFV&VJ@+r!*9Kk zxExI?HdinG*)n4K^v3pdiB(on*EhrA*uy??Qo$V$_y*s>Y6wZjsTmkeBf{0*m3d3{ zDhMJyN$6db3^iEXx7q2+h=o?X0N+%-bg9Id-r_*^n-8ch!iBFwp+`Wb`)MpxGY22% zbMPG9^8oM!W%>1NohKudw5VVBA;_1;UbT2_SX99IgP4;Xcd>2ApPf7VE=RL?@N)N{JzKpG#&;y%uIL1#HjsL zV#Qe%J{>ZtRiB#r*ku||*oCBSR@15TtaA$rq_d1Mo2y3ss&)%zk*2*~nnmFBKkGLQUbtRaV z^n?Rb_G2;4N*bv`DJ^E9bFwQL`@-0D@kvaE3{Q6UZHa(c-rjx>)`o&%gTv^gB=>k> z+l5*p@frXZ0>$fvM!XjPs_>Gs=-65}t@|+aed6p8 zr$R%Y4P~{>;<5Dc@`+>~vz19JTS@N=bO>Jx-=qjgmt0s z_VKlCZmZk{5wNQ`(&n0=XVqqna3Y~|gwGF=T{Ag_eLw9K-;(T-f>t}j*w~yuQyq}Q z{xu>BfAzc5;&mpD0PW1?<|HW1kE*c>ZL{r#yW&S!T1=`<*V`=0`51Oq*t%Hd_6Jv2 zr6rxH3 zM&UcWQvZ<iMJiSd)WbJN%zRR9?&MxvkKVu8 zZ{=;Lx7a&!$k+Kw!6ISNUwk9)4>E`Lm$I~jPdH1dMqOH(aXF4`)-L?9>U|iCN6Ub~ z06PTp2;%}Mt@6yv1kvc`B-BXg-44ZV9DjgNgMi+aZBy*Z~f(%IwWZr|14q%llI zl{+@6x`zxfo0z`mKChN<>fIg(Fht6Tt+t>~Ktt2FZ!bRi#x%awCIrMm#z=;>d6)WI zcS^n7l3A<%KuB50ZD=#=767VZC&?jJB~9wOfR~+=co!`D&$5qx7Zhk55&7r zk!PbA82e_fkA?bTzpX5BEcE^96=roU+7cEGBS2e_)=ZFmLPSF#PzoK3tg3Kx@v9n(GKZ@Mifkk z{d(ui*urA!_PwYC(${zSwefu5Ka*AKO#zo8mZU9PZnUT5i~H|PP_Lyhxa_gzs$%aR z`vTu%?U2*d$pEX@t!%E-|c79L{Iie zD%FG3a>Qs-Q7+7VMuE9>H6v?s{4pWh;g-{*n|&YO2wT4|>v+VPf%Y0OhJUf?qPvEV zTlNx`@z3Fd)xN3Z&F)1Lt zY&p60F(LHE63&Tj|LuP5)4c z>fEo@>=o{x7fDSALTj$BtMFF4o`LQxC_>Wa zr6?icxReTwPp+Id!41ged@*WI^^AhYR2r*(eJTRJoKcX2ul{G|fKA;)B%EzR!Z50I zI;-r@Ep=J~5BA(#w^0nz)vdK-#C&@6`LJMDN5{7ghWVZzQXlI`*g$3vPt3M&4d-0= zGPApp`h@cll5(r9r7#xhy57|xbyQW`Ma6nguu-#2KRO-&#+==jP+>@DIiBY_Wq9^l z&`{;Y+a=ydAeff)L1cMv)7E>O>TQI#_u(GjDpSBmIRp6Romj)Gw?Iz>0;|h$pgXMG zlie6J-yh|&ba1mPy4Qr=6~`1_jP&+v+D%)~&7f;d>&af*?iynKJ+BUnQ$Dx?O)N3* z#b~_?-G^60MWrT7xN!vEZEy!FWJV*gcm=4=YrW7pDUP|(lL>jYC+GD#pS9V&r`+L3OVz=`df9JTz`=WK@8~*%B$*Kq z`0p#b74OjZsmsxQxHq-}toTvdD+*1w-0ept>vW1_`W$mjWG#Q)U5oUM(5LGMp1`4c z%M*sJc&R1t34V9OCCo_It*Jp=g!|P7d&5E8c?7gPbUWg>ldUM5EfUEh=zr+i7gA;| z`o%}9e2xx+vPoQh;V!a%Fn0si6XYJeOhnEiU*x6G0{NX$y z)^(aoKeW5ngMgGKxRr!_e#j7UE9Gpd5`?}8*ek)X&^y#Xlzdf|Vsf65*YuB+>!cv0 z5M2hHAk`N%Iy}7H)QrfPUsYND$NwKi_)YNR8H3G(;3S|9sf-s1FDl=JtH;SfpLd)i zHMGk?{QXSE*cOG`0ORgc*byj1r4fZ3CiVGU_L zJaFI7?$`dPWB;s3K4}p6^#|$d>h2zCCM{iLmQy5rc%Gw&g4DpZ^?vQoO0wZ4{?-<# znAuR%OTi=^0FL`foQ?M|M z`|-&gG-PTW^Bl*E6?08NIZex5rx^uv-IIl)69@F+440^>uCga@fbZEyL&F#`0NMC? zqq-WFZu!gmjH26FQE5&RIx)ah$Z=(TtMLL+33j=_Lx{FYw~@r9EfEuz11q~On4uN- zj>D8r)*%LvTeu7I>XIbnJRN#S+TO#36ZHh1Bw#8J-*OZ7XNTP9mqA!zcdM)l16fEJ zH}&9F6i}wCsK;(QNgC^R`y35iO;zvQWReu^K|l=!xw%$|Sr^REgl-&UGE0(ww!Du_ z>b!Pt?%eTDx%#DRQdz3P9|5P1i1-Sg1BOk(xodT@X#=WjWjVn)+DfoZn}7Uz(duxw zd4YhH4fb^#KMqrz5O}mnCvf=rv&?Shi%O>OAS@L{l~?^r?4?XPi-EnSi+J^;aZ%mn z=x0gtNAY^jag-$bG)+=21{t!rQ7W1g##$Vi2#iu6bL-z;MC&+mgBS3-G*j)*Cy*?) zcQrONU{D5p5LodmZ{(kyS9|?El7RtomT=U;x-M4_0u4xyEns*z_TDyj;PziWOMTms zdYr%oz0gw?8$0fFiD76m6$fH;MT`@7@swj(RmT&K7oyfo zP*qr=D^$Gc$u7c>vnTaB%=QopSe^~_VfXpuqFb409tdn48BIx{J>MPfsoRC<>;#jR zqJMi`#Zoh2^Mlei)nz7R-<(BpN^$@;q6F@Dx9I`inOCp(?6+KN;2VP*_r zhPAp=xbZ1`CS?b0HX3retmPQrvwbRDtH|8ErHz7=p$3~E+b$bI+h#9|KfV{Rw3oD! zg1ZNbY3tCK);*C7*t1YoedOg@S+jfpzMjN3USMtMk?W3(b6S9Ya8K+0KQ+u2j3s-a z2gfw^GcvZeT{WnHpcrVqwmpb)@5<=z>zNndT~Tj4W(#d$>E(OXmBZ~Z zPr5IwZ~rbYw_-u*2C4U%rkCPINc6O9H^A9DDo^r;fNPCMyAs*d6^mZ|(U(rj$oDp{*S{(k4V;-)g{7XwyReVe zt1KbCxBK;CjgQ|Qs8M0jwHE3k8Cv(x_mH+XwP&~j;Fmvg`VDZG^&Vte5whKU^RN@y zklOvr1LhKkJ|J1{M2Z_#iAEU|zl3LNcj{e}x$+Lln+f$9OaoXNnfRkBD}h+N?F3RY zJG0u_bAS^Jx&fYpe(rn#r2E{(%kM`6jnTke$}i}TWC{}3ITF_?64%2tB&`SA?yLKn9bu8liv`t$vzCjw7y3EATVk0f>H_jQy;b4X0BJ^X2vDv&yw>Ew|}U` z3^S4%D$xgjnu+l#OFlPKt2&dn=XFCzIFGPpNS%-z}Vlya7HV(Wj5b zHjmBwCs%dKhnZsiRxUg`@CzT^bCu}H{?w?rW$oo5{K(RD)~Ww@PGS+)CBqClJ}@kJ zrjfDcLV@_wg0rinTgV~-Pm$hvaiLFfE*j|hN%~-r?_^Albx>ZBM9nMbrP|?h3_S#< z+|l^vclj8o<;{9zoWn&(6f?@GZwvyOE&loM!cn)wd*5Gs3w4xqZ)Zj^mQlz$d|H7@!6_y2HUapPjpp_OenYu zw)zWzJ)6SXcCZbJ3JV-GFuGd_#_1v-p4zoljjc30eY(TvX2`keFYgYGGtf$69;wn| z8R*mQARy-U_fjM?Q)@gT+!Q;joo=$`xHSJ6gQ(`{GwSNUh(F#W_lDlR4;CCDU55&_ z+x+5PqFfOqq9f&Y*SZqSM6)Nm7Z=Zl;|1T_#F2`N+U|F4D8XX0Ng`{D>9E!;C_PyI z^7%)`gp7P}%8yLdznnOItJg0HRzV?zCj3x)@xffS@n2T9&-r0c^8|fQPwVL79V{fQ zF}lFJP_Jv2BYEsq_qk^+HD*2}kNhaFc;`B5(i7TZz?+FTP=X;y#g(M1T~mfJKsDDF zf`8ycIN~Nh_>Va!_VGh_jBiR00p@?gWAu*nC}RHw zQbN-rnSGSxwE$X=NGa?chMbiMmW0%&b(TOHBHGGV;QrR1O`uk&;UekgZ^O~R-rz&{ z`59PC@CH^ATMSzG5D&+{-CjfUw`0? zV7{#E6@npDS%+{36Zd9TEG*wq8GTrStzj`+jsCAy3Ikd@`*o`*m z>};3Ze>KXow$D)e?HW^Zf`<|jG|nhWL>lzQUN4o6Fcbecy#5OlsZcaHd7 zaD6SWw%y4w;I5!^;8;k@07nKL4HV{KW+n^GnYXv`W2Q%H?uOHROib!a@~&$K#zuW5 z=4E-E?k)%qasPa>g)nJ)b|JkqvB=cM`A_3|-`>U;T^Hu?@NIbe>xzZGQi8FO>&Sl= zJN*rJXH9DOca?yEPwXJ>^nD)us_MwMAn$>;;@1w`V2a2O_cL?*m9`9X`vt=oQIyLr zmcOdx=rmJ;e9BU!kNMjly1G`S{8J+-UytkfIO%ImL})GoFxqkbt2L>I9TH1iFLf2( z@8wsB!oXPLh~ZT80adt0sb8H*HA!#E;N@mxp(Q+~+(vO_N|ulFQ(kws6rFQy_6Tec zA`^3?^djUpmHZ|fzLgI*aH$=y-fb~FH#bTD1nv?PG)`ktb`yO62H69(pFpiPT5Sha z?~5+$cTrf7DrF(K{ODoTizz$)i2cpb?~&UD#l3Z@t#-6 zJ2GrDiU!i3slh8GoOk6%c&Q-eg9e-upPLeIz!hGBx@jizQ2Xjs>VwW zFGQg+w>y(X=Wonui@7}J|0p`&t>c=jHv9Aokfj_+CluBajiP-iQ-3#FtH* z2s37&<9}f3ND;}Cy2-e1ylXx)RZpu3RG0j;P4+RLo0_Vgpd3AyDUia7i#B;7bJJOy?KxX#gD|bE>5T9Xw1a2 ztol08xBgyM{`FSvnYiWMeV?kUL0Vz>0O?MgzRZLAl%L9uFGoy{9*vP?9TjD~g zv*8s5O_?gxu@B=?;DgYiqC3fD&r1RP;}VRlS{Yl9uf$<7i(BQ;5UbdzCzq3LZ4HZ9 z%39))AKUJuAHgd2bP8RrTioycru`$Us95r1pu(F-G6JiE8f3*LEC-VpN_QFlncRd* zG;;{DI?Z^YVWW1#R(%R-dde>o>+6XVaY&!J#7D0pcz9$7@5mai$y}wLuHUg8!X8&2L`d9CIIn^GoG&;o4KBRTck^8ljs@yywpa79Pfb!cg36rj`RVN=tYVS5Rl=?%VWJZZb6ewB9nRc z_$2sk^z{0rnzDmdXBzv}42Oe*OQDWHPN@eU(m;2A4RT*DndTFWB_!CLf>8Y491!OX zOA`31^5TUb1;;~25$O4LMiHD|seyOSOApyDk8q0k;zu3<9!`1RS1x}JS;n26jJJJ5 zn*Lxr{Vnd$_wv14tE3Oos~j<@jkIw^3~J~Hx}9wE~S!=(srhpJ9QI$$Pr~>%2dU(JCME* z4V+SR9|1@7##=H7*+XZN%c(Ds&dC*~rbrD&ySS+1In*&DLk~$MJ!uN?+eD+RZMb3v z-JM(QMVVvaN-;n>WSNY!F}EIHdY`_nG>~=mmW+EO=PEL$8AJaDdB%TGorQXKDQ+untTdC12!+}aGv3y_g;ooz zOeSianoSo38Pzt3Mo-5#(DyAa1c#iDVlMg})H82?s)u4HZqM@h1CRWm^8w{XBvFfR zwMfcM-kbY}#iw@SzMAN-v=AtuP`1=L2nh%>C(DDH54e7ayt5v9~K5o0P6uH&TXuU>)F4CvU^@HF{s zhe&4n$h1wtQv8b$XHsR}mVth`Nx!?l88t)ZyH?2OQ6J;4v_|@@s44;l@)(b}d+r~m~k!PU(jhc}I@f8`6zZi8U63#O_R#adS zqHurv2{F3O@q-DUO71g1QLMM!F_6~fcSj{P1zER}B1NN}y%x6G#Ia`;$9o*MBhALX ztCQmpC6Lq@h}OyZN_$v*_BNrl1BgeUABO?J>|d{6lYO zRzc6j;M4|`hvFnlSUvL7y*UqMe(B6z)2g)3d1nE9nY+XW=Z?jwJY4yiR#v5K1R7kG z4{_(gC{`87ht$&gbv$l`P;Vyqnh1GL`T;rPlTNBH|4U*hz1T`-$#pMH0dOY=n_uI5 z-+@7EU;hQYM?TzgbGkPJF;k#@ybn63XBtX`PI-M=I=i%WeaEU+WLQG|rzc!k*3{@Q z!xDbA;Y(n+R4*Mb?2DhN=`p5uE2_sn>x&UQrdJEi-B|(?+p3kXz1WcMs(A^jIk0>y z#X$RhyQhb;SWjlu2$RX3scFvV=hfl2)c2k`GCGsWhH)|Yu>!I4N)csSCSAZLeYKNm z*hU1!>>DI)U8gYrBGQfQ(L8p0{Or>eKCFAh)7P%Dyv2nrl9(ZMe{Qp_uhn$IN&9VFuIth{&RR+gUD<|5w$ z5u%#zDbhKynJJcA8!47y$XEf#^{BPI=D`(ZZ9`{InQ+M~4f7_!SbJlQqlgRfKh5z+ z(ueEJU;3ZsPBF;8Su^as8WM}UOfyc-=No0c*XPr_+GlA3WHU_9@JupSjO}0Q#wsvA zda%!e>C#hR3FL24{)xwKKWo2ywVH5=+NAR>!?oHi{^svTjkV`b{l9!psD&~|dcCv~L^zt+SWybfJ3BbL zYP~eGu(}~E4pC`R3hLQfB46CNFD4;EDX3&+Yx4qmLsUY7Qc%to>GsshRo>b0rL&Wj z6Y|CbO2Nmr4oE9k!N(3}NGk;^3uh=hSG97ofyN7o-j@)Sk@?R;JX01%Js#58oNZoB z@#(eGpx36qxMZw{OHbDxc_qYlCoGJP$zZ!lI{d7ni*rgj%;1+DZn%<{`kNi!C&%9Y z)mhip+c(REmLm^jj+Hv(U0aQJW?OGF1^%Dh&;Q5!|Lz^QqE)&e;Tl$R3EGA|*O@M~ z-hvf$`7=Q<;B|h3$pz@zFN^NfDJ2^6xl^Zl-oQ_vdbRNhICbiV^#A`qMn4~<^1mF5 zu8JNQetT*9&fP7$GxFn5w~((-8snB%=%RsKic4{Kc(&YcqO#D> z6_qPK2%L?bG$=LlDk!63ewp)IS7Slv{2s54fUe@gq8#}ttS;LwoJ- zxQiW@Eh--h_?wsup8d1#(J;&R(68*(!;=BKk{5JJ#=czaXRK0YF|I<1>qkXnMAeQR zt9tl|*s_THLUsQ0XSeUM3I=0pXFkRwe&yq@QB7SPvwd}~pHKL#Va27wRUPUrn<3?T zX@}}--bt6uMvx&QbQWgyBO#BUE%$&_;l{Tk7JdXLJ2d?M>8uK|7IM{|Q(`rp`Ey@2 zDwA=>5gO)yw{7Q2{yp9IZ?lD*4$j@r)3aWq-oU(=%1seTwB=*gYitTnvuV?AQuDAA zxX+mB8}1r`^B@s2A?7OIt2ocmO&DJlmK*L|NJi9TJ-!U_gj;uFgdg4)Ul-a0=Oj%( zgq$5?xcTm%<*;Y>G8gJQ3=y3eToOHp)71H28h#qz&EKebxO`8)ZGQKCO*LQrbx7{g ziP^I~sY0OwFl#WLpMyM8#$=gh?!hr>t#BRyFY;J zKbHn*zJ*h8D}#MaR!4Z=Mg<%Jj(3&)D!#MaXZ#dKLH7l46tg_SN6^{3vwUl9qDq(7 z$2wg&9)$SbJyDL(8}szvCYw7i97n(rNeX0bzxEtR4_N-A9Gu#yFv}fhBBF;&6BhUk z|11!dBQZB@RcdRgigsse*P^N2jG|t;NnY_Tb zD1R#peLW3&xuNwk%lD_Sz@4G1s90|37Os-D-5S10Cmxq6-%kL1Y=)7Kke>k8AUKbX zbB*8?R4l_^BKp$tl>i#m1v;IAU^OO%i)5}RS!m5IA&QwKW`AuORIC6*7E0vVFQ$-x z3KKn9HMBR=51@Cs1q(lgWy^u*=x{&?HHQWtNdY6^t9=GVh9?E&0(M^5* zS*7lK@}KcEN18gyeO2aeZ0Q+#30u%gRWS zKo;6^uo_@f?x*P?W~;}^hxkV(Xo6?D&@@>2xwB7Uwve5sD**Or99PLAx%NxfDuHSF zD*5~~6hwI+FIqB}zF5B2ROzBXM}^Xr5a4i<^BVnk?BtW72>^$dCuauSx`LR=Mte zSPLn4?z1x~-hKpfsRzONJ5-LUYHU3_oab89%1x(x%-R;Y3z3IOdXANMM2HCYzn;Si zr0t5T$vn8JZWVBz%*D#tm)*T&s{)N^Pn^H_4`*}Nh~85e!+&MHj}%M}N%l{Rv$nhy zAO~7LY=C=ZiA@Zk_^a3FZUylAs|2Fj0=W8S$5(>>El=^pv&JPbrtuI!KMw<%VQ2%2?D z8wyhYRmzSg)R3`o+f~%$>k~hA^*w4W-$+lwhj;Q@{Y6wPCnUzK{Um?BZf~#5D-9ne z=YEKySOV7T7z+X1l2nTIkCUx~RQ76noGm!b`}*2oyEOhWRWFe z7kOHpfIX1H_`aBHiPJoV(){bzKcLol4DRSlxaEN42zcu`GS|l!D7Xs8p5ppX_Fsl_ zsIXmWCuV)@yAfl@qs!1fLy!@b zv;at(8F@YPNS%ln`YRKeaJD=VlTEW2>V*6q#!<8R^N{WrxPa6+d`;9>LdEEpk=xI( ztQ!I8Ku_?A1m5qp9cYo&;b27g|0;wwQ9~?`uqR{4)(Y1NeK7R~Uhoh+i981Vz(Pmg z3f;}0GC#{N8p9UltCE=hQfqDSb)OEH;S@L9mO*uE9B=EqzDaisaEnjy<#{C@EsB@yx1!32A{v9&C5%(?eZZg_9@@qW%Ly%w5_Eou*V zs*jCX1>Uoex#Xc!bvMOI^BpEFscH9-GC6BI5A~CdAA?-ovnb{68^^s4^%=hQV>?fz zIN#;*sSwHQeZf?pgW;`^LB2*V7OLA#<-atK~k{=_8Hn51lYqKZD|YM=j5(9Wp*7tuBY6wD-NiT0vp@@!NRQ|JIPF zutYg|_phvHqLMV%)IHYH{9F{;uZ+Ss_xJE-S#+0A!a?&q zt;u>%e4NielRH(J6l$&m?ysN1PJ%cwoK{@XlFYRHzS+mBa3`>ONHkm?d~qTgbZ%hE z+8A(SzWDa%4^W&4MUoIXVSnd$7_6HowKqam*lG7!=W$cK*OZz}@skZ|zr(UlS&=|^ zYusqR?O;=aeJk8*cx6l;3!GaW^7umj9X&kqZwOlC0$$+2#DZT5gvGf(dJP^AifO)( zr*$gyWRm$$&Or}4gauUd2G{(D^|BpI7TN&{a|g=-VVdY;c8-8Y%b&xE@{&$7pA5bn z7Y){D74+FUZoIb2LI**z<0^u95ho+R8B=p#xNJVt+_fumEJ4-`zpA z>AZZ4MUtmWBM$V6CkgYXQG9i#f$M+wbnBo1R zyX^rcPY>29zrQl3TN}V$yvPZO`#>>J@i=AtNgv7Ypth%%+V&X#BigldobeGz{P&o$ z(Bw4Gv41D+J<4@x#|Byo0y>JNYwFO940JT4>#x#&MALN#NW6k)rvWFS{z5e{xW?V3 z-Ajt(T$HnbjDJJxLn0#9!2p|pe$xzgd>IWAwPphKO2*2jxs5(;4qGPkM^cixR8BO& z1S@^H>4^qvZGU1(-P`6c!4d@zzn)fw&v^Pr9!}AyB|S=Ou3UqNq`0B8$+$%sdFWLdaYc z?ZbCl?ex%%*;-G<*G!2B ze=Z$ZV3ww;5Xw8?Cjawaw61hp<*EId|5X;cG(d$(*S*~cOP9~vkOtWO6=_4zpFA|0 zkfTM6!#nI9mj9dgu=d%`HSc1k<$WIi`59>{`3Hk`ZsZSGON)SK|IMy);8y!{FOyXv z$#8mP36}a4t9^7PM8$soyVVZQu(8s-RT?O}UptaD{XYZ`2{-6b>3@T@960}O?RVXH z_#tt#6f$_CS?Gs8Vj?oh$eQZN(YIgSt*Dw(Nac%H^syx_iQS*sht7|`cUD^pC}it` zZz^>>vrdNJHtjXO7&Rw@Umt&I52=NO&VyfHt1-Oe`ipHDdRQywQ0W%9j_LF^c_C2M zMiW|OpYc~?EWb0V|Ka)>+ZfTz)#G?+$n(H=%1yNc_l?+U0?LNs_^$~&)p=}}&m%c; z&U(S7B`jTVN4B+L>0u(t$zv3CFVn7PU0F=_MexD{ot4d$Tx|=6q)WCop|Xvc@3%N=fMU4mp4KxOiQ>3d zzZ=7Su+L`5JM+kUQ~P|e*@UPl-tvKTpumqw(8-4rnk~twHPa)gJIV+YoR44!gk}-_+P=)@*&-_@R-B*4%7p5pbU}{)Z+Yoi$xf^1tCPBk zvKlCZd)D)xLPIvXc}$(07N7JtWN9VzP2uvDMr5#4g-!9Lp;6;jE#l2ZkKm*>>zAu>l>#|I?rx$^N!(LbzwMqe_4sdQ7z zy;B;yt~yiQ&fk8({UqC7j%7@2lGlXojq^v+fa(<9Z;sEn#$~_W^NDo=t!wTaMV0`< z^*z4briER-G!~#lOxsxY>p^rHnN{Y}?;%g)QvCy7k0a>-4jq^sUEd~dJ-X|x*q||3 zZVhmCU{dmYy-_K%Cgtqitmb#YXF@Gm{XcmIsPx>eWwyr2rjuw zZm=-$s8!>eh>DH(pQqdW$v*bdSTYmNlj>J;WXv;Zg z0_&M>DH~XCIE9+5Glj`+i^uzqvv2QYq zG63@&zYc2)q1rY}^`k}Si*1S5x@rKfe31n&^FX+ZLF_8VCZCSyHHoHFA}eHTD-#S; zWLaSH6e)Sw8z$;t3Tw`Xo)u?=ZSxp2??&f^f8JRx{`C5Mv%!Y)TPPQ#Gv$Wcw$UB{~7rN)d7V?MbQRdMf zwk~c-k!UrsuT~=CN7uPVAscFR_hS*TKigRVaY+L*<-rG+m-#FSlslh4-5%fD(E1TK zp$*nw^I&6=;jM$0kXFnSOUNG%-Yv8FeE6x*Zd&%9A{#CD2&Wew<8*QWN{j2U6An25 z6(D0bxPgIY^^A2!MlIc3kroix)KT-_mce`I??>0N&wN`8lV9igzgJ+ z$l72$8_(4)E$r{H%Xg2iJONd2w8C$DIF2%+%wOT_RiXQU&=nnQ3VyG|r|p2GMo#m? zo8&lW+sD_s5?jxu6K!{T73+*3e>Yji^$hFrmaXtFWa%RJ_l$P5=dMhhg5sum{kL31 z1g%0g;a#uesO2+QH!U{z^9i`=LR$a;Mb5g`xmpz$ToM|xwayIpzkR_zgp&LW#NJSb zUY7NE)EiV$0%vo?%nX0y2q7+UXZuF}!mN{A<{;TOOx-*e?eRLDRReZ53r!tE7IBgr zyDmpoaI7!=ZitmfRknn8c3+BV$0TNb$*{<(IMeaLG>*szahc;ITT2F&YfHjrx? zeZ1C-D54lXqXToLv(Bb_q>6-~+J8`!Ty~)H^}&{%7l9f(dA(J-*VZflXi-zD++0h1kLGP(2Rystvhls{I6_7K&4RfVm)pJL4UrR#LM*fW>KhNk6j zoq=?rxB6fDU-?;T9}%mD>oU#*W>s*0R>?{5J3J=&9+~SlB(WOgjp>#qQayRvIPXPD zW8TNJWG>nCzR;ndM0&hVfJ3>F!cjkGz^k(2>390W;$~a`Vt4f*ZA-zsu1obweHfhT0k( z-2O*TE|e^{?I{hqqRgepmZwlXH*IKbR+k2@l?qBNw;cJO0 zG^2se4tiJzts^%EZEP<`H@`f{CHDdD$D?q>mjNW_;WgEcaS=IMk=&>2oc-snLFEj{ z^-`cXTVR-oHp(2Wsyf-ZhtQZw)X>`2yr*Gb>#D2SR#`HHMnDQF2mV72wOOVggX4H7 zFe`J9hzQP;EsYqh-<{7G48o~t!O9#{eu$vW4c4EeAc@qdNy~NkZH_;ToHcL_8b{235-=fXiuTqq9AktP zM~RO~!HA%kN+FPY;e2l9as2;`>t*ikrb4xyLkYcp2f0Uy_^B5+tL8Qr{9y92P}!jk zCQd}aA+-|AN3Vt2_$C(A&x=g2E9C~f2!fK#p$ZZNjB;@H*)AWKKNhV{Ng`&YLGkk4 z{s7bW(7}k%D1aGfArX}Wl@~ZuJt=WoICHBl!#_Ft8Z?V3xn$`l;Iw=pDrsxMItfSq zFs0O42xZ`qe^kiQN@Xrk#F(}^tpGM*wa{Z3q18)9^E5t9^JdQ#oYTD=AlF~l~OSwyP)8*;Cdg)ResGy zF9%AI*dSfLN-kcuecu!Puom9C%tq%{9Pdc}@KcPNd(x{uVeOX0Ma-qUhQi#LIuPh` zI-STEYl=Qne8X* zk&zSg5(YSrqxDNp#*064LvJ1+!*C zQHSBJN)>aq7i6q=+q|^?4M!lzw}Ks`>C>?gkVnN_$lj>BGH zAFvoa{}A$Xa$qKXMqhvoW_deli$gST;$FD1Xn4v+5gPQG;Dd{`ck-D%zt@|Bw#%r> zEqoPs_|kw__h{8|71x$y^qs3R5mXnR!sssHubQf~fY!g9InJHkGQkFG34UB?{h3oH zVDunBXJPn;Mi!d>6Tn^W;j!`jrUwhz(ioz;D&16+mD1qujI_nFiB_z~O_`5h2&L79 z;nVbn!fP`02YX*z>5E$$XQ46SpMyqhv(TtPA5cI*x@!_(8Lqj%tGAfd9IgRltS8e) z`|ofRB#7`OZ<$VCyE%Uv1vyp3>zaO2Ps`yxjaBynUXpTg{CJmg{;U^pbMM+&Nq7C#;zSKEG1licN)vRI$yVsUe> zxg+zNs5Mr2(7v$4bME!|V#_f12pg_phdTag$Lw$4W-d5SE>v>g{VG16s=NGI&72go zrH|!-{dh4te=jQAU)+P^NR0|rD0UM?nRvXyx&6gq@Qd-(+1vr^R{k!tSAR+`9xv|X9eOV9f98?)Df|Jq zk}Y$Yns_`U`NM6pDWYQ?Ydg9t&QEL|3L@GbT*^}!K-*6>T{Ro}HO43I)Db;=KpIPn zU>z^}Irgp)ChlaJDytT*x;|S)@GYE_Tc89gZ}0j9FvHNzH zxKYjoJSa<3|1Oe9&6`q1){c!i+;*l`c{oP1l&3b`h*9mykBgu+2QihHy)? zR`0EfoE=>M@a~)T2Uyp_Tz4Jd7TC>me8^23LJNnME)Zh2f>`GxTJYJ%0N~FuSOAMG6@~QN2 zdh}$LHHNWsOOn88y6fgxEdbSK&xgO*xt-$HjZTKGo4{rh>1^k14973H8DDoX%|^`N zpr6u~5O>DD_!8>D2mHc!%`X@4xAOg+Sy4v=z zq672M2H@I)kA_QkuF#e;k}auza2&K%%w;bmg-9m)FLO?HgmU5G8ZuiiJ*FyQLaaS? zOJsWJAx2C8AnspJJ@D@MsCT!bxuezHx~L0dG$Q=~|JZWDYD;tpY}RdeX530d3w3tl zEk@U;=_L}aw&;J_c6{_7n75=eDuVST5*jzp4)|l|@VEF6Zi|){xGA6u7ibhAu$>)B zQltEiF^=PC=RNn16o-aT)K-JETN^FPyTI{!dj}%zpA@|?RJYcaFZ$V7*<8`y;l9EG zsxWjyCBU)0bW5|JUc_}a!xt`Q`C(y8N~0~oBH#{7SgNplk#R4NPu`EaKA_S*H>3H$ zy26$IN;R>M(z__TVKHPZE@2$g=?=XQW90c!gSgvJ5!x}IF54|Jrd7%h9DH&{4l`0Y3Ha|eE+kY%0Qkfjz`7l^vxEa_KkT^AU5Hx(%dDxI$_ z%!QBEeE0JRin2bcQK3uqfgzO%_m7Izn67KD8TT1qoG=lnV}IWbE52@2(O(|mIDEH4 zzkHsUS8;aRLq}KXoigD~B6`snmr+LIuBZ?^NcI!)0LjPg2b@tv$lI&p2(fujE^z7C zeLcI*egA>R+ZI8A zj$;awd1#di>%x(BO9!xN0dB;CN-yXQy>Zg-(jTuvc~Fbf%aa4#0O4{uI%ipsKEcCm6S@MHDK@f2pX>$-@7n z$`MOPL_q(u`h3+|Xp1}(MVChP|0O2h3)&aE|F^c0^63N)9{B{wr2$Y)4ftEcc6tg+ zdkTX}53nq>@>6ZaNarJ{%-T{7zrB&tyh`B!m9;%T0s0i=jKY$AJ&Ou|R;V9lolNw4 zKB;8LpFQ&lkP`C$XLbj3V;s1>RCLGc6iiGRd582k&q)7S4>PjTCkTQwfjh1Uq6d#vxAnlkOeda zya~SWm+ObywU4HjuzuIa^TXh+G^QY>M3qkgZaHYL%(A?n0!4cY)dpY41PtSkBr%7N zp}vD3dRUd?5=?VxZLUeJ;Ex)>-3aR=8#z-fd{NY?b!GB`DeM!&h;JKpsXbH%d7=cm z!VgE^_(kX$dnoyNE<3c282%jKEV^5>BjLVgG&8`ltZ^%sMs_6d@UeCm+FrPS6Y4>N zCXpskHhse_1EWom(%3r%icK0*U5AW6_Ttc#Z~K$ej|+^U zEDhSH>5ZZ%y!$NM`Xe=5qIr8~miYg;ngc3={{M@%_YQ}v>;8w+q!1)ZiXOrQL3Boo z-ePnjTJ-3_4AIjNJ$fBIM(@1_K@fF@(M2%KXo+qZen+1BesVv*Z+YMAdaw77;hcST zIeVYA*8c3Z*V+r6BChXwxJrsr3ToIDX^Ag#3ubc`-MoNOc0IsVRT7?!m9n~Vx*t|l z;yHzd{hv=dm5{trZrfwPY%a3oDB>#~13^ZYT7omPBlD?j4w>yqo3f1{4}V2$4XoyI zv1~p_Q|!rNjW;Xm!!L($7 z2(d5<=1?CuF`Q-O-*kFU0|acw{YAy&HgJZq!p#TAdLm<`q8DHzZ@@hOkpdf%;l5+o zY4+tC^R>*!2_4HCpcyg1GVJER_~fW4#-$Q*kN#>qH*WE%)Kk{paBn(*_~Y}mAM4un zjd#1;ccbRTtgM$jreE^DJ*JimmmXp zP!*NmCu7(5;`6qsqb4raEA3ewpIUwQ8M`_G=jGtbP6$7 z#qyCxyQaK8N6+=ldz=}ko8B%DGb_NoA~O_zq)b)PsQS7cJ+jGltCWGa`-}Qrg^jeT zYJl$k-W;nhp96Ezf9HBWsQuBS|Lx)nlrmti00f*6&ia@J*gD5I5yfzM_?ex|Uvg&<#_SvR(E~a>FL37Eiy4cvM^KG%sDr`_EjU-pWe&i^Elo(*)bx8dykMiQ57C_u7m&bMCc;A;=o*FTKfA&!{D&D0? zY+pnZSM^G)`ei`3%U|Dpw@AV9F3S-=JQS=X~}FF2!#(mBZo-*V4u z9v0@$RtJHxkKv1d6a9~9XEPf`9IyrVAE{eNeffpostM!r?g-?(gQI%TeWputH}*wR(E0dJ%x@hBYy*fMDZ00X zrm>_5mdsJWw%h=|E#MZ9eD#|PE_wj zlS=K{G|ixTD*YYfr~#4()`Ka`s@H_DAE|GBreiswYFHsDF<8KJX(G69=W$thlAH}# zzOkv@{6OS914s)#d%_7NoTl~xwMVos2XG$~-o>}N1C5Noo8)WZZiAK_1A3Q8=87lq zc(RgStgV^dbl2$gLNere<7LiKTWH4n~zJs zzZ(Szh<=~hc4t5KIlN2ocEyS#I24$G0_qbS_4Vzh(@tMG$&rS(iY)z%-i$EJI61+k zletBz9tN#?6+K0GdK@@iz#=7;$83LE+#{J|(Fg;x-d;8-P(I3cnE*o298J}pwe3yi zV%3I`Fvx<8*;Io`#n~Jd@tqV!$Sj;p7kn0E0{@&0Ymk)xTBl6_R9vvPY0hgj918I7 znsTU#Zrb0Lt^fjkm;nJ)&98QERO-M@jeyb6mZ zLIq#L-G?1+*+hYtzLmezCfijEsF+z`5cVaX63l=EE@~hgChE}#*1LwktaXcK%FBcl$+LtCJPT!iaX~_IRYPQBce$4Ug9}<1f6V%_v=ax=GNYV z??%hQ1G&DNEUcbncj@vBN;q{og|^x_%Q~hN8vPULJvW^S9IlbU;Vrzuzxqj==X?hG5&+Eeh@Hy~! zlhbZqQs@T*XawP+35rQNvYm!h=W{I>nF8{*9pSQ`2a)Y~R!}Fo%n$^=%fgB3`9xH0 z^#tB00f_~#rzmqx+ohF472si@O)#r2!0x8RkKie~IOx*J)Kvi3Y2?N5Rsg&F9h%Qc z0aXO>?{KM)ks6a60v^@?S!6)0rQu%yKxC{>o_;Cd!Wm@idJO;e790$0E;OW5z`HXb zfac~;MpK9DI6!yBcNu4S3#ANL4eVr|vG|`1=>T){d{yk^eGLdU%dm%z-0KdcXPIRFMYgbP`q9&so*v81hcQXDl0{_20 zZW*rxpho=qD%FC`5MD=T(A_(nVb6lMbX@^RLf`=VUK#wlsa@e%spDpuZ9=U5Gd^ep6jz4z&#uA}) z*-hltRu6w11wP`%EgA$rt6LrX*VMAd+q?Go5(Ge?i8;xy^;VW~;E5hTP$sYiG}D^5EZrS*sBjA`6Or<{krUtDg<|y^ z_|D)_c2RKS7fTyS-@2VW?n2>W*$|OyyT?KSpp7o6&KLA6xVm2#g~)f|@tkFJ`^74_ zv7@x%A`j7Au=5af@-!GtR5E_9EbY9*d%J~J|HF9Yd0=6p77Her2t8ivBWa1!jfh^j zjQ&oWu=x-cOn5c67Q2e7^Gy%n;X+KFpoqZEiMFv5AD3LwIqNoBQt=k97tR%)vfYtC-vBRbq4TmXwbGubY{Z26Im!LEA zrTQa%%f)B@xL#RA^lkBXzsQe5Sr$D_-}bmzmBvVwg1-oCmZ7q*SC zH;q~dxs}mHgoz9ja63W0r1gB`Ym`UQGUj0w0V{FhMtY6rmIE+!Y;1aig1dgeHH(h9fuS!2xS2&*!q z-)>K%JOi~cE-aBg6A7M1OD2Jph|uAFHXDZ9akG-n7#H~#e5EPI#pI^-w=|j>r^Up> z3(kV|E*7;!QXH-?M#E{FIc!>PwM@jo(YD(gw8CR|no9f1HSOJO)XS?aF7TVK9cTe{ z`m;4pC3_m#oze>P4W{S%)VXB)7o5};Z#qpU?nIu{nRPo)4}D9ix?o|q%zv1RN_@)u zV4G-w)1qerIX>q+edkW}A$Xc|rj9pxY19W5{2q)oD?yg!x17H$d(p?Y(ShHs+)ZDo z$w(@2Li^D0+GXc~U#fTZJuohF3Xj4`X3{)UT`^x?zWbIaA-MVN)s;iNgPz4ufuH*# zrlz?m!nMG{f5H>)SCC;ua(%UHZ31cQk*kJ&xd&}JhXil$I>ut-e$n_|M{|poJkFJZ z@Nn=t5`~=GS7w`w9W!}CyNNPmGtGDtq@E~jY&vJ&ndE;F#yp`6A9#4IyM*W5a0!I< zYA)@r%5Ntsf^AJ1ozX2pSe@}y-o8rl7{F+gwW(=9%*I1%HDS?q(p*}g?xGeoQ2 z?dK+%>X}I$@?GWISwpO&Ktoc?e1GBXUif78)`LLrR5N&L>btjZucsSoQZyu1-VJl= z{&`I?7>w~$v!pq<2Vw{w!2d+Kz__&1A zv7y@zUr3So#lZRFezg_VVT^a`0~oj=X55O9sl(mXH?z13>jTR`olEl4n_^D@SiqQVc>mp0vu-B*R+?&~kx<59L z*WF#cNd&b~ci;y_2UjA-jK{fuj?wh3d4dHp^~GvdH6GNINVbE$D;8MpmJg;r;LdG* zG?Qkf0N5PCrHZzrpPE0Is;eNF;?_Lz&U8oSxRzp@@%inAi7ryXr2s6=6i0`_$>CIIrK;T95Z0pQy|>mW1Br_HN)z* z9H}KELTTKtcP+RXa$dUispVcys%SY+)fT3o5V9`3UI(G$2T>QI*qQR82l$Ehz(kh> zM?6hHRS*`=^11slxGzF(%m%p-BYqIYHel1vY!wA=K4|)Yq}#PeOF;l}&xN!{ixO9^ zyNz7EQ`=uPfy6%FBXI=piV(hvbb^uhU`7RZ_iJvV>uN{@7Kn9!(CDuUXFGqLvJ1aH zyOm=V%+oE}CF;iPNN|0>UdcNJU{TWD*+bUG`fe@`_LY}wU0)Bb3Sf@783FTrdIkns})lZ*Pl@g|Jh7!bZxadW(iwbWaX>NFlw` zPHGc;jlNHacWrv&y2`P)D!-;7qMIj5-)Kbu;TjM`)Al}D_$jDstPR*>MzKRRHv6F# z!oj=1?OAjyEm)=#rcn}9r?ic+p&71uZLjr6LdZtz@PD2!>}e{buZ##Zm9 zcBKlI%zOWXMQROX5dX5+v^C=%zW_@;ZgJVZJq=U>W)*n`SS;DXDDXicR@*fP{Om54 zQDB3F zBZrm9K5*6;TQYRGF-rvfB^`nCPOA}KqS1HPsqz{`&V8!CU73$pljwjDdK%EdR90(! zBZAM!F+N)cZENJQVm{l!Zl#pAbBy(#k!IHEz-F#qAu_7hNS(7%15V+?ktpE}m|T=q zz9pZlnghdBe&*-+u|_mP&PVv7N`py?k*6FkbN91XtNKIjC!K@A;VUa&`PV_4A3U3a zLaTt8CkV^xzjQz_v*E@cil{dD^d8rhv*>b08rZ z1G#H}ivwT<|72e~Ya8&78vWTKBUJo4q%T~`q<#oYFC>zaD5(lG{qv;nYl`_gnBIFL zeVN{y3ezz&$t4Zb5=q{gwE)bHQ9V#&@4EXLkfQga$~PCSj%Dd!6l>D*jI#+F%4fz) zXKs*Ihg*sP^|@J)hnL2bZ@xsXget%k@>zav546VEDJBxEfw^oTIJ%A3O8$&qr~l$^)N-i`!I|| zw*bQh-Br|22=@M7D2jndsKoOGzqU}N_=fs~l*UN$jT(n<_rV~&>nOIDod27o+x^CI&_h8+*n?1Y$ zi^!Rcg1II%Q!Ms!ijiqp${!Qm1`QBRn8%${Y9k{U&C>IYDn=OJO!kMoN)Y)t3?A)q zdP06wuUCv5O<8z4SB(Ic4_6>vWi9rbJr|o**E)opzIo5M%n{mz28ug-Pp>X;!A}F1 zq#3}y)6J0hV&u--7@_1w@hmsoqs$v~cM8XX?e}8cJ>v%Y%#I4>cPtr}C#)0Rxu?n= z38j5o@KVs3Dy0{89JPvl7^|BAH7bjyH9etO``< z*B`AdKdXkQx*vDpOC)B%>l4$7yT;z}CE5hLD{6^(i#oA7`mn1g6*;jdUY%TK zu&kCd;kua8BkuIV7%4QPx=J^(L5oFUHRf`|=KNCQBtq_FP4})hKu*_ufqgI|pr5{b z0`()CUZx%;sS>;`A1S66yqh-zM0Z3b-rE`#pP$-k5rg#wy$SP9Ye)p9I^MywSbhXO zn@I+lDqv!tSKQ?<3rBq^CS4*l+~7n9JMP6|8aR;YJA~0K{%>jRyMPsOkg?;P*;<5@ z8?$J!t5u=|yA34Qx4+bG?@Qg(j!LCm+-^Iusd1)@Iy^`Kw$@Mca7io;J^JJdbpaeBv%Howl5uz|>2g z)#b@5@T?)@^+sJpr#k&EY0dz>#xm|14)HnT!e#>582#;2wT{I1_i@wpQ*q7q3MZ%u z-s2-eA*>q)7OhAyy=`aIxOd6r<;~sgme$xoZWh8QPM_t2L9rm>xRE^_Jw~rWSnK+! z+bRjq-_AWzxB7cC)lqC#fJlGG0#nUg|eHHYI-9D_L zUWG2!TQ7{Z<^`0eb7}*IY^R_l%1muVLE6&!(aZV5Gl?{pG+7iXf6+v!{>&QSbPr)t z@XPX(Y%9xt@VFT(d1`RjR2giox}7R}1G)JEA}^sQIgEein^o;-W%fwMF#Gjbt--DQ;9Vz=B~5y0$DwbBS5<1P(W|kohv$JUx=8i` z6;rk&VXuC?RT%(BmWz{33D6hFewUp!tnR0|AYms}!n6g8G#z4&{3fq=N6#JnC#rph zIse+&6O|TeqI6xi2UHB*XFC@ zv>@W-V4zN{PYcE|GCpyrU6^xgV1P4RA~pm1BX(X`^NF85HbmX~0Ny#eSCa;FA6xUq zQDrt|#$oNXB;S2|nkPEySyowTZ`jXXI+DJ!U+jTTW2%`RO9f}H4P)YywIzE~lDGAD zgHw9JGZ~fj7?ZZFW~_*btq@DM=`3n+CWunbdp&zMwcI2A5H`v8rl}m%B`S96J-Py8 zHLP4U4wkIzc3Q1+aH|+$i$p0WJT)z^QHB?o9;MCFPh0F^tQ=sIZa{FE{``nek44Ku z?E!jKY_>ub9y3Bw1GY*jflJ84oAhSZz`7#)$$l}4r?-20Mpx9$Rk9%yxggLoEZi$K z)u$gdWB;Qsf7;=+1R#A^z9VbZ3T61o_{Si>QEmx$FQFRnXi-e+u~svCBxh1;lW#eO zjsWJGO-mJ*XxO$CZehQKDE2q9czAy)ll z0w>opCGTc;eEse7LQ3h>qa0Bid+()i!CHvChj=dNO>OOsFZ(;DytaV;!9(8CTn~;n zJx($yEFrtpriZ9BzLxb4pRcR7bSdMfX1^#N27Z>vBs7}ir?(ER%Mev;h2$4rZEw?c z<2YCj-3b@0hg>R8^)pn@x4(1`4vtz|H@``5ZJ~Dzun`J9@Eu{q+B@Y$^g=I5N4DcPAF+;wtU(HWnYk*8^Ad2)r zjc>s06&mn0Qr!J>xcA^5DqIc#LwV5iqFxc|_f9_r<7ywym4cy_U>j-MXd*Wbyy_L&@;jeV4BPMr}$*|v8Lup5}670 zBIBpUb?xs~75IL;|Hv{H+p#_+mSEK?9a1Pt?^WI_O^+6o4_>-4xmd~xJ& z_d48t?Yx)^u;@JYAYk{jMQW1ZYUHb)4FNRcDCJxY5a2hisk-Xv_ANz8dRCA`%R&>R z2aNS!rS6{qsuQ`hWzRoah>j=wo+270Pzgc>Q~&AO%Cyt zwM5aVw|1j+Z@?~(flmrJ%~An&sKx1=izgX*Lg!JzqHUAuES zI0#%W^Y6lo;zjzV-%UW#7KCILjeov%>ekZxY1)Q;zz2jF1~|GC!?Xb7`FCK08-Lp9 z9tQ`A&8-17{&}6nJBFY$Q-BP}!#|_LtKFNx_k-S>CXbRNbd=p08p41sNH(R1^09u> zSMSgFEY{ZY6Oko|@55Aj9r11cOAwV_Py9--5n$r{bB;4vd!scc2bwKq_NdB0Ma6!X zF)*!JON#;NzgKt?6}^Sl?$6Kh;MoR@qfc1a*(Fv=YuAvHGvOwmFSE19t?0pu;L%$> zhHeEOf&{UJ1;Gt5asbH_tyayyJHd|_mtNc*!{t5b&+jxvCpKhrs z8{AeQ(D4bfvR1str25WiYq4>UrH0_rqqT}_F|qrfC+P*H((_`YN1oI9h7>|vT1!cX zQgt_>Dk`*${rPQfT3ULAhPykZv9VHBkz?I>kIuP-gAH+%@~YVhMDloF-um~L*zO$M zkf>gwdIJ!389jWX16)GXRx}$Fe24ALiRr+A9O$Hr2elaRI`et)L7G5Qg z^4diMbn8~3w&Q6HwgikBjtSEt*GJFpTr8e>rjMq8=cjjA7#SNL*biJQ(QjzkBL~s&qso~t@Sn~RhQj^z zBTiOhYlcR5ZxNE`wv$Rq6tS&bRvpmIkO*BHr|&J>!20rO83c5(du`O%qG46nzqlon z^QLROQRQS|a4@wNXerij`n4}gy*jO-LE)9%NvoZrKDzQ=vi2KiBjb)0o|6q%D8{XS zkh}I)t}Rb^C2-otZZGo`TK#Ts54Fwn!1(Pp++V(!({md@b|`k)mukF#Pj0xr%+u0#D{ytZ z67&g|ur*UCDk7eeFN#3gUkP$FJV(D68Li(9>{RdT$+-A=94`8kWr;SGD z*+}W%uZ7>v*sxugqrTS!=`2V*D!Ga{Sm|F8lccWvg%6)MM zS@8vc*#SRnY52oGf{6kUOjLga(`8u2gFnLWI?ht_k04DPkE3rs+zkB&(B|*d9w}3$ zrPjW)DbkW8h1}Pv)8EuG@GqIxD|);sB(ouKwm&ctSU#6AZ<;kIi3$!V10M?dcJF(X z*Z8NWXTx@~$ffrdYgbknSAx7Z8-|B#N3YN{R*Qr2>iD5YL=Dvs3!7CkVZ>65^v2;Q zv*E?9a*AkQS;90nXl3QHy1=r|IyL=FOV-~0B{a6ZAYi9_7q@gG!}zgiIA>+$4YV+A zAUJ|Sp7GRLgJGtz-ILK2PB!f@tbFerE@%12gL@vy8DP>o#f@E1w7tJqalA&!=*A9j zZ>V5oL>jK-?3;VdE(YSy9j)uhDXQn3CK9QKM@TB4W=7%|=;1-L$IVBBndGJZ=HGML zCRHho5Q%fy+500wiv^zd!IQea8YLm?y9~vo(9I9ThMJ%SKu^Z&7LlL;e|oi0yM5ii z=BJT{qyOo$EjVyQF?G#Ih|7_aaOFg9sj7+}Co6WPMpbo6QOdkY=B;s+K6@2J@@Qma zaySSB6_1J6Gaw*XU)Vo;HZhRw<`b0r=@Z`mjM(j(amtL76W*>M{CV}+=?D0OGF|OB z;iQ%*IOpmtwT;gKPJmz(k`Yb=8?k5ud#aE9=5CwfXj(pBoh?c)+R~rk`ud&ecJ;f_7={O$-cG@tSPhVKY+Ziu{*pIQ|)V)AJS zth)|RGl}sdrhWQQqS@r?uG>iQ&d%}9zI=V0syezk|Lv-PweZ`r3OI(aBY~|x? zvC9!1E%8)Ph&x6Nwo&r)#g7DeZX7x*bn(MeIO-tTKL(J4AFoIztDnN~Bac`X^zo#? zdAHV-F4u@R)ZLF*pElW zVyEy2d5~B7?|U{wZs>uwa^FTQB6+P2Hw4myFK`1#eLY$*zUk8Hjyg0EvYzd2ZC5BU zO5!Vl{Bomn&w$YVMnkSA2T}XR-g%UrfTy$1+Oi)p!=kAfi+;)Vb-G_CzLc}estr0R z=Wt&(MxrXkz0;1LeR5uIzJuy10@EMtE2|SB3YrbgOaJl-zos_#YZCxx-wG(DW7mqm zYnHszEY!_q*!d8-4_#>Ffo4L)ffmDT2DRIHJmIf+F2nc=A;`!jjHfcwdhI6JmT}0; zd|`}we$%lxfC*7O4!0GjTcuiOl3e)XX0M8yy!YQ^I|R&mA=Gsn?XM34WM;d=z)8Rn z72`jh6(c6JN3*$XXe%M>a#6}RQ%iH|94Mi@c`*jCkfZ8L%V{9zQPcUNb+bslV)2=@ zCaH|7LUwd>CiN-7Lky^N8n#8Sx_Am$De?ifKHZqK!snxVwK9pKcYEu%&jZC#${oqD z$+edauiwgYo>jH_ z$r{+DKkbp5x$YuV&I&l8pw>2$!8A!%zd-42;w+w12fsIvltu70h z-iuiJxpiWzM&YVwzNSi}4ya)50XVI&q<6Q^?aQK!iEJx?mu2Ouz|6hc0s0IQevqCh zkL1&@K8SkwT%G37nL@S^VpNI9#kIB@jCQ`|Y~PRD`}smb@5tY{ZwHek;klny>Li5w zV&8oeGZC}dC}(z=ZS=@^AIgt^$*DdCV$;iD+;~TGpDj(_U#Rx!%$F~R_mbTLADZS= zUd^1LpmdHHPPOw!&>EMcUfdoZc#^Q9?=7)Tn%LKMH?k1#O+vmpGAVs}&(eR&FJ=mLlPfslN zt4?dPhf01u*$;}ZnaHCowGulu?1zHzq@>iCCo+UrGsvTr?A%v~fWQW15U3E(A%M~* zJiv;J3J9d8C8jEoVzZjfODi$~)ycA#a$MiY72A~&Zb1#P0akGVC?BEwOEc8 zv%)}=tRVI7*UW7iu)?yE@->?uqyFv}YJdazvsSUUKN%f7SQn8;Xp$px&VIjk~+KM)prIiiPow^p8XM!yf(E$M`&f9xkCv8Patl!G5QGSFK`3}v9M&L9aZSDap@mzCYy zsacg2dFW7rpRX^4J{=ctcqnT~H!9j>g`hAqn?zTvwz5m_cbvWm&75?BI|l@WPa#B? z1ce_ul;J-m=G-$g^Ic)dXf(P;LYq+;#QZ>q-(bg)8qi`?U``2L?*ocQeHjjL4&uf+ z%e-pXAeRXsbnOBblzmUIqn&tYn`5x8!K3rXXWxZy_4fMW6y8%Go8BS%>)&-G<0S`6 zz40LfUZVzu;qC3c!|-c*CCE;wAyr7RdT9O>#je~wxwiUJpplG?f0oB42xq6mb?esN zP8jA?{UI4ip%UZIzCxp?Pg%UIF?t!+A22rQzjmQ)AuVbh?dB^B>_lIJ0*sZk(_b{! z6c0?>ZcUVx>Fso-6g1Um;)e7XGMd`F08v4sDd)&&KGxxD=0iXL2}2h8C)N zWPa(p4CZk zd%?w}A7&EbH*&zUOET=N*V$=nI-Vp3=60$YXyol4Ov*S3N#OPG?BvSWSBi6Fxb2ZB z`8>zFwytiMH(6WrG$Moi4%QfxJs`)M|H5c`sxMhPqIThjxuAC*es;aEat@2Eb#eK$ zGF0Ms>$b2dq3PxPNL^jrq@umqjF`FktA1_FbU9DOK~pf)1?zIlV}vTqq!>xR3)nte zI?}g<%@%o2#(KyvrkIAMNw_p~W@*%dcG9mSXQ^*e;Xl30@Q`l;ADKngZ-(T#G#*k- zm0W)`knh1R3RR~)E%{K)#Rk6WssDa|SkSoNSN}^}dNt35mo1z>d=uUGEGHY8Pf9fQ zvmEsUj;YpM0^|+4^u>AzR1MiCFa>x~zwJV&RRcmTAK4Z~x-wYqGRhv*p^B$N;-Fbe z3Lt4%!^ujw0cH$4Db-yugJmhxzTQb zNdM7*tOOx(I`=agtoG7_;F9)JXLixTPIDLYvRuCZqm}U#F80Y4WUOO;8LKG&Q)U)k5 zmI>!ke!Yde^f7?C#Yb;l7-VImU<*X6%r`|8Y6~tm`AOe6b>5t{NN)Hc^4j}SsCkI- zM^ftWOl!HY3R?xCk8+iVi4=(q?;YISjK5YmBrRz|L!6YUe?SMWPco5{%F)r>Z^-## z6Gpol{l=~C;jZ9I>!g(XZ(py-Vcbh<5qmYYpEo`r_VzlJR!dt9D zjpMQ(7D$9rOptC-;e9OEc%kJJ@lyit+$$#x;qL{$QFgC7ynkY6rRb-Wv+2rEHetHM zD0`szLPzMRrZwy%UeMu|%hD5JT7TczK&-CNRFt9;O3XoMY~W~+ z{IvUKDSDLIQ};@IS!6mfR$%#pn9v(G^d9YxR|MZ=u;{F+TS%d+;ui>WsR@0o~U-zfb)YlO8b5{qDPzt5WL)Oh4D zrm6Tf^MVkkh>p$kg(HDm?b_o-K^w)K0S-bN09sNHUU)`x=TrB16rpPLu7wqUw_Zay z?8gD0@1{t@8+rq-OMMDLQ&W#?>j-=)f(eerW)`b=X#B{8+(sBUONX~(T`EjQn@rIc zqxE;6t*3-CenQHr|58BykeC8RknWhCYN}(21B}q~?3T}(cRQ=gPJDgD?D5>W%% zZT#Rf)!q64%!P& zwf^4t?A)F64K<1HTBZ!Ew%Hm$SBV2$NFb`AZtJis>uE(b`BRH3w`V9{U;d&uxZ}Nz z-AE_v-uY!hf9@t2(OH<&X*)S>1-dVr>n5{*DMM>u&zD?u8njVpxzX046ZqNruIvzJ z)x%f)ts-cXxmxv>-`P~U(>Xo!`Us;sIh2>`QEHo|TRadXCYs`KK)K9(c$vNlO?s|l z(IN5^w|K{_S63IfJ>|*;V;DusMLy#T&t4-+MyY_ zrbB#|s07kr&!K#s3j_`N1cVAo&J}=1s}9VQZG1kf6d9YVy%+2PYeJLVBR$Kmg1qK2 zbZ!90d8)JHXr$c(r<%3D^7`Ic>Ev{0P3URZw+}AzK=yO8TJeycF5>CWq_4F1ILmmz zuS#jp1xg!$cm2>G3L&3qf$BRht0Npsmeh}=LI$qAl_4BRT{yX}KQLfeAv`GH>&~0J z-*Eo<9U#;DlMvx!M)~DS($d0+C1}Qonu?m(gZ)?5a$F_g^LcIGu{)7%72UPoDnj~q zUX{{(&%_%YZm@S(qteFVNuH`&O>7p{Y+%s2xH}y1t=66Ov>{RHp&5cyV|_dWR5;MD!SY`3@HGaFaEkG_$+PdNCR{m?ve+#GR~o4b_3wypN2 z0!wY7&%Zf({(2_+zO0G}(aRy$Fn8yrX6AEuZoWaXXBO-cpFM4xoPap?&F`yA(<%TX^| z+jL8@V^h9OOc;|kp@C2-ncu)@^Q5MBF}TUWxViK)aKL^O{h$Qas$F4r^*nIIzMQb| z012M$9}x;(iJuUOeN7eoui-BQ1pb7-(Bc&!<~_UDl9c>AJchto;ETV)WBe}xEqMPK z&cgU(xziV>>wz9=Z-qZ7t07fDJm{rBJ>99>-#>`*(n%XRtxO4bsR0K@k6aO|IGumx zB^uW>Ip56nD@H%XHHmgE-aFJ`(5I)fZg@bnq;+iY73t{pZnQ>g3+Ghv>lnOY-PX@H z+N~~=lnF`Bf^troM&oqnRz6bP<=$=uU72)&c;~Yaz06Tl)H>I^a*_Qtgi85*s=NgI z_m~wH_V1cdW+I={!(gh<$~#F^k8hFYoHI}NX4KI8_;7F3v8lGpYW>abI==y-+x42_JLo0WpI6O>0+Q$bo6!l|R9Anp9<5zkqgoRyn}tL;mu zvnvzt?=T`fI?lRIwr2rBn0^nh7LU$Z)RD8iXHi33-Jr5B%z(^1Pt5+le8lrR@`#%u zlb|3UlYj_6lc0zYlZX%>li;IA|GEd#g@9ltzkhtZ#>71GPCyg3PBy^fvv2zE=q6{4 z@&4lr|04j(@Avq-9M4&&{(Ylw>m=>uX8Z4ZIa_OMD_1Kg3oAE6VqP8_USS>^J`o-p z0UjG6;Gc--BOnbJ0h_Z6eo-J5`0)uC|D$2iKjZhmkd~0}KO&_VNAWs!Ji0FLkuD?r zXh}o)`X!?gR@u<3bt20oVsW`!R5mN?oFM>d4GzV~8>X)fCM2jn6GFBo2>NVU|A@x4 z@9%0}NC_@g#jQQZusXDkrOjj9(CMbWC-?ye-1!Kc^GO%sN`zy#o!V2I78}O81L)Gm zrN@Xn`3nN4-d2&%csrLhRf+2)bm^7O3xC+aQOFMmgSF0iWO-|yd$;oDjHr}|pHtB* zpSRRhCl;ZCE1gHT&?%k2lI3RXT3DSGMfK8^(-29lt2mt8RU`N6MzO7f>iaDgL&|IC z-rX*qFS<-;*qRm|HP&-IjZ1i5lNeD00{%vd;-G%BwN8p{~Our{hu%SpYq^0%R|f@t^PZ)AtU4b%J2~r7q5sYld!NLlaQdG@mVk- z8#g8(_>i=l#aWmkAQ6aDWcKo}SVX^X&*aV7v$K*wSVyRtgRObNHv?hLvu5v$eYUX`zbib4=axW-x}e8AKRWFVX^;wqlmpe(TZYB9r5^oU zQ}3#E_81V$@P+zX6BWVLgQxt?$prX@b(htxm5hv@%=i>01p7jz_kC`Lk(ScH2=%x3Q!@32i~Bl1{7 zZ8%s(#~^O|t}ad{P60S&PL8!mQ_m%pg6Ko9M+KbFf6?gn;{pp$ovdtnOP!ko(0TW_ zJsJkmVnv<4jThmWxzN)V@nI^ilmvLR$i67{Qd8vf59cH26`4g$erjG-czjjl=GQ|9 z?qX1pve284Cs`uqWCpTpo$cG6CmI_{1^z!)4uXfHC|SC@j~1lD8E=udpC7kcFQm(- zD`7MS*ZUlAjaMIxj+45mEhS(pYAm@c(JG~S2blfITAx=*Y=-Y|(iS`~V0#poANXtt zri)A^H9f#cM`*sg^+5Y2D6pufL0bd#j_iO*TD#(-8i_e`j5sBAhxya1HnJ4ltV%NX zFWozkTzjxyx?V_caJO!N+=RY`-jip`3CD79Ns`^y=qO|Fq<7sOU3y}>9`0d6P$XPD zGR0Zp1Gl`~<-OGW>rIGZgzVYh@^`-BgO3+-FhU$35^ zUEBPrDXg&3ac1S4=*lNtJ)Ow;5zg~(@gJAQmH)jw>0wwkJu-Eel2W!sCCo#M+Nkpo( zsJqntv?c>N*U?q&AEd7@rUuG?!Q4D}?EQKFWoY%&qj<(F0rpV2*bi5aXZ*-2M=IDR zW6c;il8X(ALhoKb(31+%i4z>Yfx7>Nac9_ZjhTZ&`DW!^<*3T&=<_|p*S;Usm)y6L zF?o2^IypH0`9eX?phrz{`iAPmG?S3h`|%WgJ#*W!+L3LcFX1Jb_^sH*-`Gb_`Bs1H1z8H+9MYUU{vpb4`GiaSx z%!qg@Q|lF*k?WqzH`0^G`tvkJ;80V>pVACR6pfTA+MJ6?xSirplLg_kzut?`)?XOT z?;v9OC>v(_Q?%hO>8l%yC0{dLRTlZ9I)>*z=r-PcL2JsSdTa62IazK}jWLtV@*G32nWR9^tHTwU^+PEncdOVWwzDL%hL%u1zD2aV+a^GT1zfd0S?XucoF978xAp+Ud$oYrvJm*fj6@oFRe3 z`f>$8dwBix4VX5kaC)2lkwBP+ut2{?l4N-KZC#RHT+y@4tB=}`XhT}FD6)7tGF1*R z^N)`_oo%Xja~Z3d_psK^ZXfMIW5V9>{K4dAztq%hb0wM-Vh|f!_d4P5^bg8+I+im71?kZRexaT3X1(+ z{De!B<=;Oox_>3k=vNsHbW$#1%rnJOgMxWxZr8?xK_zd@E~bN&At6!YJp z&3~g9ekLJ4;3p#T-+&kqAX?%-K+M_g9}vU;KVTS9;KBb5VSZz>GeidfGvLn|Oamay z88-VH-kq&PfLj6J(HX+~jr4$|Go*J0?#>n~zhRuHz<;2fv!&iYSH=HW`u*3X%m0LS z_=QCOiFS&glD?$0@v8Y8FZ}-Fd$CV@VF;;u$R@YP|7q<>z@cor7D|@9Ekr0&(Ws1B znZ?f7k|j%a8Z(1o%#1P2pzK>hNYNsa5+apkNh<9UQCU-zl0;=Gh5qN6w>LF?*Z=+h zb-mAZIoG+*ea?NB`#fjnnfuIGb4?{W!Xlkzuyo4MNJ*?%OJ3>_%@AVie zukY~%j6z!f_*?|=hDWa?B_&6u#9_?(QNmKqqbN;V#y$Fr9qA;(NLvr8WUMTb) ziHJ&Uo5MC+?znZocPlz?zfZO9v69_I!@XM^#iw7S9Nd49Etkb0=+Ue*4aHm-0RS4WYo7KuY1xnWfRcp zc%8d5pzL@%!}(mocm;e*?13D0Smc*XGpERRy{aR2qcPOKG@|@WGVd1`I#k_1XH*)U zvg3SdL5h8X!<8*(N9@H*BOQsVr7Dh9<|4JSu+4Q7}k z3F>~`FXduU-`YMUJ=B@)+xVCMr}>j!6=&35zqx!`Gy9HNhK$Mb?4)U1>z&C$L{&VDTACfo9$Mp6d;->lv)$xHf$|4^if;> z*^IWxWVkZFI4nigMcERiV(H!8u8p`PK(^zr0!J*oFKws|bCQP!ya&4H-)+-Y&#hn>0J`aN)9J-L6(|bF@v@Wr3aFYvsT}oB_G}@UF7P+&5x5?z| zZcqJzi7x{(JubKv5;qL+1v357=WIpvlXzzfd37>r@nScVRm|Nn(bP>(4$LFN<(KUU zay6Cv*ipSo7k%jD=^~PYGBfAMt+-je$H~(fR-t)iw@R^G@6+kl7b}We+UdG4w$}_k z%r&mQ_w~V?8!;SWgR*5^&2C)`w_ReaKPZJPNsup<0jIj44!J z&`mx3-dk#Wuu$_Hq)~ff)l}G56|Q>L>f1v%Z8vB@<3G;tUywpm*|EkaG*wItENIF@CPbm;{D=BS105$Y*%@}Dv3gINSEeS_GR@>-PpElamp-1n zs}lI1KiRtFT`_lJ^}TG*Zxl{BSeEhd>rCsGl;=+kn%rNk5wlej&rD%vP2X5UoUb)` z9=Z4iOZe7G^_%V=-V0CGN48=chQECmQS6S|x%GMzcK5bgp?sc@@3H8oMqgxAqwIF< z2HpY1l^#3VqOa+6d5qE5+4bc}MO}bd=jvHAKXi}AXzF-s=P3o7v7@xcUGufHhankLu+nvznq z>tX433tZ)uk)Dt=ZRu+A~C~)IJDPWGW>%Z@KZ(W)UhUi*lweXw_kR)SiBX zi&etr*G_xfKJqZ}b=)$sk-wfem%@7U;00EG9u#sR;ryP$(9=OatzplGDz?7P*FJ~r zl9C!1Cz;|_Sp7xrPU+J=^&(^Ah9ZM|YBBLb*-A&2!Qe$V*xQ2T)$6ydz1n3w#HUq`S zI?^q)wP=<-D;vzD&DN6KSwYsTeef~=*@p#^2Zb{SEIX8cQe{NvF z*Bx)riO@W}>tMZE2xXG znU{}zi!Kd|NubAGXb#JbaU;I>6MSphcAG|gog$x8;i_s`21Lu}HyR5g`wP!WIwkg} z2KC;0)bs}H=Z0AzZcRSSX1=n=a(YSKl-iU!X+X~H#rF3=Z zV&LDB7T*sl+{YkKaWqm6NMQE6*g0YAC%%_kY)D z4*TBRl%=z>Idgfs*_~G#=a4aSxJ4f+r!_xQ4zCxn+bR_cUIU}Pte0_~+ts@^ zP#V@Rx?lGyAwJMR@s!-1YE>^i$EZ^S?1`y|Zntelzo(!~J}A#!epP(WYh4sGlo2}i zzN2%$hs_?D`dhm?CS?v!Ti5R&+^jQXPFPtT?--h>EBr7_hT(fx=&o2B;`oh2EbokS zl1o;L)f&r8=jDHzneC5OG_A(^AFL~~t~F-t^FP_*E#jqkEp&#f{jO!|v`Be*1ZV2@ zk>l@m;e$tQWnJMq%4LTx?|*EqWv%tF>bUmqevWuPxl(d+O{A-ih859FEbBbcA^o<> zW);LX4;R-G*}IT)7g)m9YME9sy|ca(oqC?`ZVYGlg8OQ%&n}%B9hlW_)Lz!n*z|ee zo6hW|^4f{8>Ps?u_D@?I0+(sa3CAELa-)K;V@ei9#qa<0+}<&=K*}{Uev`@S8%yh* zW1%=^@@O;nK~Byy4fx#2%&D{+t$G0|*wfYhz$E7lc4B@+PU9O2J+nT~`Jtu6pg{?<&_uc*V z#p$}d5N$4oPVeoiemd$xJT-YV)5eTp zwRw29`$_P)%~k!6WnEE-##2$WdRoni`~V%diPsgAPl=A+#;=lwwHHTT2xzk!luIw0 zE_;?U^=8udv(@;za>bHE$0g~XoO(Uq@jM!B%F38!*v64BB@I3G%NmkT_!_$v`3FT@ z+4Ll%H0os7Q%rBrlbQ7si{>ggq|w1)MX22y=)+C@SrsWxGf@WE)f1(n7xvi1(W~}x z>~RC@&EW%^bR%tN5i7dNMm&p>bYd6!Ok?QP`Uj|IA$_|`-xUoN z4T+kTbay^_cc$XQtlQ{xrhZq1_LgJGeQgngpCj~3{0a?sq)MQaC3oeYIe0YIeDBp{ zCx~dSyFsnhaGEm;JJET6u!q-d&>PJ#fL^{==d3u|5jrHxHkNzaJnTCxQJ1Pgny9~O zdid_5kMFWAOvYqQj;L2fIilMO*6dl_!n64%`)p?LXh35E{FCK23)k9DLNfR*qFERB zulBqCd_U#!U)a2*eO%G5zQwUa5=Rx?c;+qNB*f>p)qN)=ka8N7?bZ11;aFm#`!@5bw3H?haTn`J z=&2PN3F#+jpNZpVG-=kHo97?$dJXpVt?anpBGPgpw1Da?$5ccX5lma|oP~zl)U!QfRS9BB`_DQ* z62kMi)#FR8hhoj*JU`bt7=QSD}ANu zN#I4-h=|eE`@6|1X?K&Q<9mnFoqca)SM9ntc2bwNRVVy(xxH?OF0I+2Gd`#aU1Br* z>b0}|^K}l~94HqS9p0VTNbh+z=kG?gw>(h&@Iw~0m@0X7(W_gl!se8NU23rMS<7Dz zFb-Oj+?hMc$y%4tUbMFg8hCW)22|RxS??gs?m$ic^L+|3sP4CI1(sjdG-s;@ZW|FZ z;7nis_@3@oxvVgZpntr?!Ru4L_^DG>xeDf~Dl6kQBVpF;j^S5@5bd2i=vPk9@$*ORR*ROue{TG{dwZR%w$SK?dtmSTwrk(H-~ zE@YftymqJEl7Y8A7Sh{iZZH?Glxt=zzD!j=GqWps*(Y~r(nR#lWOJV-B2Dv)T#YuY z{g_0H8N8ltf6ZW5Hw+Sz)*eV)k=Lg( zE-A7kBfY&|yCLbAX;L?1^sSci*ai8uOO%dIZztG2&89xyU9^#ue96M~qfn!=<`$U3 zgZ}n{Y^IX(R4OhJ_VIWwvQ%zzkCpq{Nxy*k?gxyiHQ`4TW$z@!>nvAJ-M5#8(os+{ zd%5d-(7fcbBkMb+#m!26Z(=CvO$~*OE?rHX2xmrAf3|q3@0j$6IR=?4pS{X^o5IUY zk5bzQ3k$z53bxEP`t!M76DjaqZ$;&hC?pPP;Q`j!YWvU6>M=On&yzSEeIAQM4xn-% z-c(;2Ll-(;-w1`!D7sK5O)G>I%b4m%GY@A|?Zd4d$l(EGJO!$+C!wQHqARCX`~IIPg!U~ISDbOXr1Bk%%Qfq)qhULc(jOyKE4`62`W2gz_KgwMhW(1ki% z*+Mu>COv@0fuJ<-8b}xttq$>F1LrARCOZIv)X;(gOR_0G1UsVXj|G8|F4T|1VG-bP zE|;spMQbqGzHlTSkB1{ra1;s#aKM7Y7#tE0#t7aB=;IqgbN(sD2-e`+q(No|!fDQM zqy_^1kD`ChdTC4s1XN7&W`=Ndp&=nO3PBTrq2hh;B$ziAMS>xbR4fbuTre_4ld6UF z_C{%QAsUDSfDvrjOiBou%GQP20vhpLA{Ah9qc0*1spATb0af`CA7MIZ?XgbrMQ6G$3B)R;{L#Qra$zi|E{ z%49nLsifz~2w*UQAL?hZfI~A92iV5H_3?#%@aVw7o&6;?|8}%UEGw)3Jzjx<;Ee$C z5r|B3NFZ?BXF>e`q}ji{!xZvQgIOVLI{%)ckl|E16|^lF*kmMNF@;RFv+2D=u|!a9cxJE{Eg6Q;2lVylNi3bP#%mz^&y4OIZ!<-8kx-uX8Ld- zwoERSZOf#AN1hM|TMZVA!~1)W=rp~*5&mlM1q}d31`~$K{#%JXHRvC0dMrPdueX3a ze;$Wk60YVZ8V&G@JsD;;t zf2xd{I~uD6{9)aJvSkYbA_z=D00Ds$2-qN?fq(-7>Q5S<1qKfJm^X;=`IsQ~pVou_ zWcC9w{(5}C0*3?;@LBkP3l0~61~?SZ!55=|6Fwl|vyefIZ$Ti4@l9LMYYfu(rU^_q zfD?SH_zv=C9YGoc1bnwbL2SYO;GYwG7s9|HU(5!?_y+NZM&NKEh(sHXpg!vVbCe%n>6pGNZI!Z(Ajmv06i z<6Fu%h;J@GIQ$8I>=*o9nBYf)AD0EOg*AIJ|H3XcNLHNXqP_?w2-)ItJh z6u;3B2ow(JtP-T5(7<~q@cKs<#E}B)0VFLv&`|?k3w$U%9%yX&orXsIu^!MAqxFX^ znkcNGEE-r2=-~Q&J*+0sQX@daD(4S~R8!L}R$8cuLMfDeZj(18SU80csdkVRoIf5ZR*FbeV^@L>PRuX=&?P$+@e zqfqGoz=y|Z3Gg8?7@VMQNE8x_5adH)5IBLj011Q#TAqHl1>i#n_<;myK&#Ymd_b;& z-FpHw1YS$PH-HZ%xW6bY&=4q~7mY${q6KIuEDkB?2MUM42+@2Or1!@EYZpUPDAmGE09RzN{@L@s#;~{pyxg!zcrelaOLK`8Bu|_6_ zMo7FN5sgQqO| (self.nDUTs-1)): - print("\tERROR: DUTOutputs. The DUT number must be comprised between 0 and ", self.nDUTs-1) - return -1 - bank= dutN//2 # DUT0 and DUT1 are on bank 0. DUT2 and DUT3 on bank 1 - nibble= dutN%2 # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1 - print(" Setting DUT:", dutN, "to", enable) - if (verbose > 1): - print("\tBank", bank, "Nibble", nibble) - res= self.IC6.getOutputs(bank) - oldStatus= res[0] - mask= 0xF << 4*nibble - newStatus= oldStatus & (~mask) - if (not enable): # we want to write 0 to activate the outputs so check opposite of "enable" - newStatus |= mask - self.IC6.setOutputs(bank, newStatus) - - if verbose: - print("\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4)) - return newStatus - - def DUTOutputs(self, dutN, enable=0x7, verbose=False): - ## Set the status of the transceivers for a specific HDMI connector. When enable= False the transceivers are disabled and the - ## connector cannot send signals from FPGA to the outside world. When enable= True then signals from the FPGA will be sent out to the HDMI. - ## NOTE: the other direction is always enabled, i.e. signals from the DUTs are always sent to the FPGA. - ## NOTE: CLK direction must be defined separately using DUTClkSrc - - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print("\tERROR: DUTOutputs. The DUT number must be comprised between 0 and ", self.nDUTs-1) - return -1 - bank= dutN//2 # DUT0 and DUT1 are on bank 0. DUT2 and DUT3 on bank 1 - nibble= dutN%2 # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1 - print(" Setting DUT:", dutN, "pins status to", hex(enable)) - if (verbose > 1): - print("\tBank", bank, "Nibble", nibble) - res= self.IC6.getOutputs(bank) - oldStatus= res[0] - mask= 0xF << 4*nibble - newnibble= (enable & 0xF) << 4*nibble # bits we want to change are marked with 1 - newStatus= (oldStatus & (~mask)) | (newnibble & mask) - - self.IC6.setOutputs(bank, newStatus) - - if (verbose > 0): - self.getDUTOutpus(dutN, verbose) - if (verbose > 1): - print("\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4)) - - return newStatus - - def DUTClkSrc(self, dutN, clkSrc=0, verbose= False): - ## Allows to choose the source of the clock signal sent to the DUTs over HDMI - ## clkSrc= 0: clock disabled - ## clkSrc= 1: clock from Si5345 - ## clkSrc=2: clock from FPGA - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print("\tERROR: DUTClkSrc. The DUT number must be comprised between 0 and ", self.nDUTs-1) - return -1 - if (clkSrc < 0) | (clkSrc> 2): - print("\tERROR: DUTClkSrc. clkSrc can only be 0 (disabled), 1 (Si5345) or 2 (FPGA)") - return -1 - bank=0 - maskLow= 1 << (1* dutN) #CLK FROM FPGA - maskHigh= 1<< (1* dutN +4) #CLK FROM Si5345 - mask= maskLow | maskHigh - res= self.IC7.getOutputs(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask #set both bits to zero - outStat= "" - if clkSrc==0: - newStatus = newStatus - outStat= "disabled" - elif clkSrc==1: - newStatus = newStatus | maskLow - outStat= "Si5435" - elif clkSrc==2: - newStatus= newStatus | maskHigh - outStat= "FPGA" - print(" Setting DUT:", dutN, "clock source to", outStat) - if (verbose > 1): - print("\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4)) - self.IC7.setOutputs(bank, newStatus) - return newStatus - - def eepromAX3read(self): - mystop=True - print(" Reading AX3 eeprom (not working 100% yet):") - myslave= 0x64 - self.TLU_I2C.write(myslave, [0x02, 0x00]) - nwords= 5 - res= self.TLU_I2C.read( myslave, nwords) - print("\tAX3 awake: ", res) - mystop=True - nwords= 7 - #mycmd= [0x03, 0x07, 0x02, 0x00, 0x00, 0x00, 0x1e, 0x2d]#conf 0? - mycmd= [0x03, 0x07, 0x02, 0x00, 0x01, 0x00, 0x17, 0xad]#conf 1 <<< seems to reply with correct error code (0) - #mycmd= [0x03, 0x07, 0x02, 0x02, 0x00, 0x00, 0x1d, 0xa8]#data 0? - self.TLU_I2C.write(myslave, mycmd, mystop) - res= self.TLU_I2C.read( myslave, nwords) - print("\tAX3 EEPROM: ", res) - - def enableClkLEMO(self, enable= False, verbose= False): - ## Enable or disable the output clock to the differential LEMO output - bank=1 - mask= 0x10 - res= self.IC7.getOutputs(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask - outStat= "enabled" - if (not enable): #A 0 activates the output. A 1 disables it. - newStatus= newStatus | mask - outStat= "disabled" - print(" Clk LEMO", outStat) - if verbose: - print("\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4)) - self.IC7.setOutputs(bank, newStatus) - return newStatus - - def enableCore(self): - ## At power up the Enclustra I2C lines are disabled (tristate buffer is off). - ## This function enables the lines. It is only required once. - mystop=True - print(" Enabling I2C bus (expect 127):") - myslave= 0x21 - mycmd= [0x01, 0x7F] - nwords= 1 - self.TLU_I2C.write(myslave, mycmd, mystop) - - mystop=False - mycmd= [0x01] - self.TLU_I2C.write(myslave, mycmd, mystop) - res= self.TLU_I2C.read( myslave, nwords) - print("\tPost RegDir: ", res) - - def getDUTOutpus(self, dutN, verbose=0): - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print("\tERROR: DUTOutputs. The DUT number must be comprised between 0 and ", self.nDUTs-1) - return -1 - bank= dutN//2 # DUT0 and DUT1 are on bank 0. DUT2 and DUT3 on bank 1 - nibble= dutN%2 # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1 - res= self.IC6.getOutputs(bank) - dut_status= res[0] - dut_lines= ["CONT", "SPARE", "TRIG", "BUSY"] - dut_status= 0x0F & (dut_status >> (4*nibble)) - - if verbose > 0: - for idx, iLine in enumerate(dut_lines): - this_bit= 0x1 & (dut_status >> idx) - if this_bit: - this_status= "ENABLED" - else: - this_status= "DISABLED" - print("\t", iLine, "output is", this_status) - - if verbose > 1: - print("\tDUT CURRENT:", hex(dut_status), "Nibble:", nibble, "Bank:", bank) - - return dut_status - - def getAllChannelsCounts(self): - chCounts=[] - for ch in range (0,self.nChannels): - chCounts.append(int(self.getChCount(ch))) - return chCounts - - def getChStatus(self): - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print("\tTRIGGER COUNTERS status= " , hex(inputStatus)) - return inputStatus - - def getChCount(self, channel): - regString= "triggerInputs.ThrCount"+ str(channel)+"R" - count = self.hw.getNode(regString).read() - self.hw.dispatch() - print("\tCh", channel, "Count:" , count) - return count - - def getClockStatus(self): - clockStatus = self.hw.getNode("logic_clocks.LogicClocksCSR").read() - self.hw.dispatch() - print(" CLOCK STATUS [expected 1]") - print("\t", hex(clockStatus)) - if ( clockStatus == 0 ): - "ERROR: Clocks in TLU FPGA are not locked." - return clockStatus - - def getDUTmask(self): - DUTMaskR = self.hw.getNode("DUTInterfaces.DUTMaskR").read() - self.hw.dispatch() - print("\tDUTMask read back as:" , hex(DUTMaskR)) - return DUTMaskR - - def getExternalVeto(self): - extVeto= self.hw.getNode("triggerLogic.ExternalTriggerVetoR").read() - self.hw.dispatch() - print("\tEXTERNAL Veto read back as:", hex(extVeto)) - return extVeto - - def getFifoData(self, nWords): - #fifoData= self.hw.getNode("eventBuffer.EventFifoData").read() - fifoData= self.hw.getNode("eventBuffer.EventFifoData").readBlock(nWords) - self.hw.dispatch() - #print "\tFIFO Data:", hex(fifoData) - return fifoData - - def getFifoLevel(self, verbose= 0): - FifoFill= self.hw.getNode("eventBuffer.EventFifoFillLevel").read() - self.hw.dispatch() - if (verbose > 0): - print("\tFIFO level read back as:", hex(FifoFill)) - return FifoFill - - def getFifoCSR(self): - FifoCSR= self.hw.getNode("eventBuffer.EventFifoCSR").read() - self.hw.dispatch() - print("\tFIFO CSR read back as:", hex(FifoCSR)) - return FifoCSR - - def getFifoFlags(self): - # Useless? - FifoFLAG= self.hw.getNode("eventBuffer.EventFifoFillLevelFlags").read() - self.hw.dispatch() - print("\tFIFO FLAGS read back as:", hex(FifoFLAG)) - return FifoFLAG - - def getInternalTrg(self): - trigIntervalR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read() - self.hw.dispatch() - print("\tInternal interval read back as:", trigIntervalR) - return trigIntervalR - - def getMode(self): - DUTInterfaceModeR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeR").read() - self.hw.dispatch() - print("\tDUT mode read back as:" , hex(DUTInterfaceModeR)) - return DUTInterfaceModeR - - def getModeModifier(self): - DUTInterfaceModeModifierR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierR").read() - self.hw.dispatch() - print("\tDUT mode modifier read back as:" , hex(DUTInterfaceModeModifierR)) - return DUTInterfaceModeModifierR - - def getSN(self): - epromcontent=self.readEEPROM(0xfa, 6) - print(" FMC-TLU serial number (EEPROM):") - result="\t" - for iaddr in epromcontent: - result+="%02x "%(iaddr) - print(result) - return epromcontent - - def getPostVetoTrg(self): - triggerN = self.hw.getNode("triggerLogic.PostVetoTriggersR").read() - self.hw.dispatch() - print("\tPOST VETO TRIGGER NUMBER:", (triggerN)) - return triggerN - - def getPulseDelay(self): - pulseDelayR = self.hw.getNode("triggerLogic.PulseDelayR").read() - self.hw.dispatch() - print("\tPulse delay read back as:", hex(pulseDelayR)) - return pulseDelayR - - def getPulseStretch(self): - pulseStretchR = self.hw.getNode("triggerLogic.PulseStretchR").read() - self.hw.dispatch() - print("\tPulse stretch read back as:", hex(pulseStretchR)) - return pulseStretchR - - def getRecordDataStatus(self): - RecordStatus= self.hw.getNode("Event_Formatter.Enable_Record_Data").read() - self.hw.dispatch() - print("\tData recording:", RecordStatus) - return RecordStatus - - def getTriggerVetoStatus(self): - trgVetoStatus= self.hw.getNode("triggerLogic.TriggerVetoR").read() - self.hw.dispatch() - print("\tTrigger veto status read back as:", trgVetoStatus) - return trgVetoStatus - - def getTrgPattern(self): - triggerPattern_low = self.hw.getNode("triggerLogic.TriggerPattern_lowR").read() - triggerPattern_high = self.hw.getNode("triggerLogic.TriggerPattern_highR").read() - self.hw.dispatch() - print("\tTrigger pattern read back as: 0x%08X 0x%08X" %(triggerPattern_high, triggerPattern_low)) - return triggerPattern_low, triggerPattern_high - - def getVetoDUT(self): - IgnoreDUTBusyR = self.hw.getNode("DUTInterfaces.IgnoreDUTBusyR").read() - self.hw.dispatch() - print("\tIgnoreDUTBusy read back as:" , hex(IgnoreDUTBusyR)) - return IgnoreDUTBusyR - - def getVetoShutters(self): - IgnoreShutterVeto = self.hw.getNode("DUTInterfaces.IgnoreShutterVetoR").read() - self.hw.dispatch() - print("\tIgnoreShutterVeto read back as:" , IgnoreShutterVeto) - return IgnoreShutterVeto - -# def pulseT0(self): -# cmd = int("0x1",16) -# self.hw.getNode("Shutter.PulseT0").write(cmd) -# self.hw.dispatch() -# print "\tPulsing T0" - - - def setRunActive(self): - cmd = int("0x1",16) - self.hw.getNode("Shutter.RunActiveRW").write(cmd) - self.hw.dispatch() - print("\tSet run active (pulses T0)") - - def setRunInactive(self): - cmd = int("0x0",16) - self.hw.getNode("Shutter.RunActiveRW").write(cmd) - self.hw.dispatch() - print("\tSet run inactive") - - def readEEPROM(self, startadd, bytes): - mystop= 1 - time.sleep(0.1) - myaddr= [startadd]#0xfa - self.TLU_I2C.write( 0x50, [startadd], mystop) - res= self.TLU_I2C.read( 0x50, bytes) - return res - - def resetClock(self): - # Set the RST pin from the PLL to 1 - print(" Clocks reset") - cmd = int("0x1",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def resetClocks(self): - #Reset clock PLL - self.resetClock() - #Get clock status after reset - self.getClockStatus() - #Restore clock PLL - self.restoreClock() - #Get clock status after restore - self.getClockStatus() - #Get serdes status - self.getChStatus() - - def resetCounters(self): - cmd = int("0x2", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - cmd = int("0x0", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - #print "Trigger Reset: 0x%X" % restatus - print("\tTrigger counters reset") - - def resetSerdes(self): - cmd = int("0x3",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print("\t Input status during reset = " , hex(inputStatus)) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print("\t Input status after reset = " , hex(inputStatus)) - - cmd = int("0x4",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print("\t Input status during calibration = " , hex(inputStatus)) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print("\t Input status after calibration = " , hex(inputStatus)) - - def restoreClock(self): - # Set the RST pin from the PLL to 0 - print(" Clocks restore") - cmd = int("0x0",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def setChStatus(self, cmd): - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print(" INPUT STATUS SET TO= " , hex(inputStatus)) - - def setClockStatus(self, cmd): - # Only use this for testing. The clock source is actually selected in the Si5345. - self.hw.getNode("logic_clocks.LogicClocksCSR").write(cmd) - self.hw.dispatch() - - def setDUTmask(self, DUTMask): - print(" DUT MASK ENABLING: Mask= " , hex(DUTMask)) - self.hw.getNode("DUTInterfaces.DUTMaskW").write(DUTMask) - self.hw.dispatch() - self.getDUTmask() - - def setFifoCSR(self, cmd): - self.hw.getNode("eventBuffer.EventFifoCSR").write(cmd) - self.hw.dispatch() - self.getFifoCSR() - - def setInternalTrg(self, triggerInterval): - print(" TRIGGERS INTERNAL:") - if triggerInterval == 0: - internalTriggerFreq = 0 - print("\tdisabled") - else: - internalTriggerFreq = 160000000.0/triggerInterval - print("\tRequired internal trigger frequency:", triggerInterval, "Hz") - print("\tSetting internal interval to:", internalTriggerFreq) - self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(int(internalTriggerFreq)) - self.hw.dispatch() - self.getInternalTrg() - - def setMode(self, mode): - print(" DUT MODE SET TO: ", hex(mode)) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeW").write(mode) - self.hw.dispatch() - self.getMode() - - def setModeModifier(self, modifier): - print(" DUT MODE MODIFIER:", hex(modifier)) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierW").write(modifier) - self.hw.dispatch() - self.getModeModifier() - - def setPulseDelay(self, inArray): - print(" TRIGGER DELAY SET TO", inArray, "[Units= 160MHz clock, 5-bit values (one per input) packed in to 32-bit word]") - pulseDelay= self.packBits(inArray) - self.hw.getNode("triggerLogic.PulseDelayW").write(pulseDelay) - self.hw.dispatch() - self.getPulseDelay() - - def setPulseStretch(self, inArray): - print(" INPUT COINCIDENCE WINDOW SET TO", inArray ,"[Units= 160MHz clock cycles, 5-bit values (one per input) packed in to 32-bit word]") - pulseStretch= self.packBits(inArray) - self.hw.getNode("triggerLogic.PulseStretchW").write(pulseStretch) - self.hw.dispatch() - self.getPulseStretch() - - def setRecordDataStatus(self, status=False): - print(" Data recording set:") - self.hw.getNode("Event_Formatter.Enable_Record_Data").write(status) - self.hw.dispatch() - self.getRecordDataStatus() - - def setTriggerVetoStatus(self, status=False): - self.hw.getNode("triggerLogic.TriggerVetoW").write(status) - self.hw.dispatch() - self.getTriggerVetoStatus() - - def setTrgPattern(self, triggerPatternH, triggerPatternL): - triggerPatternL &= 0xffffffff - triggerPatternH &= 0xffffffff - print(" TRIGGER PATTERN (for external triggers) SET TO 0x%08X 0x%08X. Two 32-bit words." %(triggerPatternH, triggerPatternL)) - self.hw.getNode("triggerLogic.TriggerPattern_lowW").write(triggerPatternL) - self.hw.getNode("triggerLogic.TriggerPattern_highW").write(triggerPatternH) - self.hw.dispatch() - self.getTrgPattern() - - def setVetoDUT(self, ignoreDUTBusy): - print(" VETO IGNORE BY DUT BUSY MASK SET TO" , hex(ignoreDUTBusy)) - self.hw.getNode("DUTInterfaces.IgnoreDUTBusyW").write(ignoreDUTBusy) - self.hw.dispatch() - self.getVetoDUT() - - def setVetoShutters(self, newState): - if newState: - print(" IgnoreShutterVetoW SET TO LISTEN FOR VETO FROM SHUTTER") - cmd= int("0x0",16) - else: - print(" IgnoreShutterVetoW SET TO IGNORE VETO FROM SHUTTER") - cmd= int("0x1",16) - self.hw.getNode("DUTInterfaces.IgnoreShutterVetoW").write(cmd) - self.hw.dispatch() - self.getVetoShutters() - - def writeThreshold(self, DACtarget, Vtarget, channel, verbose=False): - #Writes the threshold. The DAC voltage differs from the threshold voltage because - #the range is shifted to be symmetrical around 0V. - - #Check if the DACs are using the internal reference - if (self.intRefOn): - Vref= self.VrefInt - else: - Vref= self.VrefExt - - #Calculate offset voltage (because of the following shifter) - Vdac= ( Vtarget + Vref ) / 2 - print(" THRESHOLD setting:") - if channel==7: - print("\tCH: ALL") - else: - print("\tCH:", channel) - print("\tTarget V:", Vtarget) - dacValue = 0xFFFF * (Vdac / Vref) - DACtarget.writeDAC(int(dacValue), channel, verbose) - - def packBits(self, raw_values): - packed_bits= 0 - if (len(raw_values) != self.nChannels): - print("Error (packBits): wrong number of elements in array") - else: - for idx, iCh in enumerate(raw_values): - tmpint= iCh << idx*5 - packed_bits= packed_bits | tmpint - print("\tPacked =", hex(packed_bits)) - return packed_bits - - def parseFifoData(self, fifoData, nEvents, mystruct, root_tree, verbose): - #for index in range(0, len(fifoData)-1, 6): - outList= [] - for index in range(0, (nEvents)*6, 6): - word0= (fifoData[index] << 32) + fifoData[index + 1] - word1= (fifoData[index + 2] << 32) + fifoData[index + 3] - word2= (fifoData[index + 4] << 32) + fifoData[index + 5] - evType= (fifoData[index] & 0xF0000000) >> 28 - inTrig= (fifoData[index] & 0x0FFF0000) >> 16 - tStamp= ((fifoData[index] & 0x0000FFFF) << 32) + fifoData[index + 1] - fineTs= fifoData[index + 2] - evNum= fifoData[index + 3] - fineTsList=[-1]*12 - fineTsList[3]= (fineTs & 0x000000FF) - fineTsList[2]= (fineTs & 0x0000FF00) >> 8 - fineTsList[1]= (fineTs & 0x00FF0000) >> 16 - fineTsList[0]= (fineTs & 0xFF000000) >> 24 - fineTsList[7]= (fifoData[index + 4] & 0x000000FF) - fineTsList[6]= (fifoData[index + 4] & 0x0000FF00) >> 8 - fineTsList[5]= (fifoData[index + 4] & 0x00FF0000) >> 16 - fineTsList[4]= (fifoData[index + 4] & 0xFF000000) >> 24 - fineTsList[11]= (fifoData[index + 5] & 0x000000FF) - fineTsList[10]= (fifoData[index + 5] & 0x0000FF00) >> 8 - fineTsList[9]= (fifoData[index + 5] & 0x00FF0000) >> 16 - fineTsList[8]= (fifoData[index + 5] & 0xFF000000) >> 24 - if verbose: - print("====== EVENT", evNum, "=================================================") - print("[", hex(word0), "]", "\t TYPE", hex(evType), "\t TRIGGER", hex(inTrig), "\t TIMESTAMP", (tStamp)) - print("[",hex(word1), "]", "\tEV NUM", evNum, "\tFINETS[0,3]", hex(fineTs)) - print("[",hex(word2), "]", "\tFINETS[4,11]", hex(word2)) - print(fineTsList) - fineTsList.insert(0, tStamp) - fineTsList.insert(0, evNum) - if (root_tree != None): - highWord= word0 - lowWord= word1 - extWord= word2 - timeStamp= tStamp - bufPos= 0 - evtNumber= evNum - evtType= evType - trigsFired= inTrig - mystruct.raw0= fifoData[index] - mystruct.raw1= fifoData[index+1] - mystruct.raw2= fifoData[index+2] - mystruct.raw3= fifoData[index+3] - mystruct.raw4= fifoData[index+4] - mystruct.raw5= fifoData[index+5] - mystruct.evtNumber= evNum - mystruct.tluTimeStamp= tStamp - mystruct.tluEvtType= evType - mystruct.tluTrigFired= inTrig - root_tree.Fill() - - outList.insert(len(outList), fineTsList) - #print "=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=" - #print "EN#\tCOARSE_TS\tFINE_TS0...FINE_TS11" - #pprint.pprint(outList) - return outList - - def plotFifoData(self, outList): - import matplotlib.pyplot as plt - import numpy as np - import matplotlib.mlab as mlab - - coarseColumn= [row[1] for row in outList] - fineColumn= [row[2] for row in outList] - timeStamp= [sum(x) for x in zip(coarseColumn, fineColumn)] - correctTs= [-1]*len(coarseColumn) - coarseVal= 0.000000025 #coarse time value (40 Mhz, 25 ns) - fineVal= 0.00000000078125 #fine time value (1280 MHz, 0.78125 ns) - for iTs in range(0, len(coarseColumn)): - correctTs[iTs]= coarseColumn[iTs]*coarseVal + fineColumn[iTs]*fineVal - #if iTs: - #print correctTs[iTs]-correctTs[iTs-1], "\t ", correctTs[iTs], "\t", coarseColumn[iTs], "\t", fineColumn[iTs] - - xdiff = np.diff(correctTs) - np.all(xdiff[0] == xdiff) - P= 1000000000 #display in ns - nsDeltas = [x * P for x in xdiff] - #centerRange= np.mean(nsDeltas) - centerRange= 476 - windowsns= 30 - minRange= centerRange-windowsns - maxRange= centerRange+windowsns - plt.hist(nsDeltas, 60, range=[minRange, maxRange], facecolor='blue', align='mid', alpha= 0.75) - #plt.hist(nsDeltas, 100, normed=True, facecolor='blue', align='mid', alpha=0.75) - #plt.xlim((min(nsDeltas), max(nsDeltas))) - plt.xlabel('Time (ns)') - plt.ylabel('Entries') - plt.title('Histogram DeltaTime') - plt.grid(True) - - #Superimpose Gauss - mean = np.mean(nsDeltas) - variance = np.var(nsDeltas) - sigma = np.sqrt(variance) - x = np.linspace(min(nsDeltas), max(nsDeltas), 100) - plt.plot(x, mlab.normpdf(x, mean, sigma)) - - #Display plot - plt.show() - - def saveFifoData(self, outList): - import csv - with open("output.csv", "wb") as f: - writer = csv.writer(f) - writer.writerows(outList) - -################################################################################################################################## -################################################################################################################################## - def acquire(self, mystruct, root_tree= None): - print("STARTING ACQUIRE LOOP") - print("Run#" , self.runN, "\n") - self.isRunning= True - index=0 - while (self.isRunning == True): - eventFifoFillLevel= self.getFifoLevel(0) - nFifoWords= int(eventFifoFillLevel) - if (nFifoWords > 0): - fifoData= self.getFifoData(nFifoWords) - outList= self.parseFifoData(fifoData, nFifoWords/6, mystruct, root_tree, False) - - time.sleep(0.1) - index= index + nFifoWords/6 - print("STOPPING ACQUIRE LOOP:", index, "events collected") - return index - - def configure(self, parsed_cfg): - print("\nTLU INITIALIZING...") - section_name= "Producer.fmctlu" - - #READ CONTENT OF EPROM VIA I2C - self.getSN() - - print(" Turning on software trigger veto") - cmd = int("0x1",16) - self.setTriggerVetoStatus(cmd) - - # #Get Verbose setting - self.verbose= parsed_cfg.getint(section_name, "verbose") - - - # #SET DACs - self.writeThreshold(self.zeDAC1, parsed_cfg.getfloat(section_name, "DACThreshold0"), 1, self.verbose) - self.writeThreshold(self.zeDAC1, parsed_cfg.getfloat(section_name, "DACThreshold1"), 0, self.verbose) - self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold2"), 3, self.verbose) - self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold3"), 2, self.verbose) - self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold4"), 1, self.verbose) - self.writeThreshold(self.zeDAC2, parsed_cfg.getfloat(section_name, "DACThreshold5"), 0, self.verbose) - - # - # #ENABLE/DISABLE HDMI OUTPUTS - self.DUTOutputs(0, int(parsed_cfg.get(section_name, "HDMI1_set"), 16) , self.verbose) - self.DUTOutputs(1, int(parsed_cfg.get(section_name, "HDMI2_set"), 16) , self.verbose) - self.DUTOutputs(2, int(parsed_cfg.get(section_name, "HDMI3_set"), 16) , self.verbose) - self.DUTOutputs(3, int(parsed_cfg.get(section_name, "HDMI4_set"), 16) , self.verbose) - - # #SELECT CLOCK SOURCE TO HDMI - self.DUTClkSrc(0, int(parsed_cfg.get(section_name, "HDMI1_clk"), 16) , self.verbose) - self.DUTClkSrc(1, int(parsed_cfg.get(section_name, "HDMI2_clk"), 16) , self.verbose) - self.DUTClkSrc(2, int(parsed_cfg.get(section_name, "HDMI3_clk"), 16) , self.verbose) - self.DUTClkSrc(3, int(parsed_cfg.get(section_name, "HDMI4_clk"), 16) , self.verbose) - - # #ENABLE/DISABLE LEMO CLOCK OUTPUT - self.enableClkLEMO(parsed_cfg.getint(section_name, "LEMOclk"), False) - - # - # #Check clock status - self.getClockStatus() - - resetClocks = 0 - resetSerdes = 0 - resetCounters= 0 - if resetClocks: - self.resetClocks() - self.getClockStatus() - if resetSerdes: - self.resetSerdes() - if resetCounters: - self.resetCounters() - - # # Get inputs status and counters - self.getChStatus() - self.getAllChannelsCounts() - - # # Stop internal triggers until setup complete - cmd = int("0x0",16) - self.setInternalTrg(cmd) - - # # Set the control voltages for the PMTs - PMT1_V= parsed_cfg.getfloat(section_name, "PMT1_V") - PMT2_V= parsed_cfg.getfloat(section_name, "PMT2_V") - PMT3_V= parsed_cfg.getfloat(section_name, "PMT3_V") - PMT4_V= parsed_cfg.getfloat(section_name, "PMT4_V") - self.pwdled.setVch(0, PMT1_V, True) - self.pwdled.setVch(1, PMT2_V, True) - self.pwdled.setVch(2, PMT3_V, True) - self.pwdled.setVch(3, PMT4_V, True) - - # # Set pulse stretches - str0= parsed_cfg.getint(section_name, "in0_STR") - str1= parsed_cfg.getint(section_name, "in1_STR") - str2= parsed_cfg.getint(section_name, "in2_STR") - str3= parsed_cfg.getint(section_name, "in3_STR") - str4= parsed_cfg.getint(section_name, "in4_STR") - str5= parsed_cfg.getint(section_name, "in5_STR") - self.setPulseStretch([str0, str1, str2, str3, str4, str5]) - - # # Set pulse delays - del0= parsed_cfg.getint(section_name, "in0_DEL") - del1= parsed_cfg.getint(section_name, "in1_DEL") - del2= parsed_cfg.getint(section_name, "in2_DEL") - del3= parsed_cfg.getint(section_name, "in3_DEL") - del4= parsed_cfg.getint(section_name, "in4_DEL") - del5= parsed_cfg.getint(section_name, "in5_DEL") - self.setPulseDelay([del0, del1, del2, del3, del4, del5]) - - # # Set trigger pattern - triggerPattern_low= int(parsed_cfg.get(section_name, "trigMaskLo"), 16) - triggerPattern_high= int(parsed_cfg.get(section_name, "trigMaskHi"), 16) - self.setTrgPattern(triggerPattern_high, triggerPattern_low) - - # # Set active DUTs - DUTMask= int(parsed_cfg.get(section_name, "DUTMask"), 16) - self.setDUTmask(DUTMask) - - # # Set mode (AIDA, EUDET) - DUTMode= int(parsed_cfg.get(section_name, "DUTMaskMode"), 16) - self.setMode(DUTMode) - - # # Set modifier - modifier = int(parsed_cfg.get(section_name, "DUTMaskModeModifier"), 16) - self.setModeModifier(modifier) - - # # Set veto shutter - setVetoShutters = int(parsed_cfg.get(section_name, "DUTIgnoreShutterVeto"), 16) - self.setVetoShutters(setVetoShutters) - - # # Set veto by DUT - ignoreDUTBusy = int(parsed_cfg.get(section_name, "DUTIgnoreBusy"), 16) - self.setVetoDUT(ignoreDUTBusy) - - print(" Check external veto:") - self.getExternalVeto() - - # # Set trigger interval (use 0 to disable internal triggers) - triggerInterval= parsed_cfg.getint(section_name, "InternalTriggerFreq") - self.setInternalTrg(triggerInterval) - - print("TLU INITIALIZED") - -################################################################################################################################## -################################################################################################################################## - def start(self, logtimestamps=False, runN=0, mystruct= None, root_tree= None): - print("TLU STARTING...") - self.runN= runN - - print(" FIFO RESET:") - FIFOcmd= 0x2 - self.setFifoCSR(FIFOcmd) - eventFifoFillLevel= self.getFifoLevel() - #cmd = int("0x000",16) - #self.setInternalTrg(cmd) - - if logtimestamps: - self.setRecordDataStatus(True) - else: - self.setRecordDataStatus(False) - - # Pulse T0 - #self.pulseT0() - # Set run active - self.setRunActive() - - print(" Turning off software trigger veto") - self.setTriggerVetoStatus( int("0x0",16) ) - - print("TLU STARTED") - - # nEvents= self.acquire(mystruct, root_tree) - return - - -################################################################################################################################## -################################################################################################################################## - def stop(self, saveD= False, plotD= False): - print("TLU STOPPING...") - - self.getPostVetoTrg() - eventFifoFillLevel= self.getFifoLevel() - self.getFifoFlags() - self.getFifoCSR() - print(" Turning on software trigger veto") - self.setTriggerVetoStatus( int("0x1",16) ) - - print("Turning off shutter (setting run inactive)") - self.setRunInactive() - - nFifoWords= int(eventFifoFillLevel) - fifoData= self.getFifoData(nFifoWords) - - #outList= self.parseFifoData(fifoData, nFifoWords/6, None, None, True) - #if saveD: - # self.saveFifoData(outList) - #if plotD: - # self.plotFifoData(outList) - #outFile = open('./test.txt', 'w') - #for iData in range (0, 30): - # outFile.write("%s\n" % fifoData[iData]) - # print hex(fifoData[iData]) - print("TLU STOPPED") - return diff --git a/TLU_v1e/scripts/TLUaddrmap.xml b/TLU_v1e/scripts/TLUaddrmap.xml deleted file mode 100644 index d97cba3..0000000 --- a/TLU_v1e/scripts/TLUaddrmap.xml +++ /dev/null @@ -1,112 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/TLU_v1e/scripts/TLUconnection.xml b/TLU_v1e/scripts/TLUconnection.xml deleted file mode 100644 index fca67f5..0000000 --- a/TLU_v1e/scripts/TLUconnection.xml +++ /dev/null @@ -1,6 +0,0 @@ - - - - - diff --git a/TLU_v1e/scripts/__init__.py b/TLU_v1e/scripts/__init__.py deleted file mode 100644 index e69de29..0000000 diff --git a/TLU_v1e/scripts/config_parser.py b/TLU_v1e/scripts/config_parser.py deleted file mode 100644 index d05c5c4..0000000 --- a/TLU_v1e/scripts/config_parser.py +++ /dev/null @@ -1,29 +0,0 @@ -# Parse *.ini file and provide some methods to access data - -class ConfigParser(object): - def __init__(self, filename): - with open(filename, "r") as in_file: - parsed_cfg = {} - for line in in_file.readlines(): - line = line.strip() - if len(line) == 0: - continue - - if line[0] == "[": - section = line[1:-1] - parsed_cfg[section] = {} - elif line[0] != "#": - key = line.split("=")[0].strip() - value = line.split("=")[1].strip() - parsed_cfg[section][key] = value - - self.conf = parsed_cfg - - def get(self, section, key): - return self.conf[section][key] - - def getint(self, section, key): - return int(self.get(section, key)) - - def getfloat(self, section, key): - return float(self.get(section, key)) diff --git a/TLU_v1e/scripts/initTLU.py b/TLU_v1e/scripts/initTLU.py deleted file mode 100644 index eb1ae65..0000000 --- a/TLU_v1e/scripts/initTLU.py +++ /dev/null @@ -1,184 +0,0 @@ -# -# Function to initialize TLU -# -# David Cussans, October 2015 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys -import time - -def startTLU( uhalDevice , pychipsBoard , writeTimestamps): - - print "RESETTING FIFO" - pychipsBoard.write("EventFifoCSR",0x2) - eventFifoFillLevel = pychipsBoard.read("EventFifoFillLevel") - print "FIFO FILL LEVEL AFTER RESET= " , eventFifoFillLevel - - - if writeTimestamps: - print "ENABLING DATA RECORDING" - pychipsBoard.write("Enable_Record_Data",1) - else: - print "Disabling data recording" - pychipsBoard.write("Enable_Record_Data",0) - - print "Pulsing T0" - pychipsBoard.write("PulseT0",1) - - print "Turning off software trigger veto" - pychipsBoard.write("TriggerVetoW",0) - - print "TLU is running" - - -def stopTLU( uhalDevice , pychipsBoard ): - - print "Turning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - print "TLU triggers are stopped" - -def initTLU( uhalDevice , pychipsBoard , listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage ): - - print "SETTING UP AIDA TLU" - - fwVersion = uhalDevice.getNode("version").read() - uhalDevice.dispatch() - print "\tVersion (uHAL)= " , hex(fwVersion) - - print "\tTurning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - # Check the bus for I2C devices - pychipsBoardi2c = FmcTluI2c(pychipsBoard) - - print "\tScanning I2C bus:" - scanResults = pychipsBoardi2c.i2c_scan() - #print scanResults - print '\t', ', '.join(scanResults), '\n' - - boardId = pychipsBoardi2c.get_serial_number() - print "\tFMC-TLU serial number= " , boardId - - resetClocks = 0 - resetSerdes = 0 - -# set DACs to -200mV - print "\tSETTING ALL DAC THRESHOLDS TO" , thresholdVoltage , "V" - pychipsBoardi2c.set_threshold_voltage(7, thresholdVoltage) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "\tCLOCK STATUS (should be 3 if all clocks locked)= " , hex(clockStatus) - assert ( clockStatus == 3 ) , "Clocks in TLU FPGA are not locked. No point in continuing. Re-prgramme or power cycle board" - - if resetClocks: - print "Resetting clocks" - pychipsBoard.write("LogicRst", 1 ) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status = " , hex(inputStatus) - - if resetSerdes: - pychipsBoard.write("SerdesRstW", 0x00000003 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000004 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during calibration = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after calibration = " , hex(inputStatus) - - - inputStatus = pychipsBoard.read("SerdesRstR") - print "\tINPUT STATUS= " , hex(inputStatus) - - count0 = pychipsBoard.read("ThrCount0R") - print "\t Count 0= " , count0 - - count1 = pychipsBoard.read("ThrCount1R") - print "\t Count 1= " , count1 - - count2 = pychipsBoard.read("ThrCount2R") - print "\t Count 2= " , count2 - - count3 = pychipsBoard.read("ThrCount3R") - print "\t Count 3= " , count3 - -# Stop internal triggers until setup complete - pychipsBoard.write("InternalTriggerIntervalW",0) - - print "\tSETTING INPUT COINCIDENCE WINDOW TO",pulseStretch,"[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseStretchW",int(pulseStretch)) - pulseStretchR = pychipsBoard.read("PulseStretchR") - print "\t Pulse stretch read back as:", hex(pulseStretchR) - # assert (int(pulseStretch) == pulseStretchR) , "Pulse stretch read-back doesn't equal written value" - - print "\tSETTING INPUT TRIGGER DELAY TO",pulseDelay , "[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseDelayW",int(pulseDelay)) - pulseDelayR = pychipsBoard.read("PulseDelayR") - print "\t Pulse delay read back as:", hex(pulseDelayR) - - print "\tSETTING TRIGGER PATTERN (for external triggers) TO 0x%08X. Two 16-bit patterns packed into 32 bit word " %(triggerPattern) - pychipsBoard.write("TriggerPatternW",int(triggerPattern)) - triggerPatternR = pychipsBoard.read("TriggerPatternR") - print "\t Trigger pattern read back as: 0x%08X " % (triggerPatternR) - - print "\tENABLING DUT(s): Mask= " , hex(DUTMask) - pychipsBoard.write("DUTMaskW",int(DUTMask)) - DUTMaskR = pychipsBoard.read("DUTMaskR") - print "\t DUTMask read back as:" , hex(DUTMaskR) - - print "\tSETTING ALL DUTs IN AIDA MODE" - pychipsBoard.write("DUTInterfaceModeW", 0xFF) - DUTInterfaceModeR = pychipsBoard.read("DUTInterfaceModeR") - print "\t DUT mode read back as:" , DUTInterfaceModeR - - print "\tSET DUT MODE MODIFIER" - pychipsBoard.write("DUTInterfaceModeModifierW", 0xFF) - DUTInterfaceModeModifierR = pychipsBoard.read("DUTInterfaceModeModifierR") - print "\t DUT mode modifier read back as:" , DUTInterfaceModeModifierR - - if listenForTelescopeShutter: - print "\tSET IgnoreShutterVetoW TO LISTEN FOR VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",0) - else: - print "\tSET IgnoreShutterVetoW TO IGNORE VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",1) - IgnoreShutterVeto = pychipsBoard.read("IgnoreShutterVetoR") - print "\t IgnoreShutterVeto read back as:" , IgnoreShutterVeto - - print "\tSETTING IGNORE VETO BY DUT BUSY MASK TO" , hex(ignoreDUTBusy) - pychipsBoard.write("IgnoreDUTBusyW",int(ignoreDUTBusy)) - IgnoreDUTBusy = pychipsBoard.read("IgnoreDUTBusyR") - print "\t IgnoreDUTBusy read back as:" , hex(IgnoreDUTBusy) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - - - print "\tSETTING INTERNAL TRIGGER INTERVAL TO" , triggerInterval , "(zero= no internal triggers)" - if triggerInterval == 0: - internalTriggerFreq = 0 - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\tINTERNAL TRIGGER FREQUENCY= " , internalTriggerFreq , " kHz" - pychipsBoard.write("InternalTriggerIntervalW",triggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns - trigIntervalR = pychipsBoard.read("InternalTriggerIntervalR") - print "\t Trigger interval read back as:", trigIntervalR - print "AIDA TLU SETUP COMPLETED" diff --git a/TLU_v1e/scripts/localClock.txt b/TLU_v1e/scripts/localClock.txt deleted file mode 100644 index 0a7b2d9..0000000 --- a/TLU_v1e/scripts/localClock.txt +++ /dev/null @@ -1,394 +0,0 @@ -# Si538x/4x Registers Export -# -# Part: Si5345 -# Project File: P:\cad\designs\fmc-mtlu\trunk\circuit_board\Cadence\worklib\fmc_tlu_toplevel_c\physical\ClockGen\TLU_Si5345-RevB-NEWTLU00-Project.slabtimeproj -# Design ID: TLU1E_01 -# Includes Pre/Post Download Control Register Writes: Yes -# Die Revision: A2 -# Creator: ClockBuilder Pro v2.12.1 [2016-12-15] -# Created On: 2017-08-24 13:37:41 GMT+01:00 -Address,Data -0x0B24,0xD8 -0x0B25,0x00 -0x000B,0x68 -0x0016,0x02 -0x0017,0x1C -0x0018,0x88 -0x0019,0xDD -0x001A,0xDF -0x002B,0x02 -0x002C,0x07 -0x002D,0x15 -0x002E,0x37 -0x002F,0x00 -0x0030,0x37 -0x0031,0x00 -0x0032,0x37 -0x0033,0x00 -0x0034,0x00 -0x0035,0x00 -0x0036,0x37 -0x0037,0x00 -0x0038,0x37 -0x0039,0x00 -0x003A,0x37 -0x003B,0x00 -0x003C,0x00 -0x003D,0x00 -0x003F,0x77 -0x0040,0x04 -0x0041,0x0C -0x0042,0x0C -0x0043,0x0C -0x0044,0x00 -0x0045,0x0C -0x0046,0x32 -0x0047,0x32 -0x0048,0x32 -0x0049,0x00 -0x004A,0x32 -0x004B,0x32 -0x004C,0x32 -0x004D,0x00 -0x004E,0x55 -0x004F,0x05 -0x0051,0x03 -0x0052,0x03 -0x0053,0x03 -0x0054,0x00 -0x0055,0x03 -0x0056,0x03 -0x0057,0x03 -0x0058,0x00 -0x0059,0x3F -0x005A,0xCC -0x005B,0xCC -0x005C,0xCC -0x005D,0x00 -0x005E,0xCC -0x005F,0xCC -0x0060,0xCC -0x0061,0x00 -0x0062,0xCC -0x0063,0xCC -0x0064,0xCC -0x0065,0x00 -0x0066,0x00 -0x0067,0x00 -0x0068,0x00 -0x0069,0x00 -0x0092,0x00 -0x0093,0x00 -0x0095,0x00 -0x0096,0x00 -0x0098,0x00 -0x009A,0x02 -0x009B,0x30 -0x009D,0x00 -0x009E,0x20 -0x00A0,0x00 -0x00A2,0x02 -0x00A8,0x89 -0x00A9,0x70 -0x00AA,0x07 -0x00AB,0x00 -0x00AC,0x00 -0x0102,0x01 -0x0108,0x06 -0x0109,0x09 -0x010A,0x33 -0x010B,0x00 -0x010D,0x06 -0x010E,0x09 -0x010F,0x33 -0x0110,0x00 -0x0112,0x06 -0x0113,0x09 -0x0114,0x33 -0x0115,0x00 -0x0117,0x06 -0x0118,0x09 -0x0119,0x33 -0x011A,0x00 -0x011C,0x06 -0x011D,0x09 -0x011E,0x33 -0x011F,0x00 -0x0121,0x06 -0x0122,0x09 -0x0123,0x33 -0x0124,0x00 -0x0126,0x06 -0x0127,0x09 -0x0128,0x33 -0x0129,0x00 -0x012B,0x06 -0x012C,0x09 -0x012D,0x33 -0x012E,0x00 -0x0130,0x06 -0x0131,0x09 -0x0132,0x33 -0x0133,0x00 -0x013A,0x01 -0x013B,0xCC -0x013C,0x00 -0x013D,0x00 -0x013F,0x00 -0x0140,0x00 -0x0141,0x40 -0x0142,0xFF -0x0202,0x00 -0x0203,0x00 -0x0204,0x00 -0x0205,0x00 -0x0206,0x00 -0x0208,0x14 -0x0209,0x00 -0x020A,0x00 -0x020B,0x00 -0x020C,0x00 -0x020D,0x00 -0x020E,0x01 -0x020F,0x00 -0x0210,0x00 -0x0211,0x00 -0x0212,0x14 -0x0213,0x00 -0x0214,0x00 -0x0215,0x00 -0x0216,0x00 -0x0217,0x00 -0x0218,0x01 -0x0219,0x00 -0x021A,0x00 -0x021B,0x00 -0x021C,0x14 -0x021D,0x00 -0x021E,0x00 -0x021F,0x00 -0x0220,0x00 -0x0221,0x00 -0x0222,0x01 -0x0223,0x00 -0x0224,0x00 -0x0225,0x00 -0x0226,0x00 -0x0227,0x00 -0x0228,0x00 -0x0229,0x00 -0x022A,0x00 -0x022B,0x00 -0x022C,0x00 -0x022D,0x00 -0x022E,0x00 -0x022F,0x00 -0x0231,0x01 -0x0232,0x01 -0x0233,0x01 -0x0234,0x01 -0x0235,0x00 -0x0236,0x00 -0x0237,0x00 -0x0238,0x00 -0x0239,0xA9 -0x023A,0x00 -0x023B,0x00 -0x023C,0x00 -0x023D,0x00 -0x023E,0xA0 -0x024A,0x00 -0x024B,0x00 -0x024C,0x00 -0x024D,0x00 -0x024E,0x00 -0x024F,0x00 -0x0250,0x00 -0x0251,0x00 -0x0252,0x00 -0x0253,0x00 -0x0254,0x00 -0x0255,0x00 -0x0256,0x00 -0x0257,0x00 -0x0258,0x00 -0x0259,0x00 -0x025A,0x00 -0x025B,0x00 -0x025C,0x00 -0x025D,0x00 -0x025E,0x00 -0x025F,0x00 -0x0260,0x00 -0x0261,0x00 -0x0262,0x00 -0x0263,0x00 -0x0264,0x00 -0x0268,0x00 -0x0269,0x00 -0x026A,0x00 -0x026B,0x54 -0x026C,0x4C -0x026D,0x55 -0x026E,0x31 -0x026F,0x45 -0x0270,0x5F -0x0271,0x30 -0x0272,0x31 -0x0302,0x00 -0x0303,0x00 -0x0304,0x00 -0x0305,0x80 -0x0306,0x54 -0x0307,0x00 -0x0308,0x00 -0x0309,0x00 -0x030A,0x00 -0x030B,0x80 -0x030C,0x00 -0x030D,0x00 -0x030E,0x00 -0x030F,0x00 -0x0310,0x00 -0x0311,0x00 -0x0312,0x00 -0x0313,0x00 -0x0314,0x00 -0x0315,0x00 -0x0316,0x00 -0x0317,0x00 -0x0318,0x00 -0x0319,0x00 -0x031A,0x00 -0x031B,0x00 -0x031C,0x00 -0x031D,0x00 -0x031E,0x00 -0x031F,0x00 -0x0320,0x00 -0x0321,0x00 -0x0322,0x00 -0x0323,0x00 -0x0324,0x00 -0x0325,0x00 -0x0326,0x00 -0x0327,0x00 -0x0328,0x00 -0x0329,0x00 -0x032A,0x00 -0x032B,0x00 -0x032C,0x00 -0x032D,0x00 -0x032E,0x00 -0x032F,0x00 -0x0330,0x00 -0x0331,0x00 -0x0332,0x00 -0x0333,0x00 -0x0334,0x00 -0x0335,0x00 -0x0336,0x00 -0x0337,0x00 -0x0338,0x00 -0x0339,0x1F -0x033B,0x00 -0x033C,0x00 -0x033D,0x00 -0x033E,0x00 -0x033F,0x00 -0x0340,0x00 -0x0341,0x00 -0x0342,0x00 -0x0343,0x00 -0x0344,0x00 -0x0345,0x00 -0x0346,0x00 -0x0347,0x00 -0x0348,0x00 -0x0349,0x00 -0x034A,0x00 -0x034B,0x00 -0x034C,0x00 -0x034D,0x00 -0x034E,0x00 -0x034F,0x00 -0x0350,0x00 -0x0351,0x00 -0x0352,0x00 -0x0353,0x00 -0x0354,0x00 -0x0355,0x00 -0x0356,0x00 -0x0357,0x00 -0x0358,0x00 -0x0359,0x00 -0x035A,0x00 -0x035B,0x00 -0x035C,0x00 -0x035D,0x00 -0x035E,0x00 -0x035F,0x00 -0x0360,0x00 -0x0361,0x00 -0x0362,0x00 -0x0487,0x00 -0x0502,0x01 -0x0508,0x14 -0x0509,0x23 -0x050A,0x0C -0x050B,0x0B -0x050C,0x03 -0x050D,0x3F -0x050E,0x17 -0x050F,0x2B -0x0510,0x09 -0x0511,0x08 -0x0512,0x03 -0x0513,0x3F -0x0515,0x00 -0x0516,0x00 -0x0517,0x00 -0x0518,0x00 -0x0519,0xA4 -0x051A,0x02 -0x051B,0x00 -0x051C,0x00 -0x051D,0x00 -0x051E,0x00 -0x051F,0x80 -0x0521,0x21 -0x052A,0x05 -0x052B,0x01 -0x052C,0x0F -0x052D,0x03 -0x052E,0x19 -0x052F,0x19 -0x0531,0x00 -0x0532,0x42 -0x0533,0x03 -0x0534,0x00 -0x0535,0x00 -0x0536,0x08 -0x0537,0x00 -0x0538,0x00 -0x0539,0x00 -0x0802,0x35 -0x0803,0x05 -0x0804,0x00 -0x090E,0x02 -0x0943,0x00 -0x0949,0x07 -0x094A,0x07 -0x0A02,0x00 -0x0A03,0x01 -0x0A04,0x01 -0x0A05,0x01 -0x0B44,0x2F -0x0B46,0x00 -0x0B47,0x00 -0x0B48,0x08 -0x0B4A,0x1E -0x0514,0x01 -0x001C,0x01 -0x0B24,0xDB -0x0B25,0x02 diff --git a/TLU_v1e/scripts/localConf.conf b/TLU_v1e/scripts/localConf.conf deleted file mode 100644 index 2892d29..0000000 --- a/TLU_v1e/scripts/localConf.conf +++ /dev/null @@ -1,93 +0,0 @@ -[Producer.fmctlu] -verbose= 2 -confid= 20170626 -delayStart= 1000 -nDUTs = 1 - -# HDMI pin direction: -# 4-bits to determine direction of HDMI pins -# 1-bit for the clock pair -# 0= pins are not driving signals, 1 pins drive signals (outputs) -HDMI1_set= 0x7 -HDMI2_set= 0x7 -HDMI3_set= 0x7 -HDMI4_set= 0x7 -HDMI1_clk = 0 -HDMI2_clk = 0 -HDMI3_clk = 0 -HDMI4_clk = 0 - -# Control voltages for the PMTs -PMT1_V= 0.5 -PMT2_V= 0.7 -PMT3_V= 0.9 -PMT4_V= 1 - -# Enable/disable differential LEMO CLOCK -LEMOclk = 1 - -# Set delay and stretch for trigger pulses -in0_STR = 1 -in0_DEL = 0 -in1_STR = 1 -in1_DEL = 0 -in2_STR = 1 -in2_DEL = 0 -in3_STR = 1 -in3_DEL = 0 -in4_STR = 1 -in4_DEL = 0 -in5_STR = 1 -in5_DEL = 0 -# -trigMaskHi = 0x00000000 -trigMaskLo = 0x00000002 -# -#### DAC THRESHOLD -DACThreshold0 = -0.12 -DACThreshold1 = -0.12 -DACThreshold2 = -0.12 -DACThreshold3 = -0.12 -DACThreshold4 = -0.12 -DACThreshold5 = -0.12 - -# Define which DUTs are ON -DUTMask = 0x1 - -# Define mode of DUT (00 EUDET, 11 AIDA) -DUTMaskMode= 0x00 - -# Allow asynchronous veto -DUTMaskModeModifier= 0x0 - -# Ignore busy from a specific DUT -DUTIgnoreBusy = 0x0 - -# Ignore the SHUTTER veto on a specific DUT -DUTIgnoreShutterVeto = 0x0 - -# Generate internal triggers (in Hz, 0= no triggers) -InternalTriggerFreq = 1000 - - - -[LogCollector.log] -# Currently, all LogCollectors have a hardcoded runtime name: log -# nothing - - -[DataCollector.my_dc] -EUDAQ_MON=my_mon -# send assambled event to the monitor with runtime name my_mon; -EUDAQ_FW=native -# the format of data file -EUDAQ_FW_PATTERN=$12D_run$6R$X -# the name pattern of data file -# the $12D will be converted a data/time string with 12 digits. -# the $6R will be converted a run number string with 6 digits. -# the $X will be converted the suffix name of data file. - -[Monitor.my_mon] -EX0_ENABLE_PRINT=0 -EX0_ENABLE_STD_PRINT=0 -EX0_ENABLE_STD_CONVERTER=1 diff --git a/TLU_v1e/scripts/localIni.ini b/TLU_v1e/scripts/localIni.ini deleted file mode 100644 index 2c79f2a..0000000 --- a/TLU_v1e/scripts/localIni.ini +++ /dev/null @@ -1,54 +0,0 @@ -[Producer.fmctlu] -initid= 20170703 -verbose = 1 -ConnectionFile= "file://./fmctlu_connection.xml" -DeviceName="fmctlu.udp" -TLUmod= "1e" -# number of HDMI inputs, leave 4 even if you only use fewer inputs -nDUTs = 4 -nTrgIn = 6 -# 0= False (Internal Reference OFF), 1= True -intRefOn = 0 -VRefInt = 2.5 -VRefExt = 1.3 -# I2C address of the bus expander on Enclustra FPGA -I2C_COREEXP_Addr = 0x21 -# I2C address of the Si5345 -I2C_CLK_Addr = 0x68 -# I2C address of 1st AD5665R -I2C_DAC1_Addr = 0x13 -# I2C address of 2nd AD5665R -I2C_DAC2_Addr = 0x1F -# address of unique Id number EEPROM -I2C_ID_Addr = 0x50 -#I2C address of 1st expander PCA9539PW -I2C_EXP1_Addr = 0x74 -#I2C address of 2st expander PCA9539PW -I2C_EXP2_Addr = 0x75 -#I2C address of EEPROM on powermodule -I2C_pwrId_Addr = 0x51 -#I2C address of AD5665R on powermodule -I2C_DACModule_Addr = 0x1C -# Max value for control voltage on PMTs (usually 1 V) -PMT_vCtrlMax= 1.0 -#I2C address of 1st expander PCA9539PW on powermodule -I2C_EXP1Module_Addr = 0x76 -#I2C address of 2nd expander PCA9539PW on powermodule -I2C_EXP2Module_Addr = 0x77 - - -##CONFCLOCK 0= skip clock configuration, 1= configure si5345 -CONFCLOCK= 1 -CLOCK_CFG_FILE = /home/silab/git/aida-tlu/TLU_v1e/scripts/localClock.txt - - -[LogCollector.log] -# Currently, all LogCollectors have a hardcoded runtime name: log -EULOG_GUI_LOG_FILE_PATTERN = myexample_$12D.log -# the $12D will be converted a data/time string with 12 digits. - -[DataCollector.my_dc] -# nothing - -[Monitor.my_mon] -# nothing diff --git a/TLU_v1e/scripts/run_tlu.py b/TLU_v1e/scripts/run_tlu.py deleted file mode 100644 index 652de3a..0000000 --- a/TLU_v1e/scripts/run_tlu.py +++ /dev/null @@ -1,22 +0,0 @@ -import time - -from config_parser import ConfigParser -from TLU_v1e import TLU - -conf = ConfigParser(filename="/home/silab/git/aida-tlu/TLU_v1e/scripts/localIni.ini") -configure_conf = ConfigParser(filename="/home/silab/git/aida-tlu/TLU_v1e/scripts/localConf.conf") -t = TLU(dev_name='tlu', man_file='file:///home/silab/git/aida-tlu/TLU_v1e/scripts/TLUconnection.xml', parsed_cfg=conf) -t.configure(configure_conf) -t.start() -t.isRunning = True -try: - while (t.isRunning == True): - eventFifoFillLevel= t.getFifoLevel(0) - nFifoWords= int(eventFifoFillLevel) - if (nFifoWords > 0): - fifoData= t.getFifoData(nFifoWords) - print(fifoData) - time.sleep(1) -except KeyboardInterrupt: - t.isRunning = False -t.stop() diff --git a/TLU_v1e/scripts/startTLU_v1e.py b/TLU_v1e/scripts/startTLU_v1e.py deleted file mode 100644 index d4a42b7..0000000 --- a/TLU_v1e/scripts/startTLU_v1e.py +++ /dev/null @@ -1,246 +0,0 @@ -# -*- coding: utf-8 -*- -# miniTLU test script - -#from PyChipsUser import * -#from FmcTluI2c import * -import uhal -import sys -import time -from datetime import datetime -import threading -# from ROOT import TFile, TTree -# from ROOT import gROOT -from datetime import datetime - -from TLU_v1e import TLU -# Use to have interactive shell -import cmd - -# Use to have config file parser -import ConfigParser - -# Use root -from ROOT import TFile, TTree, gROOT, AddressOf -from ROOT import * -import numpy as numpy - - -## Define class that creates the command user inteface -class MyPrompt(cmd.Cmd): - - # def do_initialise(self, args): - # """Processes the INI file and writes its values to the TLU. To use a specific file type:\n - # parseIni path/to/filename.ini\n - # (without quotation marks)""" - # print "COMMAND RECEIVED: PARSE INI" - # parsed_cfg= self.open_cfg_file(args, "/users/phpgb/workspace/myFirmware/AIDA/TLU_v1e/scripts/localIni.ini") - # try: - # theID = parsed_cfg.getint("Producer.fmctlu", "initid") - # print theID - # theSTRING= parsed_cfg.get("Producer.fmctlu", "ConnectionFile") - # print theSTRING - # #TLU= TLU("tlu", theSTRING, parsed_cfg) - # except IOError: - # print "\t Could not retrieve INI data." - # return - - - def do_configure(self, args): - """Processes the CONF file and writes its values to the TLU. To use a specific file type:\n - parseIni path/to/filename.conf\n - (without quotation marks)""" - print "==== COMMAND RECEIVED: PARSE CONFIG" - #self.testme() - parsed_cfg= self.open_cfg_file(args, "./localConf.conf") - try: - theID = parsed_cfg.getint("Producer.fmctlu", "confid") - print "\t", theID - TLU.configure(parsed_cfg) - except IOError: - print "\t Could not retrieve CONF data." - return - - def do_i2c(self, args): - arglist = args.split() - if len(arglist) == 0: - print "\tno command specified" - else: - i2ccmd= arglist[0] - results = list(map(int, arglist)) - TLU.DISP.writeSomething(results) - print "Sending i2c command to display" - return - - def do_id(self, args): - """Interrogates the TLU and prints it unique ID on screen""" - TLU.getSN() - return - - def do_triggers(self, args): - """Interrogates the TLU and prints the number of triggers seen by the input discriminators""" - TLU.getChStatus() - TLU.getAllChannelsCounts() - TLU.getPostVetoTrg() - return - - def do_startRun(self, args): - """Starts the TLU run. If a number is specified, this number will be appended to the file name as Run_#""" - print "==== COMMAND RECEIVED: STARTING TLU RUN" - #startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - arglist = args.split() - if len(arglist) == 0: - print "\tno run# specified, using 1" - runN= 1 - else: - runN= arglist[0] - - logdata= True - - #TLU.start(logdata) - if (TLU.isRunning): #Prevent double start - print " Run already in progress" - return - else: - now = datetime.now().strftime('%Y%m%d_%H%M%S') - default_filename = "./datafiles/"+ now + "_tluData_" + str(runN) + ".root" - rootFname= default_filename - print "OPENING ROOT FILE:", rootFname - self.root_file = TFile( rootFname, 'RECREATE' ) - # Create a root "tree" - root_tree = TTree( 'T', 'TLU Data' ) - #highWord =0 - #lowWord =0 - #evtNumber=0 - #timeStamp=0 - #evtType=0 - #trigsFired=0 - #bufPos = 0 - - #https://root-forum.cern.ch/t/long-integer/1961/2 - gROOT.ProcessLine( - "struct MyStruct {\ - UInt_t raw0;\ - UInt_t raw1;\ - UInt_t raw2;\ - UInt_t raw3;\ - UInt_t raw4;\ - UInt_t raw5;\ - UInt_t evtNumber;\ - ULong64_t tluTimeStamp;\ - UChar_t tluEvtType;\ - UChar_t tluTrigFired;\ - };" ); - - mystruct= MyStruct() - - - # Create a branch for each piece of data - root_tree.Branch('EVENTS', mystruct, 'raw0/i:raw1/i:raw2/i:raw3/i:raw4/i:raw5/i:evtNumber/i:tluTimeStamp/l:tluEvtType/b:tluTrigFired/b' ) - # root_tree.Branch( 'tluHighWord' , highWord , "HighWord/l") - # root_tree.Branch( 'tluLowWord' , lowWord , "LowWord/l") - # root_tree.Branch( 'tluExtWord' , extWord , "ExtWord/l") - # root_tree.Branch( 'tluTimeStamp' , timeStamp , "TimeStamp/l") - # root_tree.Branch( 'tluBufPos' , bufPos , "Bufpos/s") - # root_tree.Branch( 'tluEvtNumber' , evtNumber , "EvtNumber/i") - # root_tree.Branch( 'tluEvtType' , evtType , "EvtType/b") - # root_tree.Branch( 'tluTrigFired' , trigsFired, "TrigsFired/b") - #self.root_file.Write() - - daq_thread= threading.Thread(target = TLU.start, args=(logdata, runN, mystruct, root_tree)) - daq_thread.start() - - def do_endRun(self, args): - """Stops the TLU run""" - print "==== COMMAND RECEIVED: STOP TLU RUN" - if TLU.isRunning: - TLU.isRunning= False - TLU.stop(False, False) - self.root_file.Write() - self.root_file.Close() - else: - print " No run to stop" - - - def do_quit(self, args): - """Quits the program.""" - print "==== COMMAND RECEIVED: QUITTING TLU CONSOLE" - if TLU.isRunning: - TLU.isRunning= False - TLU.stop(False, False) - self.root_file.Write() - self.root_file.Close() - print "Terminating run" - return True - - def testme(self): - print "This is a test" - - def open_cfg_file(self, args, default_file): - # Parse the user arguments, attempts to opent the file and performs a (minimal) - # check to verify the file exists (but not that its content is correct) - - arglist = args.split() - if len(arglist) == 0: - print "\tno file specified, using default" - fileName= default_file - print "\t", fileName - else: - fileName= arglist[0] - if len(arglist) > 1: - print "\tinvalid: too many arguments. Max 1." - return - - parsed_file = ConfigParser.RawConfigParser() - try: - with open(fileName) as f: - parsed_file.readfp(f) - print "\t", parsed_file.sections() - except IOError: - print "\t Error while parsing the specified file." - return - return parsed_file - -# # Override methods in Cmd object ## -# def preloop(self): -# """Initialization before prompting user for commands. -# Despite the claims in the Cmd documentaion, Cmd.preloop() is not a stub. -# """ -# cmd.Cmd.preloop(self) # # sets up command completion -# self._hist = [] # # No history yet -# self._locals = {} # # Initialize execution namespace for user -# self._globals = {} -# print "\nINITIALIZING" -# now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -# default_filename = './rootfiles/tluData_' + now + '.root' -# print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" -# self.manager = uhal.ConnectionManager("file://./connection.xml") -# self.hw = self.manager.getDevice("minitlu") -# self.device_id = self.hw.id() -# -# # Point to TLU in Pychips -# self.bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# -# # Assume DIP-switch controlled address. Switches at 2 -# self.board = ChipsBusUdp(self.bAddrTab,"192.168.200.32",50001) - - -################################################# -if __name__ == "__main__": - print "TLU v1E MAIN" - prompt = MyPrompt() - prompt.prompt = '>> ' - - parsed_ini= prompt.open_cfg_file("", "./localIni.ini") - TLU= TLU("tlu", "file://./TLUconnection.xml", parsed_ini) - - ###TLU.configure(parsed_cfg) - ###logdata= True - ###TLU.start(logdata) - ###time.sleep(5) - ###TLU.stop(False, False) - - # Start interactive prompt - print "====================================================================" - print "==========================TLU TEST CONSOLE==========================" - print "====================================================================" - prompt.cmdloop("Type 'help' for a list of commands.") diff --git a/TLU_v1e/scripts/startTLU_v1e.sh b/TLU_v1e/scripts/startTLU_v1e.sh deleted file mode 100644 index f0bb387..0000000 --- a/TLU_v1e/scripts/startTLU_v1e.sh +++ /dev/null @@ -1,25 +0,0 @@ -#!/bin/bash - -echo "==========================" -CURRENT_DIR=${0%/*} -echo "CURRENT DIRECTORY: " $CURRENT_DIR - -echo "============" -echo "SETTING PATHS" -#export PYTHONPATH=$CURRENT_DIR/../../../../../Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -#export PYTHONPATH=~/Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -export PYTHONPATH=../../packages:$PYTHONPATH -echo "PYTHON PATH= " $PYTHONPATH -export LD_LIBRARY_PATH=/opt/cactus/lib:$LD_LIBRARY_PATH -echo "LD_LIBRARY_PATH= " $LD_LIBRARY_PATH -export PATH=/usr/bin/:/opt/cactus/bin:$PATH -echo "PATH= " $PATH - -cd $CURRENT_DIR - -echo "============" -echo "STARTING PYTHON SCRIPT FOR TLU" -#python $CURRENT_DIR/startTLU_v8.py $@ - -python startTLU_v1e.py $@ -#python testTLU_script.py diff --git a/TLU_v1e/scripts/startTLU_v6.py b/TLU_v1e/scripts/startTLU_v6.py deleted file mode 100644 index b7948f2..0000000 --- a/TLU_v1e/scripts/startTLU_v6.py +++ /dev/null @@ -1,232 +0,0 @@ -# -# Script to setup AIDA TLU for TPix3 telescope <--> TORCH synchronization -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys - -import time - -from datetime import datetime - -from optparse import OptionParser - -# For single character non-blocking input: -import select -import tty -import termios - -from initTLU import * - -def isData(): - return select.select([sys.stdin], [], [], 0) == ([sys.stdin], [], []) - -now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -default_filename = 'tluData_' + now + '.root' -parser = OptionParser() - -parser.add_option('-r','--rootFname',dest='rootFname', - default=default_filename,help='Path of output file') -parser.add_option('-o','--writeTimestamps',dest='writeTimestamps', - default="True",help='Set True to write timestamps to ROOT file') -parser.add_option('-p','--printTimestamps',dest='printTimestamps', - default="True",help='Set True to print timestamps to screen (nothing printed unless also output to file) ') -parser.add_option('-s','--listenForTelescopeShutter',dest='listenForTelescopeShutter', - default=False,help='Set True to veto triggers when shutter goes high') -parser.add_option('-d','--pulseDelay',dest='pulseDelay', type=int, - default=0x00,help='Delay added to input triggers. Four 5-bit numbers packed into 32-bt word, Units of 6.125ns') -parser.add_option('-w','--pulseStretch',dest='pulseStretch',type=int, - default=0x00,help='Width added to input triggers. Four 5-bit numbers packed into 32-bt word. Units of 6.125ns') -parser.add_option('-t','--triggerPattern',dest='triggerPattern',type=int, - default=0xFFFEFFFE,help='Pattern match to generate trigger. Two 16-bit words packed into 32-bit word.') -parser.add_option('-m','--DUTMask',dest='DUTMask',type=int, - default=0x01,help='Three-bit mask selecting which DUTs are active.') -parser.add_option('-y','--ignoreDUTBusy',dest='ignoreDUTBusy',type=int, - default=0x0F,help='Three-bit mask selecting which DUTs can veto triggers by setting BUSY high. Low = can veto, high = ignore busy.') -parser.add_option('-i','--triggerInterval',dest='triggerInterval',type=int, - default=0,help='Interval between internal trigers ( in units of 6.125ns ). Set to zero to turn off internal triggers') -parser.add_option('-v','--thresholdVoltage',dest='thresholdVoltage',type=float, - default=-0.2,help='Threshold voltage for TLU inputs ( units of volts)') - -(options, args) = parser.parse_args(sys.argv[1:]) - -from ROOT import TFile, TTree -from ROOT import gROOT - -print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" - -# Point to board in uHAL -manager = uhal.ConnectionManager("file://./connection.xml") -hw = manager.getDevice("minitlu") -device_id = hw.id() - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") - -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Open Root file -print "OPENING ROOT FILE:", options.rootFname -f = TFile( options.rootFname, 'RECREATE' ) - -# Create a root "tree" -tree = TTree( 'T', 'TLU Data' ) -highWord =0 -lowWord =0 -evtNumber=0 -timeStamp=0 -evtType=0 -trigsFired=0 -bufPos = 0 - -# Create a branch for each piece of data -tree.Branch( 'tluHighWord' , highWord , "HighWord/l") -tree.Branch( 'tluLowWord' , lowWord , "LowWord/l") -tree.Branch( 'tluTimeStamp' , timeStamp , "TimeStamp/l") -tree.Branch( 'tluBufPos' , bufPos , "Bufpos/s") -tree.Branch( 'tluEvtNumber' , evtNumber , "EvtNumber/i") -tree.Branch( 'tluEvtType' , evtType , "EvtType/b") -tree.Branch( 'tluTrigFired' , trigsFired, "TrigsFired/b") - -# Initialize TLU registers -initTLU( uhalDevice = hw, pychipsBoard = board, listenForTelescopeShutter = options.listenForTelescopeShutter, pulseDelay = options.pulseDelay, pulseStretch = options.pulseStretch, triggerPattern = options.triggerPattern , DUTMask = options.DUTMask, ignoreDUTBusy = options.ignoreDUTBusy , triggerInterval = options.triggerInterval, thresholdVoltage = options.thresholdVoltage ) - -loopWait = 0.1 -oldEvtNumber = 0 - -oldPreVetotriggerCount = board.read("PreVetoTriggersR") -oldPostVetotriggerCount = board.read("PostVetoTriggersR") - -oldThresholdCounter0 =0 -oldThresholdCounter1 =0 -oldThresholdCounter2 =0 -oldThresholdCounter3 =0 - -print "STARTING POLLING LOOP" - -eventFifoFillLevel = 0 -loopRunning = True -runStarted = False - -oldTime = time.time() - -# Save old terminal settings -oldTermSettings = termios.tcgetattr(sys.stdin) -tty.setcbreak(sys.stdin.fileno()) - -while loopRunning: - - if isData(): - c = sys.stdin.read(1) - print "\tGOT INPUT:", c - if c == 't': - loopRunning = False - print "\tTERMINATING LOOP" - elif c == 'c': - runStarted = True - print "\tSTARTING RUN" - startTLU( uhalDevice = hw, pychipsBoard = board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - elif c == 'f': - # runStarted = True - print "\tSTOPPING TRIGGERS" - stopTLU( uhalDevice = hw, pychipsBoard = board ) - - - if runStarted: - - eventFifoFillLevel = hw.getNode("eventBuffer.EventFifoFillLevel").read() - - preVetotriggerCount = hw.getNode("triggerLogic.PreVetoTriggersR").read() - postVetotriggerCount = hw.getNode("triggerLogic.PostVetoTriggersR").read() - - timestampHigh = hw.getNode("Event_Formatter.CurrentTimestampHR").read() - timestampLow = hw.getNode("Event_Formatter.CurrentTimestampLR").read() - - thresholdCounter0 = hw.getNode("triggerInputs.ThrCount0R").read() - thresholdCounter1 = hw.getNode("triggerInputs.ThrCount1R").read() - thresholdCounter2 = hw.getNode("triggerInputs.ThrCount2R").read() - thresholdCounter3 = hw.getNode("triggerInputs.ThrCount3R").read() - - hw.dispatch() - - newTime = time.time() - timeDelta = newTime - oldTime - oldTime = newTime - #print "time delta = " , timeDelta - preVetoFreq = (preVetotriggerCount-oldPreVetotriggerCount)/timeDelta - postVetoFreq = (postVetotriggerCount-oldPostVetotriggerCount)/timeDelta - oldPreVetotriggerCount = preVetotriggerCount - oldPostVetotriggerCount = postVetotriggerCount - - deltaCounts0 = thresholdCounter0 - oldThresholdCounter0 - oldThresholdCounter0 = thresholdCounter0 - deltaCounts1 = thresholdCounter1 - oldThresholdCounter1 - oldThresholdCounter1 = thresholdCounter1 - deltaCounts2 = thresholdCounter2 - oldThresholdCounter2 - oldThresholdCounter2 = thresholdCounter2 - deltaCounts3 = thresholdCounter3 - oldThresholdCounter3 - oldThresholdCounter3 = thresholdCounter3 - - print "pre , post veto triggers , pre , post frequency = " , preVetotriggerCount , postVetotriggerCount , preVetoFreq , postVetoFreq - - print "CURRENT TIMESTAMP HIGH, LOW (hex) = " , hex(timestampHigh) , hex(timestampLow) - - print "Input counts 0,1,2,3 = " , thresholdCounter0 , thresholdCounter1 , thresholdCounter2 , thresholdCounter3 - print "Input freq (Hz) 0,1,2,3 = " , deltaCounts0/timeDelta , deltaCounts1/timeDelta , deltaCounts2/timeDelta , deltaCounts3/timeDelta - - nEvents = int(eventFifoFillLevel)//4 # only read out whole events ( 4 x 32-bit words ) - wordsToRead = nEvents*4 - - print "FIFO FILL LEVEL= " , eventFifoFillLevel - - print "# EVENTS IN FIFO = ",nEvents - print "WORDS TO READ FROM FIFO = ",wordsToRead - - # get timestamp data and fifo fill in same outgoing packet. - timestampData = hw.getNode("eventBuffer.EventFifoData").readBlock(wordsToRead) - - hw.dispatch() - - # print timestampData - for bufPos in range (0, nEvents ): - lowWord = timestampData[bufPos*4 + 1] + 0x100000000* timestampData[ (bufPos*4) + 0] # timestamp - - highWord = timestampData[bufPos*4 + 3] + 0x100000000* timestampData[ (bufPos*4) + 2] # evt number - evtNumber = timestampData[bufPos*4 + 3] - - if evtNumber != ( oldEvtNumber + 1 ): - print "***WARNING *** Non sqeuential event numbers *** , evt,oldEvt = ", evtNumber , oldEvtNumber - - oldEvtNumber = evtNumber - - timeStamp = lowWord & 0xFFFFFFFFFFFF - - evtType = timestampData[ (bufPos*4) + 0] >> 28 - - trigsFired = (timestampData[ (bufPos*4) + 0] >> 16) & 0xFFF - - if (options.printTimestamps == "True" ): - print "bufferPos, highWord , lowWord , event-number , timestamp , evtType = %x %016x %016x %08x %012x %01x %03x" % ( bufPos , highWord , lowWord, evtNumber , timeStamp , evtType , trigsFired) - - # Fill root branch - see example in http://wlav.web.cern.ch/wlav/pyroot/tpytree.html : write raw data and decoded data for now. - tree.Fill() - - time.sleep( loopWait) - -# Fixme - at the moment infinite loop. -preVetotriggerCount = board.read("PreVetoTriggersR") -postVetotriggerCount = board.read("PostVetoTriggersR") -print "EXIT POLLING LOOP" -print "\nTRIGGER COUNT AT THE END OF RUN [pre, post]:" , preVetotriggerCount , postVetotriggerCount - -termios.tcsetattr(sys.stdin, termios.TCSADRAIN, oldTermSettings) -f.Write() -f.Close() diff --git a/TLU_v1e/scripts/test.py b/TLU_v1e/scripts/test.py deleted file mode 100644 index ac68201..0000000 --- a/TLU_v1e/scripts/test.py +++ /dev/null @@ -1,34 +0,0 @@ -import matplotlib.pyplot as plt -import numpy as np -import matplotlib.mlab as mlab - -print "TEST.py" -myFile= "./500ns_23ns.txt" - -with open(myFile) as f: - nsDeltas = map(float, f) - -P= 1000000000 #display in ns -nsDeltas = [x * P for x in nsDeltas] -centerRange= 25 -windowsns= 5 -minRange= centerRange-windowsns -maxRange= centerRange+windowsns -plt.hist(nsDeltas, 60, range=[minRange, maxRange], facecolor='blue', align='mid', alpha= 0.75) -#plt.hist(nsDeltas, 100, normed=True, facecolor='blue', align='mid', alpha=0.75) -#plt.xlim((min(nsDeltas), max(nsDeltas))) -plt.xlabel('Time (ns)') -plt.ylabel('Entries') -plt.title('Histogram DeltaTime') -plt.grid(True) - -#Superimpose Gauss -mean = np.mean(nsDeltas) -variance = np.var(nsDeltas) -sigma = np.sqrt(variance) -x = np.linspace(min(nsDeltas), max(nsDeltas), 100) -plt.plot(x, mlab.normpdf(x, mean, sigma)) -print (mean, sigma) - -#Display plot -plt.show() diff --git a/TLU_v1e/scripts/testTLU_script.py b/TLU_v1e/scripts/testTLU_script.py deleted file mode 100644 index 9d8b334..0000000 --- a/TLU_v1e/scripts/testTLU_script.py +++ /dev/null @@ -1,79 +0,0 @@ -# miniTLU test script - -from FmcTluI2c import * -import uhal -import sys -import time -from I2CuHal import I2CCore -from miniTLU import MiniTLU -from datetime import datetime - -if __name__ == "__main__": - print "\tTEST TLU SCRIPT" - miniTLU= MiniTLU("minitlu", "file://./connection.xml") - #(self, target, wclk, i2cclk, name="i2c", delay=None) - TLU_I2C= I2CCore(miniTLU.hw, 10, 5, "i2c_master", None) - TLU_I2C.state() - - - #READ CONTENT OF EEPROM ON 24AA02E48 (0xFA - 0XFF) - mystop= 1 - time.sleep(0.1) - myaddr= [0xfa] - TLU_I2C.write( 0x50, myaddr, mystop) - res=TLU_I2C.read( 0x50, 6) - print "Checkin EEPROM:" - result="\t" - for iaddr in res: - result+="%02x "%(iaddr) - print result - - #SCAN I2C ADDRESSES - #WRITE PROM - #WRITE DAC - - - #Convert required threshold voltage to DAC code - #def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - print("Writing DAC setting:") - Vref= 1.300 - desiredVoltage= 3.3 - channel= 0 - i2cSlaveAddrDac = 0x1F - vrefOn= 0 - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - dacCode= 0x391d - print "\tVreq:", desiredVoltage - print "\tDAC code:" , dacCode - print "\tCH:", channel - print "\tIntRef:", vrefOn - - #Set DAC value - #def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - ##return -1 - if dacCode<0 or dacCode>0xFFFF: - print "set_dac ERROR: value",dacCode ,"not in range 0-0xFFFF" - ##return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - - # print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - # dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # # if we want to enable internal voltage reference: - - if vrefOn: - # enter vref-on mode: - print "\tTurning internal reference ON" - #dac.write([0x38,0x00,0x01]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x01], 0) - else: - print "\tTurning internal reference OFF" - #dac.write([0x38,0x00,0x00]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x00], 0) - # Now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print "\tWriting byte sequence:", sequence - TLU_I2C.write( i2cSlaveAddrDac, sequence, 0) diff --git a/TLU_v1e/scripts/test_T0.py b/TLU_v1e/scripts/test_T0.py deleted file mode 100644 index cf81b33..0000000 --- a/TLU_v1e/scripts/test_T0.py +++ /dev/null @@ -1,92 +0,0 @@ -# -# Script to exercise AIDA mini-TLU -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import sys -import time - - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Check the bus for I2C devices -boardi2c = FmcTluI2c(board) - -firmwareID=board.read("FirmwareId") - -print "Firmware (from PyChips) = " , hex(firmwareID) - -print "Scanning I2C bus:" -scanResults = boardi2c.i2c_scan() -print scanResults - -boardId = boardi2c.get_serial_number() -print "FMC-TLU serial number = " , boardId - -resetClocks = 0 - - - -clockStatus = board.read("LogicClocksCSR") -print "Clock status = " , hex(clockStatus) - -if resetClocks: - print "Resetting clocks" - board.write("LogicRst", 1 ) - - clockStatus = board.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - -board.write("InternalTriggerIntervalW",0) - -print "Enabling DUT 0 and 1" -board.write("DUTMaskW",3) -DUTMask = board.read("DUTMaskR") -print "DUTMaskR = " , DUTMask - -print "Ignore veto on DUT 0 and 1" -board.write("IgnoreDUTBusyW",3) -IgnoreDUTBusy = board.read("IgnoreDUTBusyR") -print "IgnoreDUTBusyR = " , IgnoreDUTBusy - -print "Turning off software trigger veto" -board.write("TriggerVetoW",0) - -print "Reseting FIFO" -board.write("EventFifoCSR",0x2) -eventFifoFillLevel = board.read("EventFifoFillLevel") -print "FIFO fill level after resetting FIFO = " , eventFifoFillLevel - -print "Enabling data recording" -board.write("Enable_Record_Data",1) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - -#TriggerInterval = 400000 -TriggerInterval = 0 -print "Setting internal trigger interval to " , TriggerInterval -board.write("InternalTriggerIntervalW",TriggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns -trigInterval = board.read("InternalTriggerIntervalR") -print "Trigger interval read back as ", trigInterval - -print "Setting TPix_maskexternal to ignore external shutter and T0" -board.write("TPix_maskexternal",0x0003) - -numLoops = 500000 -oldEvtNumber = 0 - -for iLoop in range(0,numLoops): - - board.write("TPix_T0", 0x0001) - -# time.sleep( 1.0) diff --git a/aidatlu.egg-info/PKG-INFO b/aidatlu.egg-info/PKG-INFO index 5ab1187..5ebf994 100644 --- a/aidatlu.egg-info/PKG-INFO +++ b/aidatlu.egg-info/PKG-INFO @@ -1,16 +1,12 @@ Metadata-Version: 2.1 Name: aidatlu Version: 0.1.0 -Summary: UNKNOWN -Home-page: UNKNOWN -Author: -Author-email: -Maintainer: -Maintainer-email: -License: UNKNOWN -Platform: any -Requires-Python: >=3.0 +Summary: Control software for AIDA-2020 TLU +Home-page: https://github.com/Silab-Bonn/aidatlu +Author: Christian Bespin +Author-email: bespin@physik.uni-bonn.de +Maintainer: Christian Bespin +Maintainer-email: bespin@physik.uni-bonn.de +Platform: posix +Requires-Python: >=3.8 License-File: LICENSE - -UNKNOWN - diff --git a/aidatlu.egg-info/SOURCES.txt b/aidatlu.egg-info/SOURCES.txt index d91eb47..1729162 100644 --- a/aidatlu.egg-info/SOURCES.txt +++ b/aidatlu.egg-info/SOURCES.txt @@ -1,38 +1,11 @@ LICENSE README.md setup.py -TLU_v1e/__init__.py -TLU_v1e/scripts/AIDA_testPower.py -TLU_v1e/scripts/AIDA_testScript.py -TLU_v1e/scripts/TLU_v1e.py -TLU_v1e/scripts/__init__.py -TLU_v1e/scripts/config_parser.py -TLU_v1e/scripts/initTLU.py -TLU_v1e/scripts/make_it_work.py -TLU_v1e/scripts/startTLU_v1e.py -TLU_v1e/scripts/startTLU_v6.py -TLU_v1e/scripts/test.py -TLU_v1e/scripts/testTLU_script.py -TLU_v1e/scripts/test_T0.py +aidatlu/TLUPyProducer.py +aidatlu/__init__.py +aidatlu/aidatlu.py +aidatlu/logger.py aidatlu.egg-info/PKG-INFO aidatlu.egg-info/SOURCES.txt aidatlu.egg-info/dependency_links.txt -aidatlu.egg-info/top_level.txt -packages/AD5665R.py -packages/ADN2814ACPZ.py -packages/ATSHA204A.py -packages/E24AA025E48T.py -packages/FmcTluI2c.py -packages/I2CDISP.py -packages/I2CuHal.py -packages/I2CuHal2.py -packages/I2cBusProperties.py -packages/NHDC0220Biz.py -packages/PCA9539PW.py -packages/PCA9548ADW.py -packages/RawI2cAccess.py -packages/SFPI2C.py -packages/TLU_powermodule.py -packages/__init__.py -packages/si5345.py -packages/TLU_v1e/__init__.py \ No newline at end of file +aidatlu.egg-info/top_level.txt \ No newline at end of file diff --git a/aidatlu.egg-info/top_level.txt b/aidatlu.egg-info/top_level.txt index c31d529..976da23 100644 --- a/aidatlu.egg-info/top_level.txt +++ b/aidatlu.egg-info/top_level.txt @@ -1,2 +1 @@ -TLU_v1e -packages +aidatlu diff --git a/aidatlu/README.md b/aidatlu/README.md index e1b6cd3..eb4adf7 100644 --- a/aidatlu/README.md +++ b/aidatlu/README.md @@ -1,9 +1,43 @@ -# Usage -To start AIDA TLU one needs to import the uhal library for IPbus. -```bash -export LD_LIBRARY_PATH=/opt/cactus/lib -``` -then: -```bash -python -i aidatlu.py -``` \ No newline at end of file +# Configuration +Settings and configurations of the AIDA 2020 TLU are set through a yaml file (tlu_configuration.yaml). + +### internal_trigger +The first setting internal trigger allows the TLU to generate trigger internally with a given frequency. +To disable the generation of internal trigger one sets this frequency to zero. + +### dut_module +In the second setting called DUT module, the different DUT interfaces and operating modes are configured. +The possible modes are 'aida', 'aidatrig' and 'eudet'. +These correspond to the according operating modes with 'aidatrig' the AIDA mode with trigger number. +It is important to note that only working DUT devices should be enabled. +One not properly working DUT can block the TLU from sending out triggers. + +### trigger_inputs +Trigger inputs take care of the complete control of the trigger inputs. +Where for one the trigger input thresholds can be tuned in Volt between [-1.3; 1.3] V. + +The next setting controls the trigger logic. +Each trigger input can have one of three settings. The input can act as 'active', 'veto' or 'do not care'. +Between each trigger input there is also the possibility to set 'AND' or 'OR'. +A desired trigger configuration is set with the use of the [python bitwise operators](https://wiki.python.org/moin/BitwiseOperators). +These operators are used in conjunction with the input channels CH1-CH6 and interpreted as a literaral expression. +An input channel that is not explicitly set to 'veto' or 'enabled' is automatically set to 'do not care'. + +The last two settings control if the TLU should trigger on a rising or falling edge of an incoming trigger signal. +With the other dictionary one controls the trigger signal shapes. + +Each trigger input signal can be delayed and stretched by an amount of clock cycles. +This is set with a list containing the number of clock cycles for every different trigger input. +This value is written in a 5-bit register so the maximum stretch or delay in clock cycles is 32. + +### clock_lemo +The clock LEMO setting enables or disables the clock LEMO output. +Set to 'True' or 'False'. + +### pmt_control +Sets the PMT control voltage between [0; 1] V. + +### Others +Two settings concern the data handling. The creation of raw and interpreted data files. + +At last the zmq connection is set. \ No newline at end of file diff --git a/aidatlu/__init__.py b/aidatlu/__init__.py index e69de29..98af98d 100644 --- a/aidatlu/__init__.py +++ b/aidatlu/__init__.py @@ -0,0 +1 @@ +print('gg') \ No newline at end of file diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu.py index 622aaa5..ab1aa1e 100644 --- a/aidatlu/aidatlu.py +++ b/aidatlu/aidatlu.py @@ -51,7 +51,7 @@ def help(self): manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - config_path = "conf.yaml" + config_path = "tlu_configuration.yaml" clock_path = "misc/aida_tlu_clk_config.txt" tlu = AIDATLU(config_path, clock_path) diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index 5683eb5..de03245 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -84,6 +84,7 @@ def write_clock_register(self, address: int, data: int) -> None: def parse_clock_conf(self, file_path: str) -> list: """reads the clock config file and returns a panda dataframe with two rows Adress and Data The configuration file is produced by Clockbuilder Pro (Silicon Labs). + Args: file_path (str): File path to the configuration file. diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index 1128466..fbad55e 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -146,7 +146,7 @@ def switch_led(self, led_id: int, color: str = "off") -> None: """changes LED with led_id to specific color Args: - led_id (int): ID for the 11 LEDs, led_ id has to be between 1 and 11 + led_id (int): ID for the 11 LEDs, led_id has to be between 1 and 11 color (str, optional): Color code [white: "w", red: "r", green: "g", blue: "b", off: "off"] for Clock LED only [red: "r", green: "g", off: "off"]. Defaults to "off". diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 8442616..50b3cad 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -43,7 +43,7 @@ def parse(self, filepath_in: str, filepath_out: str) -> None: self.log.info('Data parsed from "%s" to "%s"' % (filepath_in, filepath_out)) - def read_file(self, filepath: str) -> tb: + def read_file(self, filepath: str) -> list: """Reads raw data file of the TLU Args: diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index bdfb96b..2c1e60e 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -458,7 +458,7 @@ def run(self) -> None: hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) clock_path = "misc/aida_tlu_clk_config.txt" - config_path = "conf.yaml" + config_path = "tlu_configuration.yaml" tlu = AidaTLU(hw, config_path, clock_path) diff --git a/aidatlu/scripts/full_start.sh b/aidatlu/scripts/full_start.sh deleted file mode 100755 index c3698fa..0000000 --- a/aidatlu/scripts/full_start.sh +++ /dev/null @@ -1,11 +0,0 @@ -#!/bin/sh - -CUR_DIR=$(pwd) -source ~/anaconda3/etc/profile.d/conda.sh -conda activate aidatlu -export LD_LIBRARY_PATH=/opt/cactus/lib - -cd -./git/ipbus-software/controlhub/scripts/controlhub_start -cd $CUR_DIR -cd .. diff --git a/aidatlu/scripts/restart_infrastructure.sh b/aidatlu/scripts/restart_infrastructure.sh deleted file mode 100755 index eb3f22b..0000000 --- a/aidatlu/scripts/restart_infrastructure.sh +++ /dev/null @@ -1,8 +0,0 @@ -#!/bin/sh - -export LD_LIBRARY_PATH=/opt/cactus/lib - -cd -./git/ipbus-software/controlhub/scripts/controlhub_stop -sleep 1 -./git/ipbus-software/controlhub/scripts/controlhub_start diff --git a/aidatlu/scripts/start_tlu.sh b/aidatlu/scripts/start_tlu.sh deleted file mode 100755 index 3ca7b17..0000000 --- a/aidatlu/scripts/start_tlu.sh +++ /dev/null @@ -1,4 +0,0 @@ -#!/bin/sh -export LD_LIBRARY_PATH=/opt/cactus/lib -cd .. -python -i aidatlu.py diff --git a/aidatlu/test/README.md b/aidatlu/test/README.md deleted file mode 100644 index 5fa7d37..0000000 --- a/aidatlu/test/README.md +++ /dev/null @@ -1,14 +0,0 @@ -# Test Directory -Test software and hardware of the AIDA-2020 TLU.\ -Use pytest: -```bash -pytest -``` -or with full log: -```bash -pytest -o log_cli=True -``` -Also to only test the software script use: -```bash -pytest software_test.py -o log_cli=True -``` diff --git a/aidatlu/conf.yaml b/aidatlu/tlu_configuration.yaml similarity index 61% rename from aidatlu/conf.yaml rename to aidatlu/tlu_configuration.yaml index a37ea0a..8fb3174 100644 --- a/aidatlu/conf.yaml +++ b/aidatlu/tlu_configuration.yaml @@ -3,31 +3,34 @@ internal_trigger: internal_trigger_rate: 0 dut_module: + #telescope dut_1: mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_3: - mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - dut_4: - mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' + #DUT + dut_3: + mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + #FE-I4/itk + dut_4: + mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: - threshold_1: -0.06 - threshold_2: -0.06 - threshold_3: -0.06 - threshold_4: -0.06 - threshold_5: -0.06 - threshold_6: -0.06 + threshold_1: -0.015 #-0.06 for scintillator + threshold_2: -0.1 #-0.06 for scintillator + threshold_3: 0.1 #0.1 for HitOr + threshold_4: 0.1 + threshold_5: 0.1 + threshold_6: 0.1 # Trigger Logic configuration accept a python expression for the trigger inputs. # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5'and 'CH6' # and the Python bitwise operators AND: '&', OR: '|', NOT: '~' and so on. Dont forget to use brackets... # eq.: "(CH1 & ~CH2) & (CH3 | CH4 | CH5 | CH6)" - # produces a valid trigger, when CH1 and not CH2 triggers, together when one of CH3, CH4, CH5 or CH6 triggers. - trigger_inputs_logic: '(CH1 | CH2 | CH3 | CH4 | CH5 | CH6)' + # produces a valid trigger, when CH1 and not CH2 triggers and when one of CH3, CH4, CH5 or CH6 triggers. + trigger_inputs_logic: CH1 trigger_polarity: #TLU triggers on rising (0) or falling (1) edge @@ -36,14 +39,14 @@ trigger_inputs: trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, #this could be important depending on the hardware trigger setup. - stretch: [2, 2, 2, 2, 2, 2] - delay: [1, 1, 1, 1, 1, 1] + stretch: [5, 1, 5, 5, 5, 5] # [10, 2, 1, 1, 1, 1] # stretch: [8, 2, 15, 15, 15, 15] + delay: [1, 0, 0, 0, 0, 0] # [30, 0, 0, 0, 0, 0] # delay: [30, 1, 1, 1, 1, 1] clock_lemo: enable_clock_lemo_output: True pmt_control: -#PMT control voltages in V + #PMT control voltages in V pmt_1: 0.8 pmt_2: 0.8 pmt_3: 0 @@ -54,5 +57,4 @@ save_raw_data: True interpret_data: True #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: #"tcp://127.0.0.1:6500" # 'off' - +zmq_connection: "tcp://192.168.69.110:7500" # 'off' diff --git a/aidatlu/tlu_data/README.md b/aidatlu/tlu_data/README.md deleted file mode 100644 index cdd3299..0000000 --- a/aidatlu/tlu_data/README.md +++ /dev/null @@ -1,3 +0,0 @@ -Data folder to collect raw output data and interpreted data. -The data format is: tlu_raw_runnumber_date or tlu_interpreted_runnumber_date. - diff --git a/docs/Makefile b/docs/Makefile new file mode 100644 index 0000000..d0c3cbf --- /dev/null +++ b/docs/Makefile @@ -0,0 +1,20 @@ +# Minimal makefile for Sphinx documentation +# + +# You can set these variables from the command line, and also +# from the environment for the first two. +SPHINXOPTS ?= +SPHINXBUILD ?= sphinx-build +SOURCEDIR = source +BUILDDIR = build + +# Put it first so that "make" without argument is like "make help". +help: + @$(SPHINXBUILD) -M help "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) + +.PHONY: help Makefile + +# Catch-all target: route all unknown targets to Sphinx using the new +# "make mode" option. $(O) is meant as a shortcut for $(SPHINXOPTS). +%: Makefile + @$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O) diff --git a/docs/make.bat b/docs/make.bat new file mode 100644 index 0000000..747ffb7 --- /dev/null +++ b/docs/make.bat @@ -0,0 +1,35 @@ +@ECHO OFF + +pushd %~dp0 + +REM Command file for Sphinx documentation + +if "%SPHINXBUILD%" == "" ( + set SPHINXBUILD=sphinx-build +) +set SOURCEDIR=source +set BUILDDIR=build + +%SPHINXBUILD% >NUL 2>NUL +if errorlevel 9009 ( + echo. + echo.The 'sphinx-build' command was not found. Make sure you have Sphinx + echo.installed, then set the SPHINXBUILD environment variable to point + echo.to the full path of the 'sphinx-build' executable. Alternatively you + echo.may add the Sphinx directory to PATH. + echo. + echo.If you don't have Sphinx installed, grab it from + echo.https://www.sphinx-doc.org/ + exit /b 1 +) + +if "%1" == "" goto help + +%SPHINXBUILD% -M %1 %SOURCEDIR% %BUILDDIR% %SPHINXOPTS% %O% +goto end + +:help +%SPHINXBUILD% -M help %SOURCEDIR% %BUILDDIR% %SPHINXOPTS% %O% + +:end +popd diff --git a/docs/source/Configuration.rst b/docs/source/Configuration.rst new file mode 100644 index 0000000..57859d8 --- /dev/null +++ b/docs/source/Configuration.rst @@ -0,0 +1,7 @@ +.. mdinclude:: ../../aidatlu/README.md + +Configuration File +********************** + +.. literalinclude:: ../../aidatlu/tlu_configuration.yaml + :language: yaml \ No newline at end of file diff --git a/docs/source/Documentation.rst b/docs/source/Documentation.rst new file mode 100644 index 0000000..627bb12 --- /dev/null +++ b/docs/source/Documentation.rst @@ -0,0 +1,303 @@ +Documentation +================== + + +Introduction +************* + +The documentation presented here describes a newly adapted Python based control system. +This system is mostly adapted upon the EUDAQ2 TLU software (https://github.com/eudaq/eudaq/tree/master/user/tlu). +And gives a rough summary of the original documentation (https://ohwr.org/project/fmc-mtlu). +In the following the control of the different hardware components as well as additional features of the control software are described. + +Hardware +******************** + +Inter-Integrated Circuit I^2C +--------------------------------- + +The configuration of the different board features and hardware components goes via I^2C interface. +This interface is widely used as a serial communication bus IPbus (https://ipbus.web.cern.ch/doc/user/html/) +and provides the protocol for the Ethernet communication driver. +The user interface is uHAL (https://ipbus.web.cern.ch/doc/user/html/software/uhalQuickTutorial.html) a C++/Python library. +Each register has an identifying address. The addresses can be found in a yaml file. +The script i2c.py writes and reads bits to and from each of these registers. + +I/O Expander +--------------------------------- +The TLU uses four I/O expander chips PCA9539PW. +Each of these chips provide two 8-bit input output expansions and can be used in parallel for general purposes. +The 11 front panel LEDs are controlled by two expanders where the other two configure the 4 HDMI inputs or outputs of the DUT interfaces. +To configure the chip to set for e.q. the polarity of one 8-bit expansions a command byte is set to the register. +The script ioexpander\_controller.py writes the command byte to the right expander. +To control the four expanders the script uses an identifier for the +LED expanders (io\_exp = 1) or output expanders (io\_exp = 2). +To differentiate each of the two expanders another identifier is used (exp\_id). + +Clock Chip +--------------------------------- +A Si5345 clock generator chip provides the clock. +This clock is used internally in for e.q. the trigger generation, but can also be distributed to each of the DUT's. +The chip allows the generation of internal triggers in principle up to 160 MHz. +The trigger rate is calculated from clock intervals this leads to a rounding error for higher trigger frequencies. +So higher trigger frequencies are shifted slightly. +The clock chip needs to be configured. To do so a configuration file containing ~380 address-data pairs is written to the chip via I^2C. +This configuration file can be generated by a software (https://www.skyworksinc.com/en/application-pages/clockbuilder-pro-software). +The default clock frequency using the default configuration file is 40 MHz. For now this frequency can not be changed. +The script clock\_controller.py configures the chip. +Most of the other functions are just used for bug fixing. + +Digital-Analog-Converter DAC +--------------------------------- +To transform the different output and input voltages from digital signals to analog signals (or the other way around), +three AD5665R DAC's are used. +Here one DAC's is used for the photomultiplier (PMT) power outputs the other two for the threshold of the trigger inputs. +According to the data sheet the DAC's have an internal reference voltage of 2.5 V. +Although the external voltage of 1.3 V is set as default for all implemented user cases. +Each DAC has four output pins that can be used in parallel. +Functions to control the DAC's can be found in voltage\_controller. + +Power DAC's ++++++++++++++++ +The four output channels of one DAC are dedicated to the control voltage of the four different PMT power outputs. +Each output has a range of 0 V to 1 V, with the external reference voltage. +An internal reference voltage leads to a possible output voltage of up to 2 V. + +Power Module ++++++++++++++++++ +Four 4-pin LEMO connectors not only deliver a control voltage to the PMT's but also distribute power in general. +The 4-pin LEMO has the following pin connections. +Pin 1 is used for general power 12 V, pin 2 is not connected. +The control voltage is on pin 3 and has the range [0; 1] V. +At last pin 4 is connected to ground. + +.. image:: img/4_pin_lemo.png + :width: 300 + +Three green LEDs on the front panel indicate the correct functioning of the power module. +The POWER LED for the 12 V supply voltage, the other two are for the 5 V voltage regulators. + +Threshold DAC's ++++++++++++++++++++ +To transform the analog signals of the 6 trigger inputs to digital signals two DAC's are in use. +The first two inputs are connected to one DAC the last 4 to the other one. +Each input channel is connected in reverse to the DAC input. +A mapping in software corrects these connections. +So to set the threshold of one input channel one uses the function set\_threshold with the trigger input from 1 to 6 +and set the threshold to Volt. The threshold range is [-1.3; 1.3] V. +The calculated voltage resolution is about 40 uV. +These values correspond to the external reference voltage, as the default. + +HDMI connectors +--------------------------------- +Four HDMI connectors are used as the interface between the TLU and the different DUT devices. +Each pin works bidirectional any two differential signal pairs can be set as output or input. +The direction of the HDMI pins is set by two I/O expanders with the following signal pins. +The first differential signal is a clock signal (CLK). +This clock signal can be enabled/disabled and is provided either by the Clock Chip or directly from the FPGA clock. +Depending on the operating mode also different words are sent through the clock line. +The next signal is the content (CONT). This signal is used by the TLU to issue control commands. +The BUSY signal is usually set by the DUT and raises a VETO for the generation of new trigger depending on operating mode. +SPARE is only used by the AIDA mode and raises a reset signal at the start of runs and should also be driven by the TLU. +Trigger (TRIG) is set by the TLU at default. +Through the trigger line not only trigger signals are issued but also trigger words depending on the operating mode. + +.. image:: img/hdmi.png + :width: 400 + +.. table:: + :align: left + + +---------+------------------+ + |HDMI PIN | HDMI Signal Name | + +=========+==================+ + |1 | CLK | + +---------+------------------+ + |2 | GND | + +---------+------------------+ + |3 | CLK* | + +---------+------------------+ + |4 | CONT | + +---------+------------------+ + |5 | GND | + +---------+------------------+ + |6 | CONT* | + +---------+------------------+ + |7 | BUSY | + +---------+------------------+ + |8 | GND | + +---------+------------------+ + |9 | BUSY* | + +---------+------------------+ + |10 | SPARE | + +---------+------------------+ + |11 | GND | + +---------+------------------+ + |12 | SPARE* | + +---------+------------------+ + |13 | n.c. | + +---------+------------------+ + |14 | POWER | + +---------+------------------+ + |15 | TRIG | + +---------+------------------+ + |16 | TRIG* | + +---------+------------------+ + |17 | GND | + +---------+------------------+ + |18 | n.c. | + +---------+------------------+ + |19 | n.c. | + +---------+------------------+ + +DUT Logic +--------------------------------- +The DUT logic in dut\_controller.py sets the DUT operating modes. +Different DUT devices are enabled or disabled by the function set\_dut\_mask. +One important thing is to only enable DUT interfaces that are in use. +Enabling to many DUT interfaces blocks all HDMI connections XX. +The operating mode is set by the function set\_dut\_mask\_mode each DUT is controlled by two bits in an 8-bit WORD. +Bit 0 and 1 control DUT 1, bit 2 and 3 DUT 2 and so on. AIDA mode is set by setting bit to 11 and EUDET mode by setting 00. +So to set DUT 1 to AIDA mode and the rest to EUDET mode one hast to set the bit-WORD '00000011' to the function. + +Trigger Logic +--------------------------------- +The TLU can trigger from six different trigger inputs. +Each input can be enabled or set to VETO new triggers. +Between each trigger input there is also the possibility to set AND or OR. +This leads to 64 possible combinations of so-called trigger words. +Each trigger word describes one specific trigger configuration. +Where one obtains the resulting trigger configuration to write into the trigger logic register by adding up all desired valid trigger configurations. +The software uses two different variants of these words, one is the long word variant. +This is just the 64-bit trigger word. +For the second one the long word is split into two 32-bit words (mask\_low and mask\_high). +To help with the generation of these trigger words, the software uses a specific function to translate +the trigger settings in the configuration file to these words see sec\ref{sec:config}. + +The trigger signals from the different trigger inputs can be stretched and delayed accounting for +different trigger hardware setups. +Also, the TLU can trigger on the rising or falling edge of incoming trigger signals. + +An additional feature of the trigger logic is the generation of internal triggers. +In the configuration file a specific trigger frequency can be set and the TLU will then generate triggers with said frequency. +The theoretical range of these triggers is between 0 Hz and 160 MHz. +Because the trigger frequency is calculated in reference to a clock interval, there is for now a +rounding error for higher frequency. This shifts the actual output trigger frequency. + +The number of triggers since the last trigger VETO is stored together with the +total number of triggers per run. +From these numbers general status messages for e.q. the trigger rate are generated. + +Operating Modes +***************** +The TLU can run in different operating modes. This allows more flexibility for different DUT readouts. +Different modes can provide clock synchronizations or trigger number together with the trigger signal. +One can also set a veto signal for new signals to the TLU. + +EUDET Handshake Mode +--------------------------- +The TLU sets TRIGGER to high for 1 clock cycles. Afterwards the DUT asserts BUSY and sends a clock to the TLU through CLOCK. +This clocks out the trigger number from the TLU to TRIGGER. +To set the software to the operating mode a 0b00 is set to the DUT logic. +One the other hand this mode can also be asserted with the configuration.yaml file. +The clock output needs to be disabled for this mode to work. +If the clock output is enabled and set to for e.q. chip then the trigger number is not clocked out correctly. +Where only the least significant 15 bit of the trigger word are sent out. + +AIDA Mode +----------- +At the start of a run the TLU sends out a RESET signal to the DUT. +This signal can then be used by the DUT to synchronize the timestamp of the device and the TLU. +Then the TLU sends triggers continuously to the DUT. +Where each trigger signal has a length of one clock cycle. +To generate a new trigger no answer of the DUT is needed. +But DUT can veto new trigger signals at any time by asserting BUSY. +To set the AIDA mode the following configurations need to be in place. +The following is a checklist for the working of the AIDA mode together with the BDAQ board. + + * AIDA Mode BDAQ Firmware. + * Change Testbench yaml. + * Change Trigger Mode from 3 to 2. + * Change Trigger Handshake Wait Cycle from 5 to 1. + * Use special clock cable configuration. + So enabele the clock LEMO output of the TLU + and connect the clock output to the BDAQ board. + * Check Cable length to synchronize clock DUT signals. + * Note when starting triggering, + the DUT scan needs to be started before the TLU scan for the + RESET to arrive. + * For now also the aidamode needs to be enabled in the scan configurations. + This can for now only be found on a special tj DAQ branch. + Or in the testbench yaml, depending on the setup there is to enable RESET option. + +If only one BDAQ board is used in AIDA mode there is a chance for two very fast trigger to occur right one after the other. +If the distance between the triggers is smaller than the distance between the first trigger signal and the BUSY signal. +Then the tlu sends out two triggers because no handshake is awaited. +This leads to an eventnumber drift. +Another important thing is to follow the procedure for starting an AIDA run: + + * configure TLU + * start all DUT's, telescopes and timreference planes + * start TLU run + +AIDA Mode with Trigger Number +--------------------------------- +This operating mode is an extension of the AIDA mode. +The difference to the standard AIDA mode is, that additionally at each trigger +the trigger number is sent through RESET. + +Additional features +******************** + +Online Monitor +---------------- +The Online Monitor (https://github.com/SiLab-Bonn/online_monitor) creates real-time plots of a dataset. +This allows live observation of the trigger rate during operation. +The TLU scripts sends status information containing the trigger rate, event number, trigger number and run time to a converter script. +The converter script translates the data format and sends the data to a receiver script. +The online monitor uses a receiver script to create the real time data plots. +The Data is sent and received using ZMQ sockets (https://zeromq.org/). +The ZMQ connection can be enabled and disabled in the configuration file. +To start the online monitor one navigates to the directory and uses for e.q. the terminal command: + +.. code-block:: console + + start_online_monitor configuration.yaml + +The next command reliable stops all instances of the running online monitor: + +.. code-block:: console + + stop_online_monitor + +Tests +------ +With pytest (https://docs.pytest.org/en/7.4.x/) the AIDA TLU control program can be tested. +In the test directory different testing scripts can be found. +The easiest way to test the whole setup it to navigate to the directory and type pytest into the terminal. +This starts a series of testing functions that start and stop different aspects of the control program. +The test setup helps to find bugs when further developing the TLU program and also to check for depreciated functions. +The command: + +.. code-block:: console + + pytest + +executes the complete testing infrastructure. +But also the individual log outputs can be displayed. + +.. code-block:: console + + pytest -o log_cli=True + +Tests can be run individually. + +.. code-block:: console + + pytest software_test.py + +Integration into EUDAQ2 +------------------------ +Due to the similarities of the python control software and the established EUDAQ TLU software +an integration into EUDAQ2 is possible. +The TLUPyProducer.py is an example skeleton of such integration. \ No newline at end of file diff --git a/docs/source/Introduction.rst b/docs/source/Introduction.rst new file mode 100644 index 0000000..9e95b08 --- /dev/null +++ b/docs/source/Introduction.rst @@ -0,0 +1,8 @@ + +.. mdinclude:: ../../README.md + +Structure of the AIDA 202 TLU python control software. + +.. image:: img/structure.png + :width: 600 + diff --git a/docs/source/conf.py b/docs/source/conf.py new file mode 100644 index 0000000..bb68ed2 --- /dev/null +++ b/docs/source/conf.py @@ -0,0 +1,56 @@ +# Configuration file for the Sphinx documentation builder. +# +# For the full list of built-in configuration values, see the documentation: +# https://www.sphinx-doc.org/en/master/usage/configuration.html + +# -- Project information ----------------------------------------------------- +# https://www.sphinx-doc.org/en/master/usage/configuration.html#project-information + +project = 'AIDA-TLU' +copyright = '2023, Rasmus Partzsch' +author = 'Rasmus Partzsch' +release = '0.9' + + +import sys +import os + +# If extensions (or modules to document with autodoc) are in another directory, +# add these directories to sys.path here. If the directory is relative to the +# documentation root, use os.path.abspath to make it absolute, like shown here. +sys.path.insert(0, os.path.abspath('../aidatlu')) +sys.path.insert(0, os.path.abspath('../aidatlu/hardware')) +sys.path.insert(0, os.path.abspath('../aidatlu/main')) + +# -- General configuration --------------------------------------------------- +# https://www.sphinx-doc.org/en/master/usage/configuration.html#general-configuration + +extensions = [ + 'sphinx.ext.napoleon', + 'sphinx.ext.doctest', + 'sphinx.ext.autodoc', + 'sphinx.ext.autosummary', + 'sphinx.ext.todo', + 'sphinx_mdinclude', + 'sphinx.ext.viewcode', + ] + +autosectionlabel_prefix_document = True + +templates_path = ['_templates'] +exclude_patterns = [] + + +source_suffix = { + '.rst': 'restructuredtext', + '.txt': 'markdown', + '.md': 'markdown', +} + +autodoc_mock_imports = ["hardware", "DutLogic", "main"] + +# -- Options for HTML output ------------------------------------------------- +# https://www.sphinx-doc.org/en/master/usage/configuration.html#options-for-html-output + +html_theme = 'sphinx_rtd_theme' +html_static_path = ['_static'] diff --git a/docs/source/hardware_code.rst b/docs/source/hardware_code.rst new file mode 100644 index 0000000..bccfdb3 --- /dev/null +++ b/docs/source/hardware_code.rst @@ -0,0 +1,40 @@ + +Hardware Level +======================== + +Clock Control +-------------------- + +.. autoclass:: aidatlu.hardware.clock_controller.ClockControl + :members: + +DAC Control +-------------------- + +.. autoclass:: aidatlu.hardware.dac_controller.DacControl + :members: + +DUT Control +-------------------- + +.. autoclass:: aidatlu.hardware.dut_controller.DUTLogic + :members: + +I2C +-------------------- + +.. autoclass:: aidatlu.hardware.i2c.I2CCore + :members: + +IO Expander Control +-------------------- + +.. autoclass:: aidatlu.hardware.ioexpander_controller.IOControl + :members: + +Trigger Control +-------------------- + +.. autoclass:: aidatlu.hardware.trigger_controller.TriggerLogic + :members: + diff --git a/docs/source/img/4_pin_lemo.png b/docs/source/img/4_pin_lemo.png new file mode 100644 index 0000000000000000000000000000000000000000..9a97942f38bce03a65817fa6fff7859d5ce71d89 GIT binary patch literal 26238 zcmXtg1zc3m_x94=-6cp3-<4vLBlt{6mp{|G*W+sepjD9Oms zy0|%8**aK4AS}rN$>NG#FDQGA-;~O+VZX-J?AOR+kA5#?NAzBUZW8^gNS5Ipk}7!$ zA&Ejq{mM$8*;Gf5zAlQc6z)C5^_{DhM>76XCZR#Mx64AJ*EO}*F(jzvw@$4&r3U|y z;EgG}h}zQCnPuua2ic^s2-^ zsMj*$oY=bF&~n)Cj3m;1ZV)96+b{m}R01b0A#9J*3?xxLe=$)oig0Xwp{ieaOuS>@?q`3regUVbtvf)m zvVu#>p>g%I@0ZMB%Y)yi*=3xB1h?-u1sU;RS&+?MD#${fV807n%2L4 z6!sVHvt*eqxQODRq$-E9jX{Kl%Q3BH2A-saD9K9cc+VZ=`S|Nh-9AayS~F#{r&@kL zMx#Tsh(MH{L4bE+vFkDDW;G}^sBYMso-=5(d;2A$z^_ftP6~;rE*Rbv4>c(~DLmXE zDPVbec6ynHCq$HYmKKe7;5@L!dsqB=q@`)J>3U&Qc-tqx3rZd@kBi7t%1s`>lR7|` z%2udTUZ`bz_f(-HRjwsd`dX%3OX{28i?4s$ZTLD-8TiOKATPd6%T!FkK@j03^w^2P zpBpi1Nbt~R=+I_x-=;K6U6`T`if9PLQ(ANe?FM&lRtj7ynW2^z75#!6N=sU;=#Lxj zSp3?4YON15nk2ytMM(JlDvM|E&GwU*C!x&R z8lpgsYPu3GqXcSbH4`Q>!q1<6<4dy<2??BaURO{1hv~ETEj6Os*k0IUk?e zsyJP$Ni=&15MyvcX_+vZq+>p12=MX$D6VNj`s$cBwtqP>i0tVJ@2#}By15JItd8UD z+ZZ;qIo2ih?>(eAA|k)W#}-1jwgmVDeiZ*I^IG^uw{lKfx860P1`+KTed1aP8{G~W zIxM(aIrlcH(KD&lTRCqEYvU)6*Ra2Pki75}_mIS(`znu8FOO1cfKaN8RjQ0ouZ#fx zo#*7=gP$`T8TE^;SzLStNMP*z{5A#mpSbd|@+ESPG7NTh6dG@*gIgtTvGNkTOl2In z!ht#y%<#IgrG;YTTnJ-Vc1&=JsAwDn?6rYe+o7% z>W$|UXD7y>KB_`JyOs-X!x0l-Xg90bS*1tCr$Lm$99tTX*!zh*IVn(BRKEE$S4y9q zIJcX=Q3!59MYIp^=m-i5D+=Vj5?S`wy_O50xW!yD1@$^XzUM_1d-@oZa{@=-CcWc4&4;nE-S>0q+*MC|S!c7f5- zjH+Z6tfxN*^;y-fu5Cb$3wk1dl(FS@6Q06B!~@Xz1iT8%O9|pR@^*H}ThuXQdqOkI zL_4KJxf%G9&eL8pF3%$zIjPZ-3{Z5La2Gdy#duXe{Mpe)=8eMWXG;CULpf9&G}(*a zE{)2$14)QdYhkpNB*0YHzPk~o8YCM}F6vl|y9&dk_;GP>v-DkKtX1t>L4C($tq-=<3{ zo6$+mxQa?@{btOO{HZ&`0&vB7ajC1+EX3IQO!$gh%c(MzD>OA15yMP(wi0{HI!602 zYHF?Nh1wJ26ku*>W+tm3b!xe>K_tzoi|JRHsS~AVb}^T8&4!5_7Yo0lYnF z^%JUm3Er!B9Q{q+NU97%<-UGCCQG?OH@Du&tN(1oa9Lqn*%E8SY7?8HsdL*EkL)P1 zQNPH=qmc2HcJ`ku>J5@tg_XsodVOZjTsR*YpSAbBRF-G>XR9Kdx;lw0B9uM!-Lgmw zzVeV~kQ^(I%fV!0y@WNkcU@Iu6qGwrX&(^>iKPF{wK3n3Sb94lS0?)Ij*(=n2em*} zN!AE%)TfJ%M~BOlMRCPKPbc?@AnJOLD=G?yZ&rdQDT+^tEldZCjb?LZ+@vlwpE0+; zyBCp}z0(2FkAeu3Gk`DJbSIT9`He>+;BfutA~np)*0F~PS_&7fP1M*C6ulo-H<>X`xgmbB4xXqPCx{n zotT3`5ojs;xss(SRYJjFxC+yc#^tU>ve*>sjyJ4y=6LI&<2JIkKH?)5BvMs%xjz?y zISi4MzF3picR>RV&tsW1KqTZULO}GYp7>gH1|u2DjL$0_U`ys_Caqy#*FW+rF19C~ z_(7`CkaMehv@^F+-=ub+C>sQ_wXkYi}uy`9PU%@*+y6Kjjk_#4&cR&wjz12h?4CY*JW^7}V*&PHhPHUx;DQTpX zI-_%x)eGR6M+{|E11fqR-~yNQYWRq*=-vF8Uz*Fa;ap+Xrh_2Q<|VFwyuVP-0% zDQi~2!%Uf4op(tCuY}xKN#0>O5?}ZA$(l;J!3&q*0}t&q`0VV^i?hpIq`@+LB`gwM zZRRTGFZOmoBm9*)Vv?~=4DYNQF@srii&(XYBhND?1|Vej@Rq+39q=lHTq`t;tKR?8 z^k&e!Em%6Oc6Roo7LD=r!+iE8N2(GOp7qBy7Vc?whoK5N( zpeAH#d0h3oaEnvqEe2TqU07snG{1B^L!g6AN>)?HAiN`0Kw9vj1X~E_8I8ftPu?($J=_?@MXS$+;&p1D-ZH zLof!Ct_x?`q)PDltyrbn83&7nYZ{GoSoyDLBoZQfiE9I-9`hS5ZRu||mZel==0yBX zmb>(m28P||8JT`}s?Q2@rpMFBt1Id(# zKUYq*0ktJoCQ5ctJ$7G(f6}pTpg+4hl8j4Gvnm-ht4SghL{K{A1wz2RD4AFvJEhc_ z6397>MaAfSUy8xjz>6X;MjlJ5jp`m~~ZN^RRdS*z6{(hJs zB?9r*99V;k5TCdb%wA(bC1P?M!jLqu5$7h682e@-Wo7$NUY*Bjns zXbI@RN(9WYsm0iDZBOGW-qGRkm2dfJobVN(_)>8xp(%Fv9u4gdHFA&F5i8TfG66I`IqX?-|0 z_3-35hs|T5$&MNgWJhLcgq9k1{t@-hq#f&J=Xe+YsC7O)?^mpfwa%N`>}xoGy!k z#OZb5!G`|iB!5Z>u%_-whHuq~K1jbe6hHv9&lrtJEMp^!rezB8LF46NqfgSb;wC+j zxl^~&E`nvFYTGY%`>uN`4f?mmKDD?j`%=jC(MlGsgARx&-q68GJFF`zl_-@eTA1Ld z^JS%95m0anxH0x$#-Vi`WJ_7lhGrc^=Jj+A_Mo}AzcWi4vT!?)^q>^U%a4-<_TxYj zp=Ddcg8?GeeRnpvyHV-H$8ezrN@j*)rRVq3Ui3e8izJxj;f`i}fE68YLfXSaq&0uf7;%{`RiPr4hbgTB=HAFqU0m4+%!#{jfOh`0I zBf~_d6gwjjE`$Z>`NG-?6orJGQ8KP1eEm6^pEOupPx{ezE;oXe z!3--&QX z*`2*3(=;EYXNs!t>DO_?(-~IIUG~x4Q6`&1&2;`uCjZmNrKZuV*y8`#;-G#-kc!L^ zxG0%Ke5o0Dd}fr79DK$|f)Cwf{Y1*Z6u>f4Teu-5JbFZG_L|jHyD8CA9vt?+2v?=g zWE)#tMELqz^y`r*;}}M*6*6=%O*ws5R1H=cL?gLYH(FgyKTd4dO|R z?~EJ$BG$64jTyuAc#tCGX6`3zQBm`eYGSz-Q(XUj zdp{srRk26c?`rKES6lF(Piz_&{B#dv68#o#VmD*vGZ#6_su*uvgdHjkQc$au)bb~+ z-ND{V4hI8Fy3wcZoyVG?Cv{0&-{wk2DcZ(1+)4z=)B94}ALdgWwlgOy4;Srv!pf!S zkdsrCW0S71>#pG`B2RcY;!h)eAx}qWOw{E_Z{c-ngv$U@s%uhE`-)O{T{PC36cL^@b zE}8S#+W+QBYwJW$)+yikM6y4op$*TOY46&Ws2+r0)zENdYfeBM8&N0cYLy*R%S8xG zG>V!WCY=vx3M8ecjxLmQvPJ zFH0;|^V9Tx@}o9#oIHcL5G=&F33;Be*BMZiu=5Ll=(LM@4tCQ$V8y@=edeU%a^mQb z0k)l58DO!ubCNL~u=Evz&7s@9eB=GBU45&OQ<_9x)_(^J^%RFxRNe>U@e@uP=D~93 zR$-&&YD$*5Lq$E?|DK@NWl2uX?qXSY71qlje>uU?omN_2P8Q_-IGRO774u{He!s3h ztRxI~Am;ZD3Ggg}GTvP}Sgk>_@^Ggoa%t%j_%zBmqd$(Y?T3J_i zB}N>SIy1~Crp^Ezi=w?U|DzAGtc z(Yg08cMj8e2Jy|i=o&~;z>J@ZB@_rnEIU7Ns9EQB?Z&ysnV+3M|9S(_TzzC=|0-|) z=GsJGN|Ve=ZX`H|E&e@-gwgwsap}s2{cYVW?u4qW0g zHb{S9TCh40ifj6I4TR2>`e~!C5CoKygWA!x*1ty-S2uHW1_yKX7_+mpHqOpbij2~- zvWQ1N_c_@O>TD*bA5QydG&M9#Ubi|eiiC$}1*v!)EyP4dR;-#k2vCel{5`lJzwG~I zkul)WvB2UYOzA5sA7b!T%F8S0n~R#6*cM|iLc2+}!B-{*eLS`dt_WFrvQr`I#5+rb z8}Fa=?EMtFU#gg>E5DR0XuqUr{&-|T+bPnmU}eQb?X!VLNJIn&F?jPPN<8pzu70L1 zNYdZm|D>BhomNPQ6blRMW+PR-+HqcBA?WF0rp6rQ#{V&Tx~jaqBLaiuQk zbX*+eR1EO-2B*dMI1)Dyb+J>#k$myk^X*ad`3A?EL+2pTjpJ}>x{q4V&(6vXXAi=?KerhjON;%cTuA<=B=%d5}jpo~U93{M2**{e3rR)8jG|tKPy0V=s z@HAGlK(S(Ktv{JT$mfi4$?v#@#}aL!*@sug8#6jZ@_rQu609!yKIInO@dHnv?f3lCCP&HOF)H)VqIpIN}8@>BR9;&{IIAo~h4{lgVUhMFj>22S*7p zDuP*YO%2}s4`&5`|K^_cpFe+AJ1mHU`W){^(d^z!|dprVS31?Asu$tRyNj>m_;HNbXtbalHXCI~15 zow~blB>m5OP-1(?Yfm7-#!q+CGDq4hce+{|ZcQ%l-cdcMx;A;AbfBE}QaGDTSH1P# z|N4WL@IzGm5pdvygoMV+KX1yWqiTPQvd8~yOGNQ+F)ZgN4asOw%N=fw{&2U2mzd6$ z_W8@J;x7$&Wh0yP?b8J3+r2OLIO$}T5XnExYxp*y*JE+)@St(D5ewvC3C8e-KD6NB zQ6pM2zxOAje27L<(A3BB_*p@f>Arog=x>NJDt3;!<`AI_N2iCg>h7KmS47fHfWc?c29PUPqMZ7#KwpyD%?Y2)JJKUW;MY(9{fpxy*9l zUyjG~f~S?ozsJ^w6;)N(z>x&PO)6Z<_(1nrZeqUpZY| zTud6Um}w0Vm8HjnM?g3|8{%T%=O?uhZsjdFDz>0KmTZT1Vnl;@Z zj+zWBmV~Q!AeC9+;+8Za=zg8%X7M;M>Wy;?(ckN1nhEEj!9i~KEfr9C!i>=>9S(wq zhNk!30&OYq@1gDG*qgJ<#_KB`Z=W#**T&?8+HDL?&(gcdQGNzK-1F^`(9|pmyHu$F za||`>x3Vwo`!1(+^=P-A$#%^usq`Ych*Y39Mf@JYxDAYphnZfY_!TKJhe5b`UqXnx zs>iAVO+YwvzBfFWcV`R%bGzBww@YS2864inE!XYqr!G5VbnI_`rt!T0?tN+ovNMPx zSjZZni29u)hy&vJ&2-yi59!yWp-of_3>kZSwvjy1C}H=iPe>Z9>9{enAZY0|y3n;g zT)hzz7KVdJJl(rH%v8gHU!SX5TLs4Lcyj?5b1+kbK#7eKbT?7ZyJYe^D%TvKQ8;u7^DHRXgf^242#|LNhXD;_mO)`d$%C!LhFKn z3_3B$%D4gzNF5zuv0N{9G-J1czj4ZVL)wewVw2L+5F?~Ra3t?ts{NlHANof|A`=sF z1RQ4CZ{k~8#04DZ5L=8A+nyediNBRj+10$;|0A^u2f1GKqCtd*v$L~9wr1%?|MC6% ze{0w+MmX{E2rvH%Q)0uz!!y-|N<7|}Z)|U`_mCJ8CuY>MiJF_@H`?(E91P8^Fwqpo{%+t{@@g@#Qp zdU7jfQ)ke>!CF^;U079vg*8mYO=U7^Qzzsign*G^h9~KVOy-+wYva@v!a&AQCDXa? zO1pAy!cAKA$A+xvV;oMTQB-p$l&_&~y@3d0#f0laLj%$5DXbZOZ|^S&HVNb7vsk(@ zyyE^0Ntu~Zy}ggQ&w=r7(O7blxVpLuc^;5rQ;XT~ypq+@O6hSgD=npCV~eFT02hT= z^n{4d1bezKqVj3PeF^1Dl6n4vwgXoqore#BR8>{kI5^14$RKof_C8{*8Ys8&zfe$! z>e(>VDkmHs9wvA#42;kFYSy|2)C~8VTtQ7o=L;a?c;D@o(WMSl1N-i9FQ2jlWrw}W z>Mw1$79;13=`PSQmB`=NH;u`Seb(R4XWSP$Y()nZgSeY@t?rlzBeneMMw1HE`W=s< z@J%J={5Dh5zX=(+>lGfXPrPTyd4Vjx)typ%?5(NuI)b3gy>KEYQ48#X!;$?M`bP=! zsX1M6XWxFsDZ%^yFii6oym~B0tK!!m=6M zY-t7v7&?ChRva3d+EORGkl2WOrPjpmZi5t1=rTB>r3^i|6LJS-UfPqGKQ*04fITGL z3}~zdh3QNStj?4>@Q$|wT!B=H?g3s22U_ZcQ2MTI}&jaZ3LFX9LW{UjD!vlaxzI?Ve=O z5=&oZ(hCR>FN3P?o!n^Fch_}!e_&3a{OPDM?@P+ahy+dt8!uY}&oX|4h>%zEy&0Y~ z!obVLv?FRVY${RfE4UoIwb)Gz^KYV;&E5KO@9ec)E_5UYS@*~oXrmJHy6%2C74^TT zC~T>vkfanff9+g_udwjbpEH-Lk9d#W)vbN6)Ez*a?`0(41{(y_OX;#s3Ar#LTrVXf zO9c6TG6P%rUR)aA7HXtSWgcfIO7XZZ+H_^O3x(>7iwkc5=g6d4`lO_AJf$UF-*G0| zkHy5qepfN4EAvd6bPc!LQL2IDQf)DSFDoy<_V>8WI=uJl;==HHY$Oy31DBc_yKs55 zq@jUWA(1-IGvO21W9{r%fc|W8XopqpXMXNg9V*)0l0oFUHw*cgv5`1buJAmxTo=N59PJK+j~jd!%M!cH)|0 z?+DX5_4#~Y0n}}fDgk6y>;!JiO?P2#eqLNY(?Lk7i1+_-0W{hu^!{wjeNGQ?Hxwl@ zA7n1@5A|B|vA&B*48cqM{F#M!6=G&B>Sy4jkm_XfgK3av()fQITG? zNk^UU3Px;pD>Z1911p=v&l($Bh#a)DB76^UG)oLKZX;+slF4i+DcB_NP^9f4Px3ct zJTpY`$>c04Q@48UY@aa<7eq-M7KH#H z8vrj~0eg}oB_xSSJGg9IFL)!`VEy5zGHNLZyweD6HZ*vqVZpFXkdR>IVaKN1>8y1D)-HKcQc|$rQ4>lg*Y*6* z=4MK-y z3c~7l`>!jF+o-`jLsC--lwZE&vH!#Vv8>Gebgf6`@v-XH5j=#EiAkC%wZn!F&`8#5 z`l!1=4zu>WZ@FH=AR!@vRsE3o9!fxCftc za9~xu{@Pjf_Sea36b<%W=+DN+6);wq9=p8i@Qi$OBq0q331=Ot5$}I2y)XP-6%|pX zrKJlsSdfQae>LdAr7`$Qtf{FP{r)}q>4HoIaePKuzONnk(}Tww*{a4g;mNJTU36XR z!9O<2K5`uAn=5WiVkpW0A>$<0g`T}MEU$ca%A*XnrOGNPZ2)t_CFgTJ_SW)8930#) zYVB?N*jVXi@E!*e{Q)nyvRO->)W>y~=;KI%49*U>x3{;+_DJ5%Nf(Z$jt*aA!%Gc~ zvCn}|7Zd91pdgboHKm)hi4B-Jla7bYO=g+O={2KFP@PirLA~1dib7~IS z&zM{tPzUkw{vKr`a*E}ocd5!T38$h>^BUH3JLB^5hft6W;{9hG|fliMU}N)8-s?)rgh!=O5})=}`1J(Vt}VMp^@nq9|{q z_m0enCrNf`|Acb=&e8#eLw=y7^uF>$1KmQEcwPKUMlr zM=H|3&V+B+g}=(2T}1hx&#_;3D^3dX(YY>hn71~_mxMij7>8o#U_J6-8w&!$QnoDB zX``5n?Z?Ycu6vWuWo2dcHkUmo&fuMwTdC~oKjbH%NteD}uW-hJe!^d~j-Y3PM#q_l zY+b3{yD)}ndOp34H5KeljH#WNDYNrnD$#~~?x3!>;WO^Ctn~Krr9Hn0;dwgho`u($ zHo6o>L%ZlHzCE4zDbXsK5;Ia&VKz2Df$)RfSP^GW)vWkG-I=Cc zCXv-nq!^c`5WWd*vYHYC#;V`4ubir!QlW8NnK|k;GpDyY&r5=>zwe~)?o8RNxCo(Q zo=}`08!GRQv$gq(8yh<#BC8$S4&N%-<%$Qr!+Ksnf-2~RBQz7cWBQCVX|#`U^Nh%f zItai~_|#o18#d0wOtVWzGw%DWnC?4^)a}pn(|s4#)g=GfRjqbN z#2t(S7Re@)+A+YO%-@5i@c7KaAPWY@r_H%2z$p8`k!Z9$Bk+8>inl4DHr2b|vJ#C6 zpLwfa$KG%BqoMBC@#0^Zy9ax+F@IMWi95RD$y(l(HovC^2xOnf3Sgg9T{2kJ=%JP@ zP&2G;A9l=CeRc{}ldQQF@bE=ot;mUd5REQ6Juy+^Xn1$=qUb-Bn|J%<^Q2_raP31~ z@vMk1^tfxk+B!HzsHhdK10Q*={)*o{Yocq|r}GbUwsJV)9kk(<9fvtqVoYISBRVU; zJK4>ciR72KaddgEPa3?Wn1FM1I`CClrHYO?cI9B>1raCc7`OqryctU<7m zN5#&^8G&&JggUuJK0 zkmZ-lDf;B}s<()N!Q4-cS3|!mMHsR&*h$;RrEIo7ztvU%;P5F=X}P^aq*|YYHv%)= z;)PCdkD2Mx!fYJ0R5`3*gjlWrGEn3VYUu!BM{`pxS&O`xg_Q!7?SW8jM3aK`iGS-ywvFXwc+Z<_ts=+L zV$tAQe~*iTMnB*hLNS3gd@&RGLB7LWntws6r23u*@w7 zQW#MCTw2|k=BJ-;)L{rN!}>l)kALbeNy&Khgnp*Wg$H$=$iurl-HHi@2M=mBYopNJ zFUX)>68TL~HSsN1$D;%)mYK*N>nn2qmM6XsZ=C%*e!R5gzgfNUsrAhf6ePZ)7ySa6 zz`@8tl61DZP=DnpP8JCkgQy(3t6x3q0Jhnwrd46xS=m{ZrqpepD~Uy0l@*LMOZ7i* zYJgr&nqhg@wlR&IYqn2kY4)m=VqCV8e47-gog;Gw&^z2B(3c-wkV#@IOYae-5DaB0FZqWn@y2$G0mU_U&Lo;ldagKpQ17>g?x+C zLu+Z?V2huYKFvKy#LBOh$0lneCEO|F510Vh03Mma_+~Yq#x`Yhyd&i(Ls6w8P^j+I zG@ge?9&A}2V{zab+_%1Vm5y<`*~*~7`t4KY^zOyYt^*wU`--Go+>j&)SM_X{x^sEG zh#~7&`H>zr4gG$Kwe`(}(sDG53)7S9cc6`E7DqfKC}1wn@|8<&q5G9%%;|PP!Ewm_ z2MY=J^-dnH5Z_5bWltj>s5`pC#h-1LnH5*zvAqkc77Ohe2Z0m zW;IOPx5Bfs`P?)bXTp*OI8b=J`JGm+9y^RqXWAR{B8cneud-`-CB8n%``_V9Hq}2! z=!AL5HCLsq#`(H$I=9^cT}8)`wL^mba8( z*wy#4;{$t>nqg68T9a9$kIV~Jh$K@08nYV3l+*FXWwj^QG!7eAId7DK=y~xO!MIcDPEB!od_i|-(z|gPH zhOfA(o(e9o?hhfr4wX$r&Q?L&U6Tn`I#-)WhCehTzbsG*Ia-onc|~qhFLL4ygWFvI zE&1Su{oaCuw-{&2S_u!c0Dk=?$LDfqY4ew&fNxa9qm<{&g)hB!fJ)yi}^z;won)IiDx|anH_+-4sh+OzIsgW~Mvh-cZpbLR|aV=fgMzX#*Zu1U& z1YFdW)6Ytj>Ut2dQqIqnw$$HCWK|}vlT}Rq^8IMYc_s&I06u9aQ}q;8qjg^Qy?J&A5Dj{)S9aG z*cA=CEl9x&k#*5(Rrii|BVJc9biw3F$4;dVuPs3p|dx+Cb{0y;QnZXRX(Dz~KITSf_hGB&(Si~+0jQ6&daN{@t600aB#cXZ~G1lhl- zRAjZbr)}i`vRn6fNcK(jH+406I$&e;%VAth?TN`}P^vFg>=x#agr7>NA-}~2(7;tRiGo@AavgFg zI=DGwZ1PTz z26h`BAB!XY&n(W@!c<{55yj#N0KIlcImY6Ig}}_G;hBWZTm(AkFI+^^ z;k7V%2@rxB;%yT{x=h35tmsfXKlDUJVB@3Vg1KUu@`^23eY}3*UIvsPNE|T5B8dm2k7P|4hVF~&4L(SLvH91!KBjhC)!I&G00VgGGLx%$ z$%+bQEbmYHJ75YH_&8#4ViVo~^@UcOotkd3sB3e=bV)$NcLqj)iI2jQP1N@ZralK1 ze^QkIiT>pi}Pau^eM?s%~FaOzre@1EDy`zh=}7ue%PgfC1k~ z+gMw_{-jer@b4?-^vD_x{JCUJn5`ogjPknw(JJSMd69#>{|uUa_=_GU6QTm0!vOMcMHj($4Z@VG;X?9e!9Or4j<%DTE_*N6Gzut{c0`Wh0k=B|~MgV@`0wv(y+77Ip-!jck# zTC;5i4|y@8zw@FNNod9DpZM4aL}yY>VRMa4Ey=od8%pOUjkm~BGCN*+@HRW=W%>5G zyJ}Q2qWBxy*^<2fukB?UC)=JoV+m;*UWyg3(dOVk4W7_$#EE(9C1E#0(WL*4wHsS8 zO+l;sxt}}rcRrV7*O_IkDKl=n8g2U^(a^~^^<@;u{bkVd3okDmvH@jbj}6k+`#p-^ zxx!&_JRKKV(KyM9HayzAFl*vD<!avY?eq_+ zHxR!KSU?Py77dSxFxgFsxam4B=*-rR0w|^Hw0N8J)vX~kiKuXT|Fr*8SrSwdje^EE z7!#VkR#MS-5Ktqil%fdpB|U%4sUcdX%&{bsh1w%En|g!h)2&|?xNIi#e+y`z%;@s} zb`k5mnlAkQ#rMS6*_j4XSoXuKIu1WEo`#CaG38f9cpP+?t^kKZfp$fPy+OgaAeT-; z8o^fa){20L3=Y!Yl%(EU4X>6bf{~{d_2$ol_y*6s3JN6g{wm1Mtu0t!g&Eo`9DIi>L!R#cntL?7*1x}Qzw?6tZp7n$ z$9HuJ-^4fp>>Vu7>fxqZefx}2;*%)f84r?+S9;->Pmf=2c0PJ6rFQfcI4Qh)hn13w z&v7(*r=RsZpGvOxJ3J+2B=#ZUJ!Lv0E-K4$pU}kAqIJUuj(C6E$!9t6S@O*u*Q~!g z=b~d)YlDJJ$BQnaWOi??j)Oo4z2?$OJ*6nx_T?LtTHbE6nw7mZmB{R^vHm{7_O9Xd z8b?0z@rAhvh%pXy1QE*R!`b?{CCaYnfpPTKi`mTF8V=&-c6M_4W4TL{z4!0@QfG0* za|?F1k0)9@0uujmVcG{#3*WC*IJ=x(kU`o7jUOmNLLMzXQ+zBhO|9W@buC8P{6wZM zamzS2H;4S=3AAA2)cje}l|k1WWp{U%g^LRyM<@W!_74caBO!_6xBo-?{P}=`(_g^H zvEIn_-YJUWc3u*TodzAZb`%rLt(k|;Ufz~@A0K}+eF~8QK}*`ylqZdqsJZ#$%;V^_ zYu{moE$Z_7WM%Q{-Q=jq1@(7=5`27K+zkOXUrTKJ0O~(BF%gz&t zzT6rBfO%dEDA}KH#)&Wl+pGr0)`5vC`FM)ce79EtupaN*&CJso^Th1D^?Bzua9|4s z^o64r77V?;F&{J!_V>F$!#?JJ4e3RPARiy$1R6$yJQDl_hV+y8en0MnZ(+LKOeq4fR z<*#y?F}3BH%3z;G&=C~Pb;IE`1Y zB34#REUc}~M<~xNtJ=Wwh@G?}z}m<9`q{In!!W3y#MmDXG<%&}ZDF)Yy@*O}6u|zVX^d`G z{kktFMy*W7(vjec9l6HxWN0g02B10noLqTCwIwol%G?70oqqi-b3D^pm*0E!CO&?C z)6sl!7It<-2qGdPEfW(mn{E{)=5{M_SVwRe3eMWi={k%p7aNPArLFC{o#z$Wx6YHW z_``YGx*txgx>E z#l@~y4JlJEkau@KH}NqrAomud22gMefCa$0Rt7#kLO>4TlP#_|?z%nSJ_Qg{2_VKu z7vTbWSmX7QA6;_X!XJG?n$68knD0YYuI8O-(#Nk4=TWe&y>Ye#m%I_Ov$J8$8<-Ru zTU(udeb&;{p#ZtV|JHnlE+Q&gUIlVtVw=~Rhp7v9H_U{8=szks{w6(QW zuPsm<&@!OByr+OKMW&{vb_0IK0K6QF+E`aN3Iv4W!a@j+_yz9T+8WHYqNsgQA0HoQ zemK+6)6*j-1_3M?@C*R&0eArlrI3UzsW0 z8nj#Ct+^;BGQ({^9Kkc)FUi^3(fLdJIlPAldmXFm+ex|_k!c@E!6DHUP3ElP@2nPE zgb6H!QJny{sBA_idWDrmL>9UcZi9;G4?SS9vDph&E3;0!^;I9X4g~Sq8?e^!2nfP> ztw*@!QD6)!AT&7<5s|Jalbf(p(3bi++yu2J}P$n=?!tm{1 z?dQ27@9f6BWXdL1OEZn6jf|+JWn@hG$WLKJ)8n8g(fpuCpU9gTuzD*n4y2pg?^>wy zd;=B)hEal`FZTDK_NI&GF&HE>TtF=?0K9<02^I(}u7amX0NO9y{CKw?w%PV~@fh|H zu!z--fm9j!AMi4aWEJJ*aAP!`B8IQq_d7;MaSDPSg+WV3tv~`0?fqRlsiRj`9*v%Y z?Q-^R{_@DgP1#|6nE&~is96dSvau-#+JP3U-T-vm-jDf;N-V^@?7Jy9X@5HbpLF`8 zw!nWugUwE>9S1%8Nx%uXuBoJ$IgGhk?e^Y~&Px|jEtHv9X@eq(<4prNmA(e(eWs{5 z5LgymSXdyn1nGna2AXDN_2W`Ql5%t7fbd1Ft@%94t{i|EMfr@w;NFk7+n_vg z1t=uNm2m9)_nnbg6vzlNutW+{XCiKF51=>o0wUMy&Q7%C!>)SVG9YRGBahY@Z~*G5 z$W?M8fLRM@GGg@4ya8zxHstAE#kaL#!DU%xWpt-shYhZ633}|v5Dzae_1MfsfN#LV z(b&~Tjzhd(4ot83?jEMDwFT9S|MP!b091xF zR;-^tEo~fjU%Zu}sACd;0byY;e^Fo<^Xlccrn4_)GMkQ2QXskNaE5Lvk!Un7`3{=1 zx}ah%6)pZ89E8t+%GY<(#%W*rog?oDgI^}(m@|tLQH#rE=9g!LQ9vmb;sOHlD-rXEEYPFu@+!~_e%ilJTFYX7yJp5Dbv8&||d~c@K8fVG>Ts0O} zoJ7_f?(Lz+$cBPyflpAdYkKnTJIcS5(CE)bX|-E&js8$A_*Bx7?o%!2*O@u22X7|7isUNkCRz zztgz5yyQwfJP;Gtx&=*ra6Yi8`8!bS@0VFh9INjFyIUWRd1A$_nQKs$(C|=k&fubW zWyi84lY%uq*NYIQPth_zL4itZL#iCRmuLbz#eZ<*CGGa@3MtngM-hPIZpSV{qI2d( zW{jPo&Fq1QhlpZABB-m|hmpcOrhtgsm7NPtw(#*LXjkyh1nuzsS^7yTk$+_2fpKy1 z{P&q3BJz#efFG3$=Q|IN@RpXA9&R;w_DsW8w?g+X06Yq4CopnTohvVsx7gaYm^8YKu3+#S|A>?*S%K{Sy!Hv2mvhDDJriZ3|U zNXK?PW`b_gvQJiAW)#)3Vj4fM6v;)Q!BdlfLs-%1*9uz^THstW^y){QC+)+APo;rC zB4!W*XzEi+B-=8Hf&cj-g@8VZTNE>w=SEV=u)C3i0}Xa;*MlC#En;u)yl8qg>VmiN zc!W>r{o%k3)Bn1C;AovH&`N&}&zxG7(Knid862VrpTj2h7@Uw7CYmo2r_tv;Jv|vb z_oD9RsVG5L&jswxP^>lCJu{-TZRJZ`xrMVzC7mWdsm|2d5(I*B#&{ab*VlJ#h^q}T zs;dK*xBo6b1ujrWCnR7K5)wk%!y_&X7^EIst+3owW!UN?%{Oj#DfxatC!{eBZyL=v z#TZAhsp?Nm_(=V!iHCE*iIh{THZHbry|?#f(0i<;Jl*iKFCy|}1${k^wn|wP6cS9U zt{kmKRX06Uu`8=1|F5L042Y_0!YC!3!b*2HNJt4T9STYaNVn46xpa4@bcX`cEFmru zqI9~nBHi^J-tXW3xc8o!GjnI2ne)uu>sBzdL?!B+b?wd-MPQlDc;Xo1m_d-mjr{#% zZ|KL;lGIMzSzyN2)>ggeNKczC*VM{I&8|OCu)V##aQf_}AOX4a`v&w}ezQSi+e5#r zQ?;#FF2fE8Z}&AALjWj*HV3C&L+X456`ZlP0#-v1CQXJgZzpIP)Dl}VzI`{XTC)lP zBe*gL>l}is_4eN%o_n<~?q4v%uO0t5AAL$_S=l0F12>cOuy<^aph;2-hhTP1`HvEnM8I0Tr)0+*?JjjvD#TIAsH)c@))?LE0A;}`9Xd?-k9HhEKV=nE4uN_#gB#zop9Gh-wn7}bZ-fCS z{T}q;--+P09JOucg}mVyn5xkyA|eV3r}c*bBm?MRfF=z9e}8WXW@2LEgP`Yuv6LbV zbaa9IMF7T3{QMb;9EwMRo8cpwg4~s2A|fJy>_Z8-*`^C4#(NBcB+Gth)KSq_;o9>6 zV*&!_gRPe{n)>?DfGzCm?ru7q#C%`zCT3+l<2Lf=tq=!Njr*vnM{#Q5Jt8;uS zj;hT#hMX(L;N{oY=m&P#GCC;RzU6$yYF`@R zlLi5?s0LZ7*zra$sLe@ZQp%-9yG+52x~Z6ihKg@}Ph3pwiS+F`<2`d3(DUxu8@^Js zR--v!PG_*o?+T((0Lnc;wggP+%e&ph+1Cc!nJoLPXH4V*!{*Cq5n6Q56}ezc&7`uG zNwzlAGmGx&K3~kJsA-Hu|2nEJ>V)1s7&G|ZEN-BIth8xCtS6~3r;>$gGZ89A$W@yz z6Msb$7{+tX#FJWtOV^&?-Q#Y+6FwM{z9qW2xY*j; zQvg^S^qpX!@_EB|&{SQG3zF5#?juzek|pmy-1ltI^R43e{rTnF(`~e|#zuh*RTi08 z&;r>3H0!Z|xXH4Y#r5@sM*bJf{)fr=bj-}?-RA?m``cytQa4v%o(3?xR*U1Mye}oM zIsmkS02J1Jdr}8xodV(E1ZMvJG@E1jL-)KbK&8Xds|EPi%+vFH8#=$Xwnpyp=_Y%2 z_U%1)wbl1R;PUEuMO zv~&Yjr2w+mD;*uos$bZk0}CYL)_>bkT#QN+ufS(1MA6`LW*-w1V{B`yeB7yPV88`= zZ)@9^EACO>+8R+*R8$tt9~Kst<`FK-agdijKej+!t{P$_>{f~{*>8Gd$au11Jj&RP`q(g@;ws!=~OYQzPs^?1w23*~sbMZ*}s9wu& z8>Xa_hMwGtdaTpX5J>s_HRjto;FZ1%#N>!nd=i|?&NGNxOfRrjX`U10rO`*$*ev|5 zV1BNQqje9-Qwp#9tqVL+m0wlPY7@is_-cDPo8#zg z|IrXNRkMM8kJ^lwhSue1wR4PyKrKR>3a0MQYI;b7Kdex?AF_9JF>&G<8uT`39*K9s zFyC=V&y%{F9|5k{_^ zwIa8(WB#YE>)3Wwh-2KeJRhE$?z?mj9RL?gv*f+)KzjZuw#_t;9f^zGjJTk&)RXRAI-qVmB7Yd0R^rhe@R zPW(egT(b_&dbb&HNaq*pf7ZTCFDaQjJai;=y$`S77QT9RLJ(V2^FlXd#nJ0QQOq+8 zR_J04%gpYWciWmd3!_IYiEC4%;cm#kBODc1gyE`+5EX2+G9cB|TRw#54w?S`r4)ud zm$l#V2lis+n!XC;W0N*o8aIOCy|&0w;z%^sIO>H4=3gz*2snrW7H({Yqc}d!^|+Fg*Q82&@`2c*evzAmuX@ln^#=B z`??GJ^vmMvF7|e^pEWRfowjn#nfK3^sfR8~?O&lnnha(0PG_OZG0mhMS5w&%&u!## zjvA0JN0rTCBcglTv@nmz^#VDap2R+-e8Hw{!63c}R;_3GRuMm4zzBzw3s>vvyM-L3 zUt{D?Q1cdKuoQ0K$&PKXqmsj;Mo&lLw7O@Iy0{gsc=o_k;XT;`{RQuN$RbfjQxecRcq91qNTBC)Z zmWvCC53+#+mvEHK~^^Yv+kBo|&_}2L2HCp!>p1QF&YW5L&h|I!!qEnO~ zf0`rWpTge#)t|dW;}!x`-P1M8!vZE2+GD7UJsBxILTs<)grASzxoHxuTi?#z^~B!5 zE~PZQvq*u*$9X2(S}oE|J#0l;9D-YPYq%NKX=Js`R$AjyboZk5)`{j$Nz_qpY#PIAa%cIq?z3>Ge8Bw9Ul8ODyK^l7=pJ|MBaeZ7QopO3Kc2E%}~#WZeKdi5hY=6xxPEDK2HCfi?`PN$~z*&S07Vx zafTZ3bTsLBT+t7*OxG!l7LCQb=uoU+SA^g(!}(y zg@iJkJTnhF_SybN2}3@%W&CRn^J+JVA|AcT_&mD0kUHr0cF@Mi5jjS_Bj+@xs3L3Y zEaB0^Id1b*^i>KgpenwFXU@VZ*FK2wqy z1!(!f=rFv9qe}c89|Wq>z8_c7TR{G_kqPYR%K=`O_s1p*(gt~Q7zzovTl?-OG81MT z^Es&b0dK>rT4kUKTu=aV8Wa_E^U%IHfKVz_RKjW6CaCMr7a=rR|n^?7p# zJx6Jwc(y;A?zTmn)o=Sc;cYv~=KH18J9n8?1(x|^ds%q(-C51)XD)7f0Y6YDe-~i5We$!(dt~IG4A-!=0`ySqo5?w zcEy2W#8a6n4axRrXvQ9`eD)2$4_44H6u9=oj~%?6PpbR2cS01^*P0Gb8dqFb|ERQp zscHYNaC*(?k!C2DX`0Jc+xq)<+0+}O z6MrwS5_=xIIo>0%JIccwS$hHbGW9h15Si?%(q;t;h@>#>T8|AND=g>hOUq17P4 z-9I#e7g29vdU}WOe~!JYuHAeG;2dZsJ3W%N!y`Cy2qO^zTG-VO9cb7?w5u-uu_{-P z%3ZuYU&UmF3m@!b27^8mQH&h4Tq7kPff_dz;~~{IL)-l&umKC*}Y+bCe zqc)$gSgbxCcSeTqr(62WZT505?ojq9ScWj-M-l;;g&4oC$BBM#P{Wj}oS2yx^X&%% z1n*0IoSFVKUG0)L8>H%)Zdj76R4p?LZ8HYE7xC58e&pHSRHWW{6J;^B1xrha+Gr_3~MnKt8p zgiL6~yQKr~uH?8ksK-o8f7$NeLy;Pkh+)i{MRKd|fvxkk$z=-xcB$(X(U?R%469VK z9G)4=T}RPHT|jh6L{V;|N=$r~QH*Oa&?x8C5na#Qy5b~VVOaI*YvC{G^SVjLb@_-Z zyLhLj!gqY`)s?~V23x64-p(0ST)e#iYj&()Z(6>Y`Z z;McwAO^lcbIo2dfk2#|@1}36iTtQ*+XJ24=m!@VTGs#Waz4Rc8FGBFVNgqGTU(~bO zwcevKb&Tkn`5uOk_An?RI#pma5?s?P_3ezDo)sk*89oeU`ydoMl6smUN z+$1p5mIlJlrA9?cIU6@wjF&{Tb?fr?6+&1hb3Sbgg*cj-a~m!u=c%A5h>24=t9ew= zY?xY`9xa}+#K&w_qTLU8XYG6rvnmP91hbDI+N%Lj$qFf%rM@6UF(bgsN z6ED`Q&6QQ9tj)Bv(qxN?PCeSr>M^IpFhgE6hdgaLF|oB&=Sxe@j9av+z{TgkD2Of5 zu7buJUEiYAE_`@UbwIMKdW28@?~`lvD7pfE>VHZIE7)s?l^stcErDq*p`h#M_h$t8G-%Oz>#f-%T8$*UW7<<=yrTa@#4{zjV zk{SQ{Zv&V3=ZtE(Yw+O3@iS0I6tk}pm4ngiiXFxXyv#be`>RWKic=NU$jI27eaM_n z=f$~t)tiR_bZ|UzkG8&cv|wk=AWkNjlfg`S6V>vPDv13X8zjF)96XqjtPL&Q`n7K9 z=Dn>RJ}{XN`Q7Qo#=Zc*_oKPDKW!f`Nx#F3Ws&8RGHF;{D8V?tR(&2Joa8nY1nNFx zP-#J4E~my+Cj1VBf){Nl;^&|JrTJg4*w%s%5)W*A{n|9%SOrE*4dpCGN*k4vpL~dn ztji2pafeC@h z*9RMk$`hWzf;;vt0uSgf<8thIZ;#a^KOEr%JJo215G;vBDt5-nfLE5(|IkIysk%~D zXld$cMD+B(Xv;N{2@Vp1baJD-x^TNG9!Pw|E2NK=t+CM76Q;RFCK*HjA1tlQmybm3 zMWO};-3O4n$dZKN3`~-msSY@-j_0Qt&&P;-&59n(y*ycim`s@>?pQ0|IZ|er&nu_r z3;mS=*2K#{mqOyJj3cxMo^AG!Z`$)@dv*j|qLC2Hk$G;02y4Ao5w~BoA&zBbh9VcU zoY}-U^R4A3r@VaKWDK6_mT3OInPg2MB!|-hm5bJ!n>|+NzPA@0go=_ZRj@0BDi6~U z!5{$o{XxX;d&Ar$gt8v_?y2Gxeb>Mx=D(oxPOM$>H~8X>6BM}b6b%rL$4l)V^A#?? zqV8?5%_V=%R&i`z7l72*DfpBxJLbQe^kVadLe5XW;b}Z9(<3{er7>;Onk-Tu@9a zviCVUtyDpN=k`k_bJG33Lf=nF3k4sg*GATEtvNqYf0Ifl&X_1uRKG@mrs2_!PQ3^( zva?Jk3h*e!cvce@bbm{osk1FcK-(*vbOg7AC^K=&9zw=T2|omCV&OC#j-!*!Cu&M$ zr=q6bznxY5%E_J-XmV-4v5TK0X@oQXH^*AvP@5x;mLt@F#jL=3sOdW|cyD9tzl%ZV z4_%t}Hxfl=nBp>g32VsXhoT*L-od}lgj(yz%mz;Wvf$hD%FcAJyrR*ZYLQRUOdv@RU!@jV@#HdAowhLuh1MWD!jN=*M}r8!8WcZIgjs`)|GBT%;!&$?37)dbGTQ*D$U$dJQ!B$ zG{`725Z#(*sc_VD+I2AzKVz)3g=Jwom41pUt6KDSy!W!rUplt5;oMqWQmk9-4Q4AW z1saUHqk8$YY0diY~%q_$)b=D#ht4xFx{10R zikcRy{a+_#u1RfQpLGn&%?-JE;0|D&Vm@9xVyv>_A5*IbJga(soL^|;dD!KqqfgUY)yCnZ;C^9_Si#+smC@|E|Mf-u^N$$DQHynbM&os_|FI9X)%OUUkfiy%eo8eJG=le%3l;UZ*^Yn>|uR25s z!k0yR1h((xo6q|jA_HE(!mh$6Dk@SF?iFvM`Z|Q({2hZSR~YwL!$)TRmbc#!BFW|( z=hZai-_X`TO?|mSe=h#o?PBkXmmQrYIyLy9_F6-#mwl>ZDN-^s#n zc$(eudD;ewdQ3SsoDK{1+0tR5zT8yPO8* z=uiqYIbNmY2lYvAcaRX5mRfF%AJ>!ng>bS`JGW6?oo*C1e17Y+@L+JOb zKBdU6FX&p88f4+(40y#v{E=y}fK8hENyP!~96s_4b9_=dtaPgDu}PS@8u^GejFEbFvsKXzLsflQ?9Q5MPf*>{KO2V!GPz6rz`kDMV zSW_R8Vxb1RG@ePzjDmuubN?R!5mQFXJ%m~MbNu{bH+|C;DcEa&)#d&F@$_fm;VuZT z5}@((NkpFhlfjKwN6M8w&r}UtsK!kpU2jr^+)E#8mHD}-$U6-F9&=8c5Q8z$$b zB#ne*j89P{1T96NFpvKdK0YgW-PcalldOGq(e`%6$N(i*8@>WOi*C-q0{ZT%%~JiT`GKAey>N=8*|VkGiv~$?g7fEznmiq_q`=- zF;qq1XIm%E?UMg}Ki-OWV`G=suTzs=LC;pAQINQSQw-nv$$XguMj0Y{sh9uwG#<1n z;I`^c0G6@MB*%^%zxmvDzn#rbV`J0`ZZvo=F~YRDbWbHxHG`T{M1dIePua}PfEngu`XrhAE=RMZXJ_=*Hppc@(<(Z{5;x;Y zOcDH)30b5efa=3Er0{~nLTls`s0sP`E#N)lOc-IT{Ckcy&raEuusRSj_W{BxBPUD7 zVHw5y5_8p2ROiM6EUuYsnh0@_*UZ5xWs_8KOc1{ zouD&m3U=lEOn67TL08 zs$v(*gm0BALbDl(s4W6#KZYApHtZrv9-;AzbO&a>6}SBG(!aXNeMaX3vhD?3AIphf zaXvNX@1hNtk2=sa0>#*VDXwtB2^T-s)cnKm5)}_4XpLsi2Z5dsKV|Bs=`_oNWa@$p z>t;2ny-h>5^*)v`ndsOOYbFyHXgV?Q z0-+i762~^-GySAt3Pb$DCcQtKPf7+xv{ecdWa=jS3a05~YeMx(LiK7w z1EE`d56YC-Ls+4p+Kjcq##mIW77-u2@s0Ez4mCe+U7!K+fX^%&_FXu<%}e|*4vwy_ zqe)dj&bqT0=r%%kK1B#n+}n4K3f(0oaeV}ImkB4_fz?)Y9Zi@11um|J!W&SAf=OuV z$nE1X?OVvf51M$7#btetR0amw8*}?eWmv?cr$R)wTdsN#hLZp_QP*)>hSuVUM|ZSO YndU}p!-m|zKa-#+$*DtYWsQUX2bXdLSpWb4 literal 0 HcmV?d00001 diff --git a/docs/source/img/hdmi.png b/docs/source/img/hdmi.png new file mode 100644 index 0000000000000000000000000000000000000000..ff7b6ac3fefa2d26f11cbaf53dd606d3d3fd39fb GIT binary patch literal 11286 zcmaKS1z23$l5Qix-M#UUKyVH2?!g@bAxLny06~LWAh^4`y9I)~TX1)0cAs1XMvsX(4UPBn;5oG6Nr{Wlm_3{HpyT{dbDbnSJ%;f}>scrako)yi! z$+RaMlO;(TcTs;lK)}%uA)A#=)*%MRf9JL-D6-teDD98e@#uJIo;=*G*oKxmE5ior z#-4m}k6SwTfPIyWrvjhZ*sBn5V_CyvAJ0KW2b;eHrGO#{HD0xR2|LRWA<-F*oz%4B zFZ>wB;*@%B%K+Bm)!26Cs2PofMg<29Wd>tJEHOGdsz_*tZ=a{7SupdTf`fcI&SbY# z2IAqV`k_O|$a%d_1#g#2laf+wW1%rKu6pYP1AXiCGSn-NPD~cdQbEt3)?{pMzpEK; z$6m^aB9lV#FLv}giKHVO$h2EBTTkdP3_(b3VuIY|ctmO;OTz}MGzuF4d-$>n53|8KJ5;^G8c zUr4a9u`x+W!`-j;)vSvvnUj)}zeiI^W;HYr*xA`tR9BmfLYV#BXgOQf+20Res$DB0 zCI*Xwidt-49&LKu(7@f$+}u4rj@chUY7#{a@PXwU6@}q=yhNy)uOMz~dnzi7Rp6U6 zxVC0E{F4KjUZbohib8abUJUqXE99zluC9W4oew+OydKt$kDmu-+iIA#)YStAV_#EI zQbHRU8QD5G{ELgrOUK*$`>v%WlC!fjW-czD!vlj~tT*ArTo8%G#9;OG^|4A}LB!hu z5E!qs)^XgQLiD&f_A-w0d}Cz4+U9k7dD(Ni-d*W*piV$YSotX!_|znlBWTuH5eA}> zh5`zNg@qMj^l3`G?Mi5zw&1{H)D1=@<}@5l<2PSzC#lbN=oT~&B*10#C zzkYc5C@1(LVn*v=Z$H-TVw1vc2mNU@&Ga9cAmcM;I33JX)YOQ5_%Ou=KZuV-Yhq%u zetaCEUaGymJDy#j*Ub43=_fmwB)5xgqu)O{#-^vAG)^vbhn4^h^giBQRGR%JLLp)g zZUyupmhSAn6xlnI|o~sfvFdzjd4KXN81a$PEpdffS6oRi&QBn9<)ag8O z$MeI{Gxv`ZSUZ~VlhRBgNL`V)aX!AU+=Ttz8S(6J3l{vdVb#3`yC$>3?3zy z1_o0@1DdvVaS8JGhw19-(wB+yG*0AmJEzvJvqE%narqV)2we92hp?Z&|N7BU_}8zX z60K@DncVZ-r!pViZ9G`0p&v|=fKzaOSwdi9V!B2GOe8vio}RvYYcLi#_N}O3ZfI<5 zyZr=94R(F`T^jvbC=hztOj*(K7im; zs`JyM+0N7bBj2=EezJu$?+3=VhxY{jp3Rw)I`71Fc5+u^Gd{c>E2vbh-QggD3PG%o za;8VlGwU;--$pG3*T0P?BAz`8@Q;1ha$i$k_wH~&OSe5i`>3(AyQzEPmt3)Blk*iG zTA+mSf>pc4XnIIfaI*9sdh1Geb)oufY*Ms;+!jjB$=qS4b#Jd}gR1~$F3lg?8(aU% z5DI^k?yX`0kSfM$uLSjS11fm+OAJaZ@yu~=`68N0ml(L7VAxOh05=2I5j&2!Sbn#}>P(n};R5>V~99>`>5 zew{uQ5i&E6VOz_?--uIe&D!d=+GGFzIqPHoaBR?Rx3xyt(@UEnx`UThQrXaeBHK0} zw%i_Q($lvsQvCYmT{vaU(#rplmZun8d-i3K>tw?f&xu!Gn znUbY|1?PmhMjN}@qn%AG7Mnh#u%uHokfG8^l4hGT=ZfoOl5e2V`E+A)YGeoalkq~( zs&t)1txPymdus5^aX1txKK||F>CJPp)ROJ$~NEIkvT)!bqKFs~s7f>*#I&Q8*E=QO8>S zSax^N2I_ET^zMU#G5<|V~PR>Gz$$Ns`o?!y(rvf8VyRr;=~X*L#* z{VK^evzeChs3Fr0ga>gN^OYisg&NDS$UXs*4Pti3j??j0Bb9^BL%r)%zLd!3z`CQ_ zi}aI=oibrX1N@VSgCNldMZp@cm9Y3z;$>pdz#9-KE+K9`dDOpRZ)cRy+ybMW?T(AI zx#nwOQe}=M$;)_05ji5r^iO<&+K|*Eg;r^yHY;v5>LT6;j}{tpL5Y@GNfi@j{&&QK zTodEhOx68lY4T@7byQhp2ft~r$YTeeubf#3_)0u#M5xXF{yM zusZK4*IXK+7qS{w%c1@xgaKQ6oUWY*m_qh%s(Qu5Emd4F)R~^(Wry648gQ_O%$xxdw@l9c+#^MaL{LjXXQ{Tx%=0SLX z=;7&nQ4)g}rjJbwnbKQaW=@u#TKYEwjmb<4?*+7&>-J%Lf%U^=;#ORfsDcm~--r5M z;NIE?=e^@+iUn+Sz`#5uFS};K;m31zEC)WaKDPW}24z%<^!iu8*_oYlY>#IW_IYt* zsD8RLI{(%?@Eh|4{uwNc1!5?b8_&`50=$n2py;%yd>elJuCelU?BHp)qJ!PB6||WG zV$W+f^{&!F`Z$?a!|&wWY(rC`qXq8UCNUizSH<-ti61|$5RK2A-_YyT*xQ87CDO<2 z=KmIkU*lTPnc^7MVaBs@vI$o-E9uclfBKe51#M~eF^T*9Ily-xg(nr!7QFuM*=N7bN>`7*v zO2Rpkw>9_$oVno`%dWF{#(l}uRa63blNxR9N>#6PyCoF3ammp6_0GJQbaGWpM$0x& z2^U)T8?(Bw5`QKIx0RO}$Ofns`oo2ji0cLEN=CT!x9VNpdg@YI9WfXgX?=(PD1Qx; zymoc5cc?Z!((|}!#WM@%B(61@`(65$;&isCfA8~rgX4POF4I8`58y%JM^y86w+GkR z1tpITZ}!L9=up5k$67HPSt>azzeL2{ULF6zCBITvuRRKQMI+T3AMi^C_uT#*>?Tp8 zX_~j%yR#g4hBVxmcJd1Hvt`BdmQtL{00uI8=#wD!1PkKA59nhHG`nvFY zhP(3@-?MD|ES=H1f`S6EtwH2l?`ag}5gF(X;_%c;msvzl?rY+yBGcfKVLpbZbrbfx zpo7Pd-sM9NskZ0{XKina^&LAy8`u%C=LoVDvfz*=1U)?(iO|QAs~qBbJ97h^rfXYC zH#Zt*t7p{e?Z~qGZs&LFcwK1y8LPahQRxQX4C=t0{SFeLMSVl~v8S8osZvdL#9B#> zQjJL=O!z*qz>bxeH0$S^DIkG*hx1#Ty(7Gb9l`Hwd0TSuxIeyyDZd6IjmdPCL8j8% zD0h0~*gmGNE^%<@QWvaylZ;&QQBXko6Kt$@ARXh|-hKzk%oASH+NQ|1Q-h$e%Vx-K zEl1Rjz~8c<#ycocI+Kx;gR(4kitYmNY$m528n);X9UB`dy@|iReDk2DC#gAxkjTvl zT6S-uI#S0YYTXMPKe;hUG)naB?c9?8naN@9WD1m;}5nUH#ueLRjroJc-V=cZqAVm&AvdBk{;=UJgTKzC1~7 z9}b7kBA3gusrqB$HyRkr)6&kPpI|`P`47fjIl^=e2C%AZ*yBE}A;7{)s2Qw}>jl%= zuU{V3Bg^#}9SBv6bp|nB6 zu>8OCdlwlR8k(t6IHv=-s=ZzLdhHg4_LQsR?8P7{EGR=`v}~@{#J{2YqrNv(*s@3GT&`XCNn*x0`N@)9+-n1gRDJKU>bf6W zLgOFes2;Y+24ub)OhHv zLNj@O!$0e<20q4+P`}bJv3t!2%bB_w_&L^@&jNU*R7$6YhqHJ`YlG?lzXy)<3YFp3 zlvE5VV}aU$0Ac7xT(RwCDgr+}Z-fYtNf;-3lKl*2{rFr*8vp8MjotHoT*e!P0;R{nPAeXQ#FX_H3LBPZL)hm5G=4r)HdI9w#$%T5l8#Um&Ks&ce zb`4ZgNRk7S4N?F1?08qhVccH0v@7*=c_3T>rN0v_%_&thBokHVK^huU-r?4R0tZQp zuxd|FZCzbmJBEgkf&7h`jji}DX|UbfW^De%WZ>!&n2+iFo)kK&U$m;|)bTb25p{Ux?vpyScd$@Yqu$AtT!zFY(MRYP3hop}uoK2IP*S737kkkUn1Fc)~bH z{-)rqo#g}TR&QXY^QThvkZfLZj^rkzv(nQY1&dbrmE~6F2jn zpsBbpz=OCWei?yQ9(rzWf@-thU7@)2YX=7p1GBAD%vuRv#Cw^|GO*9N;fi`}2+a0YN>B7`8~%B`wml}qCz%vVSi(bObZT3RA|xc`Aidt$)z z{V>+UXz{mX(@?7)+};Cc=4qd}W|ND*Q@{5=lP)YQB;a#p-k&XxoSV}mASM<(`=sxh zi1Xp?65?V&OxQ9&2*|Lh!t7BJUwvI7tMW_2;j*;(b&36K79f)s=u{z93)`)83LO|Nowk2%`A;D1n%|1=7 zc6b0XzHxUTDgq*+Z+`v`+tVX5a;*5^kLbHaG|d{f1D{WpvZ~i1`~%;4p<>~FE9ak> z3-v8h0!|&x{by^-uqPOkj)_T9P7cM&I^9eB?yfs0LwF>qyTRuToD+NtIt=e8fd7o5 zj?#3Etj90!4?R#N-*LJ8{r*y5-T(-;aa6zB7egbPq4e0Sd8=!rqNn{W>?xT7frvXi z5iKAy1kfGB1E-459TY1mDR(XX)O4ZDi>3zSu*fF` zD7t!j=@0xbYKg-yPwdAM$3fPknaqW&A7aAanX^l+R+EXp(J=ZmPpp3AZVwBQfjJE= zt?}tvr};_?PgYizp}G03$jVJpS596PiB^!0L~pAV_k(=U#FR=*c^J>G;t0T@z@Dd20(V>3a;fjH0@`j=g9bxA=B3b=5xkP$+mdj;vJYTqdQi1} zf@Vdx3am}x2wm<aP&qVfjL%hoOl}1%1H8`e(d(4*Gi1Mbd*yC|ynv3PAglGXIl%dsml8%gghFl#GnXhYvWqy1EuQXoMh& zlVkbBB!N4>O>yyFZl864PPQF2A2iE&Wz?HE=8{e5q*^MJ{RW}cyfV5kESgSk*~g-W z6BRs??7%wQdUzRJb?6wEw+d@NVf$hR4dBgVg`&Kd$J3~-?#=<#vE7rc@&C5(N=Zq5 zjf_OsuKyCwVL8*$k+H2n?w!Yc=VDPygg9G9#rhVmUqNhRYp?)boM+14@DIq#1D{}_ zStw7*LQ$~j!vNA^$V3><)R7=u6W-q#*=HA5Pu;|&b|1k!xgvIbg1J_`0+J)#N{x(K3bUy(k&ky$#S&s~WkRp!5 zJSm}k{@MLhcE^W21XHa3WvOhARw;%`{jb~;YR{^ex>e&G5w#?}eN7k1m~Ez$VA3E< z95&fY#yCQ7SZL=cuV{tYZ#Y*E50itLQf3YgFVo-T4~NyG->_dRtO0OxK}m^NEbW)w z-FdX9`)l_%>ngb_>s=>5FfrZWBo)OdHa_4?5p|4>U{(NjlFD{zO-eDT+>+rvL(X;2 zd~6Wv>(%aJWVqAQsR;N46E)w@NYZk#hZ3^2w~&)Z&1_b`Q1n^O01m zl<@HWGu3>%Lopo27jMkKJiM`52fwVkiZg zGAkcFa!|wQi_ysGEuWE!PM4{)^qY+hCUU_3BqJp$Y3~_e8=(X1&@f6!4b4xWy?FDB z4#c)!yoq@7(YwlKZ3!CLw>u$Wps(;py-GprHXDEd#P>p+ZatP6Z`@mnO7cp}$e9_|6hQ?u`lUm+Aw{_M}qE(?4(mMGh?)M_ZvRUq>q&=DwZzqK;Yh4!Gq<`P3CYT z?WGq^1K%O?jiwLfA3!@JvHB*iL68+mT*fTUU5vuzR? z+k1=Q3vI4!Q=gxovH28{^puKLp@^GSK+e5nHm2>JF%~<~dUXHhpYPIi@801%rSiC3 zC|?H?g?=B3@_Ma!>E#AsqpWQ9WDh^Li1z?k>Ge+m4_*Gd8zj5EuJG40awq^2Tdtge zB1rTdN*}zLKTR=LwMTyMoE)m!ze&TJ5kVT!*`SP!>CLVlb7hSz@C|+C35mXKF#>9Z z?KI%!{rF5wvIE%UNuVFd|IdI6C}3`MX|6Bd+ahCZa!jV86vx|l9OHfnlEloGEd{&* zdww6l-eIYE(M<_?)N|xWh)*y%`;k1B`G+7SyiLVgN7oA4b2ZKXq;28b+SS8Y`NIbi z9-MbgL;z^rd2rKNd?EIDxp^$vcDyi+bLnk~Rrlq6#?zJ6T%>-gKv$C*`fRqc`4>36(H#Ee7G9 z3n4Y2zwK1~?id$ZiQWpe?+4hKdbt-j)w5*uxAo!Ied>%QQ4UZta+9v_6fBL<@>0kHA< z@+h{6pesCRZN89)HtZQIpUDbKEgS%z1EMRT-aCFd+x?n1voaZ<*2dnawrp8R>km;Ra2 zZPe%q9Q4jc0HPjsz{hP>Qu2H4rI&kr#)0=8u@i|^5g9M{JmscyUBQD?;fJ*cH8w+Q zlji}!-6Ou_j)6H4Xe=+47ztSh1DB#~esHw?PSA08=@DFi1)d{p^Y(c*SuUt#laU>Y zcBfbUz=3vlfS8`SeAbX0T4GQQ-}>N|RhOE3J(`0%R4wW>jgoYjr@rNvARu8uCAP^E9 zq!%Er*7{wBO@_wR?ZUJU0MEhb@X|}8=CCQ_Q~VeN-@z*!0`LZa>4=tMR-8^cC34VU zK#*V4T$vhere<3?LT;HU#|Ieo86JqNA55u z1s>5>J;}apY_OItfAVjC)O&dS1m`GnJ6=uqmOQGR)7}}?E z!&Eghznng)30AnnXS=-DubftDqne%mqH~b*b@wKq1_n?^$s6C(^edP)0}jzR4pfo} z-1h$0#yy5U1cZCtb8{GAxl5y{IJ(BT(D7|TnQ)1Yl+Qyf7FL4nHuFj<{^oZvq`UKr zFn4$25J)ogTxO|RBX~+jD=V$B*g50T=JT)qdg8D!RB`}q8vgb?_ppi$2nN$sKDO_j zUuLvG-N`diviCDd>WW3cI?T3ku8Qn6%;-|fjA%8#cmNGh;*I~Yn>YYa46o$tVt-qFHDeQcjuT?fw=p0@Mo|$yfEncu?}bP z`GG^b-a0JW|H)upjhTR&kX9T_2P|j~`Am{P#Yye;hLrRsS8ArZr7bf%7Q85x9aA|- zgK4z~poO!uPQ7!{wSb2K;H<^X{D&N0PK(j9n-I#XQ@=7vf|j_CpGvy` z%%0o(k_0#uIY&LyOBkM6!FvDRes}+EaT*)@l)p@_L=pniqMBA=mkqo^w&Dw#+UV8M zDrS3ndqi9^$IG%F+~5yIir%c6&w~$<PW@5d)ylfMa8y)YKrOEWFhGEoG`*B}HKF@`QzE+;9mw$HI95saV?0P` z`qLh`FI+pAE4g89lZQ%ND>5@vpyl3u!*hwl(Y=@kv?lWC#BQw*mZ0RzR4)7gki!7> z-r}^O->v9La&X8)+K|-%C2rK>>fE66rv0_;_3)n}%UoPMyv;?^N$S~c>DkHCrw!|Y zw%DL24~2DiEtXiyB@<}`-n-YxQy!40@latr_|L~suo)}d7ik-eoZ} zn<}UcK>Zn?2*IPIuTR9 zE!2ggfQRA-juknX{`+YU+dUDi%ggxF^jf4zvxm-K3nlv;K>fG^C}!$5$M_wV@Bwwc z9(*V;096A;rrW)Kj7Kt`)}pjGY(brQ*Z$R+JKpzX0wfQp>=vjvNUtKE@xsM@Rcq^f zehs}nT{Y;2yr7EpqQdKf!f}5B%Zbzy1|*Qi3r(MJ2$b_!fPS3H+hTcwn{`lPQZlm? zs~oG6K=FFENA~KAS=F;lXtiJ&DoKU?GRa`XYsuF--yZ8=foYQe7y@d8Oom^KC>PxC z(f9q(Qj<4tF1Rv)MhN#!MoclX{zzy?(ySqKPQ8eL#3Zd!2r+2-63g=_`}K5Rl?#5wUuuxY`euP<;~ zP_pzl^PfPDln{cN(3j|vM76|t~*3p8={Q4cT|KKV{8b%{;+`WFFy zR({PdT3KDg8vB7CR8&TB$E`kNHf`3>(>FDI8_hNlxkyV3cqLHr_cqROo3Ip9i_{Ga zwBZiTBFj}d>yho`nXL|T;C~=mt$+P$&~zwa{h++P@BZrGPBPp`h0hlfi|boQF}!`- zb$hlM9ToKz(y0P;`E=@I+#RSCXdeH8z|c&bQna+RSzZssMmgP^y~c^w}5Aoxq~;h_}@Sb*gI{ysP|GAJP674ZR0OnX^?!ywQ&A>}T74s_U<&sWhb zEiYpd5&a_9g25?wI7`U{;^r8MD4hSdHHQkQCBAc;B>>o!*f#Q z1V0+Vm~?-MCr3}1m5-0)Xrb2s#}9lF5fK9FTG&stU~D?55hZ|$)_rmwpJz`7?HU9~ z?_G-1dj!K%CM9V#?PV*})pKha|Vy@?Mc zyfd=44hJT~B4zPrL{7jo1D0D9NJT!V3QquicPlF^nr)suKGns9yFV&P&hpx zV3JxAePwRK?*lvNvf>8c+1Z)MVgd*BcXfAAP_hbRz;bq1Bcq^v17NgIbO5lJMkR$t zzz&_3me$~Y_15`t4oXo`kuz+-*6iG11ERC>3wUWA9i6xzKd5MF;p*z@tOzhSa(&a- zl+LUE%6iGDSG_+G#L3XyU+(!+Nk%YBg%W(CH4yq|%9l05RVRyWW8>qUO-=`Eo15Jd zMu|C}C-a1}$2)AcTpf4E$dFzo#|H=Rp0HbRL`@{0eE{G&)ymXsFHl)YD7hOp Ths{94C`ejdL99%~(C@zhGoeW2 literal 0 HcmV?d00001 diff --git a/docs/source/img/structure.png b/docs/source/img/structure.png new file mode 100644 index 0000000000000000000000000000000000000000..40024ec48d31f92a8173340b4ccaa26acd2497af GIT binary patch literal 54197 zcmdSB^;?wN8#g+DbW3+Bh;(;@NGYHK(j{F2(w!Ri zzhgV?5_Q^ArQ^_D2d-KS{+h!Yhd=Av`<`#J8+=2E!4JY*hQB|Yb>JFij&#|RRb*v8 ze~&9m@G0BFyX#Bf8KG&F_Lo8TQ=%Y;xjxQmb1WKP8D@z92+5}y<)A>~Vp2wW)k>WBdn3si#mCc!5spmHl|E-25pHWbxLY3n zJBNGwLA{r3Wd(A^jBb{RW7-2U%%dR!QIEJ%h5veJ+z*q@B1yl8L) z)$Z+Q2M7e)0QMIyUo6iY97J}MQ<6d6LMK3>M2MMvbq9e^L*%3-KDx~9FFI>zZ8aeu zmqtcLid*5hADLT~VG=j0@6VLuMRd@GM{^I>k~Eno{cci#Nm7`!)MnVf`VJy+mj?mN2$!kYK5J|w6XG0I7G;j zC^P{$l1RxZkv^p@cQ%T7z9gwg;0QPjF)A8J@k99ED^L)T|93Rf!0r{QPZ{DUPW_y! zm8U%}p_}s45(@cLoaqjdZA|ms&oenzKHZ!BLcV9kvOgNd@ZxFK0o0J@z zfIdT$uT!mQj0mc2(5jrSF}M;EfRO>|jX>?ZtlC7AkUzcGtI$hM%*ZOey_bk zv4QY3BMyGr4rT~Ywi8DosVi|JiQf6d_;Dnk2Lj;@#$-)Lhm=)Tan>~zeX{UycfhJ8 z+=TcXhL<#mrp}P_K00?>LLgti;5EB#atXQ~a&~T^jcVlX8E=zr^X?uX4>>@T9T|Nd zYa=b8i1R6!knJeOgm2*Pl-;FLtem=PT(8kSQ^W{LBtg$1dC7l!Baw1{NZj#BN#k_) znCE@i7fk-kPMV3$X$Zt6PWV}8aO>PCDS4Uzc!v?SdB31Bw~xlLnc%V*T11fCosp%7 zzIWBS;!!)|jLC_kWUlz&a8?FC+P*xyTDo#xJw4Heh}%iNifeB6m^q+&xWXG)PS(4F z`y@aB@j1EbG&~%?Qh|>adLyTa3u&EIeDe$lTl73p+F&>MG>KwWDSejK0ftRlL$?<*n?Vtl zbsxhA7I)q*N1=sj`d{AirrhkjsMKWnrT#uI+6Tv8Ctr#atUvdkMM^s3+2>gJCEV5`?;pP=79) ztNgTha>cHoC;~?k3I{feVaC1(N2TTg3ntdgCV9s|1mf^7)9VZ#BM$HR1|j0b<~sRM z1gZnXN*|JW45npGu$=dBJ*$sgykC@NCBgrr_zLLg*OEZXBw9;ei5)>k5)kby!@HP zC$?I6N-G(s6;u~KnCW!1LDkzeI!c&uS^No1O5ym9R| z}&~`-c*P8y1jN}jwFzk(>jgEF1llqt-Kl?St+4+ z9`5*GNOIhy-p~Eq$7wL5rDu^NzHB&HDnmHHw5DIyYdK*o8#r%yKX-|bpMx*HzU3Fl zCZb=aG}VluEtq6fw!kujaF(u>Pc#wpB_``t6(p9ZKT|dTd}>S6ZdGWB3bj9ag>uc{ zlo;FdFBRrXb&R-D7YBl({lFdp58N&1G^zPmwWh1U@F^FA@qVQFZ&m$~^%u-&A5LlF z9rv0{>Hf-GPrU75OSb>ewZk^dZ1iRntxiViry^3S$m@LKP^whQro5 z-~^|hky%N3Cw5N|XnI<9A12$nnn-3+y)JL^y;t`O+r(>~pyooUpkL>-`%7j1pL1(c zB@uHZ3u=nMrWab8>nma_s|t|KXW-YyN-9kLdS6JFKU6Gvnv^+j-#_Qr-f-!7!G5q% z=Rr9`&PC5|h{?)21wV^iL2bV4Q~MW{YigMHm9P3+f`KcrCiD}_^$pAoly@K7pS%!2 zRfDvSO+Ej26yjeTLNPF7VpeP^0WIi%CC4PWb7MH*;lWBTmcYL549U0>x#jlcFZ+5l zIc7$9?pIRclo&PO%IIW0gB2@@$eJEJbH~s&JB{dRfoXwmp&X;?Q+dGHBl0UO*0wlE ztLzR_Wcug0qtef3Q}8Z9?#tBK8^-}m++z}BA=VzDmxU&vq2hlX~l?= z_I&=^+v`Jok+bXQHyUS4Zv1!KHF@tec`!*D#_aa{+w%uXT74rf4Nj=yN%u_`8c~V) zY~dj*Gx5Purc{CrgX#X#h;^}$^P0;IaB92Kl!h|lc%K`F(jueybqMY^_rRlh0? zI|kDABPrzyb2AGXarMNm1ZZ<*c9MQ4yj4&C>ZNhB`P$#dVs4IP=Hk*RM(&pmc4K7-ypi`aUu3}E3FD6+2bWXM0KXWzZ<88VXNxMHcpQ)Q&jyzK=R?W_8 z@$_C<*Y_t)){>c{?Tl-=N0Bk*n`*q9i9BQG{>050y${D;%L}joKoUJH(_kMXkX?ma zBu~x7sdx{acbg;++$(A(`P8>*;^z_49vQQ)#lH;a&vrDhrI*YNFzjXOPvBiNvEm9% z7NY!ANAQY2mhY7;Ci%4;HXbpoMV)`Ez_{EY`xlW@%F?|#?M*z&5kN1)4wd+7k=eVF z!C$5PbWtbizoIX0ATJ7`HkME59sZJ7e%#80OBKHcw#Y|L+VZl32OO?JKdZNKm8%mD5b#HX{Ac@iB139K`N z&3_eNx1%4F8DnOyR!cSRmTt-HDb+VVaw-){K5w__ubR+i>4M$IZ~^2!Q5RLVV@Ba< zYzK6QXYbG`@!xLql~+_&{3d(;zdLpC(tNkJfPzE^VG)N*jF9F>X1j4&`?o|>7G&$z<5-BTlH;$~Wj_u;{ zkE7qiyPTwle@IyBFztryW`O(R)J&{W5mq!m5`JtRMbA(xugPOAy<@aNJ)tlig@kO- zi%Y*8(&YVXX-}cM#3_S!vf0bdZk1#+@D#m1DGp%876JZ|OLG;s=4X%!MWT4Af^yQIKZc9nlb_@4N$XK4g zk1d8nqNfTfG5T3Y|9KLAc=h871cLSf4a3hLx)&axne)b>inyTu0Lbec6Snh9P znfmZbhr*%@i(nH7PT0zo-La#wpTDo;HOnrV1f5 zQ9HPDjYEE`F3v?1$sMEZ*>aIYqgvW6 z9!<*|9Om;wqUQeI>DaDgY&t%|O{lrbYdjm88jbqDO(jwQ8ePl15!rY^8+06ZDN3~L zYjt4jriDXBco)`h>-QuVkH`_6-1vYY#out&uv0zK3;Z;p^st0$%=S=D)XB8ebZjP$ z;`%oDwj)-_tkhO&qUfu>!%P|OY#U1t1?I~Oz!>hP!=|+OtSka{HaRq59U`(^h{DIF z1ZDZFm)#`+v};!FsDU7jMf}sdP9=qD%0w@v&J81h?AsK|MEE(;16xv^{1?TVGgLCy-{&PyXI^6L1lf+&07f zT!;@DQJq)h!~74{M!HXTA`m6CwvP78@mamvqsCv(RGZdA^d6DNi4%zpVcA{@^_Fly{ zYr=#fHk1L8^KGHO04LzWJcR5E4INz~B0V5Evmcu66FWTs`lWK9r_bW!{IvbnB9?T6 zB*(0TFuS;f0MwCI$_d5ZsZOjCI2-lpLFPb!a;()gaoIU~wigf~)JOYo0Qfwcvu%8` zR{CH{6{Q=UVcC7yGAnF~oeh&R%peSc2qegQ2 zP8a@tE0<=Q7=L7bt#Xjxxa-RCKrs2&?y)J=(pfoKqr1rN@sCS({N5RpxPHrGse+)UuvEcU4B_O|5xtpJi?SCa&mzi{clIG6EiD>!I0z7b_?3%}MJ|%Mva;hc zt;^s+L)HIuiNZBDR^sfGajQC6HqZFm-pbvGtA6fV#iwC+C#cSB<(>`ORsWy5T#|E31sk%tnYADMHV?`L)% z-jwI{3vyxdZ_|B+%l}3g?!tx$O91n{^hLj3yGqY$UPselCNz1nNrM`@1>kUMG8Y%D z<+{gwd@UoXowD@z_m*iphq$yULfw|N>jSb$g3^aZ+Q#zBhwiZEtBvvWtU8PB&r$8a z=rHL0Eeg!Ls#|QPl6@3GB}i>Ra5F}$)G-fqFGGH=_QIfBOAGT-V5{IJq4ovkWL@mc z`R$IRr}*$>r%h|Ev!2Vdn~<<)%V!q zu9bWGZ;O4E2>o@?eYP>D@y+bpa-okDzSDbv#0@F+dN zb(od-GQrqd74STSsvDmA<=L+1hcuKcXtj~tPU6}xgMhqX$zUmbocHDU=W+AlnZVYF z44^B>kTS1-AMDVbT4<(!yeWnT1=?aYEQMZFT=;d9$;=ja)E$^c-R!yM8kM(pJqH>= ztq1FRsy)Cg991&my6+P1&OMLAPV$n;X|iJ)=o7AdvZ^=KD>pjgtW2V>h+!*BYYfw!&wV^PQG&1G5O9c$7$$=~L%yt52*hwx z$wxhak9EIS{31sl=o6!pPj}bdhz4z*<#yN?(yk^2qo{*Of}D3<*O;@RsE`FIkvEQt zlE{`to=;x^2a{Qyh1X^*k{R514VY#t5!wpghJVJjvh=`r(o3#ju94qwLG!Gkz9Mr< zO-M`(do?arYd#&;QL%2&WmLi8DhCg)UfC-Xqxc(3vVqZ-;rJ5AGVBuMtbRe&2%Q{cIYzTW_ZXVva~=q%MV)D z=EZvO^Qc*w~#ra%y-3IYA}%1GG{1;n0Zw zOs=bPs!Dup#uor$M^#ljJJW{aJy-m6rhq?rL`k|lK)AGH8NlUdBo5KlwQx*!5KEVs zYE~3}xHxlz`hw4ytHw`R{)ROt+RC+nF04RFs<8KUGw*|sT1YK2J>5=}`HG$F6BotZ z5sf2vwG^Xz*86e3EbFxEb@SS1wc)eN&QsB68LIhZ4}&a`Rq}8wsb>KT3hSx@Kyny4 zw`e6gHK6;87}A*)Kx0;D*$E_zZ02nI@U(Y!=k|WprPx5QWZ@aqPfUc`Hi}6`x8cU& zV*yQ4H%ioH{Xkabf07Sa>NWSZ{`AOFK+XfVtF~6`EQm@9I17m_x&Icb@y*;Ok1?*0 z-nU8tz*4NP3ADI&Xge-Im^d6FXz!MWAU>c*(|FC|-`^g-13gr7p6?X-4{%4Yy{}2p z65;!oT!^73nT~$o4sK~4uNgACeC5Cb3Lw#yDXoPGH(_I~%XcnvpkW1peg%3}Vkb~r z#h#YwCkQ8~T_+tu(HcXCOuXh%tx1#E9X3@?{qTdpTMyH>O8;Yb{bP0>1hU+8{Bl>J zM1LyU0awJdarB!|=W~yTg)O7UD~jtd{!PcvIQAl!o@HbJ|8!CD3Om5o-{m*oBYr)g zWQYE7`lvk_pj7IC4DQdc*IC>5n#p{g9)XxZPzz~Ftl;NU5Ulm6fLH;5*ec#eNF<{H zN;o#0cg)pid)xfJg4UsyCk!A0TP}N(>*6lC9re%s2I3oAAE&%>oC>^F6h$L#6Iia` z9~C`q=lO6QeIr!gu$O&Y3bxnHlYP4T_glO%rg4U+{y!3`or0FW{-G~&ao~Zp4h>R5^t~ups6pEX5Q)0PDr?Ri4eL+@Gv^|*N)DHY=`$PcNkhQ!a+>9gODBL{vA2mV0JmpH?t7UzF6>ay&6KkB}x;c;bo z>Io(x1S-Py;J=b*5nmtp+HON<8Er7H$CsItOA<|~*!s6c6hn(h2vl(Zj;-t&g7h;mm%(#fsNT$1x`o=x;OMt#E-FU39mCdd%z!_?yIdVJ6kr zP=Wg$IM94LSkkPx*@;^>%Q#MauQ{SwVdp3mg`Sp!m@CF>+4GGqS5{(M-?Kf!7v6t9 zSoM+qwHy=dT5Nm|2mYQ@6hM4Ne%qA^>>IF!maU@zY$MfGa*i7t6IR`^J~m4JqBJcW z95-S2Db!HX!eD8k=z zMh+}62n5(kFG>_mC`bHxK5=Kg$`MzeAEOOaD+~nug4RTuMp)oE@kjHlolpOcO_39) z;mP1~OOpc|@#=a69B~1Pwvwp^cFemYcb(+f+OjR-pQ>oo@qILoWp6;I>=;O9x2oq+ z|MAse*mWXaTf+7|8?X(pl~BYNvgB4iYqIb0#7xj_9m{N1*@ja2(Fap|%Dx6$>{d+u zd%#97N{V!9NzcqG!H$3MQyn32MhS+Vej%Ca5lIhndU`V{u?78+4HS4k*bR-i%DlBF z5G0*9*s`#-Pd3scmjvE}^bk3paq<`7+9`TaQ!*yMAIIkE;#U8W_^tw%D=SDxjGyKi z$C;7A7h9e`!zzUnFxw)>TxQ#9Cx9dvQ{7t~h5>-e*Lz@b_UG%#3gUOB&XLOtC}=UD zPNFEG1)Ju_FFA~EUlkJmYfHmu`hqaLzX~4GwCC1!qyh44gK~|8W*hpCXp@n9KqrX& zyTPsbCmFhGj{};_2g&T2eC(7c{bpr(p<}B@x><_;Wt|&jT z;o?i5>{$C>Nr2`s6+Q)f9mg4cD_QH^8_Bis;G&HbX{aUlh35@o5sa z(U@vS+M@tgf7}Lkn;9e2bkZM1O(go|1rDbgs);&F=?0t7Ud!uEV{K7vbX^VZKf?f2 zmt72tWi@4D{$TpG%C|%Xwj6ACc-{Zw1GF-LWY3h$%K3he4z>^B>5&XBqaC0dXVfKT z(x4qi)d^AjV2V~sd%CTBC7g^6yd9s~C=pZcCJV5|pFwI7-9yci^Jk^_u&tP_TLJNJ z;~BvmYNq{YqE7v7j|ArC@9gzsBK-LI258Pw+tfhnDnztZ2ff9wE>Hm0s=?y=t|g30 zp+wO$m+U)sZn*Fij2t9FFA0URbaOMxqZq_heGEy&wF`QELK@2WWqMa04lEX(>64FN z)QP1p4lb;_sA9%xaYb34Prc*iZ`i?KPhC{()w1(=B|k&}11ND17Y4ah9oLBU$j1?E z-u}S%=k!+UJ`(jNl$6!^^beTmE87R5V5_zrVhSDKv88kMpa@@Y#k%FZLXb+(5smXN z`)#+$GoRuQYzDvI1qfgjjj-$l0lBH=>D0TGbDRR^T&CP#5_5XVaiL%h*$4*C-IKZm zxf%?*?-_JWRh1-{>C;xXR3QR^?d$kXJCtD zT&xN(P1|(OgVNM%na)mo;nT}({bUCik|MfH>E8HP2f9DK!yvYrTQf0f6%tT!ZD`s} zZOEHaj$4Fuv#E?j%}bu|rFFA9wa2yT5wVo*9asaU&1f|wgu_O8uyXkta(~@z8(A%a zczK9L%y+fxLn9E(wst?{04QqL&z54tp|8VsY%T$=tI1nW=t@V&+0QYb39C6t3ZD*F z(b)(tER76>x)~ScKDqrqcnjTio`Q}l@a>y}`gnikOqI?4lBz;;6V$Z&C)Zuf(zmw1 ztwh6uR3F*|TTUL2$&MnTmnr?hJtw;QVtBJm>FaGpUGZ*Y9mnHpES~@RL==27bZOD| z-T9ulCzj1G;9Ucg!A0hly?ZljRzL?}I{R81w_>5~XGHMh-!c6F z6bMS{^P%5)i~v}EpiKM|FGp;aHR6^dhf}U`C{LyTpYn~!~ z(SsC5>lVd&^&9uf3UF}*HTEn}S=C7*ItB&4>+uG<4sMo}@0ViJSy?>OVK-K^KXLwo zh6+6S&=Q9m7gnnj>s7qs!Y1+_Y0PJsZxC+Qm>`ZyL1y`?hJCGEu}mvVF%R*kv6&?q zgmKkz-_r`kG^s%`>&2#rhYa02{vz3}ct0LoA(jdc>0ndfMDfyr*OvX5R0^7Fd`%6^ z#*BEpJ5jk{{D?vNJHXk0jGkG*4;2Hlxa~tpljcJRI6+;B=g)iXb9e;t>A5zBJ|dvZ zf@PK$eJ`jo2vwp6TnaedQCwX+7fi(W&U(u|o0KCKRc~sjir0C@zbU0474*Dr2X33O zJy{$HI`;hzjmO1+Y0q3ds*t*S3NULGbaiYTC2OFeu~T1b$z5bzG64etm<1)Bu$LJ- z@i~(CbVq(g4j!oKkdfJi*{7C{bGdgt0QMRLUbp@9~CrhF7+D{yg$%*sBiH`x4@ zZzf28o|wRGCq}uWEuM{qbsJ3d4b_f|^fwlFX>64|O^JnHNDEWaYf?ZvIemRN3VDUm zxVXl@G=Oy^w6yRu?U1tQaoPwe@N;`^e}-hm=)ik+e52t(U-%B+BO%RPzZc8I-k=Ce zetP0cR<^T~1(+JX5(uUcb~+b`&tENpT@)`nZ~qU=6td7n;ihkO9;{whHx+cE?|=Yi zrL*5kn)TQN-~(tBp{Y;TB;C!zp|vv6p`xLftZsNv|JYQUI_aYH*UhPshciel=~a^TFy)Mbp>}!yHp69s z$|^q|l9;%0Vfgd0jmStT9Hs77aZ2Qad%e3&-=h%ew_$h%JWoW#>NudifrSO6S$}Xp zUno(ir_0b+ll|H7ZTt`pkTNA8F96?V$mSA%vty5Bg^Y}UGXmOs&soI0w|1_@UhtqP zKT7a@k#FS-eDVbvs00VNhI{&F+bl#k4V*b+K;i*%8@(|hr#-Tt*d2HpmdTa$ov4g(%ZZm?Y*&IGk~eztQ|L zdRHV7T2SH2oc@k#QG$979u-EFd{`r1JiGaiN1SNF2Z#Fe%X3wDyyyif;Yf#9=)bzK z%qEhSKML6n*bS5MCS!6{lW<#Lo+*-FFNa$58Xx#D5@8$DnjAjkzpJCZI+lSE>>^j^ zCNI1>gPHm{3BVy;O>26ae`K>hV?VuV;c?`P#pc-BrPpov%p-|U9yuzuZHzXt`H7C2 zb%eSemcrqKQh|U3&|!GiM?4Xb-YlG?<7Dt(u6!uil=n`V5vl3 z-1u8v5zhqV=95R<)5lx{e+6k6q!yp-)(e<`P{OZ`KmH$d>?>tFoLEv`A zFDcFdpIY&^_Xspx&oo0c6RmivHAD!&H=#XP>XG)9DBfx6%Q(q0ln&v4Wpa#j$A zNy9V|Wa8V_*K=|Ki`R#uhh(ZAVrZpgHKik+`pv*pM;`NiG_4v6WX7g%cbEj>At8^?LZO`9>Pc>*THY z|Hye4TLTFGSB>!{H%A|cTAZAHqeIaVb}^W_i12hJZdh8f`Ma}SCEWfk@NKT&gzwgi zK=Zq?KW$f&k!c;Qg$0?Rs?9S>Y z>0;DSJ4Z)b>N45C;l7p>O)BhEgwE(A=CSQfCG&*u5VcmU)7a-8-e|b+E+5xN^?h9t zb05(Zx%hi+VC#De6}mrEB@LqDi)v&e^_IS?;F*aYLzYpEgq!qRtZ+Ek2A=a zfyVe&J27;vQqs!nzz@1NJjqq)osf(pvw}#opWv!iyn2xz6h|)Ap6adI`gzz>bAp?(5mV4Kz5Z; zsDo6j7E$dJ44Rb-Fu!LI(s3m{G-pqt460 zr}eFr6ohIO8T(t0xQ|moAV5(L9x~v0D+;oZ##FUFfIKN*Gv1ZJcK9w!&s;oP2z)b=V z$B`q7Ly1w{5a_(1qr<`m<5a-(eEP#ym&JE^SEXUXEIl|Ta)0(-hco|6%GEy1HGjM8 ziUpW!^xfznemW+Td!|IUsr}JEO}p~bBg+-S?Qp%NrEt)EC8nG%Ja#~38+gwE)-6Su zLq)gL(-r&pln%{@?ZXq6+pBBevoO=%_BJtL2p1REzUvjy+YWVwdi0@n8cRKTAboP2 zod~#fbD#aF1M0YRR|$6$BvWfFZ1HFx0$2!uhTA1MHj56qg;LXw1%*mMU4M~4;0gqA zRtVSN0LuBzqPqX2U$bSu&0}N&_w+$`sm`S6{ z=(82RM~ef!JdLb)Wb;C6V9m?1Eg~f$%H2+XDx8RPb^>nP=j4yJi_ir>k+L%?F|Oe& zAOr5--wlI^7U2IJvwr><5jhmXYMaE=urPd{n32iYS;ZIXU%7hbAh(WShrr4TJWxa9UO(+FE`vuH7JzXqi4yW?9Vti3SR zp7uCEk*2w8Ma=E+?cXxkP1;GQ2>?t(!A?kbTclN+!Qoezn{%D)`C_;EnEI z>hY`bz{o8e@&t+1m19SW6wtt7yb`S15eqmiBCSn{=TG{yYw_<5f{F^6@;fhEta;y> zg4`fX=C8ktbe{syBhq1;wriOvF3|Gmq33(`%#M^aY9r3l!J_c_95K+ z8}Ve_CzrMH%+hEO!1Abn6!Rg}xbH?zd_D~a^v#(n10gG&gYxysVCvJ!qiJP555xvu zD_Lh*BDF`)uu+=ty)zkrJ*+*V*Osv%P+%Sa`C}yiE(7NBm3P$E{R0*WDj-lM$$*vZ zKOL)QBl_SWU-%aQFa(pxKmrOY?VYmIh4|8J7|3}gTr{{MWm{w7i&8$FvZKA*lF+Av zsJHt6E=SN+5rw&!cIOj=B+9WmzB**pWL7QLvEa-IR{QhBcz*3f@CY(hFai(#?W_kH z0Z0l@?)p*6vVQLkSN!kJB!&@f*a*6=7R*+BwD~)LTVw_z5FkJCtP7G%$LudED~f0JAsZAcW*`m@hxU8dkf2~qH9zqK z<2y5waRm6OT!iSf-qSQ}Y5?GY?QrhM2;?eT`-yb2f~smn9SR+gh$1;DnnaM0RQSf_ zR`0I=&k6yAxyVGv5NVu~fy+Gd>B6}W*sIY0W->AW(F3uiKa>O@$J0pbi323!>-Q)V zWPg8y96Z=DFnP@iiws1lHidbpz?yi2Zu!TaX>^v^xB7j*$5da9+MZJNixR80s9~&( z>I|eq2}%%03ji<%NPaK^bLs%~1hU8-3Vj@{(TnsE+5@rDTm3I=0jbFkn2Cz-$0p#+ z6&Qe}#qTQQ+fU{7T_slXM_dvi*d+Q#hx+y|<608M`!ZTmWb40dj+t3jR#y3U4ODFd zpiqgn(-%OO<5maot6+6|!N_m6WhfOyN+u}1#5UAWt(-j*&gP0I8#~Fk2ARPGRcP17n>M-Xva=8zL=4~d( zBmEHUx5!$k!=L-Y>|VaWWf$+JRn^`>sk8q-S;4RYms71Cp@z&!3r3p5=bFWYU@w6= zCYudU)z`t*5r@$M5J>PZpGd8}^t0vKl7U-4B0@ToN03o<*fGPp^sFTttuV5CqKXUc zJ+~>fZHJZk+okAq5rnApeu{66ccRw>@ex}qkMVKTZtpO3*smf%SQaLFg^7jM!4j() zLbEW#6?Z&)_4$g0MLE12+Ph_mD&5%DblJr^wL(aHGal zyd!|E=d#Vl6s)~fXetUstX_TBu|MVs^(I{u7Y$%AK>u#Jnp)qGTCK4z>D@tcM>k4W274GXGLIN~22y0#11lZM z;4QN@RSCkqJ)iA~xuG!=0yi2R;sf0GF1GLE^Yj3e{c&Idzh#D#p?iIC|Gjw+w6;w3 z*$@yj&j3nObR0dkp<4{pxsIzufCS9#Bs9N&4hu5WB)WfRX!}KX9!GJv#tuOgZf^vJ z_}e29qnF-vpq-E$LMiP~9my)p2YI(zc}A2<3&JZRxU8hBo!3uTJ*@>mPHP44K!K=F zB&dH23WRA;xSMhJgPv5=Z!HsP%a=ofg|uG z^d(h@maN+L@ zFbD{Bk+9{I znw4S@78_wcV5YI0tV+H3dET`{^W!02DCC7MS}U-8Y$q zIzgd}<{Iaxs{3y4LLjjQL_IJPw~dIL*f9PTSUT)R$xyy7Dj+Dr0xz|l(JFB&KkNNS zQ78g{F|b0p4}FFVw3M04E)z%YN;(hC7yH@=qaT-G<2pbEfckrX?wzoIoAeSk#0Ok> zaLR3YGB9e>-7JWa*@OcU7=RP*>Ru>eV*xRJb(<(y|G*3e-$2e;3aE~-`9{F*jdpiQ z+5n7Z!vBouNgE_4U=A37AONFmic~-(87yI53dm)EJPJw* zekg%$31`nW`Mnt%D@DJrZ6#~;?--`2Dlt4+Q85%*ThpSOX`_$uZV_e9&ORU(x{C&e z*HrD={r!bLJH!%$(W#$cP8AG~`ll9B0LT(`srl5jHp~QOR*8*QYVL1j!6XbUaSl2= z@VO$+T2iFO=*G*on)E>C4?r1dP!K_gEDCrZz-oT6h9!>PSbbEgpS))pcKU984N!T2 zbEU5<-`Wibz$kF9ZG^8aODkwVG%q+p-)X=K+WM4&MpQrmf!xeZM7m0f=68pARh&5d z@ZhIrQY!~6K*CSX^!VT)UQeA4%6+jewmV{BhfH7k&DT?V0u_9irtU38T;l3Y6rO)Y zcDlD_svMal6~0>xaeHY_@c9e>?FP+p@wLmjKf}s)fu&Z_u8P4OSu!l62hq5_MQi$H z`^6cXx8)K6oPLqpoeEf&Tt{CH`az2E$_PA`^4$YjIKmae_3&=7M-i~mVPOs&b#53- zB;swt$n@s{0ikBE%d_OPO)$Uq^*Fo94&A8XM?gK2uR=tlu2RS?U*SPAj(q z_xyGFqPt_cCOA^o?oEAImSl^M*XY1~uQYDX5=IlU3$kzlPFiW+BI39z2D-t%r*}N7 z$X)3_I0WyOiftAEHT{hk`(JgYT{%N%wF16Ti9*jaAwHptJFwB*CQWh4L&S;v*vJOr zc+>Fp$??QrB_P2CbP$Zp?2E{G#s>GuYPwJH<=R~Sz%J2w3d$GY@cBVMWa=f1YUT^3 zZaIA6GAtQHj2_6rlv4J5&XF)O*j9cF!P|&P5cbDr4UpmhIkC*?7_+kKTQz1EFWdmE z`XhQU>NG#<>S8w z&lh|e1jzLuB|IO{Y+f5_E?Dhm3N;}sg7?~{1Czb`32WZ+_p zUrAn|a3rjRDtz;U5k!KiNO-rGem_XtYve^aRt$lB}j zlLx`sh0}BELoveUuvX(dDJFLsfIzs_cj!G-LG0X_B!7cF?#;A2vm{=kH*c^t`~Hi% zy9>vQZ9jRq_obWiY*HeA8LKvlFPjRLkOCDm8>)k9yCEaV#?~1E*#(w+^(A!{i#**M z4ocyK;Oe9p7-8VrehY*a^`@Pgio?XO5XoL;a>X(7s*Ukq(-cJZhQ)=F^2q-;otfyr#n=Utu zyY4Q>N))q79>|0=hKC_MF3SZ^f^pp6#EZ%6qur%W2>9KEmZO#{J}^W1p3d|@^a9$a z+jE}G@vU^*>M;GlRoS|)^`Uc}XL>iCOX5x8Q&H5FD!)3tuP9b*@5KEbjBGcWQZn7~_r z;sh`$m*$x=2qnP2od9&#)RilkG7Hm@Kv#r?=d|et4FUQGsZ^i>M0o-`zj<5E_NjRP_2-hd)kHeiK@3Ri4%IvHIs2-Q#RZKje19W<GucgiL12P0i_Fz7l5@_O>Xn12ad09=(m|)(|4KJcD*p1d$o){Pl zwQDeEmm0KK+x$ zn_~S%w`~4{oka>kAc!4u^GDH)&_W!$023H}qNk zni8Gm(R)|_e%Cz3^v;iEU+0t|chhA3WI#$@6)lP;%8~d1>kN6QOP`(Husy-iC`f9q z?DrmhF+GPUTtrq~d1du_SEoMdrFok{y416@M#br;i?>%el|lpoJj*Ow_r4DJul0y) z{0=(;y6qlYKQExwj$c;P*f}cfb>mQycP%_}$;rvnaERh^IaE|$SsDzrE{nQupEgyb zO?+&Zs=06;O!oJ3Kqh_?t$AYJ%PXSi5eQuSq@ZtO4y}7Ief#}Kp4af3k5<}@?Sdug z=!UaIA>SJbd@YyAL1Y=68d;-i3p|oPTubbo*-Xuk6Po`++*`**8Mb|&g9s=hsEBk( zONVqRDkv!>-6hgFbVxTyw}5m>H$!(Q-Q6{G!|XXe&;9PZ@!5CxuSJCcFR$y&BYwyC z9KW`pOsMjjp}gvOMcp~)23sRm{N+9bBX>0NOo3VG!+(fa_au3Xu06uV$Ln)~+19LE zukEo4n9iPVs4Vs{GTpkq%zKsBiMs+IJChyBD$di9dNEJDuQjV-(Je7MHS$Oe{a6$${ ze%D?$c{aJm`ei&L9jijI1zMu}sNlOP>Q0(6y&L&Ka^B!j@5i-4j!*0bFeQm4zcpwE z8)`FUyqWsGMfROL2afaJ@BI^eQVhR}72TDuN&7c2M|w{#T;(6h5$_KfXxOS>Z}cAa zwfl&VI6Gnl8E^=eH}Hlr9j`2>LE-XBPAiYsSyX;nA%9T}$yha?O8HaT4X!2Y3SMvi zq0g`|-gW)D@-=E{3O%7{qP6#XGTQ90biEswk0J*c}-fJk5VDpi5 ztNuy3$-?|quO9g$x_>~DFo_@`KGDFDZPjQ5iB|6A>oj>cjQf{~oSzUj7@Wo;LdH&~k4PPM@PF=LFDw6c6=2*qAiaosWz2=Zx z=H28y4y+X-dx4-wcN>8KuP!9gv0logvIbf|oNaOzI40Luz%|=7|2?#1 zwV-QD-mw4vo~#z_YA^G${xW+tTi0MmY6H#j(piMtsy*56 z81+sgQJlV_pDuoKJ;f=ty<<_z$dMYHN^Qjp{>63b;>p;>ihD6($o8g~lI*#AB|ZMl zP`Sa0XwVmy!5M7_?m?I1OG6Vwa%p39QQA1NKF?(thSisq{p&|`gileqW+&r1 zdO!_cSYTccDtUWsK|q(AciS9hol|xjk8nO+C7CVbBZ&sN?R0Uqpx~gk&UrtM%09z} zkB3msAdlFRtje`_@SHYI3rfoHuHnw@K-U+X+SN2481aWr(*1CD7WSD0gIrie1&bSK zjtI#kF4$0d`ddTptzpXl)VRu4f%3C2TvK!icyr$DTw0pQ95SRnFImpRDKl`{wxu}w zaik6POhZS+Z<*#G_8Xu%`gSz%fLte_mFFd8=L#}=7@^# zqr$W@zn`2F%@AO8?x!?%mazwal>U^}BR)W0a2XIVyUO9uRvNm^UFqE z0(RQw@{;IS)fitj0&ApRwsW@kdvv=&KhwagyE;1i1()MMZdu2t2|A)F7N>mW=g%LZ z53!%2u@PWBr&o^{TyUU+iQzqS%3ye1=+hFxt@bw&QPe!luqQA;6VCYfnrp3cT}kmH zCC)K%Hg?Z;1k;b?cqu8B*G4alChK`;p#&o?S=2ed9>Yx_>c#?^4~IffGTJge%1Ct1 zcf5S(FZtBz=$RgFKyXM$@8Fe}H+n$sI~%d9m}gJ>nFm5;Pu-pytsC*KS}CFk2Ry`? z3)Fa@ip8kTSZK!eBcglda~kSXsv-ZMTK&JeI09ePz<`=Dj#c?>fFNpmdU~N|qZ-;vN=i(O zBiH-h>!C!44%cV>seB4(lt<5feSQC~4)ARK$$l)ts`B$5XtXb?lS4*E#@D|!>`m8a zx@-g&x!NK|cL&~>Vymyvz1#e;BNG!P;O7e)IhV&uY?PE4z`C;#?9SBVE7&SvVS`a1 zxLES#sN3@p_&o7`&x7si~8bD$^BT zTjqsaepgk}T8`Zi*u1-}E6GOlxw}mew$I0upne1{{nH>vb%R6JKG+hb5o4?0jf%IU z#DRW)t_AmBqrbKMR4O;xoi0T+RW}t*5hAKjc7Tk!7qCdzS2gRf9UL}$NhNW7K%+hK z@qSzG_xNxIMlOIMAU1e?&(zoyGQT?w+PR^7j4v=h&+z_q|hQs&ml16Ef+K z)u!x@?a1f7jpo#dP+Ote9!1}Ao$gUmQE}e)UM_LWPRi-Z6~=t5ED0SDJiKw)A8W_gsLfREYzO81Xy^z`%&=IZeU1O(hwE8`i)@`p7!0FktiM-|Wk+y$3%}@%T6X`N_F9$o&i! zCb(?l-wWimgChSd1i1G7M%up}4X(>Lmi>2IMLy()1-lFU{_p!!QXXmKpdz=h9B;%% zp2h$DJ!aMU-hyZU+c|Q)AN$ZTF(~;F$o9VZPs88*PmTLO-Q>Tn1DF0^Tl7Ef0Gjrn z7UhGX`PXt_j{dvrPnBfe+ua2s2R0y}Az%seKN@V4!5H_SrYQ0uyZ!(0wEyWL|2=RR zl>dvTG0^?z?*E65{u>FTU;OXs_@8?0#H$@nEIzb97Z?`ip4;0Kx~QYZV>uWdh^ypC ziTJxgB|coD%(}e1OtyVfPekxBm{vNTOWzL@Oc94+F=YfoZ$Ft&qt-Qn%7b)0DUD9g z)c8@ebb&g-QHxTP>4nxQ8pZAxdQ^Vb=C$Lv2aRq?DBc(akPmm>e4NJ5o;_=gR1m-T zb_Z2aRY!TEXG{D}xF*_W%JFWl&OIu8`kZR>i0c_H?$%s&3Fc?;#6Kyhm$L3^HBT@w zFv2cL4XtwS?$2XVQ*-+`jyPgfJ<$(%30`|PAG=OA;lvGTw^BhZjE0hOT5&JK-8CBs z)Ya8*pyZ1yET}avoI@ifpFHb-#x^O+RO-|2XLYtrC~P+2|FdtRJ5EG|(`e|+(!V(r zbQ;T7HZBSWZ`T=?e1r&QbqS&6=I7Qd_Y3&*Kp1{TsEm5g+7@cuwmuL|uPX0LcSJsx zryO1>EIc_gW9zyg*W~K)`mpqHYY=vzt{bu?{9}=Eq9=)!JgM3;$10MNLGbC*p6I~0 zLgT9s59X@FfkSvoNcbrblcq<3i(I|hJl&~0Hd)0Zy3d$pX?=e%+kA#zGdl!RYW3mt z?M7OK*|5*%=2r=27Vkbrz6;xPmg!29@C6R-V)Xk&-f#cvbtvlmml1?Vz(l0yU88>g zW=j@Iepvaii?1`VJLzdnZGE}*&X?Y3=7R6fdhWS6z_SgbXy1SMu(Z1LK3@9%;9r^d z^Fb7G{uoJB=9vb0@(lF?x=-ugB0FWkuNz)fZ9IX!Y zt?$je`qEH?`4PDySEug#7(FI_2D&$x%r{lxR=-aWAV}k}KmDPPRyrUsumwz5)$Zh5 zYgt*mkbnTe=k_0C>53)B^3A53QkGLw&1S2lTi&d`%HLY+s0$^2rB+BFtX@S=5D?H! z^*05zhoh&bCq8LMj_7~i2VjS3(Sv{tX@7u;`uF9Z9s2+ATh)Gz4+qPiq@<`R1vStP zA0#KIlvY$IzBMTIc6Wa-CYDd}zDxzo9KA|z1g7ZwR9;-~7M;)R4Jr<1Go1(N@06Z| zFV+X*<}Iydeec%WQ>$D)$x~o>5Xm&|(F}1u-^uy@B$7@Biv4~ykWt}~%WQX7@d>5u zEakjsWXl7JadLpZOeSWnVS?!4_y87^1e@YbC z3}9*d6Ckm%Ul;;B7^#s~^3b*?rwD;DK1sWWqE1oEquOlYo?kN#2kn^SD5>)O2yYmO!*5f7GLkXP5AtT?v ze}905wc4M+d2xM>i-(8S41TNva~J9gC!cux9BHwiM$+M7=PTy5{?=^+t;%sZ->0Uf zU0z%B4GerZQKFq=F;@rL^MsUiV6s%7P}r5X+H!%Gg(XZPhQWHhpAeY0cz%0^zBpEo z+&wilwWIxdc$w9bC?s1Zr8k++*2>CCXZY7Asd%;)0&HYI*ZSf@mvnl5(1Z~4U;_*H zf<+^sy1E+sS3~sZ25?>PQ**&1{}w2-&VwkTH~Q7P;1|qnJUqsy+hflezhHSjCg%R_ zbZn$n`K<%Ac@vB?m=K+{-k9f1DiPrB$6GmRdd9|GxpJ9g&NG)sYgF{~4?JU;)qgN5 zvlJE;z0z(HH0+Bt?2dS;Sgb)PD=YhLEdN8b#T?||=qTe;6fG|=@8@zOX`9vVmW#s` zc5pBR1@FIx3X$)4xD1JA(M$xfqwwvC0uwWHPZAGnR~Tug(Lf?ZF;Cw2&!5kOX(B`8 zMd}HHP8{$2u?_$Hd>fybC_Ie2@BRI|6%>j=K|$fUKUc3%Wu{tKSQs)8%VE1W&E_089>xrbc1xVY05 zCT%6KyP&+hSN1#OG`zg=T`iNVl;;ka@s-Ge64oInTs`Q?|J(BV>lhJD-y* z-%?XkgE;%(_;}@XLYt>)zRAsHd!l5h%&?D*iz^E}AI!6tWE{)wPF++~6rbDG>rC;&I z@BjKI_b;yM!rx5s1R)o0#xI3Bn}5Oy=1FJ_xMFqkJ-Pgb-6YT!^);l05C~FPl&tQ%bgM!0h>-U)v5gxCxTb6UR7AmCBBeO zWP=VS)0GWgo$i#|ZON}}+%0G{I>+dDh0UGY&+#G0nQptFq^Xx}puK(7iwcsEn8*R{ zy0U=B_~n;gN6>i+VsOq2FDXTx(GQ7p5B7VKwEIXE<%QFz@e1Cz6xdq$+qk1THMLb zSn%qqf%ECsar<~$baearKoW?U)(u$pHzo$Js;Wv=jVv}cc5-^k@REDC%#j#rQ)gz3x48QU21=n;-NWYK-Dj3IH{-Kr zz~b&;4^Dfl3+_-}ZX0Wn>FSGV=Xz}(%cRyWetV^BZZ5r1tV!gF~wfZXT6{D_qs4TOxSReXJ0q*h6<^7|)pFuQ|kS-@tGevaq!k^2Woa7)hT zsl>xbUSEoL+{!&?P^6z*C@wArCg4}n`qoxpPL6S%iI$dDG^27D*qyJC>OjL+u`sd$ zb=B92GAb&QR0HI8dqn2yRqg%#A#>N~BBLBnmzD^y{FsgkB`r^`YEY2uobZuRJ62yzuMcG7R2;kSW*Eq4ZIeq#bcd(dwb_^9@QExkxcG<2lN(9*FdA{Sai(Q};+@yQ!v*2!Z&PCBe^28NPT? zs{cA)IlR67{e141P%dI_1E;9MsdD4vadk_>Ntv%->Cev2{%bRb!v|w}3Y#QYf6S2x zI7f?}Za)PfH1Sw|>3G*h`u7&Fb`nH9?(`RE64-R_saIP_^DxuX2LRtVQe&~ylJ)GuFpfhHntGeuF`g*dredv6S5t<4&y0CfKsa3PM4j%>QE z_y375kzMCQ+ym&|Ed(##Q`?G%lce_~SrU1-Bt9l4<0pXF?TkNGP*4Eg8snGH2@?}j zNU46;Jrg%wilPPSHowOvlfPf;>gsm7(=;_T6{=MRfzW`{iaPfuX6X8rJ~@VjgVu;v7F+_ibrYDehwEG$~&(?qNt1`eAq zVK;Vc;@DS4SBdBGmR~0u9z(oc9G0E`jA0b__4g-dq|&_7-m*`ubPK*gdn9sn$C@Y- zoVFp=*4p}GfoHGdWpEdDoH02zSfb=6cF!Z@LThXJ40~fc=I2w>Jg%Ah(ozmpu5;T? zY#uy*+=f`x1#;2hfmP1u1;fQB|6u{rzpwTrUFVr2Izy9~ZtNf8vue?D(ZtL;34(Zl zp>oG@Ycar}Rflb{+=vg-)APwpt@;PHrn8_`!ceCywXkp)^Nw=4&bT7PU84-!l$bbK zb3crp(|S-;UjE0W`MlJfN+p&HhPV-n#=|$R8YFU&OZSt72KM*VRnB$%BooIf;m!!lo;)6M!sB%}IK;XX=YW1XxwQ;gt&Y_n-Jy?H4MP1rW z5|C0vUh}$NP)yuoB~CgsQ@Oo{v8*JHgbH3_E^hAXC9g-)u{s^$eN@gHiV?s8ubSsu z{$A+E&}pL_tunreT&AJd&cigH-|uaog0WejvGUf}lv(d#UfEknzE|0Nw+Dm4$t><; z2o2x$1#is@U{D@?#~{Fadxl5$LMBSgyvCl<=N7|(&E#kzJHtp4`7}o5Opgq|}ku7y4`RU{!woE4(LI>&os4 z3nO*kzLrb3QKG%QAg*#a)2OxciX=aG$t`FTHjQ106kwHBhe8%_5)_h!>_suS1^iHf z>jdFDe%o)|%vzgE22LaC&Ou?fq$(0VjRZF98-=OxDn7UY)pUV|=2< zEoJ|^J6#v)$2|R~P`az$OLwfyxJ=~o(Tnw49CQ?`l5qROw5 zQR90fXy`qDON*)4J(Nd!E?ZY5Oe()UfmzdXJ5^#(E>1RI*eFVR$)sJCi2iuz2V7D z9{mBbT~^B%+RvF3JtH$4LCi`5p_5J4YlSM**ll6z>Mq&Ar|H^+8%FX_ExB_|Z?1>4 z7(+;pxq$EJ8XHR>6RHR1q-C1R1M;V~Z)UVeS<<-Jz;woSJTl^mY~~B|o~RN?R&VUa zXkg~6$_-tt}{)QDmxn64Qb;N_!r@e-}vNiygmr&_-{8)3D|y<0~=nb zzTm7SeEU9>~Fdn*=b6;@Xsqy9z%#BwF>=6+bDZ$5u!qYFczd1hv!;xROR zkEz5fE@n~8y$Cwgp0Y9IF!R`6CA_ZbLC z(0aRFF0rC+h9t)#(@14z5;9b5^7KtQWrtTqL7Os*X186cZH}zX{>dkz>V|s6DRFiC z@Nh4IE42&I8J_xj1Eq~W5hy9Gt*t<}K%rn^8RXrQp_@85umYhCpUvun!^6X^ackpw zAD{a`m7Z#J;Q^TxhgKu6)gmNECdHW#r_e-AA$=7?aC1|O`0itUhI08j@mBUku4*WV zHMz~FV=d}@v(RF+UB)h;EutpMe3r8{mnzr0)d&jE=T4(fPOU;lpp`I7tc&H@RX1BJ44bxr;D1+)y2r9@E>;I zYkvljpYYwqO5A?)`cN9a=g!*}oJOgGQ(_Qo>X{}r36|C z9om7Y6J9yw<)z%S6?^zn)`HJ=Qz|A-R6>FS*dgggv4PUEDw*@?lx#kP>s^b&0?Z?M z#wgo}P)k-q%AkJ8d7H;P&^OC4`#LQ#u{Rr*=0P##%}*UnPa^pAogY@ZHq0HQG6zfk zr7#;0CfCCSLEKHk=Ni)8 zO%K`K-TN32P6yJUIajDwHT3t;u-jl{Tg+zeCf10)p1yr{ucR(~(A;MpJ6*1?icpJD zxwP!?RY%fzF$E3HWgc8xQSsLyVz8Jn>EgE54M^U)ofHSMC13LV#P=(LUjVmI@GL>P z%_|Wvu;C(g>+1)Q_pO3FN^hcA6C`G(9td}dXz*U|QMiys=hNj@Q2JCU{T>nVWH3db z3*?uag*hNrk59^JjNvfsUEJ6ZPv9^ypYDrc6qlEO2D0Ag%xWiDe80oOuut|TykAAR zFN!vQ2zt((tecv^xz1tVixoz;fbnK5zvOVExG`DCHkHFrl5j)7emjKS${_1Umc(zH z4mD9xi>PCA=%c|Dp%P9dEllA8kFM!yKTUO>GSi(-tdg0@?8l!-Y<6fd&iAq5jp{3MwBnW>$9_H*%aCY(Xi0cW`P-G7XE9P%7B@X^aXa zz#jvHTgJ7A_s}r^{Q87zG?<+5MKy&lO4w~58_EYJ_}fG=QiQR#wr*)@dHD{8+Dt$@ z>|W7t?a(yCGTz#H&+#Ukg_Q%Y>z%7qk3Ls-vc5fbV(!XgIo*k%bsd^4r3zh}$W-RB zS+z6lT_q3EK3LLSx@lPCY51nJ5z3=AH2r(BfEfK9!-6~KRE?YV0_yI$P`vKEroj2< zs!>xW^*VXuQiDh7FRKq`uIc#ZY?t8mbR!;!!T_PYutvG3Pm_hMu{?)M6hEG~8+sB? zc=NjIkn`Ep%|Q_Z{r#cJ31jSh*GOlxyOtob@l!TUxWZyC5r|*7PoC(wp1lzjy{GMZ zh{kC=_zQ?xkea$W4$y1TN`C|35Ho0w6F9aoh{i2Hl`ek(Hez|XL-H4O4x9cuwOWPQ zx8#MnxzLz`7l&^b-j0@xge=npE!9ti2bIJcAB|du9FOIV`8-0w4-5^>TxJ|oIp|}$ z!67kPMl@mQbT2;Lohwg^ElT=ZJpn03)1=#+wr6OP4<+@m(CNuoyJ2&~hTt}(d>`@~ zDAcO=nb0a}A2q=}xHF)hsorm&>Il@Ro!uHfB?U@S+)n;zTEv3Z+5W7UT5GjT zvC!@)Ivi&8Dgz+7Y_0PUU~TO`PZoB&@@1F>3aXWrofMRAMfJYV%Xb)TjvqY+(_n8j zG)SCmHahpj$-DN3^5*MP7L4nC)kPYcI*Oebp*Zg*_IbH}2T-y|0JER{ca z&DWTXVDk4BG=D7rx+G?;lX=Fv+maO-$!oz9&r%lpj&23qmI)qhWX&xVtt-XxG;AA9 zB0^1-KbKp0W1ypY(iTnI-Wf2br*@Ow6N!^RQklYUFEOFp zGddcr)#z+}ye>WOyu-X=$H>Si7Dm$39Z5wf;J^gzzAi9^zp}H3fkOZ)1_7@Xg_oBX zlV)A?Sb=i6UI%6@tM*`)WZcEch-|IH9#NX>(L)FrU7$(fVv+DhfHhn0e(P-1A0KH5 zKNWog$qK^D6iwhr7H~PMy*N5MVG;uZ)IKrRU7pp_ciG$8d+F)Au7Y2AfqD@Q2J#-- zu|60K7=i*%n>o!w3#fr0ybes5DtA-t>fai1%6W&Z1eA5)x7Kl)@-A-VSa#;@uKs;@ zU#ltJM10<5EcZCO^j_*%<_khB^eS_Wu81bhPZAQp>}rET2t?Qcp@e;5Beb~MF0IyOl+$fNJ;H1KPui%#PT4h#%f+uG{)$Fl?Z5gmeq6K>ZxTi;06 z)6#;1Aue8wfp%z=!f!TJ>aEuiyn}lVQnntZcm(5q?X1aMHeV@w=}pBV&v$Q2Hp`Zf z4GRw#p-8G6l^BHWU?tqCQ6dJv?#8=VGt;~;Rz*#%%&r5Ih{rr1$M8jPP{`s{<(n@o zcZ32i7$hkgH6Z!;?#oFoxO7&OG>cvPsTMP4@_fUA1wGmDI{S2WKs$o6L&8dElfk-_ zeYU}Ru12bPY6MjDq6B2!S2P2IH->&hM}M5$djbJU3a`udeaOSZLm%IH+Qt4?XSrJr=~hO&ztkh|LUG2PXY5|7{73ux^#NShq#UH!L-=lFPyH60b9^oh(4fB zOUrD!DipY?Ed?k!pY6I%NB#%1g{EMH8xI~Qr-DTEfdL58XXoZp3*1OW!0H#v5^B86 zTx!$4(19#Q3h;!gSLn1Qa@m>9#2PXx5C68-cVjF6vxM5@de`IM3A?s82{z6v>(_{P z62G?Z!#^I`g^x%R3z$(rg2&+o!!>dwe49!8rzmjPW6%G{2WgLY2Tp$$bUNu}WNwb+ z+1K@^0*xaztM|xgB=+^Uzc1+GLZDA?&-V3Z16rWxFk5wrxPtDAv{<7$1S3A8HRG}z zkbTE@%4Uad-)`wvd*uBMkWuaOaF!&}Y4F=`FK%vr1gd+v=29%PcIsdCDzXO>|Wq@*)d zhFd{+ufGky#}Hv`@?>VR`t5k}7b%l+3i4g1?UQPqxbNNgW58$}L#Dzy&G_S<74{%=50{o6N*Z{N0UT{e)2%$~CL)*B(e zy3_5vrlT@nZ`#L1f^1s=Hp!`Hh!_J-?00pwN4~F)sj+`n)*7z1Wkwc_@}0G)()L1H zY^nOvqTyqVcMXkc7ZQ)r zQGv)Sdm0J~G*5_$uNA8a@$f#_*c`cO%a)eDthkvwyj0NBtNHCAa`;R0RqAW|{O>J~ z7WZUQRO%erXR0kaPOj{GUw`w_kE8@zVpMW+NO0b(b;QwB@Lk+cugC{6vH5C+twze$ zHu9@3UM8kpUH2upPy+j9*VXCCNY2LSVdn1q;RU|stnFI1p}!1LS>f~`9kNReiYbu4 z486vI{DyXYks%XF-ZCvwER>2Ns^pYHn5-*4i{hC7WIA@Ji4-Sw%JT%;67qqE;eLRz z(sZYm74ad%ixkQL!cUGmEum%0xz^s^pbveqe}BqR;SE1(?(Fo#Gadg^=VXhl8eeLA ztc}?3&|jb9Ij}af6T2Bz6Jvq$WyBNWzIL!tNr{4rikKNEiaQD%%-twbe}L?_?CTv9 zSoIu&#I!GkQ$Z=?I*jQ#J~7f-RqXx=Y?j17IG=lGCiPmmMC0C1ouv#F)tQLCl@?`L zRMs43VsiYx7)g8x{pZ{VKs2bSS?_*LLc;$$9H_M4y^EjW6H6}XnY@$Z`8uwK!A0y6 zxla<%4f8?8dpll_i&dP=>jjo&um>WAb|5iNM~ec=&{dSkrN42u7h*Xc@^oiHA=_ck zj7u$Y2~O^0yEubQ$_sD^P+lngHph?VZM+(%l*Ac=5V0MeV7fJAk&$63oU9UQ<9K&% zPsdG*?kNL5&l8g;~_=Po&!IPDkncW3&D45-{1hyD^o3 z$sacLNhQ=j@U#>m@6?64ox2%%1LmjuxJU6rL?vEP05K1NQgtyq0h4NzM#~}^_5&zG z&%@GEWMiZ19SrNw32~pc#Pckyt`4SPqEjAO#RSF`s>->#3IZio<{UmfMgd^5Q{4#s z)O`|zbafsJHZZUEWK&;rOXsP>nlNdJ@B09@qRezdrjy4+wBs@HS5S?ua`cFN`qXvm z_es}P-_LIeycQ29qod`g9Wey)8Q-dhkK6SP**A4%7K}3Eb72;ZniIvo z{=vbpHPdlqj{sMlu5i3QTjzA_i|Fs{==cj9(%}9=czHSJ4!4COo1@?SL4Kc4g2>Y1 z=1F(o*{+BWQ17IC>19he4u8z?(W{p6nvSz(tDQ2a#3z*--Rg0hYxbn;*H1PMVGyem zZ;yW){1qr7IDWOVg8TLF3G){g?E;)QdJ+SCW0|z|n*`1wCAN#xxDG|vEFzY$OM=s> zxC)a~?6wARPJ@G-=%d2^5*U*V52E|2{wwYM$3`~?RIuBs5H&8M;YL&P<>h^?NRq3b zj|@tMfx-I1vCNvED)Ub_r?YsZi7H!MHt8Wv7dMO`1M4X>WK7`FCo73Al7I=Lj+mPc zY@bFmpAtpU%IN=X%~EtL;!eXN)FoLm22$Z@-q`)~WM9J9Is~^OB%-%<1CAR-=edgM z=z$4>#uSWv{QPC(UH;$33iNeTg)EviA3Rv>Zt><75GZ#ap#=ic2f8wV+MM#$^ie@s zvTqMa;pe;kxldPA>YdvpmC>)y;W?mwU#Qi1m37BNBH$1LYUuJ6U*$j%^t^SqIuXw> zaze`^WkI!Dd zdGctGn_C=C(?P}U@gg)(&cCmuUDCH2I6@$-_W-is&J)X=R6WvUZfrD^*mV^1s0WC7 zB7>KKsP1o+`-fT35|qwce#ZkgI27T@t}p%v?wty`pA36* z%CjBCX)M#=|2V^el=a)hVTNL$M4@`M7i(AO^0f(WjhjF;=345&n7O#PcolpeS@NqQ z_)#S_RaUrA6A3DJpDR?@Q`!NCcR(IOz~_^O&tx-0c`iIpA1Wr027-`q@L zvuc$kF(!dUGK(WnU*T^=b|BFH-RTjEO5-*T%Y9%b;r>F%b=Vbt+p+wikI!|J;P2nR z&*>w=!z?S=EmoYYmRiwd(u4={6mq^!m94=B$w^40_GfEfsaG)pIK`tlY$fAEu;WGw zbaMeFqL3rw_RCP)X$=pc8XbUL*q;%7x3owza`RFqz__EYz>l2(;<_vp;biM!w4aQo znE#s4)9H~>1Y=yiH5nZpUA|I5>;7C*LhHDZg+VPkKfeq@R_d7H!f_HD~*&x+TAy9ceSKui{+dxp(g zkVcJF;5-~hXF*G3+*}3o&E1WY$$S~R2%;k1W=&!wUwWE?c7LA_C0_6lV|jVya;;jG zm!JQ)eV+_JY$WNELg%qXT-eZ!8y%o@wzhhJ&^`#XF3ew=Z)GJUTBoNIHEL~2jfcnt z1qE}D&PlhU=w$Di45;7U-hy={vcJC%>h?D`H#M%8938>GnjnPRmV&`COn{rRkq^ed0uJ(~oU_ry<8+ZTdu&Qw6)L$6B}n z(R~*C;oACudQJU;P>Z1CFIavGkEI3a=ckdzAEDSBfMe2v6r*cV$&%q5tqt{6BZwu} zdQDc4@HhzMFb$^;jS|~9Ohu!99Aj5vTKHKASzu~Qi!q;=s z+>vz2#Z|VZT}W7%5zw7SOSH-H@$nP6&3kKYH{tPQ3fWZ8x*4CKY%<(WWCU2-kvbT4dzwNb)fPg4MW*PY0*M$o| zDoyWn4!X$8T-QXu6kmlppPGsc^J{8qPF5P@uE6d9Y5Y-mQy_krMq7V7ns7_hGcWMr zlJ3*3J0Pkg4RyID>ZSn2n%@(Y!W9ff!IZ@${zzmIjA2;&1nOu9Gw`8d8pZ^kbLF$$ zd5q>uTNIqiK^D!0*1>sTXQ2l>Lz3~}FD+(kI;J>LhB{mv?CgG-&s1$?H=fVCyqTt# zTJS&!0dWUKXCRRa(tvQE=2V%Tojo`^%a+fQxVbqVGMjH8WMySlt1|lpurq+vVS}Q5 z#kaAb+1e2nEQhUOU+}Y|m2eTF>L{SaN54`)Ru{k_pQlt}0G|pe0f9l8mLfh-(3LYuEu%=9mw`Nfbbg4m0R(>p4}d7k!09S{p*Q^j#@2haXf8mQVoHcEp&kT z*u_?yYJ*nR(Hu^{zFm{Oo!w7M7#ULAhTZWG(2;v|Zbq);Ds%6V- z5mJK4jhf|i`WRUBs)4@m$1;&QzV6v9No6)r$dB>X~`Ho{8>TZ?RKQhKlL# z{t8)Mc}DgvrQDcBXViElS2G8FjWN0n1o41E1C39;KC-g4ZR_vHGB!4bfQtUU06e7$ z1L=(cJEzg)N(dG|Kul!D@|8l5W3KD9jRD;ivUdeH>lzq9G8G`4tO0h_I^h|OgpQ$J z&+?=HumFZg(#L*#bO`{E5ZALQV}RiS$XoQyn`So{e1CTa0AC=hZUNyWXbgzNbTpI! z`UW{TIQWb#D9;ORT|eHP*%3FBVzN|}G)2TEC;!;80VNu869LJ%LJHmsbn?fWkKSwz z(_EU3eolOC)>ahp1d_;Q*$>?N16~g=ked_4X;zQ>M^ZI4Bf9tP0J85?S7>e)iUnd*3&O7tyEZQ6x@2Vv`E%6VQIB`z|NqQuVY3e;JBF6{=Td1e3eWeW7T$ph0Xq` z-(SsGXA!`HgdHw$SYP`q==FX_d;4!__`)MxO7hN>Ui;gtQ($bS7vLgKF#J!XlQ?(I z@c|paRtGw%kG23#O8~9NNTqXl_!$^;P})NRoS@JHs0gd0)t>8dq1m~SD}8JNHcyAW zX_SKrZI3135&*gt+O?W?eI%;+WO(cPh-hCZvD|p592(AwdgURSE{e7}Q-T4h+!<{j zV8%e2Y}_scr65x>Upc8|?JirPh3)}nYcMVUkkP6$4I=Y;Mfih9HhX}C; zf(odqfSc~f@RfX}m<^$4mD zARA6<_jwO+SL733zO1%?@*(ve9X|dF!cqgrIoA*fI!hPlV{d!zMhoeMyjz+Bmh?YK z$QX?hSgxFaN`b;`c>p`XFSM;1|Kj&)B2X_t1NAD?Cs|w_pBSuzI^}n7Z?6ggBrn@3 z;RLEe0D|RiA|od5+ncGjc5vvNolOS&7J2AsqL>uO$E__bUO+%6m>4P57YEkA)_y1U zP^JfH>>OCe?f|ijWzp;f#uM^&u8wPPaIo_>AUJphIFHWD>oe(kPe2(-Kw_CxTSEv< z2GZb)XYWKsC(8^UEw~;(cDp)3(E-~5IC4NOz6E?Sc*mbiU_BsA>Qy0t6e$CuGDT68 zjfO@tIQ0TcmA&sEK{-BBr^B+0D15vWMb72FFT|$ecvX6spyRyVw|wvQ;Wd<_3?#D`7Y1AxmMijDm4U10akVr1)FL7)+gF=;h}-+^38le`pj!} z{gkWvR}cUixeEU?L_-??-eqX0fy$JkiXz(B1$ z$r6?-3MWIQmn-81l%LsDLz~JtJA3Jc+2qk|0|KD7fY=SMuIA|o!dn59Gk_M!EG;dk zt1ZI;p9<9MmYJC(fNrhq>;zXN-M2Yc&jUQN;o0sK zK*N5UPOuR1ScHK4dfXiPTd!saVB#^nmy*H)#%&{*wMl2GwIW>?x<4BTE&<>mH#&+Z zE9~Y6NF)-Fj=kJi7A(7j*Cx*(fUGq<+58L0>@w%mZ~V}GDPROA>+Bf;HD?E(PXYD3 zV^E1j{ZpvQ30Q;=^9@dyd({gUz*zx^ixx0`niNi{d8&UU3*G$3E8u^wno2-E9<6H2 z5w>+LlY`xffHF)*%E0G6?0f*Veef1B@A?OJj(Xnl_pg_3n~2fmK{&jgH7eV+$eZ@) z`TGxIPwbeV+{q0U$Tz-7LZ}R+&2azS$&|ISv2KJPfs$+#5W{1(wHTy)y_o=gr`M2w zU6I0T9pv7K+{Mp6ed=*kvXs)(d$zRn6kwNfITq4q4y)bxi|9BFwZL96=zE*4vjI>51y{4vgwry6ySe zkk6HEU?K}j>;S%e1u~M>0%!du5(J@EWxh!H5PwLMbq&g+Z@PN-P8%%@+s*??V} z0TPX^Y&;P7JjA6nhzGi96(PUSLIJ2Ff>9Qlt0-x@+HOi)0EOcb5R74!BqSspUT&lr z$KQFJ?F;Y+<)f?|SdKjU^zi}8vj>gJauJk`R3AQke($V+@-}h!=E;X&JZ}?Un+yv) z9Cm>Zi_-bN`S|gr&=fqpxi=BgBGz-Ayb{@HYwU0~NSy%Pm=^#D%`Z?6G_fcFq=C^8 z6qyjE-uOz`z5ev+Q(m1ElK<>}RG?bmV7AZ@ncMvU-Q)0;w|EGhI<{;%zgT7@Da_np zJY2s=`6rvDVqu0~AI05{#Rmmi=?v&l>dEysW0Oli+5#-^W90WjO;~$j|8(bcVf|+{ z%oqJ#>6tUU9{ZGlHHxN}drr3@1=78)ico*CP*SlR7ITnJ`k;6_udK%oH!`)w;Z%5hHc#5R|{>VBUjKTp$Aj*AT>$8)G_bjyNT(DXFLXvX> z?{h>Tt_Ho-W0@c!cS{&ZG0WyfnKueB2nK*znrUZ zJcENd@faF(tEB5+XX6fiB@1JBoO;guC4@6aRsr^f*|o~TZQWDH)HE0{^>g*m9Lt3! z*~b_=6D2tSzBFMGuw4%UXMu-*Z2@fSx{H|&fSX)F-IA;B2GjsITKs=jsXE$UpIZ?#RzWqy>BG8mmK z<$rP{pD5%Z44{re^>RP{v4Zl$>IM4itDP+z{13rMk;M6IH=+0n1XBP^W*I0}Wnp2# z#31Zx%Xtyp-Iq7fY?zmBfvU${g}H^CLp}4cUo=eb@yUwSG+fX z$Wi~mWXWm6h%tV8Qn1nx6BEB+)|BX5A5eukFiR7?zUb2o87{Ef8V0{<_I{VV1NBXh zn99)5?_Pq{Q$fyW`{tPUal1k@J<^2v366%*eL8?vocjqEXbbN^a>nPjJ*quD4mR&5 zI4(fSZ^t$;dctJ)@8HQ@tp8k4$j}lWMxFNoJUBdjqu)E{USGMbon3opC#|NvcnHx4 zJG<92Rc7h#MQRroGh%@lvR*RbAY(fOhfd_{9n9Skw@`ro=!4@O;sAu|-$uKCpUv?= z2kBtIbZ1G#WB{WA@@hsTx!t{Rd@%U7JX^Z7OkyF+w>-Fy^RZfWWo1Xp(jn&|U{{_# zdD7f&z4E)P>}m+(6|DEWQi$TWF0!|M#xm|O(-#CJpE(^(PQ+kB&c;|c-7Zb~NNf8mL*-m@y zF-Rf|9}C{)v)Np{K?OCER1?p_8zC_78V!z-?LPM&QeImGF_O>$DHBKw&;Fv^*@y@vMb|&*t2AcQJ<~R9@MZJlk#3Kz69zR5&V=l1|sX)dDj(QB6 zeEWaCVLHJB`1q(i}48H~?zv!@fGwKrW!1rG8kU4l#=5CQ)Gjz)kpdV)hbpR0|e zzu!a6Q_8{Kadk~4z~VNWd;?A~4L3B)hPz##m4e)_ysXjX+~(@U1jKwf|E7aA&cD2d zo}jznr|L*{woXJUJ32TiV>nf22+%S-Pmm4$1xYtZnN%vj;kl6s!T~8v2EZ&U#GM;B zRnW49G4dB=l(8Tt0nW)7sAQd#T7P^}84dx*0PqZm*&l zwNqb>TiRdXCThz z1?V3N3JUTV!b(?ol!%A>8=SWQ&5Dfc$6!`}_MG5j+TATU5_#cqlnS5)4VXhza&1F* zB9~;M{XJC6r#5u=OOjI!dX~>d&CxF~Y_1(1%-7l`ZqrdyTkTCtFRv_jr_kXia2QJ1 z&Jpw6)Dk}n%29SGGdy-&zMh4V53ETl0}+TqR?89`!P3rOAtff31gW(2LbP(hmrxMV z0lWifi`W48Y8@Qh&zqS72jtw{-CuwG;|T<^Mz%D|U7v?TiVGmJt1_QS)B>ti!o*1Y zx2pGn&%);b=E3)Mw#GWK@81#d)hzik#aHgBJaFw9;QsLvxo+cs{?zGh(n@4dVl357 z!FdrI3#bh*nUtA>woS|5zdt5CG-|sVIDvEe%sMsnBuykyiOYambMx$_$Wn>`9prd} zS$7l%x5j;s78U)p6TWQdKGTr0xk;eGAz*vj`3m`6QzM2#zM?e=Dd|+H8xI=hy8ix6 znw7_sk3jE{$vG1WXd;)+8}68@Kc@iuPN%alu*P^m)Y0+262mwsAPG1+8mxJ=H33)e zMNLEVl#Hz9hoP-wz1&>fw&M(_Ac3hIK|KS6SRfD^z%=GS;qyq+4@8?eeyPAla)1*E zVC^1=`g-Gsb|N=(fMAABi)%7}eRxnzuk}&8iJ7_iq=(}p7n}=@|E;;Vj*2?|+C>LJ zP$>}=lu}R-k?uAKMM6Z84k_vGR8&AfM34@ZlJ4#XDe3Ocp=)5cd&J-So^|g!cb&7= z`Qyx5;tVs)%%}E#_Vet$zdC(lZV`u^qOuWYDJQ6KYc7XUA_~_~cD*5`U7DeHk&#T% zf^KFh-HEGSQGDfi9*)*mH`<;3*DGy53!_{SP{Q-`QK^~B*cPdqGMA?zXJhW(guZO7 zz|(Q4cn>QK0h<_DK;C=V}h~`UEp*8MRQn8+z0Vr3`jFf*%)jL1ZLonDTu%% zPyotfLSWb#AVdv^3z#tn2&~!#yu&M)BlMLAGJ%}jHj)>2P|OkF{%$6s`C3+L+?!4g zPb@99(g&dYLA6oaSH0Y1 z`P|=3wkFiOL68b6>f__He{jIA(?9@tu|9&^_?L1nJ)aRINsM#QT+ksu)2?jHs{*>{r&Tml{dbMD_7VM0z5A&;tT4njfPll zdO7#o1f<{7Rae`?a?!G_Uxma3cGXIy4O;z7>)FjS-`zZi{0_BgBYDsgrMu~GM)I&; zrYLgs3ffHE!6dLx9_~aYZa#H;h2V4E6}tWO3g^r#7PM!(?!PjW z?+awV{(!Xx6b8eMx_0d5&I)_GtSn-roZ`a$%nrPD!_cu8nHyJi7+f0bXe7H5O@B*s zH0bIqnTqI3MAScZ*B@%#fI)W`c4&RNa#yZU?l5dk1S-X`E|QYgmS4TnViH=sHT8h0Lk_1At@`ns~0ilq~YDZsJ*ywUVD;sRrmX;0jgn3MWXr=aSRZx zDR(YSU(Gu#I*WyV>vA%xG}oc}5YiYI`rtd~Ox4;mDzUkL6y;GZFoCIF2IjCk zNAIbAM~n<;mH9@!KY^}K#=WDe6z{?i6BX48oGMU{^N^4R82=qmxZw>9x;7<$J;f$D zDBg5@`vr@(YHC8LUF!Ii;nSfuL+h8fm?y?=gtb2aA5R-%Y-< zvT|;n80Y)sFk>#k>Zog#$*8mT!GabuD1gofEWx}a2(|^e3rBjbxnB^i+qQ= z+m(TAY|v_e`+5(&-M{=zoU;jj<6%ItLNEioGj^8UstUMFFMoeRpt9$nEHKZo8yEJ# zjoI@x^X<_sS@UHev12Ti#4hFFLaz?ugkl%!{dh1FMoSk*zB_sY2nMxe#c~ed@McE$ z#u8sAY)0|9MHGv&;!KPbk)q#)#`wi>jWe4BsMp^k^7Fb}05#Ij(I)j<1hJUh% zklath-Q9YB?|?b!Dl)`I81O zVP5v2BSsiHHLx)w2Xh%fK)D5#z8JOuI85t>KQ}STN`=b-6hv^_D*Ohf`?ORutOfQ{ zjHb)O6A?}8+21d%=6CgXN(Uil&@K$X`h96v9}ZuVrraalCE6`h&ZeAhlu2;%FI@Pu zF&(-~4#GkF!I#XunU2_TF3-S7)g`O}^NNGSL1SPjp~BeQDH=jy0{8a6u-RMa!G}+? zN~PKxI-#Y-#T<4=>n~<^D0+CDkKcUX=LF*+0Qp(TWE)W5X>*(>tS)MLG9X|*C)fKR zsFJ#&2M2TNPP>iJZZhy@pt@&PiTNF;2r6UwC4&k@XO?=GjmVoX_M}5U2eBEFL2F%| zsQ|jR-(_V&y=E$lua$SIW|R9dCXru5_+(G{D!;6I;+J%7_$0| z&IP)MjSngq;b2+}^nwFRQMc zJWTCNKVNJuNqBRU)j7_^k-J8-C%uIXjDPaY7+StNO8#FST=SENlW)WLqs+T;o!-$O z=-(VMxj<|pk*;FoO(2G`e;N-&31~h;{AzPBL(2V8*8xNbAB9ASAKro7`-=pFZf5j| zaZf0O$f5KW@)u%VcEhiWa|j(%ddG80r>X{eH>K+Ic#{xZ<695V+{DEurI(uvG8^sT z16=%K$%BD*^AkCzRXig(`Dj%be?q2hCbe*wfMd?}zr8S^oyj|&=?3% z_H0h~J^TE@RBx{h2GKCczIb%nL#8R!q-%d;8`+KLK~FU{TN^_Y9+JN}9wV_D@tW)U zVs|Q8ocsO*LZ}zUR6%AMu`TqM7BZ6O9p^ks5m?2unHpt5VzW6^Ate0Ce|!We3YDON zubTB~XcX8SGOeuz2p2x(f8bWU+V=(L)?2to)JJ4U$bRU(%?l zjqa0>JP}t2|KwfvdTp%SH>p$*p}mKko|yP90jStUzK|BajbF7E+p+5S$=_A~*V_xu z>0^n#1x)cW<}1U$`+q$brtW|8KIZ3X7ovZ6ZvAaVfN4(AT*Y-m`SjUaFZnPDp?`Z; z$n*UTd`{mA^Aq#hK+(VLEHG0-AdUT1{Iti<^ADKXxqlz)>9u&D5H=HLb}!)l^#GW= zr_GEocd(lOJxZ>5``5x^EKJQ?%uh^{vOAQ2Ta{rR9{K#|m%3WlF$MaVH~M;)5>s_^ z+R*Gj&o|N76aUxn{)f@RvBQj<`oBi?cWVAKhQCAl@8A5JILCYcHJJa&3o)|+x4#q8 z__tjA|L28&pZfRKH=!(gW@hHatXzq;#e6#zchu=P%A{Bh4kj1ZWJguF&-+7hX-SfCPRf3ouLOUWm zMBTjXEq?r!^88Q)>b{=@U2aA6=Z+de;P@dDJ>8d>NiT~pv!2(F4j=~2AWG0F#9Yu# z#^wc=)>wMe8!is#eQ5=@Fg8aH{|GL5?7vN~;P}SBPLN6)%{vdKw4Fw9*?%TW|;IeQLD$l37 z*G7BE|6{K~^_3X?gh6(vTLk|5yMg{c4`;H|z|e1&MGINJ;}<R9{R<)b=~5A z?_H);8Oil6*;~jQI@TrWZOs{-Yqpr$W>6B-V8eVktKU89H7M$b5z}0dTF;B&%W19F7s~M+QLqIP1nY^>ZtHavj-^_7pbqd`}uS$^SCo^ zSZ+AXxA?=`z%YyL4g}aCPlr+deGIm_fQ9REDq9U$`KX=a8?UnT{v=OoREiPs)gVmFEk!Dlzk z7ox~I7yIkW@XemP3fLYm1^d5bYKa_Q{DkQ|*5q3=R*mJ%hJQx3U6!wuFV)gRUDYn| z%a{B4CB1DdOOMRF)}ORw;NXq@oUhWbSr}vQEh(@o;Bu;DPtaf$AEq}AW7ihw7TEW8yJ1IyE@Q9G`>1gW;Y$)U+Fy0g0f%J@j}|MXciqkbS;1(@0yK!1?7^$ zqVayC8M6;N7Tm-7Q(`=9V@qnZzcyUtToe=)-0F9pQ{z|1HBzeD&SS}e9Buq0K#cs1 z$_xeGO`6}$#A(0Y6k16=`L&v4pJP>hL0>vbR?Q?Txy-J1h#*#|CM~k-w>Z;&BJx@8 z4zZd*Q`iq3rF`AnPkf#vZhqB!%5HL0sPEcI*1G?p^O0n@#ysT7l{4H z-~BA=*d8E{y);sM@`^%5N#$9B;uS?^jrt5`!SlrUjrF}B@}Ksz#rJC|2p8TnGZ8KC z-ab4E-*S0YSUgxDixb6h_G^%&Tvy^oqkc<^Q>Ff{)7p}wuGQ~4RDMMGw+_`Ue1p%i z`b%MqBLOAmclTNsnR#hNpvC&lJ;NNzS>(zbAtpca23 zU~qoewP0hmP;(MyBz)RHX?yEofyv0j_L1WK*N@G+56vrHzJ;@4vD5W3@pH_Xs&%Yd z80~y$K7P};{>bIf%#G<^H2hh{qEzF?9jwb_Upeh%5h*<>?<9$ccab97x`8X4=TXB>oieS3RGQX0X>le-c`R8rlOIMu&KIC4_ zGC@CgDnLc~STls5c{p~)@fv(rEZ#F=Y%VS-$649g`rF&=pa~{ic!wYgr^JjT5Rk_5 z9wFlR<8C9JS0l~5^5UgKZBmTa4kT0WGf_?+E~0*Qlo9ruCv!`$GqE0u)DX`KE#ZRK z%|{%rBF3Q^?D%*ZV;T;Q&Xz}i*t^fJ?X>iVl{&>3Dn?_ru;H4nNLv3pCbw7nZW9)X zEYigTZPB8{#ar`}8VluIdmYTa6t)!owG9n(KByCmV%VAR(0R&0R#r-X-dr#{KbISY zE6l?4dAQIFdm@l-m@|JmZs$029OrpO0_?7XfeaxqDm|uZ0XldAiNzzZflK_CE%{os z9WD|rais*!nTW$%(lN3JveJx*4IT^=DI!&TRj=|QI7*8-!1jU}oXT|~eS|s+*g^3? z*Fa;ZSnH&Y2iGU7x_*DcyxCs+DA(SWcBIz`5!UmX2MO1|aRcNod(JfnRT61?s=T~s zJ-v*2o`(PA*f#s6%=;}bZ*7Ra_iP$$4H{dMvsD#T&S15NtWhqF#8lH7Z^+FncIZEc zQ_9Q+h_JDA)6FghHk%0U#h}b)^^aiaD_Qo-!br#xb$cOkw~M?jlalo^Q!~bIiYO}i@VS)+6kx` z*syS}w6zT%fSqm)DK07r!^M^qon32+6&IHXM@J7bZ{a%<&{*wR;7uHUTNW4;KlQ$? zLp-)8Zk{)fE3dB7Y;Eg>N>W!`bv;2_ADEE2Ro?&ZenW4}f%#=21r&L769}REk7Er> z1NvBxv@ABabPdc;2J~3M)e%J}kH4RSyFhnwX=#&)*}a+?nG?I#V8%9qW(l2$SwJKw zO%e3X5oyY|E2Ezi(p)1kEcsLJxQgpG&+@!TNgHfN*T`r4u@RR)yN?k1C;QeV z6}_>%rqt}6?BJlfe>i)+Xrb9Xk$e1@Es|vM$F$dHVM|m5nte|V(b~t>6Hw7!5>^B2&i$y<=Z`dG{*a!C?JAArHD@5Cy{SQMT+`>2TLDAIL zgSx(ZhmJQ9FO6Q-3uYcfd#X8>$&mdiEm+}DwOcHsakgJ^or25J$EyuUj0f&Q}DTZ+p~(dRT(;N(8))&D#6_8-wN4`PWyd78|&wMQ3tDw7(#*3qdQ2%m0V znTtsI99kG^_6$2GBhhb0(ir&pb0aIR&%u4P&vp! zxD30Ki~5Iu>R6JIrr0A@7hQQcEH{MpB`mCI&b9(R+SsmhExMmVRZtZ^3(ua0O})s= z>w`jt)j=@+7Tz==b$`ObO*lb`_rj$754jIakM%{;_OK`v&2G%torC=uwm>dRE!@oO z>eZDyI?u;1$bQ^^Etv7uTsB$TOXH+gKd#+Kc4@TsC5l&&fDj*^XF3!SP@2cndkk*{ z7XLQ=VE{Y!V7XP8zOO(L!)z{pWnMlC|ZcHamf^h^`?c-BWu^tdYoBp zGMIH1zSBp4ijXE>zVh<73chAFE~~+}+<_4R~|-KtZ9re0VPlX3Y~XHUWBn z&9Fw%>`y=G24lc)w@z;U;;zAk$DoAKdCKpJiqG(?7Q65>NAe~qg25b<_bM2al9rjb(#HapdB(#rkJhF z#v8z_UhmIu`ef^wuN*u9UnG_ouRjB;XsNv@Id|D?3a+ z_15Q-vBP`;lQ^|BC$%v8>~6nF)gZ7@mC;_{> zy*JeDiR~lu-bj_o4Np%kZPu;Aw)kNlDx$CMjqyKk>TZk(j~Jeq3FaPB5buZCx~f#V zP}Lr~KXOqM$}r1#|KTHMvq(qq4))-}sSDn5BlK`IcAH#D9uMbOlFZ$}F5Yz5+F3XW zKhqss-%!T^&<&Q3``e)4z?R{-8JAI?cSJ&JWbcV#Cs+3kGmlynQFo~hD{T#(Mcb6b ze!24u;L0b~?zarqqU22CAXthJDyoG`NmrjbhmMb)CtY!q69fL!N-D}EcD$D>w?e&r zKGSPfyCh~jk^|nS*k&xig91y}&N@M9@ipiv@y?=l%Q+KdX`0w^Xzwvjhe-w`THmL zS)wc7gZ~x(MCNF;@AyL6hTs*8#++G`x=@Zm+_TPyiR?Gw$ZzK4JSPP*L^wlvgc?P- zGYzKr!c}Im>PDf-Jwr%ummUqG&No$%f{HpB?TDCFk&NL#xlOOwG*f&Jx2>$~oaS7! zatoj43db~XCNaeD3!ikk4G9h?lu4gIM*Qo$944^4@HjHV^vcM#76p6K(ky2s5GDhai^-nPW|5_Ki+7RzM*50=fBa*gpN1@3kn+HJVDC8J*7iD9vs-QMTgy|zTc@i&-UMcM&a-l+QpecfY4-E_ zo{O}!C$z#iG7!W6&!YL$-l_kK&&~`gC!fbO&AdoP(OBF)?|qJ#!C*~ohAB+W|CC4A zd>sMaOeB>z@vgmPoAi&LXOnmAsH7@2hD-)AQS$yVPHqm-sNnM?dG+pP7G?nwaFI^J zn@REnG;C4c&XaPZ3~>7BJ7T}iuoycNGJN%2MV~B^`?oZG8C(Gwt2N0>#^eYq7DR)8t#;{94XM#8bxXdPd zQZER^aH^KCjB6ccaxNY|FsMO($gQ(ywA;1QAEpvC7K&6fooPbT5m58LhrWZ8_G`33 zbF%cgkxYov!nG-{YC3X`CF8p}MOWihD>9-gFgxATkS zmuH>v@YZm~wL1!gaSrgN7G@rIeyzXrDp@VU9s|pC_xeU5r+XrB)U%(dBG72$A+QDo zCIb(_M}&{6CCg_Dj5%6I_Co)y1_OWAx4hU*Gb>`9U&S5cYAECgb!cg?y>%n~9<(7r-+O50WwA8C2=Jo?T;f81KJf#r2PS)?vZ;ll9O|vu zY9$krO{*8d@6XG|PLC{FtCiaH<{gDDZXNu3@bun2<~uJ7E(2>3_heQ=jn5ftU+2q4 zk+x2_fYXI?!QI#MbJ0L<1f8#Kw5~^Tz+2GK3q<^Cy3_4k*LZsZ_#FW~V@ve5vQ!8& zOEl@_md4KZzDH~Tpu#XO4d-m(i0}-QvuXCm< z&l1(HA%OR(mD5S&0P2P7c!CO%(w8A2=72*oNrHg=@F!`DEI55#;E{wI;r)DpUg*Lx zRhn5b+g3P+;Tw6<)Z|`2Jab&JXznBzutMD$$s@;5=zZ=lQ7R1;9({d%b@dGumr<(a z8iWdax1TaGeG0zw5J*Ft^ir!yD_HKG$Ua`COvR+@7=p2(cUgaSFvo!NEVhR^gG*@2 z(~dYm{g}x8ixt3Cp?8$ULRdxn6YXNZ-O`{)|5>Kbfm`0vHhb@~BA(X#92J0#Cohv! zMwj4ov$qPP5#&Ms??1lJS(yI-zrlL;!$`d0L`dVmza_X?pMZeI(b`cVE(G9>0CiS& z_Kjj`_6TlO#oYeSvf1A)F$gEOr7i*e`AvF0a!I z5u$4LplSDtN_T2q;|)Ko`mUOhe@w+B!u;on^QG6f;_^a#$T&JF39>&aFyQOOUKN#)Hp+PE80XjnZ#^Kk)( zERaABLvVL7!ch4Y40Iq?k)%=yXKo2%<+aSV#5u%EEMCih`f8(n`S`i(n9GMvpgTPb z+9@wHy=>jq1XJNtME2P~eP?_KT|tGi*t6h;MUJ0|??K74(EuWn9`&FuA$9TUZj=pQ zLO^k0#Hum4;ns&;<$u^->%3+J1EA@Kx>DiAt5B+K8q%gIWii1VJp=alJ3VmrC14J5 zT}3>)8|64{M%Z%sQCLRh>UbXEr21hc4MH{$p9%6HzpF$qvCbG+H_bptrgw&wc&;K} z1gjx~xpogAxrtJ7bsD<8O^|%V`l4c=J1b?8ezUZg!~4PRI2w%=(WP?5HqZzY4WH3O zu(=3Pyh;%2EP>4i(Pz?7e^%)a8>dQ7!--9B0?OzXj&3UmWx!*4S8G*`bv|Gy@z!++ zfs8q-6#a{V^NS;cxoJuTerbck4u!p_4pUJ(hy%Hw_E}6do&WmvYta=4-%(Zj?5R*a zzeA^6vMlX>VM6Z;fyd0x2rY!m)#~iqu3aiH8~J^}InVDjajvo*_3a5Aedly)N3vR+ zRRmJdxJ!E1WV|-Nr5~{UjXO8IIc7DSm(Uq%dGFemd8{E6zQu6c5;(#!mt~pun4@U% zTr#Vrg(u*CyaRlbloqFsxb*Mh?NQ!ZF}nldBw!WdM(NMcwK#XKzgM?8;={C8K(1pt z>uRZe6;mkL?q?!QbOqgT;IQQwx5&Ct?$C|P98x38#(*B}083-FT_sLr&o*Uzn1X%!t` zck3rD9pjFZVcC|Gjx-O4?e=ApRTCdSZ`}jd7QP%LTP=fYKy*13SfAj#s-O53^sNu3 z^zJd=<8z-A0zlqSN>grd{g;aa@}^rjI}H?{|3pe!uS~G(`^C!UDk39sc~6Fa($Xpm z!4-5Loysq`)3KFPmq-o*($y-WLfRq~(xlJNnW`O)?9!!QbAV=(O;Lj%9@gV0Er(+R ze`ulA@AeMcGdnA<3PAEV)SJ$nKrC+F-Rtwnt6 z1x62IiX*p8zvNbKUy9kg-*ielcp$S$MMhQl)?^|p<~hY%JDFhn87xY z?pm+!dRwisqYbu}EmOGbTJ+zk^ij{gKYpOV8w(Kv(Hg&2!3cbZ+Zs%U7l|Q9roV} zC$L+8B~tkBf0Q!+cOM@3Vq`ShfO+6C<>lq&(m_R(jN79S&DT&fp?o@jEahoWF|{^{ zeqPWVJt4SqWa_1?4Az8EF(piv{GT$?Ux#GCI6+EEDy(zc#JVuOWe0tcGry+^??YY^ zssJhTijU9!kW#1Onty&eAx!~lJ;5YsCjJ)fns}Dkpb7|J%?8IMBxDq*nw9aC`qcGG zw;*aw*9=mnQ&1Y)t8-sY$lB>l7D!PjyOo5&Mx;XK6IaCASaD0ms&jXKdY5^y{#b)OeHzgM#a!?gw2-8RfQ$)Hg@FEkl8c711KTTNTM63;Y!L%dXr``xEaoO%W#UQFaS_#JKM0vY4VXXfYr`5?%k^g; z_rsl7-Gj@(kTio@>2r>_5u9q~M`E_o@N3`|fX~L(+Wo|@yVcqb+!;8EFN@^)fZPzs zUI(wL$On)OzHV2Ki+GWu5&nPX%`#gPX8eWid*1M!=P>Yrk6CYy`x;K)i0XVrN9}RvZCue$_ z1tWQStx+8quDm$PL~&XVb|h+Lxz!6a5K=svk5M16a-mq>GrdJG@X=Ic*ut`{_2Y5m z9hgYOIPoD|P*Rb@e3kVm@6B~%yY%W#Mhq+Wy2O{wYUz%Ot~s` za2fB2K!s1o2(GbRW}IEEz(|o*wBA-|PTk%sA`KDg-l1L$@6}D^bA87+V(4}ANIiIe zKM)zRwpz`AOm2QPS}_AmA;N{%l25&I9|vOmTl9%5-Nv&mIoc=mW_Y9YQyT9Vk6)Q- z%CsGFH_e6n1I3gLPvQEL6I2Dui&`<=@CLD!&2UUt5vlZuo8u{+BW zAo$L-$9jdgxHa_k@wAnIdIU2UWp-SugVZ9v58Cgq7wNB4O01LG>w$!Uu+8A^@<=NF z)vF=;J1~j4udA)bj@N~|9nJ${1ujGE+5L-=issV!tM)1tl7MBh^KyQw!o?H!I-T7Q zwi+87yDLJFSaPrsrflg3VqDhRk8dAN=B59zuYKOj{4D-9RLQc2(-dc1iD6F9N8z{y!GX@PVgTMHCDd_pP zq#oN_+iNtFH)w?tQriHWw3UoP#k!^S=9Sh^r&uZ~basciv9ZBbt+ce~YbNCW4L0SO z5VnT0s-YzVo}|js1c4eE(yCljhiv3T4`*YJ5^Ou_a-?nH5bWF+Y`(P2n=aQ)Jm&aA^rZI2)-UHD+B56F&74fN121t= zmkVB^AdSozjG%s*E^C|8n=A|FP?1HgIxkz4ePQcus|LFy!%y#Xe=Y9FH<$EV2uWf} zZl;stx@)=pkZbZf!FL1I%n3**{I|}}I3V^?lt+2*egkdqBiOw}r%I9NO0DBhwydr5 zqRx;kP4xk^nlolN&!!%fB8rMju`W_Nw7jre8c60UG%(cDiy-QK!(|1?0gU-IkqJSF z*Pje(T;&yT9SaAiY(4$kHv0X);nVZ2Uj^83hEe_PyEE@vLmKNFCLzp?uM_)88@FD$ zCMRu^Hz-~P=NE(J{JiTwaE`0eA|I zrR6}3!`R=uLy7M3Zyv3U&L|hvhCw8<;{m}A+jbVj@fWEIa50aJn({x+#4Nwf!_{3x z+iN?nS&K$MQ8FB$$ckfP@J7H^#IYv`ftU%{-0Una_Qk80q`-RRuGTl!bDFNmft|bP z#2bvPp!^7Ujmp`EqnA;m1P4mSj)WYq0>M53QO~{TJtly1V(%p{F3`M5Sq|?G%KF1L z^?Djq<4fg&u5Z63T!B0UsI|0yEG3Qed`&4zct;R4n%-t+I8oJMig?gQR8%oPGE!4;p?FMabSJ!vFBH%)?ni>$%DkIY0_Y%R%z9Ov}BP(0k}!epo* zdZk_@R0|TWw=cI%4f+}d;cz@eETOjvzCZb(2 zBL|_hJK@zUa7*X`Q`V?_4|&Z1K%3X*TiaWexdV2Q1@$K-CklH^K<5f}taM?)3ZDNv z)~6|E(@wz3`$QF6f337|<5?N61GF))gQ6a?H}06Bq3;Aj2~nn4agSox{HJ2=5Qq;~ zJK(yp4q_3*{=WV?kY$2!>B+tVPuI{nORWz$RCxuxP2#`i5CH@R0s~7F20wQT+G?GW z?lalCVS{KjXuaeoacm||8I4F!_2lkRn^(qZb2i!N@Geo@@jx2voG$@oSx*@Et zrmnQIm3m$CG|^Av7WBn|%fdFP-pEuguGadl(7}TFc!youTs`BEw7K*M^U1Zz4BX47 z37(}p?}i!EmyK#JGqg4wOFX-k*rqcywP+-bNy5eC_;M`K zAfDnw81mRbi7z()Ft~r*Wt7YNKS{lwhTCp*K+5eO&s-a_?^c4$89!MJHN?RNGN@aa zz^6Zg_8aYys*tw(H%*r{4vyJ+i{HV>g)#{F&ti1n#r~%ll0SI~cjTOw(uq07lvlVm}=%k?UA@BD=wxXbC@FefB2xzoUD#I zD!tS@)3|}Wf;@YsM_TNySZ7Yt?R075GzKa{Yqj6rmJe#Uht*UlW!fZtlcO$~NOej# z13$MogXIMKWu5E zZ0D2K6F2VpVGwzjK!~oZ1BaPcFt7G~Cv(K}|*A&7wm$oVo9qYszzLar> z3p0-A^336vl{l))3t#p9z)Yg*?K4o*tiyl+<}c}l6q|gB6DKn9u;?Pmf$$$4sAoOF z&K+!B?kI04wLbYhk%UWnwLFBi;3KE)E*FQKK8KkYLIGRj%y;vXihCChhPj1fo4aFm zMtr9;Qa(@pd`*AoH=nDQdX2L=V8@a|iKY zhb!e5a(W3?1;xlx|H_q3`8#7}_6NI>I3bxQ?H@r7|@lsJ;{AT}=l0XRor-eHdeeXGqj^3z^sxPmYzwUnDN!plYs4f<_#Kgp` zXTNDbGaFN#9xW(Lv*OeFCQV_@Wzf-%0hxG%mBxU~0A{rF=WIG*9}4;Ip_NgeKvhD& z;4KrmiDB~!J;ezMK5pxj-0|wpw+2Z#Sr&6=rhXQ(TQp5a3AlZo`e}`@DM=-z5AykH zeLIRz<(RL_G+kwCY6#cEFOQ)8YD_{|Srj&k2r<#q>JyT#qS7MBZCwKpuqXb76-VyI zx{2H#suX&ABbwS<=S(J*5kf-3&~|;I<^Z3P-vP&CjyYnwubt3?PL%2oYcHqK3L%FJ5mlO4(9{!pnMtb|&mAcbB`qmDq86;)y%Z zZI8#od$b0_yqOW9D+(QBd%fH46bKScF*f@lN(m>FiUE!+m8iId*2OryfLkVdVLJl} zNO$Q&H1=h(E2Na|s|j!=)fZ!LmTmO&BmAOQI&{Z<%D8sLX{YG2a|`KU1{a~Y zDa>BfH&q~SdibUuD(XAJ8I=*@08V-U7Z?&nF{!B=adV}`YOKIwro%>JnCw{6@u2e zR!*~sT}3JSB}}6!yO%pA1gK9rUC$-_+=fcppq(;QanI<^UL<>Y zOm^sRT=}!;7kO-UM~{G87}DZ!7pZDKdd=`!nZU-Cu?udfoNC1P_;?(kvU2CzDDF)! z%y`QvP)N0ZQwy@(S(wl!gr@AGyLdtZ1q@cM@hk$Tj9z4S{j+Cbniem2bmJ4SdowQ_ zC)mZTA|7WBo+zkDl+TU~6|?LA)Qonjd^*zM@Pum zSl|pfnGa16lx?Sz1@a*grM3{+8eu1W% zpPF_?#Z8|6qcrnCD_0&bNe!al#Doc=q=|e zq4#*N4-O3U4C-@TPfkgzaj6D-%y5cKUaf9ukAW5Xnn#yi&7sY7Qr$YQ+4wq}OKEOO z`aU}RNM*PqDualsu+aR~)|MS&(`w7;8)syjZcI~}0=bQZ-2)jCT zZr?7Is=XFRIQe=K*8QCtHCV=)-F=l=o^)TWK77t)w3o&fbbGVbpKz3v)GucXn)*5Q zbT`?!!%S!z*)q@6_a!=9-N0Q|k?2RuLr0??Zxv&5mBM6=VArE{_T_?TRr|hSOu%^M zN^kCMvT@oMiYi8j>r z-@uggo>zhf*`kpLTk{?LY~D@&!EO@>&5vHwBZ=H{=+}X3ZNG+HN1;TvOc=#{mx+lz zTzY19E?ZdO^Fd~7-1v92bhI!b$h$wbJ&!ndDW(cnXRhMmrQBsI?oQ>*Sjz}w4O2ee zxI1EIU#n|OyOerI(LL{z^4na;i60u=ymc&E9&(~;KEA2=M4vw&F=>-kzkSfEx=n97 zs{Z7O^i2_#m^@)r@lJtic4a+vT<2qSxXUH`TUK|DZ|LdiIoNI)Wy!fxuRb!fWAP=c zkWDGE7N_}~Q#voKt%zWfw&8|aAO3LG*K(V1QE?KIM zx;GqP#;Qlvk+?Tl_Xx5B*`d!~XwS^$z6^p|cPRwW8-&I+&{FHl`Qho}`p&mtF>}^; z&T_GnJD4vz^9k^u=^g)&#Uv7L{<=UxS-E+yw1R=fiQ>wYE6}elF(u`b;93PK^htpu zd#RlLli8jv-Lft{%{`ac4OZDV+tbSZL&ZN|_hsx;dK4d?yBZkjS8bJCY)%rmJdsLB zLB2Tc*n(8BE|vSp!CcxY5S%?Y3kuy9SRjY7$@5k1rh%`%(>9%rz`kx7!63Jc%C#-xJ=kN6Fn5@zk`Zw03XDLdF!da;up zpF1%T*3Z2L6^`RG*@)oK(5-__?)c-Z*g0kuHvO-$J4dc~hkqKkEc60>raPM+3^0fUj#X4GjUM!`})^6k8*e7=@VW< zQcGqftGbg-xnV|hRIACyT_;Kpe3-GnDeL2Id|xyh>n$#p88sslJ0QKwinBZ7ztxsN z3OdscH{88zb&D%%yQ^a@6C$2>nwb7nd3%p&$#8>$VpVRt*!k_(4D=0x54 and islave<=0x57: - device+="(sp601 onboard EEPROM)" - else: - device+="(???)" - pass - list.append(device) - pass - except: - pass - pass - return list - - - ################### - ### write to EEPROM - ################### - def eeprom_write(self,address,value): - if address<0 or address>127: - print "eeprom_write ERROR: address",address,"not in range 0-127" - return - if value<0 or value>255: - print "eeprom_write ERROR: value",value,"not in range 0-255" - return - i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 - prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) - prom.write([address,value]) - time.sleep(0.01) # write cycle time is 5ms. let's wait 10 to make sure. - return - - - #################### - ### read from EEPROM - #################### - def eeprom_read(self,address): - if address<0 or address>255: - print "eeprom_write ERROR: address",address,"not in range 0-127" - return - i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 - prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) - prom.write([address]) - return prom.read(1)[0] - - - ###################### - ### read serial number - ###################### - def get_serial_number(self): - result="" - for iaddr in [0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff]: - result+="%02x "%(self.eeprom_read(iaddr)) - pass - return result - - - ################# - ### set DAC value - ################# - def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - return -1 - if value<0 or value>0xFFFF: - print "set_dac ERROR: value",value,"not in range 0-0xFFFF" - return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # if we want to enable internal voltage reference: - if vrefOn: - # enter vref-on mode: - print "Turning internal reference ON" - dac.write([0x38,0x00,0x01]) - else: - print "Turning internal reference OFF" - dac.write([0x38,0x00,0x00]) - # now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , (value/256)&0xff , value&0xff] - print sequence - dac.write(sequence) - - - - ################################################## - ### convert required threshold voltage to DAC code - ################################################## - def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - return int(dacCode) - - - ################################################## - ### calculate the DAC code required and set DAC - ################################################## - def set_threshold_voltage(self, channel , voltage ): - dacCode = self.convert_voltage_to_dac(voltage) - print " requested voltage, calculated DAC code = " , voltage , dacCode - self.set_dac(channel , dacCode) diff --git a/miniTLU/I2CuHal.py b/miniTLU/I2CuHal.py deleted file mode 100644 index 694c9ef..0000000 --- a/miniTLU/I2CuHal.py +++ /dev/null @@ -1,1000 +0,0 @@ -""" -solidfpa.py provides functionality to control the front end boards currently -being prototyped. - -For the ADC: - One or more LTM9007 ADCs can be controlled via the IPbus SPI block. - Each chip is really two four channel ADCs, with each controlled with a - separate chip select line. Bank A is channels 1, 4, 5, 8. - Bank B is 2, 3, 6, 7. - - Control is via a simple SPI interface where 16 bits are transferred. - b0 is read/!write - b7:1 are the register address - b15:b8 are the data sent to/from the ADC - - If the ADC.cehckwrite flag is True then all write commands will immediately - be confirmed by a read command to the same address. -""" - -import time - -import uhal - -verbose = True -""" -class SoLidFPGA: - - def __init__(self, board, nadc=4, verbose=False, minversion=None): - cm = uhal.ConnectionManager("file://solidfpga.xml") - self.target = cm.getDevice(board) - #self.config() - self.offsets = TimingOffsets(self.target) - self.trigger = Trigger(self.target) - self.databuffer = OutputBuffer(self.target) - self.spi = SPICore(self.target, 31.25e6, 100e3) - self.clock_i2c = I2CCore(self.target, 31.25e6, 40e3, "io.clock_i2c") - self.analog_i2c = I2CCore(self.target, 31.25e6, 40e3, "io.analog_i2c") - self.clockchip = Si5326(self.clock_i2c) - self.adcs = [] - for i in range(1): - self.adcs.append(ADCLTM9007(self.spi, 2 * i, 2 * i + 1)) - # For board Wim sent to Bristol for testing the MCP4725 address seems - # to be 0b1100001, whereas for the first test board the address was - # 0b1100111. - self.gdac = DACMCP4725(self.analog_i2c, 0b1100001, 4.45) - self.trimdacs = [ - DACMCP4728(self.analog_i2c, 0b1100011, 4.45), - DACMCP4728(self.analog_i2c, 0b1100101, 4.45) - ] - self.temp = TempMCP9808(self.analog_i2c) - self.firmwareversion = None - self.minversion = minversion - self.config(7, 16) - - def config(self, slip, tap): - # check ID - boardid = self.target.getNode("ctrl_reg.id").read() - stat = self.target.getNode("ctrl_reg.stat").read() - self.target.dispatch() - if verbose: - print "ID = 0x%x, stat = 0x%x" % (boardid, stat) - self.id = (boardid & 0xffff0000) >> 16 - self.firmwareversion = boardid & 0x0000ffff - if self.minversion is not None: - msg = "Old version of firmware (v%d) running, require >= v%d." % ( - self.firmwareversion, self.minversion) - assert self.firmwareversion >= self.minversion, msg - self.spi.config() - self.clock_i2c.config() - self.analog_i2c.config() - # Check for 40 MHz clock lock - lock = self.target.getNode("ctrl_reg.stat.mmcm_locked").read() - self.target.dispatch() - #assert lock == 1, "No 40 MHz clock clock, code not yet moved to frontend.py" - if lock != 1: - # Config clock chip - self.clockchip.config("siclock/si5326.txt") - time.sleep(1.0) - lock = self.target.getNode("ctrl_reg.stat.mmcm_locked").read() - self.target.dispatch() - assert lock == 1, "No 40 MHz clock clock, Si53266 configuration must have failed." - # Reset clock - timing_rst = self.target.getNode("timing.csr.ctrl.rst") - timing_rst.write(0x1) - self.target.dispatch() - timing_rst.write(0x0) - self.target.dispatch() - lock = False - while not lock: - lock = self.target.getNode("ctrl_reg.stat.mmcm_locked").read() - self.target.dispatch() - clkcount = self.target.getNode("io.freq_ctr.freq.count").read() - self.target.dispatch() - freq = int(clkcount) / 8388.608 # not sure why, from Lukas - if verbose: - print "Frequency = %g MHz" % freq - assert freq > 39 and freq < 41 - # Configure trigger block - self.trigger.config() - # Set timing offset on inputs from ADC - self.offsets.setoffset(slip, tap) - for adc in self.adcs: - adc.config() - print "Analog board temperature = %g C." % self.temp.temp() - - def reset(self, slip=7, tap=16): - if verbose: - print "Resetting board." - # Soft reset - soft_rst = self.target.getNode("ctrl_reg.ctrl.soft_rst") - soft_rst.write(1) - soft_rst.write(0) - self.target.dispatch() - time.sleep(1.0) - if verbose: - print "Reset complete." - self.config(slip, tap) - - def readvoltages(self): - bias = self.gdac.readbias() - print "Global bias = %g V" % bias - trims = "Channel trims:\n" - ichan = 0 - for dac in self.trimdacs: - voltages = dac.readvoltages() - for v in voltages: - trims += " Chan %d, v = %g V\n" % (ichan, v) - ichan += 1 - print trims - - def bias(self, bias): - self.gdac.setbias(bias) - - def trim(self, trim): - for i in range(4): - for trimdac in self.trimdacs: - trimdac.setvoltage(i, trim) - - def trims(self, trims): - for chan in trims: - trim = trims[chan] - ndac = chan / 4 - nchan = chan % 4 - self.trimdacs[ndac].setvoltage(nchan, trim) - -# IPbus blocks -class TimingOffsets: - #Timing offsets for the ADC data deserialisation. - - def __init__(self, target): - self.target = target - - def setoffset(self, slip=7, tap=16): - if verbose: - print "Setting timing offset with channel slip = %d and %d taps." % (slip, tap) - chan_slip = self.target.getNode("timing.csr.ctrl.chan_slip") - for i in range(slip): - chan_slip.write(1) - self.target.dispatch() - chan_slip.write(0) - self.target.dispatch() - chan_inc = self.target.getNode("timing.csr.ctrl.chan_inc") - for i in range(tap): - chan_inc.write(1) - self.target.dispatch() - chan_inc.write(0) - self.target.dispatch() - -class Trigger: - - def __init__(self, target, nsamples=0x800): - self.target = target - self.nsamples = nsamples - self.capture = target.getNode("timing.csr.ctrl.chan_cap") - self.chanselect = target.getNode("ctrl_reg.ctrl.chan") - self.fifo = target.getNode("chan.fifo") - - def config(self): - # Set up channels - for i in range(8): - self.target.getNode("ctrl_reg.ctrl.chan").write(i) - self.target.getNode("chan.csr.ctrl.en_sync").write(1) - self.target.dispatch() - - def trigger(self): - data = [] - self.capture.write(1) - self.capture.write(0) - self.target.dispatch() - for i in range(8): - self.chanselect.write(i) - wf = self.fifo.readBlock(self.nsamples) - self.target.dispatch() - data.append(wf) - return data - -class OutputBuffer: - #Output data block. - - def __init__(self, target): - self.target = target -""" - - -################################################################################ -# /* -# I2C CORE -# */ -################################################################################ - - - -""" -I2C core XML: - - - - - - - - - -""" -class I2CCore: - """I2C communication block.""" - - # Define bits in cmd_stat register - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - readcmd = 0x1 << 5 - writecmd = 0x1 << 4 - ack = 0x1 << 3 - intack = 0x1 - - recvdack = 0x1 << 7 - busy = 0x1 << 6 - arblost = 0x1 << 5 - inprogress = 0x1 << 1 - interrupt = 0x1 - - def __init__(self, target, wclk, i2cclk, name="i2c", delay=None): - self.target = target - self.name = name - self.delay = delay - self.prescale_low = self.target.getNode("%s.i2c_pre_lo" % name) - self.prescale_high = self.target.getNode("%s.i2c_pre_hi" % name) - self.ctrl = self.target.getNode("%s.i2c_ctrl" % name) - self.data = self.target.getNode("%s.i2c_rxtx" % name) - self.cmd_stat = self.target.getNode("%s.i2c_cmdstatus" % name) - self.wishboneclock = wclk - self.i2cclock = i2cclk - self.config() - - def state(self): - status = {} - status["ps_low"] = self.prescale_low.read() - status["ps_hi"] = self.prescale_high.read() - status["ctrl"] = self.ctrl.read() - status["data"] = self.data.read() - status["cmd_stat"] = self.cmd_stat.read() - self.target.dispatch() - status["prescale"] = status["ps_hi"] << 8 - status["prescale"] |= status["ps_low"] - for reg in status: - val = status[reg] - bval = bin(int(val)) - if verbose: - print "reg %s = %d, 0x%x, %s" % (reg, val, val, bval) - - def clearint(self): - self.ctrl.write(0x1) - self.target.dispatch() - - def config(self): - #INITIALIZATION OF THE I2S MASTER CORE - #Disable core - self.ctrl.write(0x0 << 7) - self.target.dispatch() - #Write pre-scale register - #prescale = int(self.wishboneclock / (5.0 * self.i2cclock)) - 1 - prescale = 0x30 #FOR NOW HARDWIRED, TO BE MODIFIED - self.prescale_low.write(prescale & 0xff) - self.prescale_high.write((prescale & 0xff00) >> 8) - #Enable core - self.ctrl.write(0x1 << 7) - self.target.dispatch() - - def checkack(self): - inprogress = True - ack = False - while inprogress: - cmd_stat = self.cmd_stat.read() - self.target.dispatch() - inprogress = (cmd_stat & I2CCore.inprogress) > 0 - ack = (cmd_stat & I2CCore.recvdack) == 0 - return ack - - def delayorcheckack(self): - ack = True - if self.delay is None: - ack = self.checkack() - else: - time.sleep(self.delay) - ack = self.checkack()#Remove this? - return ack - -################################################################################ -# /* -# I2C WRITE -# */ -################################################################################ - - - - def write(self, addr, data, stop=True): - """Write data to the device with the given address.""" - # Start transfer with 7 bit address and write bit (0) - nwritten = -1 - addr &= 0x7f - addr = addr << 1 - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - writecmd = 0x1 << 4 - #Set transmit register (write operation, LSB=0) - self.data.write(addr) - #Set Command Register to 0x90 (write, start) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - nwritten += 1 - for val in data: - val &= 0xff - #Write slave memory address - self.data.write(val) - #Set Command Register to 0x10 (write) - self.cmd_stat.write(I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - nwritten += 1 - if stop: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - -################################################################################ -# /* -# I2C READ -# */ -################################################################################ - - - - def read(self, addr, n): - """Read n bytes of data from the device with the given address.""" - # Start transfer with 7 bit address and read bit (1) - data = [] - addr &= 0x7f - addr = addr << 1 - addr |= 0x1 # read bit - self.data.write(addr) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return data - for i in range(n): - self.cmd_stat.write(I2CCore.readcmd) - self.target.dispatch() - ack = self.delayorcheckack() - val = self.data.read() - self.target.dispatch() - data.append(val & 0xff) - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return data - -################################################################################ -# /* -# I2C WRITE-READ -# */ -################################################################################ - - - - def writeread(self, addr, data, n): - """Write data to device, then read n bytes back from it.""" - nwritten = self.write(addr, data, stop=False) - readdata = [] - if nwritten == len(data): - readdata = self.read(addr, n) - return nwritten, readdata - -""" -SPI core XML: - - - - - - - - - - -""" -class SPICore: - - go_busy = 0x1 << 8 - rising = 1 - falling = 0 - - - def __init__(self, target, wclk, spiclk, basename="io.spi"): - self.target = target - # Only a single data register is required since all transfers are - # 16 bit long - self.data = target.getNode("%s.d0" % basename) - self.control = target.getNode("%s.ctrl" % basename) - self.control_val = 0b0 - self.divider = target.getNode("%s.divider" % basename) - self.slaveselect = target.getNode("%s.ss" % basename) - self.divider_val = int(wclk / spiclk / 2.0 - 1.0) - self.divider_val = 0x7f - self.configured = False - - def config(self): - "Configure SPI interace for communicating with ADCs." - self.divider_val = int(self.divider_val) % 0xffff - if verbose: - print "Configuring SPI core, divider = 0x%x" % self.divider_val - self.divider.write(self.divider_val) - self.target.dispatch() - self.control_val = 0x0 - self.control_val |= 0x0 << 13 # Automatic slave select - self.control_val |= 0x0 << 12 # No interrupt - self.control_val |= 0x0 << 11 # MSB first - # ADC samples data on rising edge of SCK - self.control_val |= 0x1 << 10 # change ouput on falling edge of SCK - # ADC changes output shortly after falling edge of SCK - self.control_val |= 0x0 << 9 # read input on rising edge - self.control_val |= 0x10 # 16 bit transfers - if verbose: - print "SPI control val = 0x%x = %s" % (self.control_val, bin(self.control_val)) - self.configured = True - - def transmit(self, chip, value): - if not self.configured: - self.config() - assert chip >= 0 and chip < 8 - value &= 0xffff - self.data.write(value) - checkdata = self.data.read() - self.target.dispatch() - assert checkdata == value - self.control.write(self.control_val) - self.slaveselect.write(0xff ^ (0x1 << chip)) - self.target.dispatch() - self.control.write(self.control_val | SPICore.go_busy) - self.target.dispatch() - busy = True - while busy: - status = self.control.read() - self.target.dispatch() - busy = status & SPICore.go_busy > 0 - self.slaveselect.write(0xff) - data = self.data.read() - ss = self.slaveselect.read() - status = self.control.read() - self.target.dispatch() - #print "Received data: 0x%x, status = 0x%x, ss = 0x%x" % (data, status, ss) - return data -""" - print "Data to send: 0x%x = %s" % (checkdata, bin(int(checkdata))) - ss = 0x1 << chip - nss = ss ^ 0xffff - print "chip = %d, nSS = 0x%x = %s" % (chip, nss, bin(nss)) - ctrl = self.control.read() - self.target.dispatch() - busy = (ctrl & SPICore.go_busy) > 0 - while busy: - ctrl = self.control.read() - self.target.dispatch() - busy = (ctrl & SPICore.go_busy) > 0 - self.slaveselect.write(nss) - self.target.dispatch() - self.control.write(self.control_val) - self.target.dispatch() - self.control.write(self.control_val | SPICore.go_busy) - self.target.dispatch() - time.sleep(0.1) - ncheck = 0 - finished = False - while not finished: - ctrl = self.control.read() - self.target.dispatch() - # Check if transfer is complete by reading the GO_BSY bit of CTRL - finished = (ctrl & SPICore.go_busy) == 0 - ncheck += 1 - # assert ncheck < 10, "ctrl = 0x%x, %s finished = %s" % (ctrl, bin(int(ctrl)), str(finished)) - # time.sleep(0.1) - print "%d checks before busy not asserted." % ncheck - self.slaveselect.write(0xffff) - self.target.dispatch() - ss = self.slaveselect.read() - data = self.data.read() - self.target.dispatch() - print "After transmit, ss = 0x%x" % ss - print "Received 0x%x = %s" % (data, bin(int(data))) - time.sleep(0.1) - return data -""" - -# External chips - -class Si5326: - - def __init__(self, i2c, slaveaddr=0b1101000): - self.i2c = i2c - self.slaveaddr = slaveaddr - - def config(self, fn): - if verbose: - print "Loading Si5326 configuration from %s" % fn - inp = open(fn, "r") - inmap = False - regvals = {} - for line in inp: - if inmap: - if "END_REGISTER_MAP" in line: - inmap = False - continue - line = line.split(",") - reg = int(line[0]) - val = line[1].strip().replace("h", "") - val = int(val, 16) - regvals[reg] = val - if "#REGISTER_MAP" in line: - inmap = True - inp.close() - if verbose: - print "Register map: %s" % str(regvals) - for reg in regvals: - n = self.i2c.write(self.slaveaddr, [reg, regvals[reg]]) - assert n == 2, "Only wrote %d of 2 bytes over I2C." % n - if verbose: - print "Clock configured" - -lvdscurrents = { - 3.5: 0b000, - 4.0: 0b001, - 4.5: 0b010, - 3.0: 0b100, - 2.5: 0b101, - 2.1: 0b110, - 1.75: 0b111 -} - -napchannels = { - 1: 0b0001, - 2: 0b0001, - 3: 0b0010, - 4: 0b0010, - 5: 0b0100, - 6: 0b0100, - 7: 0b1000, - 8: 0b1000 -} - -class ADCLTM9007: - - def __init__(self, spicore, csA, csB, checkwrite=False): - self.checkwrite = checkwrite - self.spicore = spicore - self.csA = csA - self.csB = csB - - def config(self): - self.reset() - self.testpattern(False) - self.setoutputmode(3.5, False, True, 1, 14) - self.setformat(False, False) - - def writereg(self, bank, addr, data): - value = 0x0 - value |= 0x0 << 15 # write bit - value |= (addr & 0x7f) << 8 - value |= data & 0xff - #print "writereg sending 0x%x = %s" % (value, bin(value)) - assert bank in ["A", "B"] - if bank == "A": - reply = self.spicore.transmit(self.csA, value) - else: - reply = self.spicore.transmit(self.csB, value) - if self.checkwrite: - readdata = self.readreg(bank, addr) - msg = "Incorrect data from bank %s register 0x%x: " (bank, addr) - msg += " after writing 0x%x, read 0x%x.\n" % (data, readdata) - assert readdata == data, msg - - - def writerega(self, addr, data): - self.writereg("A", addr, data) - - def writeregb(self, addr, data): - self.writereg("B", addr, data) - - def readreg(self, bank, addr): - value = 0x0 - value |= 0x1 << 15 - value |= (addr & 0x7f) << 8 - #print "readreg sending 0x%x = %s" % (value, bin(value)) - assert bank in ["A", "B"] - if bank == "A": - reply = self.spicore.transmit(self.csA, value) - else: - reply = self.spicore.transmit(self.csB, value) - reply16 = 0xff & reply - #print "Reply = 0x%x -> 0x%x" % (reply, reply16) - return reply16 - - def readrega(self, addr): - return self.readreg("A", addr) - - def readregb(self, addr): - return self.readreg("B", addr) - - def reset(self, bank=None): - """Reset ADC bank(s).""" - if verbose: - print "Resetting ADC." - rstcmd = 0x1 << 7 - if bank == "A" or bank is None: - if verbose: - print "Reset A" - self.writerega(0x0, rstcmd) - time.sleep(0.5) - if bank == "B" or bank is None: - if verbose: - print "Reset B" - self.writeregb(0x0, rstcmd) - time.sleep(0.5) - - def testpattern(self, on, pattern=0x0, bank=None): - """Set bank(s)'s test pattern and en/disable it.""" - pattern = int(pattern) & 0x3fff - if verbose: - if on: - print "Setting ADC test pattern = 0x%x = %s." % (pattern, bin(pattern)) - else: - print "Setting ADC test pattern off." - msb = 0x0 - if on: - msb = 0x1 << 7 - msb |= ((pattern & 0x3f00) >> 8) - lsb = pattern & 0xff - if verbose: - print "msb = 0x%x = %s, lsb = 0x%x = %s" % (msb, bin(msb), lsb, bin(lsb)) - if bank is None or bank == "A": - self.writerega(0x4, lsb) - self.writerega(0x3, msb) - if bank is None or bank == "B": - self.writeregb(0x4, lsb) - self.writeregb(0x3, msb) - - def gettestpattern(self): - valA = self.readrega(0x3) << 8 - valA |= self.readrega(0x4) - print "Test pattern on bank A: 0x%x, %s" % (valA, bin(valA)) - valB = self.readregb(0x3) << 8 - valB |= self.readregb(0x4) - print "Test pattern on bank B: 0x%x, %s" % (valB, bin(valB)) - - def getstatus(self): - print "Bank A:" - for reg in range(5): - val = self.readrega(reg) - print " reg%d = 0x%x = %s" % (reg, val, bin(val)) - print "Bank B:" - for reg in range(5): - val = self.readregb(reg) - print " reg%d = 0x%x = %s" % (reg, val, bin(val)) - - def setoutputmode(self, lvdscurrent, lvdstermination, outenable, lanes, bits, bank=None): - """Configure bank(s)'s output mode.""" - if verbose: - print "Setting ADC output mode." - mode = 0x0 - assert lanes in [1, 2] and bits in [12, 14, 16] - if lanes == 1: - if bits == 12: - mode |= 0b110 - elif bits == 14: - mode |= 0b101 - else: # bits = 16 - mode |= 0b111 - else: # lanes = 2 - if bits == 12: - mode |= 0b010 - elif bits == 14: - mode |= 001 - else: # bits = 16 - mode |= 0b111 - if not outenable: - mode |= 0b1000 - if lvdstermination: - mode |= (0x1 << 4) - mode |= (lvdscurrents[lvdscurrent] << 5) - if bank is None or bank == "A": - self.writerega(0x2, mode) - if bank is None or bank == "B": - self.writeregb(0x2, mode) - - def setformat(self, randomiser, twoscomp, stabiliser=True, bank=None): - """Configure bank(s)'s output format.""" - if verbose: - print "Setting ADC format." - if bank is None or bank == "A": - data = self.readrega(0x1) - if twoscomp: - data |= (0x1 << 5) - else: - data &= 0xff ^ (0x1 << 5) - if randomiser: - data |= (0x1 << 6) - else: - data &= 0xff ^ (0x1 << 6) - if not stabiliser: - data |= (0x1 << 7) - else: - data &= 0xff ^ (0x1 << 7) - self.writerega(0x1, data) - if bank is None or bank == "B": - data = self.readregb(0x1) - if twoscomp: - data |= (0x1 << 5) - else: - data &= 0xff ^ (0x1 << 5) - if randomiser: - data |= (0x1 << 6) - else: - data &= 0xff ^ (0x1 << 6) - if not stabiliser: - data |= (0x1 << 7) - else: - data &= 0xff ^ (0x1 << 7) - self.writeregb(0x1, data) - - def setsleep(self, sleep, bank=None): - """Put ADC bank(s) to sleep.""" - if verbose: - print "Setting ADC sleep mode" - if bank is None or bank == "A": - data = self.readrega(0x1) - if sleep: - data |= (0x1 << 4) - else: - data &= 0xff ^ (0x1 << 4) - self.writerega(0x1, data) - if bank is None or bank == "B": - data = self.readregb(0x1) - if sleep: - data |= (0x1 << 4) - else: - data &= 0xff ^ (0x1 << 4) - self.writeregb(0x1, data) - - def nap(self, channels): - """Provide a list of channels to put down for a nap, all others will be not napping.""" - if verbose: - print "Setting ADC channel nap." - dataa = self.readrega(0x1) - dataa &= 0xf0 - datab = self.readregb(0x1) - datab &= 0xf0 - for chan in channels: - assert chan < 9 and chan > 0 - if chan in [1, 4, 5, 8]: - dataa |= napchannels[chan] - else: - datab |= napchannels[chan] - self.writerega(0x1, dataa) - self.writeregb(0x1, datab) - -class MCP472XPowerMode: - - on = 0b00 - off1k = 0b01 - off100k = 0b10 - off500k = 0b11 - -class DACMCP4725: - """Global trim DAC""" - - # Write modes - fast = 0b00 - writeDAC = 0b10 - writeDACEEPROM = 0b11 - - def __init__(self, i2ccore, addr=0b1100111, vdd=5.0): - self.i2ccore = i2ccore - self.slaveaddr = addr & 0x7f - self.vdd = float(vdd) - - def setbias(self, bias): - # DAC voltage goes through potential divider to HV chip, where it is scaled up - r1 = 1.0 - r2 = 2.4 - divider = r2 / (r1 + r2) - voltage = bias / 30.0 / divider - self.setvoltage(voltage) - - def setvoltage(self, voltage, powerdown=MCP472XPowerMode.on): - if voltage > self.vdd: - print "Overriding MCP4725 voltage: %g -> %g V (max of range)" % (voltage, self.vdd) - voltage = self.vdd - value = int(voltage / float(self.vdd) * 4096) - #print "%g -> %d" % (voltage, value) - self.setvalue(value, powerdown, self.writeDACEEPROM) - - def setvalue(self, value, powerdown, mode): - value = int(value) - value &= 0xfff - if mode == self.fast: - data = [] - data.append((powerdown << 4) | ((value & 0xf00) >> 8)) - data.append(value & 0x0ff) - self.i2ccore.write(self.slaveaddr, data) - else: - data = [] - data.append((mode << 5) | (powerdown << 1)) - data.append((value & 0xff0) >> 4) - data.append((value & 0x00f) << 4) - #print "Writing %s" % str(data) - self.i2ccore.write(self.slaveaddr, data) - - def status(self): - data = self.i2ccore.read(self.slaveaddr, 5) - assert len(data) == 5, "Only recieved %d of 5 expected bytes from MCP4725." % len(data) - dx = "0x" - db = "" - for val in data: - dx += "%02x" % val - db += "%s " % bin(val) - #print dx, db - ready = (data[0] & (0x1 << 7)) > 0 - por = (data[0] & (0x1 << 6)) > 0 # power on reset? - powerdown = (data[0] & 0b110) >> 1 - dacvalue = data[1] << 4 - dacvalue |= (data[2] & 0xf0) >> 4 - voltage = self.vdd * dacvalue / 2**12 - #print dacvalue, voltage - return dacvalue, voltage, ready, por, powerdown - - def readvoltage(self): - vals = self.status() - return vals[1] - - def readbias(self): - v = self.readvoltage() - r1 = 1.0 - r2 = 2.4 - divider = r2 / (r1 + r2) - bias = v * 30.0 * divider - return bias - -# class MCP4728ChanStatus: -# -# def __init__(self, data): -# assert len(data) == 3 -# s = "0x" -# for val in data: -# s += "%02x" % val -# #print data, s -# self.ready = (data[0] & (0x1 << 7)) > 0 -# self.por = (data[0] & (0x1 << 6)) > 0 -# self.chan = (data[0] & (0b11 << 4)) >> 4 -# self.addr = data[0] & 0x0f -# self.vref = (data[1] & (0b1 << 7)) > 0 -# self.powerdown = (data[1] & (0b11 << 5)) >> 5 -# self.gain = (data[1] & (0b1 << 4)) > 0 -# self.value = (data[1] & 0x0f) << 8 -# self.value |= data[2] -# -# def __repr__(self): -# return "chan %d: vref = %s, powerdown = %d, value = %d" % (self.chan, str(self.vref), self.powerdown, self.value) - -# class MCP4728Channel: -# -# def __init__(self, data): -# assert len(data) == 6 -# self.output = MCP4728ChanStatus(data[:3]) -# self.EEPROM = MCP4728ChanStatus(data[3:]) -# self.chan = self.EEPROM.chan -# #print self.output - -# class DACMCP4728: -# """Channel trim DAC""" -# -# # Commands -# writeDACEEPROM = 0b010 -# -# # write functions -# multiwrite = 0b00 -# sequentialwrite = 0b10 -# singlewrite = 0b11 -# -# # stuff -# vref = 0b0 << 7 # Uses external reference, ie Vdd -# -# def __init__(self, i2ccore, addr, vdd=5.0): -# self.i2ccore = i2ccore -# self.slaveaddr = addr & 0x7f -# self.vdd = float(vdd) -# -# def setvoltage(self, channel, voltage, powerdown=MCP472XPowerMode.on): -# value = int(voltage / self.vdd * 2**12) -# #print "%g V -> %d" % (voltage, value) -# self.setvalue(channel, value, powerdown) -# -# def setvalue(self, channel, value, powerdown=MCP472XPowerMode.on): -# value = int(value) & 0xfff -# data = [] # data is an empty array that gets filled as below -# cmd = DACMCP4728.writeDACEEPROM << 5 -# cmd |= DACMCP4728.singlewrite << 3 -# cmd |= (channel & 0b11) << 1 -# data.append(cmd) data gets appende the cmd string -# val = DACMCP4728.vref | ((powerdown & 0b11) << 5) -# val |= (value & 0xf00) >> 8 -# data.append(val) -# data.append(value & 0xff) -# sx = "0x" -# sb = "" -# for val in data: -# sx += "%02x" % val -# sb += "%s " % bin(val) -# #print "Writing data to %s value: " % bin(self.slaveaddr), data, sx, sb -# nwritten = self.i2ccore.write(self.slaveaddr, data) -# assert nwritten == len(data), "Only wrote %d of %d bytes setting MCP4728." % (nwritten, len(data)) -# time.sleep(0.2) -# -# def status(self): -# data = self.i2ccore.read(self.slaveaddr, 24) -# assert len(data) == 24, "Only read %d of 24 bytes getting MCP4728 status." % len(data) -# #print data -# chans = [] -# for chan in range(4): -# i = chan * 6 -# chans.append(MCP4728Channel(data[i:i+6])) -# return chans -# -# def readvoltages(self): -# chans = self.status() -# voltages = [] -# for chan in chans: -# value = float(chan.output.value) -# voltage = self.vdd * value / 2**12 -# voltages.append(voltage) -# return voltages - -class TempMCP9808: - """Temperture chip on analog board.""" - - regTemp = 0x5 - - def __init__(self, i2ccore, addr=0b0011000): - self.i2ccore = i2ccore - self.slaveaddr = addr & 0x7f -# Here the chip needs a specific register written as a command before it knows -# where to write to, which is the regaddr byte that is passed upself. - - def readreg(self, regaddr): - n, data = self.i2ccore.writeread(self.slaveaddr, [regaddr], 2) - assert n == 1 # this is the one byte address for the registry - assert len(data) == 2 # this is teh length of the data read from the chip - val = data[0] << 8 - val |= data[1] - return val - - def temp(self): - val = self.readreg(TempMCP9808.regTemp) - return self.u16todeg(val) - - def u16todeg(self, val): - val &= 0x1fff - neg = val & 0x1000 > 0 - val &= 0x0fff - if neg: - return -float(0xfff - val) / 16.0 - return float(val) / 16.0 diff --git a/miniTLU/I2cBusProperties.py b/miniTLU/I2cBusProperties.py deleted file mode 100644 index a23f30c..0000000 --- a/miniTLU/I2cBusProperties.py +++ /dev/null @@ -1,122 +0,0 @@ -########################################################## -# I2cBusProperties - simple encapsulation of all items -# required to control an I2C bus. -# -# Carl Jeske, July 2010 -# Refactored by Robert Frazier, May 2011 -########################################################## - - -class I2cBusProperties(object): - """Encapsulates details of an I2C bus master in the form of a host device, a clock prescale value, and seven I2C master registers - - Provide the ChipsBus instance to the device hosting your I2C core, a 16-bit clock prescaling - value for the Serial Clock Line (see I2C core docs for details), and the names of the seven - registers that define/control the bus (assuming these names are not the defaults specified - in the constructor below). The seven registers consist of the two clock pre-scaling - registers (PRElo, PREhi), and five bus master registers (CONTROL, TRANSMIT, RECEIVE, - COMMAND and STATUS). - - Usage: You'll need to create an instance of this class to give to a concrete I2C bus instance, such - as OpenCoresI2cBus. This I2cBusProperties class is simply a container to hold the properties - that define the bus; a class such as OpenCoresI2cBus will make use of these properties. - - Access the items stored by this class via these (deliberately compact) variable names: - - chipsBus -- the ChipsBus device hosting the I2C core - preHiVal -- the top byte of the clock prescale value - preLoVal -- the bottom byte of the clock prescale value - preHiReg -- the register the top byte of the clk prescale value (preHiVal) gets written to - preLoReg -- the register the bottom byte of the clk prescale value (preLoVal) gets written to - ctrlReg -- the I2C Control register - txReg -- the I2C Transmit register - rxReg -- the I2C Receive register - cmdReg -- the I2C Command register - statusReg -- the I2C Status register - - - Compatibility Notes: The seven register names are the registers typically required to operate an - OpenCores or similar I2C Master (Lattice Semiconductor's I2C bus master works - the same way as the OpenCores one). This software is not compatible with your - I2C bus master if it doesn't use this register interface. - """ - - def __init__(self, - chipsBusDevice, - clkPrescaleU16, - clkPrescaleLoByteReg = "i2c_pre_lo", - clkPrescaleHiByteReg = "i2c_pre_hi", - controlReg = "i2c_ctrl", - transmitReg = "i2c_tx", - receiveReg = "i2c_rx", - commandReg = "i2c_cmd", - statusReg = "i2c_status"): - - """Provide a host ChipsBus device that is controlling the I2C bus, and the names of five I2C control registers. - - chipsBusDevice: Provide a ChipsBus instance to the device where the I2C bus is being - controlled. The address table for this device must contain the five registers - that control the bus, as declared next... - - clkPrescaleU16: A 16-bit value used to prescale the Serial Clock Line based on the host - master-clock. This value gets split into two 8-bit values and ultimately will - get written to the two I2C clock-prescale registers as declared below. See - the OpenCores or Lattice Semiconductor I2C documentation for more details. - - clkPrescaleLoByteReg: The register where the lower byte of the clock prescale value is set. The default - name for this register is "i2c_pre_lo". - - clkPrescaleHiByteReg: The register where the higher byte of the clock prescale value is set. The default - name for this register is "i2c_pre_hi" - - controlReg: The CONTROL register, used for enabling/disabling the I2C core, etc. This register is - usually read and write accessible. The default name for this register is "i2c_ctrl". - - transmitReg: The TRANSMIT register, used for holding the data to be transmitted via I2C, etc. This - typically shares the same address as the RECEIVE register, but has write-only access. The default - name for this register is "i2c_tx". - - receiveReg: The RECEIVE register - allows access to the byte received over the I2C bus. This - typically shares the same address as the TRANSMIT register, but has read-only access. The - default name for this register is "i2c_rx". - - commandReg: The COMMAND register - stores the command for the next I2C operation. This typically - shares the same address as the STATUS register, but has write-only access. The default name for - this register is "i2c_cmd". - - statusReg: The STATUS register - allows monitoring of the I2C operations. This typically shares - the same address as the COMMAND register, but has read-only access. The default name for this - register is "i2c_status". - """ - - object.__init__(self) - self.chipsBus = chipsBusDevice - self.preHiVal = ((clkPrescaleU16 & 0xff00) >> 8) - self.preLoVal = (clkPrescaleU16 & 0xff) - - # Check to see all the registers are in the address table - registers = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, receiveReg, commandReg, statusReg] - for reg in registers: - if not self.chipsBus.addrTable.checkItem(reg): - raise ChipsException("I2cBusProperties error: register '" + reg + "' is not present in the address table of the device hosting the I2C bus master!") - - # Check that the registers we'll need to write to are indeed writable - writableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, commandReg] - for wReg in writableRegisters: - if not self.chipsBus.addrTable.getItem(wReg).getWriteFlag(): - raise ChipsException("I2cBusProperties error: register '" + wReg + "' does not have the necessary write permission!") - - # Check that the registers we'll need to read from are indeed readable - readableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, receiveReg, statusReg] - for rReg in readableRegisters: - if not self.chipsBus.addrTable.getItem(rReg).getReadFlag(): - raise ChipsException("I2cBusProperties error: register '" + rReg + "' does not have the necessary read permission!") - - # Store the various register name strings - self.preHiReg = clkPrescaleHiByteReg - self.preLoReg = clkPrescaleLoByteReg - self.ctrlReg = controlReg - self.txReg = transmitReg - self.rxReg = receiveReg - self.cmdReg = commandReg - self.statusReg = statusReg diff --git a/miniTLU/RawI2cAccess.py b/miniTLU/RawI2cAccess.py deleted file mode 100644 index 2846132..0000000 --- a/miniTLU/RawI2cAccess.py +++ /dev/null @@ -1,261 +0,0 @@ -# Created on Sep 10, 2012 -# @author: Kristian Harder, based on code by Carl Jeske - -from I2cBusProperties import I2cBusProperties -from ChipsBus import ChipsBus -from ChipsLog import chipsLog -from ChipsException import ChipsException - - -class RawI2cAccess: - - def __init__(self, i2cBusProps, slaveAddr): - - # For performing read/writes over an OpenCores-compatible I2C bus master - # - # An instance of this class is required to communicate with each - # I2C slave on the I2C bus. - # - # i2cBusProps: an instance of the class I2cBusProperties that contains - # the relevant ChipsBus host and the I2C bus-master registers (if - # they differ from the defaults specified by the I2cBusProperties - # class). - # - #slaveAddr: The address of the I2C slave you wish to communicate with. - # - - self._i2cProps = i2cBusProps # The I2C Bus Properties - self._slaveAddr = 0x7f & slaveAddr # 7-bit slave address - - - def resetI2cBus(self): - - # Resets the I2C bus - # - # This function does the following: - # 1) Disables the I2C core - # 2) Sets the clock prescale registers - # 3) Enables the I2C core - # 4) Sets all writable bus-master registers to default values - - try: - self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x00) - #self._chipsBus().getNode(self._i2cProps.ctrlReg).write(0) - self._chipsBus().queueWrite(self._i2cProps.preHiReg, - self._i2cProps.preHiVal) - self._chipsBus().queueWrite(self._i2cProps.preLoReg, - self._i2cProps.preLoVal) - self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x80) - self._chipsBus().queueWrite(self._i2cProps.txReg, 0x00) - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x00) - self._chipsBus().queueRun() - except ChipsException, err: - raise ChipsException("I2C reset error:\n\t" + str(err)) - - - def read(self, numBytes): - - # Performs an I2C read. Returns the 8-bit read result(s). - # - # numBytes: number of bytes expected as response - # - - try: - result = self._privateRead(numBytes) - except ChipsException, err: - raise ChipsException("I2C read error:\n\t" + str(err)) - return result - - - def write(self, listDataU8): - - # Performs an 8-bit I2C write. - # - # listDataU8: The 8-bit data values to be written. - # - - try: - self._privateWrite(listDataU8) - except ChipsException, err: - raise ChipsException("I2C write error:\n\t" + str(err)) - return - - - def _chipsBus(self): - - # Returns the instance of the ChipsBus device that's hosting - # the I2C bus master - - return self._i2cProps.chipsBus - - - def _privateRead(self, numBytes): - - # I2C read implementation. - # - # Fast I2C read implementation, - # i.e. done with the fewest packets possible. - - - # transmit reg definitions - # bits 7-1: 7-bit slave address during address transfer - # or first 7 bits of byte during data transfer - # bit 0: RW flag during address transfer or LSB during data transfer. - # '1' = reading from slave - # '0' = writing to slave - - # command reg definitions - # bit 7: Generate start condition - # bit 6: Generate stop condition - # bit 5: Read from slave - # bit 4: Write to slave - # bit 3: 0 when acknowledgement is received - # bit 2:1: Reserved - # bit 0: Interrupt acknowledge. When set, clears a pending interrupt - - # Reset bus before beginning - self.resetI2cBus() - - # Set slave address in bits 7:1, and set bit 0 to zero - # (i.e. we're writing an address to the bus) - self._chipsBus().queueWrite(self._i2cProps.txReg, - (self._slaveAddr << 1) | 0x01) - # Set start and write bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - self._i2cWaitUntilFinished() - - result=[] - for ibyte in range(numBytes): - if ibyte==numBytes-1: - stop_bit=0x40 - ack_bit=0x08 - else: - stop_bit=0 - ack_bit=0 - pass - # Set read bit, acknowledge and stop bit in command reg - self._chipsBus().write(self._i2cProps.cmdReg, 0x20+ack_bit+stop_bit) - # Wait for transaction to finish. - # Don't expect an ACK, do expect bus free at finish. - if stop_bit: - self._i2cWaitUntilFinished(requireAcknowledgement = False, - requireBusIdleAtEnd = True) - else: - self._i2cWaitUntilFinished(requireAcknowledgement = False, - requireBusIdleAtEnd = False) - pass - result.append(self._chipsBus().read(self._i2cProps.rxReg)) - - return result - - - def _privateWrite(self, listDataU8): - - # I2C write implementation. - # - # Fast I2C write implementation, - # i.e. done with the fewest packets possible. - - # transmit reg definitions - # bits 7-1: 7-bit slave address during address transfer - # or first 7 bits of byte during data transfer - # bit 0: RW flag during address transfer or LSB during data transfer. - # '1' = reading from slave - # '0' = writing to slave - - # command reg definitions - # bit 7: Generate start condition - # bit 6: Generate stop condition - # bit 5: Read from slave - # bit 4: Write to slave - # bit 3: 0 when acknowledgement is received - # bit 2:1: Reserved - # bit 0: Interrupt acknowledge. When set, clears a pending interrupt - # Reset bus before beginning - self.resetI2cBus() - - # Set slave address in bits 7:1, and set bit 0 to zero (i.e. "write mode") - self._chipsBus().queueWrite(self._i2cProps.txReg, - (self._slaveAddr << 1) & 0xfe) - # Set start and write bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - self._i2cWaitUntilFinished() - - for ibyte in range(len(listDataU8)): - dataU8 = listDataU8[ibyte] - if ibyte==len(listDataU8)-1: - stop_bit=0x40 - else: - stop_bit=0x00 - pass - # Set data to be written in transmit reg - self._chipsBus().queueWrite(self._i2cProps.txReg, (dataU8 & 0xff)) - # Set write and stop bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x10+stop_bit) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - # Do expect an ACK and do expect bus to be free at finish - if stop_bit: - self._i2cWaitUntilFinished(requireAcknowledgement = True, - requireBusIdleAtEnd = True) - else: - self._i2cWaitUntilFinished(requireAcknowledgement = True, - requireBusIdleAtEnd = False) - pass - pass - - return - - - def _i2cWaitUntilFinished(self, requireAcknowledgement = True, - requireBusIdleAtEnd = False): - - # Ensures the current bus transaction has finished successfully - # before allowing further I2C bus transactions - - # This method monitors the status register - # and will not allow execution to continue until the - # I2C bus has completed properly. It will throw an exception - # if it picks up bus problems or a bus timeout occurs. - - maxRetry = 20 - attempt = 1 - while attempt <= maxRetry: - - # Get the status - i2c_status = self._chipsBus().read(self._i2cProps.statusReg) - - receivedAcknowledge = not bool(i2c_status & 0x80) - busy = bool(i2c_status & 0x40) - arbitrationLost = bool(i2c_status & 0x20) - transferInProgress = bool(i2c_status & 0x02) - interruptFlag = bool(i2c_status & 0x01) - - if arbitrationLost: # This is an instant error at any time - raise ChipsException("I2C error: Arbitration lost!") - - if not transferInProgress: - break # The transfer looks to have completed successfully, pending further checks - - attempt += 1 - - # At this point, we've either had too many retries, or the - # Transfer in Progress (TIP) bit went low. If the TIP bit - # did go low, then we do a couple of other checks to see if - # the bus operated as expected: - - if attempt > maxRetry: - raise ChipsException("I2C error: Transaction timeout - the 'Transfer in Progress' bit remained high for too long!") - - if requireAcknowledgement and not receivedAcknowledge: - raise ChipsException("I2C error: No acknowledge received!") - - if requireBusIdleAtEnd and busy: - raise ChipsException("I2C error: Transfer finished but bus still busy!") diff --git a/miniTLU/aida_mini_tlu_addr_map.txt b/miniTLU/aida_mini_tlu_addr_map.txt deleted file mode 100644 index 1f4693a..0000000 --- a/miniTLU/aida_mini_tlu_addr_map.txt +++ /dev/null @@ -1,72 +0,0 @@ -*RegName RegAddr RegMask R W -*------------------------------------------------------------- -FirmwareId 0x00000000 0xffffffff 1 0 -* -* DUT interfaces base = 0x020 -DUTMaskW 0x00000020 0xffffffff 0 1 -IgnoreDUTBusyW 0x00000021 0xffffffff 0 1 -IgnoreShutterVetoW 0x00000022 0xffffffff 0 1 -DUTInterfaceModeW 0x00000023 0xffffffff 0 1 -DUTInterfaceModeModifierW 0x00000024 0xffffffff 0 1 -DUTMaskR 0x00000028 0xffffffff 1 0 -IgnoreDUTBusyR 0x00000029 0xffffffff 1 0 -IgnoreShutterVetoR 0x0000002A 0xffffffff 1 0 -DUTInterfaceModeR 0x0000002B 0xffffffff 1 0 -DUTInterfaceModeModifierR 0x0000002C 0xffffffff 1 0 -* -* trigger inputs = 0x040 -SerdesRstW 0x00000040 0xffffffff 0 1 -SerdesRstR 0x00000048 0xffffffff 1 0 -ThrCount0R 0x00000049 0xffffffff 1 0 -ThrCount1R 0x0000004a 0xffffffff 1 0 -ThrCount2R 0x0000004b 0xffffffff 1 0 -ThrCount3R 0x0000004c 0xffffffff 1 0 -* -* trigger logic = 0x060 **Note the different read and write directions - -InternalTriggerIntervalW 0x00000062 0xffffffff 0 1 -TriggerPatternW 0x00000063 0xffffffff 0 1 -TriggerVetoW 0x00000064 0xffffffff 0 1 -PulseStretchW 0x00000066 0xffffffff 0 1 -PulseDelayW 0x00000067 0xffffffff 0 1 -TriggerHoldOffW 0x00000068 0xffffffff 0 1 - -PostVetoTriggersR 0x00000070 0xffffffff 1 0 -PreVetoTriggersR 0x00000071 0xffffffff 1 0 -InternalTriggerIntervalR 0x00000072 0xffffffff 1 0 -TriggerPatternR 0x00000073 0xffffffff 1 0 -TriggerVetoR 0x00000074 0xffffffff 1 0 -ExternalTriggerVetoR 0x00000075 0xffffffff 1 0 -PulseStretchR 0x00000076 0xffffffff 1 0 -PulseDelayR 0x00000077 0xffffffff 1 0 -TriggerHoldOffR 0x00000078 0xffffffff 1 0 -AuxTriggerCountR 0x00000079 0xffffffff 1 0 -* -* event buffer = 0x080 -EventFifoData 0x00000080 0xffffffff 1 0 -EventFifoFillLevel 0x00000081 0xffffffff 1 0 -EventFifoCSR 0x00000082 0xffffffff 1 1 -EventFifoFillLevelFlags 0x00000083 0xffffffff 1 0 -* -* logic clocks = 0x0A0 -LogicClocksCSR 0x000000A0 0xffffffff 1 1 -LogicRst 0x000000A1 0xffffffff 0 1 -* -* I2C = 0x0C0 -i2c_pre_lo 0x000000C0 0x000000ff 1 1 -i2c_pre_hi 0x000000C1 0x000000ff 1 1 -i2c_ctrl 0x000000C2 0x000000ff 1 1 -i2c_tx 0x000000C3 0x000000ff 0 1 -i2c_rx 0x000000C3 0x000000ff 1 0 -i2c_cmd 0x000000C4 0x000000ff 0 1 -i2c_status 0x000000C4 0x000000ff 1 0 -* -* Event formatter = 0x140 -Enable_Record_Data 0x00000140 0xffffffff 1 1 -ResetTimestampW 0x00000141 0xffffffff 0 1 -CurrentTimestampLR 0x00000142 0xffffffff 1 0 -CurrentTimestampHR 0x00000143 0xffffffff 1 0 -* -* Shutter/T0 control = 0x160 -ShutterStateW 0x00000160 0xffffffff 0 1 -PulseT0 0x00000161 0xffffffff 0 1 diff --git a/miniTLU/connection.xml b/miniTLU/connection.xml deleted file mode 100644 index 647c1ad..0000000 --- a/miniTLU/connection.xml +++ /dev/null @@ -1,6 +0,0 @@ - - - - - diff --git a/miniTLU/initTLU.py b/miniTLU/initTLU.py deleted file mode 100644 index eb1ae65..0000000 --- a/miniTLU/initTLU.py +++ /dev/null @@ -1,184 +0,0 @@ -# -# Function to initialize TLU -# -# David Cussans, October 2015 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys -import time - -def startTLU( uhalDevice , pychipsBoard , writeTimestamps): - - print "RESETTING FIFO" - pychipsBoard.write("EventFifoCSR",0x2) - eventFifoFillLevel = pychipsBoard.read("EventFifoFillLevel") - print "FIFO FILL LEVEL AFTER RESET= " , eventFifoFillLevel - - - if writeTimestamps: - print "ENABLING DATA RECORDING" - pychipsBoard.write("Enable_Record_Data",1) - else: - print "Disabling data recording" - pychipsBoard.write("Enable_Record_Data",0) - - print "Pulsing T0" - pychipsBoard.write("PulseT0",1) - - print "Turning off software trigger veto" - pychipsBoard.write("TriggerVetoW",0) - - print "TLU is running" - - -def stopTLU( uhalDevice , pychipsBoard ): - - print "Turning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - print "TLU triggers are stopped" - -def initTLU( uhalDevice , pychipsBoard , listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage ): - - print "SETTING UP AIDA TLU" - - fwVersion = uhalDevice.getNode("version").read() - uhalDevice.dispatch() - print "\tVersion (uHAL)= " , hex(fwVersion) - - print "\tTurning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - # Check the bus for I2C devices - pychipsBoardi2c = FmcTluI2c(pychipsBoard) - - print "\tScanning I2C bus:" - scanResults = pychipsBoardi2c.i2c_scan() - #print scanResults - print '\t', ', '.join(scanResults), '\n' - - boardId = pychipsBoardi2c.get_serial_number() - print "\tFMC-TLU serial number= " , boardId - - resetClocks = 0 - resetSerdes = 0 - -# set DACs to -200mV - print "\tSETTING ALL DAC THRESHOLDS TO" , thresholdVoltage , "V" - pychipsBoardi2c.set_threshold_voltage(7, thresholdVoltage) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "\tCLOCK STATUS (should be 3 if all clocks locked)= " , hex(clockStatus) - assert ( clockStatus == 3 ) , "Clocks in TLU FPGA are not locked. No point in continuing. Re-prgramme or power cycle board" - - if resetClocks: - print "Resetting clocks" - pychipsBoard.write("LogicRst", 1 ) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status = " , hex(inputStatus) - - if resetSerdes: - pychipsBoard.write("SerdesRstW", 0x00000003 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000004 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during calibration = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after calibration = " , hex(inputStatus) - - - inputStatus = pychipsBoard.read("SerdesRstR") - print "\tINPUT STATUS= " , hex(inputStatus) - - count0 = pychipsBoard.read("ThrCount0R") - print "\t Count 0= " , count0 - - count1 = pychipsBoard.read("ThrCount1R") - print "\t Count 1= " , count1 - - count2 = pychipsBoard.read("ThrCount2R") - print "\t Count 2= " , count2 - - count3 = pychipsBoard.read("ThrCount3R") - print "\t Count 3= " , count3 - -# Stop internal triggers until setup complete - pychipsBoard.write("InternalTriggerIntervalW",0) - - print "\tSETTING INPUT COINCIDENCE WINDOW TO",pulseStretch,"[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseStretchW",int(pulseStretch)) - pulseStretchR = pychipsBoard.read("PulseStretchR") - print "\t Pulse stretch read back as:", hex(pulseStretchR) - # assert (int(pulseStretch) == pulseStretchR) , "Pulse stretch read-back doesn't equal written value" - - print "\tSETTING INPUT TRIGGER DELAY TO",pulseDelay , "[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseDelayW",int(pulseDelay)) - pulseDelayR = pychipsBoard.read("PulseDelayR") - print "\t Pulse delay read back as:", hex(pulseDelayR) - - print "\tSETTING TRIGGER PATTERN (for external triggers) TO 0x%08X. Two 16-bit patterns packed into 32 bit word " %(triggerPattern) - pychipsBoard.write("TriggerPatternW",int(triggerPattern)) - triggerPatternR = pychipsBoard.read("TriggerPatternR") - print "\t Trigger pattern read back as: 0x%08X " % (triggerPatternR) - - print "\tENABLING DUT(s): Mask= " , hex(DUTMask) - pychipsBoard.write("DUTMaskW",int(DUTMask)) - DUTMaskR = pychipsBoard.read("DUTMaskR") - print "\t DUTMask read back as:" , hex(DUTMaskR) - - print "\tSETTING ALL DUTs IN AIDA MODE" - pychipsBoard.write("DUTInterfaceModeW", 0xFF) - DUTInterfaceModeR = pychipsBoard.read("DUTInterfaceModeR") - print "\t DUT mode read back as:" , DUTInterfaceModeR - - print "\tSET DUT MODE MODIFIER" - pychipsBoard.write("DUTInterfaceModeModifierW", 0xFF) - DUTInterfaceModeModifierR = pychipsBoard.read("DUTInterfaceModeModifierR") - print "\t DUT mode modifier read back as:" , DUTInterfaceModeModifierR - - if listenForTelescopeShutter: - print "\tSET IgnoreShutterVetoW TO LISTEN FOR VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",0) - else: - print "\tSET IgnoreShutterVetoW TO IGNORE VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",1) - IgnoreShutterVeto = pychipsBoard.read("IgnoreShutterVetoR") - print "\t IgnoreShutterVeto read back as:" , IgnoreShutterVeto - - print "\tSETTING IGNORE VETO BY DUT BUSY MASK TO" , hex(ignoreDUTBusy) - pychipsBoard.write("IgnoreDUTBusyW",int(ignoreDUTBusy)) - IgnoreDUTBusy = pychipsBoard.read("IgnoreDUTBusyR") - print "\t IgnoreDUTBusy read back as:" , hex(IgnoreDUTBusy) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - - - print "\tSETTING INTERNAL TRIGGER INTERVAL TO" , triggerInterval , "(zero= no internal triggers)" - if triggerInterval == 0: - internalTriggerFreq = 0 - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\tINTERNAL TRIGGER FREQUENCY= " , internalTriggerFreq , " kHz" - pychipsBoard.write("InternalTriggerIntervalW",triggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns - trigIntervalR = pychipsBoard.read("InternalTriggerIntervalR") - print "\t Trigger interval read back as:", trigIntervalR - print "AIDA TLU SETUP COMPLETED" diff --git a/miniTLU/miniTLU.py b/miniTLU/miniTLU.py deleted file mode 100644 index e874a6f..0000000 --- a/miniTLU/miniTLU.py +++ /dev/null @@ -1,462 +0,0 @@ -import uhal; -from FmcTluI2c import * -from I2CuHal import I2CCore - -class MiniTLU: - """docstring for miniTLU""" - def __init__(self, dev_name, man_file): - self.dev_name = dev_name - self.manager= uhal.ConnectionManager(man_file) - self.hw = self.manager.getDevice(self.dev_name) - self.nChannels= 4 - self.VrefInt= 2.5 #Internal DAC voltage reference - self.VrefExt= 1.3 #External DAC voltage reference - self.intRefOn= False #Internal reference is OFF by default - - self.fwVersion = self.hw.getNode("version").read() - self.hw.dispatch() - print "uHAL VERSION= " , hex(self.fwVersion) - - # Instantiate a I2C core to configure the DACs - self.TLU_I2C= I2CCore(self.hw, 10, 5, "i2c_master", None) - self.TLU_I2C.state() - - - def initialize(self): - print "miniTLU INITIALIZING..." - # We need to pass it listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage - - print "\tTurning on software trigger veto" - cmd = int("0x1",16) - self.setTriggerVetoStatus(cmd) - - #READ CONTENT OF EPROM VIA I2C - self.getSN() - - #SET DACs - targetV= 1.1 - intRef= False - self.setDACintRef(intRef) - DACchannel= 7 - self.writeThreshold(targetV, DACchannel) - - #Check clock status - self.checkClkStatus() - - resetClocks = 0 - resetSerdes = 0 - if resetClocks: - self.resetClocks() - if resetSerdes: - self.resetSerdes() - - # Get inputs status and counters - self.getChStatus() - self.getAllChannelsCounts() - - # Stop internal triggers until setup complete - cmd = int("0x0",16) - self.setInternalTrg(cmd) - - # Set pulse stretch - pulseStretch= 0x000FFFFF - self.setPulseStretch(pulseStretch) - - # Set pulse delay - pulseDelay= 0x0 - #self.setPulseDelay(pulseDelay) #NEED TO FIX ADDRESS TABLE - - # Set trigger pattern - triggerPattern= 0x0 - self.setTrgPattern(triggerPattern) - - # Set DUTs - DUTMask= 0x1 - self.setDUTmask(DUTMask) - - # # Set mode - DUTMode= 0x0 - self.setMode(DUTMode) - - # # Set modifier - modifier = int("0xFF",16) - self.setModeModifier(modifier) - - # Set veto shutter - setVetoShutters=0 - self.setVetoShutters(setVetoShutters) - - # Set veto by DUT - ignoreDUTBusy=0x0 - self.setVetoDUT(ignoreDUTBusy) - - # Set trigger interval (use 0 to disable internal triggers) - triggerInterval=0 - self.setInternalTrg(triggerInterval) - - print "miniTLU INITIALIZED" - - def setModeModifier(self, modifier): - print "\tDUT MODE MODIFIER:",modifier - self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierW").write(modifier) - self.hw.dispatch() - self.getModeModifier() - - def getModeModifier(self): - DUTInterfaceModeModifierR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierR").read() - self.hw.dispatch() - print "\t DUT mode modifier read back as:" , hex(DUTInterfaceModeModifierR) - return DUTInterfaceModeModifierR - - def resetClock(self): - print "\tClocks reset" - cmd = int("0x1",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def getClockStatus(self): - clockStatus = self.hw.getNode("logic_clocks.LogicClocksCSR").read() - self.hw.dispatch() - print "\t Clock status=" , hex(clockStatus) - return clockStatus - - def readEEPROM(self, startadd, bytes): - mystop= 1 - time.sleep(0.1) - myaddr= [startadd]#0xfa - self.TLU_I2C.write( 0x50, [startadd], mystop) - res= self.TLU_I2C.read( 0x50, bytes) - return res - - def getSN(self): - epromcontent=self.readEEPROM(0xfa, 6) - print "\tFMC-TLU serial number (EEPROM):" - result="\t " - for iaddr in epromcontent: - result+="%02x "%(iaddr) - print result - return epromcontent - - def writeThreshold(self, Vtarget, channel): - #Writes the threshold. The DAC voltage differs from the threshold voltage because - #the range is shifted to be symmetrical around 0V. - - #Check if the DACs are using the internal reference - if (self.intRefOn): - Vref= self.VrefInt - else: - Vref= self.VrefExt - - #Calculate offset voltage (because of the following shifter) - Vdac= ( Vtarget + Vref ) / 2 - print"\tTHRESHOLD setting:" - if channel==7: - print "\t CH: ALL" - else: - print "\t CH:", channel - print "\t Target V:", Vtarget - dacValue = 0xFFFF * Vtarget / Vref - self.writeDAC(int(dacValue), channel) - - def writeDAC(self, dacCode, channel): - #Vtarget is the required voltage, channel is the DAC channel to target - #intRef indicates whether to use the external voltage reference (True) - #or the internal one (False). - - i2cSlaveAddrDac = 0x1F - - print "\t DAC value:" , dacCode - if channel<0 or channel>7: - print "writeDAC ERROR: channel",channel,"not in range 0-7 (bit mask)" - ##return -1 - if dacCode<0: - print "writeDAC ERROR: value",dacCode,"<0. Default to 0" - dacCode=0 - elif dacCode>0xFFFF : - print "writeDAC ERROR: value",dacCode,">0xFFFF. Default to 0xFFFF" - dacCode=0xFFFF - - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print "\t Writing DAC string:", sequence - self.TLU_I2C.write( i2cSlaveAddrDac, sequence, 0) - - # def readDAC(self, channel): - # #TO BE DONE - # i2cSlaveAddrDac = 0x1F - # bytes= 3 - # if channel<0 or channel>7: - # print "writeDAC ERROR: channel",channel,"not in range 0-7 (bit mask)" - # ##return -1 - # cmdDAC=[( 0x18 + ( channel &0x7 ) ) ] - # self.TLU_I2C.write( i2cSlaveAddrDac, cmdDAC, 0) - # res= self.TLU_I2C.read( i2cSlaveAddrDac, bytes) - # print res - - def setDACintRef(self, intRef=False): - i2cSlaveAddrDac = 0x1F - self.intRefOn= intRef - if intRef: - print "\tDAC internal reference ON" - cmdDAC= [0x38,0x00,0x01] - else: - print "\tDAC internal reference OFF" - cmdDAC= [0x38,0x00,0x00] - self.TLU_I2C.write( i2cSlaveAddrDac, cmdDAC, 0) - - # def getDACintRef(self): - # #TO BE FIXED! - # bytes= 3 - # i2cSlaveAddrDac = 0x1F - # cmdDAC= [0x78] - # self.TLU_I2C.write( i2cSlaveAddrDac, cmdDAC, 0) - # res= self.TLU_I2C.read( i2cSlaveAddrDac, bytes) - # print res - - def setTrgPattern(self, triggerPattern): - triggerPattern &= 0xffffffff - print "\tTRIGGER PATTERN (for external triggers) SET TO 0x%08X. Two 16-bit patterns packed into 32 bit word " %(triggerPattern) - self.hw.getNode("triggerLogic.TriggerPatternW").write(triggerPattern) - self.hw.dispatch() - self.getTrgPattern() - - def getTrgPattern(self): - triggerPatternR = self.hw.getNode("triggerLogic.TriggerPatternR").read() - self.hw.dispatch() - print "\t Trigger pattern read back as: 0x%08X " % (triggerPatternR) - return triggerPatternR - - def setDUTmask(self, DUTMask): - print "\tDUT MASK ENABLING: Mask= " , hex(DUTMask) - self.hw.getNode("DUTInterfaces.DutMaskW").write(DUTMask) - self.hw.dispatch() - self.getDUTmask() - - def getDUTmask(self): - DUTMaskR = self.hw.getNode("DUTInterfaces.DutMaskR").read() - self.hw.dispatch() - print "\t DUTMask read back as:" , hex(DUTMaskR) - return DUTMaskR - - def setVetoShutters(self, newState): - if newState: - print "\tIgnoreShutterVetoW SET TO LISTEN FOR VETO FROM SHUTTER" - cmd= int("0x0",16) - else: - print "\tIgnoreShutterVetoW SET TO IGNORE VETO FROM SHUTTER" - cmd= int("0x1",16) - self.hw.getNode("DUTInterfaces.IgnoreShutterVetoW").write(cmd) - self.hw.dispatch() - self.getVetoShutters() - - def getVetoShutters(self): - IgnoreShutterVeto = self.hw.getNode("DUTInterfaces.IgnoreShutterVetoR").read() - self.hw.dispatch() - print "\t IgnoreShutterVeto read back as:" , IgnoreShutterVeto - return IgnoreShutterVeto - - def setVetoDUT(self, ignoreDUTBusy): - print "\tVETO IGNORE BY DUT BUSY MASK SET TO" , hex(ignoreDUTBusy) - self.hw.getNode("DUTInterfaces.IgnoreDUTBusyW").write(ignoreDUTBusy) - self.hw.dispatch() - self.getVetoDUT() - - def getVetoDUT(self): - IgnoreDUTBusyR = self.hw.getNode("DUTInterfaces.IgnoreDUTBusyR").read() - self.hw.dispatch() - print "\t IgnoreDUTBusy read back as:" , hex(IgnoreDUTBusyR) - return IgnoreDUTBusyR - - def setInternalTrg(self, triggerInterval): - print "\tTRIGGERS INTERNAL:" - if triggerInterval == 0: - internalTriggerFreq = 0 - print "\t disabled" - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\t Setting:", internalTriggerFreq, "Hz" - self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(int(internalTriggerFreq)) - self.hw.dispatch() - self.getInternalTrg() - - def getInternalTrg(self): - trigIntervalR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read() - self.hw.dispatch() - print "\t Trigger frequency read back as:", trigIntervalR, "Hz" - return trigIntervalR - - def checkClkStatus(self): - clockStatus = self.hw.getNode("logic_clocks.LogicClocksCSR").read() - self.hw.dispatch() - print "\tCLOCK STATUS [expected 3]" - print "\t ", hex(clockStatus) - assert ( clockStatus == 3 ) , "Clocks in TLU FPGA are not locked. No point in continuing. Re-prgramme or power cycle board" - return clockStatus - - def setPulseStretch(self, pulseStretch): - print "\tINPUT COINCIDENCE WINDOW SET TO", hex(pulseStretch) ,"[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(pulseStretch) - self.hw.dispatch() - self.getPulseStretch() - - def getPulseStretch(self): - pulseStretchR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read() - self.hw.dispatch() - print "\t Pulse stretch read back as:", hex(pulseStretchR) - return pulseStretchR - - def getChCount(self, channel): - regString= "triggerInputs.ThrCount"+ str(channel)+"R" - count = self.hw.getNode(regString).read() - self.hw.dispatch() - print "\t Ch", channel, "Count:" , count - return count - - def getChStatus(self): - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print "\t Input status= " , hex(inputStatus) - return inputStatus - - def setChStatus(self, cmd): - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print "\tINPUT STATUS SET TO= " , hex(inputStatus) - - def resetSerdes(self): - cmd = int("0x3",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during reset = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after reset = " , hex(inputStatus) - - cmd = int("0x4",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during calibration = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after calibration = " , hex(inputStatus) - - def resetClocks(self): - #Reset clocks - self.resetClock() - #Get clock status after reset - self.getClockStatus() - #Get serdes status - self.getChStatus() - - def getAllChannelsCounts(self): - chCounts=[] - for ch in range (0,self.nChannels): - chCounts.append(int(self.getChCount(ch))) - return chCounts - - def setPulseDelay(self, pulseDelay): - print "\tTRIGGER DELAY SET TO", pulseDelay, "[Units= 160MHz clock, Four 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.PulseDelayW").write(pulseDelay) - self.hw.dispatch() - self.getPulseDelay() - - def getPulseDelay(self): - pulseDelayR = self.hw.getNode("triggerLogic.PulseDelayR").read() - self.hw.dispatch() - print "\t Pulse delay read back as:", hex(pulseDelayR) - return pulseDelayR - - def setMode(self, mode): - print "\tDUT MODE SET TO: ", mode - self.hw.getNode("DUTInterfaces.DUTInterfaceModeW").write(mode) - self.hw.dispatch() - self.getMode() - - def getMode(self): - DUTInterfaceModeR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeR").read() - self.hw.dispatch() - print "\t DUT mode read back as:" , DUTInterfaceModeR - return DUTInterfaceModeR - - def setFifoCSR(self, cmd): - self.hw.getNode("eventBuffer.EventFifoCSR").write(cmd) - self.hw.dispatch() - self.getFifoCSR() - - def getFifoCSR(self): - FifoCSR= self.hw.getNode("eventBuffer.EventFifoCSR").read() - self.hw.dispatch() - print "\t FIFO CSR read back as:", hex(FifoCSR) - return FifoCSR - - def getFifoLevel(self): - FifoFill= self.hw.getNode("eventBuffer.EventFifoFillLevel").read() - self.hw.dispatch() - print "\t FIFO level read back as:", hex(FifoFill) - return FifoFill - - def setRecordDataStatus(self, status=False): - self.hw.getNode("Event_Formatter.Enable_Record_Data").write(status) - self.hw.dispatch() - self.getRecordDataStatus() - - def getRecordDataStatus(self): - RecordStatus= self.hw.getNode("Event_Formatter.Enable_Record_Data").read() - self.hw.dispatch() - print "\t Data recording:", RecordStatus - return RecordStatus - - def pulseT0(self): - cmd = int("0x1",16) - self.hw.getNode("Shutter.PulseT0").write(cmd) - self.hw.dispatch() - print "\tPulsing T0" - - def setTriggerVetoStatus(self, status=False): - self.hw.getNode("triggerLogic.TriggerVetoW").write(status) - self.hw.dispatch() - self.getTriggerVetoStatus() - - def getTriggerVetoStatus(self): - trgVetoStatus= self.hw.getNode("triggerLogic.TriggerVetoR").read() - self.hw.dispatch() - print "\t Trigger veto status read back as:", trgVetoStatus - return trgVetoStatus - - def start(self, logtimestamps=False): - print "miniTLU STARTING..." - - print "\tFIFO RESET:" - FIFOcmd= 0x2 - self.setFifoCSR(FIFOcmd) - - eventFifoFillLevel= self.getFifoLevel() - - if logtimestamps: - print "\tData recording set: ON" - self.setRecordDataStatus(True) - else: - print "\tData recording set: OFF" - self.setRecordDataStatus(False) - - # Pulse T0 - self.pulseT0() - - print "\tTurning off software trigger veto" - cmd = int("0x0",16) - self.setTriggerVetoStatus(cmd) - - print "miniTLU RUNNING" - - def stop(self): - print "miniTLU STOPPING..." - - print "\tTurning on software trigger veto" - cmd = int("0x1",16) - self.setTriggerVetoStatus(cmd) - - print "miniTLU STOPPED" diff --git a/miniTLU/miniTLU.xml b/miniTLU/miniTLU.xml deleted file mode 100644 index 78196f0..0000000 --- a/miniTLU/miniTLU.xml +++ /dev/null @@ -1,87 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/miniTLU/miniTLU_old.xml b/miniTLU/miniTLU_old.xml deleted file mode 100644 index ec0373c..0000000 --- a/miniTLU/miniTLU_old.xml +++ /dev/null @@ -1,74 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/miniTLU/startTLU_v6.py b/miniTLU/startTLU_v6.py deleted file mode 100644 index b7948f2..0000000 --- a/miniTLU/startTLU_v6.py +++ /dev/null @@ -1,232 +0,0 @@ -# -# Script to setup AIDA TLU for TPix3 telescope <--> TORCH synchronization -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys - -import time - -from datetime import datetime - -from optparse import OptionParser - -# For single character non-blocking input: -import select -import tty -import termios - -from initTLU import * - -def isData(): - return select.select([sys.stdin], [], [], 0) == ([sys.stdin], [], []) - -now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -default_filename = 'tluData_' + now + '.root' -parser = OptionParser() - -parser.add_option('-r','--rootFname',dest='rootFname', - default=default_filename,help='Path of output file') -parser.add_option('-o','--writeTimestamps',dest='writeTimestamps', - default="True",help='Set True to write timestamps to ROOT file') -parser.add_option('-p','--printTimestamps',dest='printTimestamps', - default="True",help='Set True to print timestamps to screen (nothing printed unless also output to file) ') -parser.add_option('-s','--listenForTelescopeShutter',dest='listenForTelescopeShutter', - default=False,help='Set True to veto triggers when shutter goes high') -parser.add_option('-d','--pulseDelay',dest='pulseDelay', type=int, - default=0x00,help='Delay added to input triggers. Four 5-bit numbers packed into 32-bt word, Units of 6.125ns') -parser.add_option('-w','--pulseStretch',dest='pulseStretch',type=int, - default=0x00,help='Width added to input triggers. Four 5-bit numbers packed into 32-bt word. Units of 6.125ns') -parser.add_option('-t','--triggerPattern',dest='triggerPattern',type=int, - default=0xFFFEFFFE,help='Pattern match to generate trigger. Two 16-bit words packed into 32-bit word.') -parser.add_option('-m','--DUTMask',dest='DUTMask',type=int, - default=0x01,help='Three-bit mask selecting which DUTs are active.') -parser.add_option('-y','--ignoreDUTBusy',dest='ignoreDUTBusy',type=int, - default=0x0F,help='Three-bit mask selecting which DUTs can veto triggers by setting BUSY high. Low = can veto, high = ignore busy.') -parser.add_option('-i','--triggerInterval',dest='triggerInterval',type=int, - default=0,help='Interval between internal trigers ( in units of 6.125ns ). Set to zero to turn off internal triggers') -parser.add_option('-v','--thresholdVoltage',dest='thresholdVoltage',type=float, - default=-0.2,help='Threshold voltage for TLU inputs ( units of volts)') - -(options, args) = parser.parse_args(sys.argv[1:]) - -from ROOT import TFile, TTree -from ROOT import gROOT - -print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" - -# Point to board in uHAL -manager = uhal.ConnectionManager("file://./connection.xml") -hw = manager.getDevice("minitlu") -device_id = hw.id() - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") - -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Open Root file -print "OPENING ROOT FILE:", options.rootFname -f = TFile( options.rootFname, 'RECREATE' ) - -# Create a root "tree" -tree = TTree( 'T', 'TLU Data' ) -highWord =0 -lowWord =0 -evtNumber=0 -timeStamp=0 -evtType=0 -trigsFired=0 -bufPos = 0 - -# Create a branch for each piece of data -tree.Branch( 'tluHighWord' , highWord , "HighWord/l") -tree.Branch( 'tluLowWord' , lowWord , "LowWord/l") -tree.Branch( 'tluTimeStamp' , timeStamp , "TimeStamp/l") -tree.Branch( 'tluBufPos' , bufPos , "Bufpos/s") -tree.Branch( 'tluEvtNumber' , evtNumber , "EvtNumber/i") -tree.Branch( 'tluEvtType' , evtType , "EvtType/b") -tree.Branch( 'tluTrigFired' , trigsFired, "TrigsFired/b") - -# Initialize TLU registers -initTLU( uhalDevice = hw, pychipsBoard = board, listenForTelescopeShutter = options.listenForTelescopeShutter, pulseDelay = options.pulseDelay, pulseStretch = options.pulseStretch, triggerPattern = options.triggerPattern , DUTMask = options.DUTMask, ignoreDUTBusy = options.ignoreDUTBusy , triggerInterval = options.triggerInterval, thresholdVoltage = options.thresholdVoltage ) - -loopWait = 0.1 -oldEvtNumber = 0 - -oldPreVetotriggerCount = board.read("PreVetoTriggersR") -oldPostVetotriggerCount = board.read("PostVetoTriggersR") - -oldThresholdCounter0 =0 -oldThresholdCounter1 =0 -oldThresholdCounter2 =0 -oldThresholdCounter3 =0 - -print "STARTING POLLING LOOP" - -eventFifoFillLevel = 0 -loopRunning = True -runStarted = False - -oldTime = time.time() - -# Save old terminal settings -oldTermSettings = termios.tcgetattr(sys.stdin) -tty.setcbreak(sys.stdin.fileno()) - -while loopRunning: - - if isData(): - c = sys.stdin.read(1) - print "\tGOT INPUT:", c - if c == 't': - loopRunning = False - print "\tTERMINATING LOOP" - elif c == 'c': - runStarted = True - print "\tSTARTING RUN" - startTLU( uhalDevice = hw, pychipsBoard = board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - elif c == 'f': - # runStarted = True - print "\tSTOPPING TRIGGERS" - stopTLU( uhalDevice = hw, pychipsBoard = board ) - - - if runStarted: - - eventFifoFillLevel = hw.getNode("eventBuffer.EventFifoFillLevel").read() - - preVetotriggerCount = hw.getNode("triggerLogic.PreVetoTriggersR").read() - postVetotriggerCount = hw.getNode("triggerLogic.PostVetoTriggersR").read() - - timestampHigh = hw.getNode("Event_Formatter.CurrentTimestampHR").read() - timestampLow = hw.getNode("Event_Formatter.CurrentTimestampLR").read() - - thresholdCounter0 = hw.getNode("triggerInputs.ThrCount0R").read() - thresholdCounter1 = hw.getNode("triggerInputs.ThrCount1R").read() - thresholdCounter2 = hw.getNode("triggerInputs.ThrCount2R").read() - thresholdCounter3 = hw.getNode("triggerInputs.ThrCount3R").read() - - hw.dispatch() - - newTime = time.time() - timeDelta = newTime - oldTime - oldTime = newTime - #print "time delta = " , timeDelta - preVetoFreq = (preVetotriggerCount-oldPreVetotriggerCount)/timeDelta - postVetoFreq = (postVetotriggerCount-oldPostVetotriggerCount)/timeDelta - oldPreVetotriggerCount = preVetotriggerCount - oldPostVetotriggerCount = postVetotriggerCount - - deltaCounts0 = thresholdCounter0 - oldThresholdCounter0 - oldThresholdCounter0 = thresholdCounter0 - deltaCounts1 = thresholdCounter1 - oldThresholdCounter1 - oldThresholdCounter1 = thresholdCounter1 - deltaCounts2 = thresholdCounter2 - oldThresholdCounter2 - oldThresholdCounter2 = thresholdCounter2 - deltaCounts3 = thresholdCounter3 - oldThresholdCounter3 - oldThresholdCounter3 = thresholdCounter3 - - print "pre , post veto triggers , pre , post frequency = " , preVetotriggerCount , postVetotriggerCount , preVetoFreq , postVetoFreq - - print "CURRENT TIMESTAMP HIGH, LOW (hex) = " , hex(timestampHigh) , hex(timestampLow) - - print "Input counts 0,1,2,3 = " , thresholdCounter0 , thresholdCounter1 , thresholdCounter2 , thresholdCounter3 - print "Input freq (Hz) 0,1,2,3 = " , deltaCounts0/timeDelta , deltaCounts1/timeDelta , deltaCounts2/timeDelta , deltaCounts3/timeDelta - - nEvents = int(eventFifoFillLevel)//4 # only read out whole events ( 4 x 32-bit words ) - wordsToRead = nEvents*4 - - print "FIFO FILL LEVEL= " , eventFifoFillLevel - - print "# EVENTS IN FIFO = ",nEvents - print "WORDS TO READ FROM FIFO = ",wordsToRead - - # get timestamp data and fifo fill in same outgoing packet. - timestampData = hw.getNode("eventBuffer.EventFifoData").readBlock(wordsToRead) - - hw.dispatch() - - # print timestampData - for bufPos in range (0, nEvents ): - lowWord = timestampData[bufPos*4 + 1] + 0x100000000* timestampData[ (bufPos*4) + 0] # timestamp - - highWord = timestampData[bufPos*4 + 3] + 0x100000000* timestampData[ (bufPos*4) + 2] # evt number - evtNumber = timestampData[bufPos*4 + 3] - - if evtNumber != ( oldEvtNumber + 1 ): - print "***WARNING *** Non sqeuential event numbers *** , evt,oldEvt = ", evtNumber , oldEvtNumber - - oldEvtNumber = evtNumber - - timeStamp = lowWord & 0xFFFFFFFFFFFF - - evtType = timestampData[ (bufPos*4) + 0] >> 28 - - trigsFired = (timestampData[ (bufPos*4) + 0] >> 16) & 0xFFF - - if (options.printTimestamps == "True" ): - print "bufferPos, highWord , lowWord , event-number , timestamp , evtType = %x %016x %016x %08x %012x %01x %03x" % ( bufPos , highWord , lowWord, evtNumber , timeStamp , evtType , trigsFired) - - # Fill root branch - see example in http://wlav.web.cern.ch/wlav/pyroot/tpytree.html : write raw data and decoded data for now. - tree.Fill() - - time.sleep( loopWait) - -# Fixme - at the moment infinite loop. -preVetotriggerCount = board.read("PreVetoTriggersR") -postVetotriggerCount = board.read("PostVetoTriggersR") -print "EXIT POLLING LOOP" -print "\nTRIGGER COUNT AT THE END OF RUN [pre, post]:" , preVetotriggerCount , postVetotriggerCount - -termios.tcsetattr(sys.stdin, termios.TCSADRAIN, oldTermSettings) -f.Write() -f.Close() diff --git a/miniTLU/startTLU_v6.sh b/miniTLU/startTLU_v6.sh deleted file mode 100755 index beef09b..0000000 --- a/miniTLU/startTLU_v6.sh +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/bash - -echo "==========================" -CURRENT_DIR=${0%/*} -echo "CURRENT DIRECTORY: " $CURRENT_DIR - -echo "============" -echo "SETTING PATHS" -#export PYTHONPATH=$CURRENT_DIR/../../../../PyChips_1_5_0_pre2A/src -export PYTHONPATH=$CURRENT_DIR/../../../../Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -echo "PYTHON PATH= " $PYTHONPATH -export LD_LIBRARY_PATH=/opt/cactus/lib:$LD_LIBRARY_PATH -echo "LD_LIBRARY_PATH= " $LD_LIBRARY_PATH -export PATH=/usr/bin/:/opt/cactus/bin:$PATH -echo "PATH= " $PATH - -cd $CURRENT_DIR - -echo "============" -echo "STARTING PYTHON SCRIPT" -#python $CURRENT_DIR/startTLU_v8.py $@ - -python startTLU_v8.py $@ -#python testTLU_script.py diff --git a/miniTLU/startTLU_v8.py b/miniTLU/startTLU_v8.py deleted file mode 100644 index 2ad4aac..0000000 --- a/miniTLU/startTLU_v8.py +++ /dev/null @@ -1,70 +0,0 @@ -# miniTLU test script - -#from PyChipsUser import * -from FmcTluI2c import * -import uhal -import sys -import time -# from ROOT import TFile, TTree -# from ROOT import gROOT -from datetime import datetime - -from miniTLU import MiniTLU -# Use to have interactive shell -import cmd - -class MyPrompt(cmd.Cmd): - - - def do_startRun(self, args): - """Starts the TLU run""" - print "COMMAND RECEIVED: STARTING TLU RUN" - startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - #print self.hw - - def do_stopRun(self, args): - """Stops the TLU run""" - print "COMMAND RECEIVED: STOP TLU RUN" - #stopTLU( uhalDevice = hw, pychipsBoard = board ) - - def do_quit(self, args): - """Quits the program.""" - print "COMMAND RECEIVED: QUITTING SCRIPT." - #raise SystemExit - return True - -# # Override methods in Cmd object ## -# def preloop(self): -# """Initialization before prompting user for commands. -# Despite the claims in the Cmd documentaion, Cmd.preloop() is not a stub. -# """ -# cmd.Cmd.preloop(self) # # sets up command completion -# self._hist = [] # # No history yet -# self._locals = {} # # Initialize execution namespace for user -# self._globals = {} -# print "\nINITIALIZING" -# now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -# default_filename = './rootfiles/tluData_' + now + '.root' -# print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" -# self.manager = uhal.ConnectionManager("file://./connection.xml") -# self.hw = self.manager.getDevice("minitlu") -# self.device_id = self.hw.id() -# -# # Point to TLU in Pychips -# self.bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# -# # Assume DIP-switch controlled address. Switches at 2 -# self.board = ChipsBusUdp(self.bAddrTab,"192.168.200.32",50001) - - -################################################# -if __name__ == "__main__": - miniTLU= MiniTLU("minitlu", "file://./connection.xml") - miniTLU.initialize() - - logdata= False - miniTLU.start(logdata) - miniTLU.stop() - # prompt = MyPrompt() - # prompt.prompt = '>> ' - # prompt.cmdloop("Welcome to miniTLU test console.\nType HELP for a list of commands.") diff --git a/miniTLU/testTLU_script.py b/miniTLU/testTLU_script.py deleted file mode 100644 index 9d8b334..0000000 --- a/miniTLU/testTLU_script.py +++ /dev/null @@ -1,79 +0,0 @@ -# miniTLU test script - -from FmcTluI2c import * -import uhal -import sys -import time -from I2CuHal import I2CCore -from miniTLU import MiniTLU -from datetime import datetime - -if __name__ == "__main__": - print "\tTEST TLU SCRIPT" - miniTLU= MiniTLU("minitlu", "file://./connection.xml") - #(self, target, wclk, i2cclk, name="i2c", delay=None) - TLU_I2C= I2CCore(miniTLU.hw, 10, 5, "i2c_master", None) - TLU_I2C.state() - - - #READ CONTENT OF EEPROM ON 24AA02E48 (0xFA - 0XFF) - mystop= 1 - time.sleep(0.1) - myaddr= [0xfa] - TLU_I2C.write( 0x50, myaddr, mystop) - res=TLU_I2C.read( 0x50, 6) - print "Checkin EEPROM:" - result="\t" - for iaddr in res: - result+="%02x "%(iaddr) - print result - - #SCAN I2C ADDRESSES - #WRITE PROM - #WRITE DAC - - - #Convert required threshold voltage to DAC code - #def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - print("Writing DAC setting:") - Vref= 1.300 - desiredVoltage= 3.3 - channel= 0 - i2cSlaveAddrDac = 0x1F - vrefOn= 0 - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - dacCode= 0x391d - print "\tVreq:", desiredVoltage - print "\tDAC code:" , dacCode - print "\tCH:", channel - print "\tIntRef:", vrefOn - - #Set DAC value - #def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - ##return -1 - if dacCode<0 or dacCode>0xFFFF: - print "set_dac ERROR: value",dacCode ,"not in range 0-0xFFFF" - ##return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - - # print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - # dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # # if we want to enable internal voltage reference: - - if vrefOn: - # enter vref-on mode: - print "\tTurning internal reference ON" - #dac.write([0x38,0x00,0x01]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x01], 0) - else: - print "\tTurning internal reference OFF" - #dac.write([0x38,0x00,0x00]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x00], 0) - # Now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print "\tWriting byte sequence:", sequence - TLU_I2C.write( i2cSlaveAddrDac, sequence, 0) diff --git a/miniTLU/test_T0.py b/miniTLU/test_T0.py deleted file mode 100644 index cf81b33..0000000 --- a/miniTLU/test_T0.py +++ /dev/null @@ -1,92 +0,0 @@ -# -# Script to exercise AIDA mini-TLU -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import sys -import time - - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Check the bus for I2C devices -boardi2c = FmcTluI2c(board) - -firmwareID=board.read("FirmwareId") - -print "Firmware (from PyChips) = " , hex(firmwareID) - -print "Scanning I2C bus:" -scanResults = boardi2c.i2c_scan() -print scanResults - -boardId = boardi2c.get_serial_number() -print "FMC-TLU serial number = " , boardId - -resetClocks = 0 - - - -clockStatus = board.read("LogicClocksCSR") -print "Clock status = " , hex(clockStatus) - -if resetClocks: - print "Resetting clocks" - board.write("LogicRst", 1 ) - - clockStatus = board.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - -board.write("InternalTriggerIntervalW",0) - -print "Enabling DUT 0 and 1" -board.write("DUTMaskW",3) -DUTMask = board.read("DUTMaskR") -print "DUTMaskR = " , DUTMask - -print "Ignore veto on DUT 0 and 1" -board.write("IgnoreDUTBusyW",3) -IgnoreDUTBusy = board.read("IgnoreDUTBusyR") -print "IgnoreDUTBusyR = " , IgnoreDUTBusy - -print "Turning off software trigger veto" -board.write("TriggerVetoW",0) - -print "Reseting FIFO" -board.write("EventFifoCSR",0x2) -eventFifoFillLevel = board.read("EventFifoFillLevel") -print "FIFO fill level after resetting FIFO = " , eventFifoFillLevel - -print "Enabling data recording" -board.write("Enable_Record_Data",1) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - -#TriggerInterval = 400000 -TriggerInterval = 0 -print "Setting internal trigger interval to " , TriggerInterval -board.write("InternalTriggerIntervalW",TriggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns -trigInterval = board.read("InternalTriggerIntervalR") -print "Trigger interval read back as ", trigInterval - -print "Setting TPix_maskexternal to ignore external shutter and T0" -board.write("TPix_maskexternal",0x0003) - -numLoops = 500000 -oldEvtNumber = 0 - -for iLoop in range(0,numLoops): - - board.write("TPix_T0", 0x0001) - -# time.sleep( 1.0) diff --git a/packages/AD5665R.py b/packages/AD5665R.py deleted file mode 100644 index b377183..0000000 --- a/packages/AD5665R.py +++ /dev/null @@ -1,45 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from packages.I2CuHal import I2CCore - - -class AD5665R: - #Class to configure the DAC modules - - def __init__(self, i2c, slaveaddr=0x1F): - self.i2c = i2c - self.slaveaddr = slaveaddr - - - def setIntRef(self, intRef=False, verbose=False): - mystop=True - if intRef: - cmdDAC= [0x38,0x00,0x01] - else: - cmdDAC= [0x38,0x00,0x00] - self.i2c.write( self.slaveaddr, cmdDAC, mystop) - if verbose: - print(" AD5665R") - print("\tDAC int ref:", intRef) - - - def writeDAC(self, dacCode, channel, verbose=False): - #Vtarget is the required voltage, channel is the DAC channel to target - #intRef indicates whether to use the external voltage reference (True) - #or the internal one (False). - - print("\tDAC value:" , hex(dacCode)) - if channel<0 or channel>7: - print("writeDAC ERROR: channel",channel,"not in range 0-7 (bit mask)") - return -1 - if dacCode<0: - print("writeDAC ERROR: value",dacCode,"<0. Default to 0") - dacCode=0 - elif dacCode>0xFFFF : - print("writeDAC ERROR: value",dacCode,">0xFFFF. Default to 0xFFFF") - dacCode=0xFFFF - - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print("\tWriting DAC string:", sequence) - mystop= False - self.i2c.write( self.slaveaddr, sequence, mystop) diff --git a/packages/ADN2814ACPZ.py b/packages/ADN2814ACPZ.py deleted file mode 100644 index 31b3dc6..0000000 --- a/packages/ADN2814ACPZ.py +++ /dev/null @@ -1,144 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import StringIO -import math - -class ADN2814ACPZ: - #Class to configure the ADN2814 clock and data recovery chip (CDR) - # The I2C address can either be 0x40 or 0x60 - - def __init__(self, i2c, slaveaddr=0x40): - self.i2c = i2c - self.slaveaddr = slaveaddr - self.regDictionary= {'freq0': 0x0, 'freq1': 0x1, 'freq2': 0x2, 'rate': 0x3, 'misc': 0x4, 'ctrla': 0x8, 'ctrlb': 0x9, 'ctrlc': 0x11} - - def writeReg(self, regN, regContent, verbose=False): - #Basic functionality to write to register. - regContent= regContent & 0xFF - mystop=True - cmd= [regN, regContent] - self.i2c.write( self.slaveaddr, cmd, mystop) - - - def readReg(self, regN, nwords, verbose=False): - #Basic functionality to read from register. - mystop=False - self.i2c.write( self.slaveaddr, [regN], mystop) - res= self.i2c.read( self.slaveaddr, nwords) - return res - - def readf0(self, verbose=False): - res= self.readReg(self.regDictionary['freq0'], 1, False) - if verbose: - print "\tfreq0 is", res[0] - return res[0] - - def readf1(self, verbose=False): - res= self.readReg(self.regDictionary['freq1'], 1, False) - if verbose: - print "\tfreq1 is", res[0] - return res[0] - - def readf2(self, verbose=False): - res= self.readReg(self.regDictionary['freq2'], 1, False) - if verbose: - print "\tfreq2 is", res[0] - return res[0] - - def readFrequency(self, verbose=False): - # write 1 to CTRLA[1] - # reset MISC[2] by writing a 1 followed by a 0 to CTRLB[3] - # read back MISC[2], if 0 the measurement is not complete (typ 80 ms). If 1 the data rate can be read by reading FREQ[22:0] - # read FREQ2, FREQ1, FREQ0 - # rate= (FREQ[22:0]xFrefclk)/2^(14+SEL_RATE) - - return - - def readLOLstatus(self, verbose=False): - # return the status of the LOL bit MISC[3] and the STATIC LOL MISC[4] - # the STATIC LOL is asserted if a LOL condition occurred and remains asserted - # until cleared by writing 1 followed by 0 to the CTRLB[6] bit - misc= self.readReg(self.regDictionary['misc'], 1, False)[0] - staticLOL= (misc & 0x10000) >> 4 - LOL= (misc & 0x1000) >> 3 - if verbose: - print "MISC=", misc, "LOL=", LOL, "StaticLOL=", staticLOL - return [LOL, staticLOL] - - def readRate(self, verbose=False): - rate_msb= self.readReg(self.regDictionary['rate'], 1, False)[0] - rate_lsb= self.readReg(self.regDictionary['misc'], 1, False)[0] - rate_lsb= 0x1 & rate_lsb - rate= (rate_msb << 1) | rate_lsb - if verbose: - print "\tcoarse rate is", rate - return rate - - def _writeCTRLA(self, fRef, dataRatio, measureDataRate, lockToRef, verbose=False): - #write content to register CTRLA: - # fRef: reference frequency in MHz; range is [10 : 160] - # dataRatio: integer in range [0 : 8] equal to Data Rate/Div_FREF Ratio - # measureDataRate: set to 1 to measure data rate - # lockToRef= 0 > lock to input data; 1 > lock to reference clock - regContent= 0x0 - if fRef < 10: - print "fRef must be comprised between 10 and 160. Coherced to 10" - fRef = 10 - if fRef > 160: - print "fRef must be comprised between 10 and 160. Coherced to 160" - fRef = 160 - fRefRange={ - 10<= fRef <20 : 0x00, - 20<= fRef <40 : 0x01, - 40<= fRef <80 : 0x02, - 80<= fRef <=160 : 0x03, - }[1] - fRefRange= fRefRange << 6 - regContent= regContent | fRefRange - - if ((1 <= dataRatio <= 256) & (isinstance(dataRatio, (int, long) )) ): - ratioValue= math.log(dataRatio, 2) - ratioValue= int(ratioValue) - else: - print " dataRatio should be an integer in the form 2^n with 0<= n <= 8. Coherced to 0" - ratioValue= 0 - if verbose: - print "\tratioValue=", ratioValue - ratioValue = ratioValue << 2 - regContent= regContent | ratioValue - - measureDataRate= (measureDataRate & 0x1) << 1 - lockToRef= lockToRef & 0x1 - regContent= regContent | measureDataRate | lockToRef - - self.writeReg( self.regDictionary['ctrla'], regContent, verbose=False) - return - - def _writeCTRLB(self, confLOL, rstMisc4, systemReset, rstMisc2, verbose=False): - #write content to register CTRLB: - # confLOL=0 > LOL pin normal operation; 1 > LOL pin is static LOL - # rstMisc4= Write a 1 followed by 0 to reset MISC[4] (staticLOL) - # systemReset= Write 1 followed by 0 to reset ADN2814 - # rsttMisc2= Write a 1 followed by 0 to reset MISC[2] (data read measure complete) - regContent= 0x0 - confLOL= (confLOL & 0x1) << 7 - rstMisc4= (rstMisc4 & 0x1) << 6 - systemReset= (systemReset & 0x1) << 5 - rstMisc2= (rstMisc2 & 0x1) << 3 - regContent= regContent | confLOL | rstMisc4 | systemReset | rstMisc2 - self.writeReg( self.regDictionary['ctrlb'], regContent, verbose=False) - return - - def _writeCTRLC(self, confLOS, squelch, outBoost, verbose=False): - #write content to register CTRLC: - # confLOS= 0 > active high LOS; 1 > active low LOS - # squelch= 0 > squelch CLK and DATA; 1 > squelch CLK or DATA - # outBoost= 0 > default swing; boost output swing - regContent= 0x0 - confLOS= (confLOS & 0x1) << 2 - squelch= (squelch & 0x1) << 1 - outBoost= (outBoost & 0x1) - regContent= regContent | confLOS | squelch | outBoost - self.writeReg( self.regDictionary['ctrlc'], regContent, verbose=False) - return diff --git a/packages/ATSHA204A.py b/packages/ATSHA204A.py deleted file mode 100644 index 32ec5ae..0000000 --- a/packages/ATSHA204A.py +++ /dev/null @@ -1,114 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from packages.I2CuHal import I2CCore -import numpy as np - - -class ATSHA204A: - #Class for Atmel ATSHA204A eeprom - - def __init__(self, i2c, slaveaddr= 0x64): - self.i2c = i2c - self.slaveaddr = slaveaddr - - #Slot size, in bytes. - self.SLOT_SIZE_BYTES = 32; - #Word size, in bytes. This is the base unit for all reads and writes. - self.WORD_SIZE_BYTES = 4; - #Maximum word offset per slot - self.MAX_WORD_OFFSET = 7; - #Size of the configuration zone, in bytes - self.CONFIGURATION_ZONE_SIZE_BYTES = 88; - #Number of slots in the configuration zone - self.CONFIGURATION_ZONE_SIZE_SLOTS = 3; - #Slot 3 in the configuration zone is only 24 bytes rather than 32, so the max word offset is limited to 5. - self.CONFIGURATION_ZONE_SLOT_2_MAX_WORD_OFFSET = 5; - #Size of the OTP zone, in bytes - self.OTP_ZONE_SIZE_BYTES = 64; - #Number of slots in the OTP zone - self.OTP_ZONE_SIZE_SLOTS = 2; - #Size of the data zone, in bytes - self.DATA_ZONE_SIZE_BYTES = 512; - #Number of slots in the data zone - self.DATA_ZONE_SIZE_SLOTS = 16; - #The data slot used for module configuration data - self.DATA_ZONE_SLOT_MODULE_CONFIGURATION = 0; - #Byte index of the OTP mode byte within its configuration word. - self.OTP_MODE_WORD_BYTE_INDEX = 2; - -#------------------------------------------------------------------------------------------------- -# Command packets and I/O -#------------------------------------------------------------------------------------------------- - #Command execution status response block size - self.STATUS_RESPONSE_BLOCK_SIZE_BYTES = 4; - #Byte index of count in response block - self.STATUS_RESPONSE_COUNT_BYTE_INDEX = 0; - #Byte index of status code in response block - self.STATUS_RESPONSE_STATUS_BYTE_INDEX = 1; - #Checksum size - self.CHECKSUM_LENGTH_BYTES = 2; - #Index of the count byte in a command packet - self.COMMAND_PACKET_COUNT_BYTE_INDEX = 0; - #Size of count in a command packet - self.COMMAND_PACKET_COUNT_SIZE_BYTES = 1; - #Index of the opcode byte in a command packet - self.COMMAND_PACKET_OPCODE_BYTE_INDEX = 1; - #Size of the opcode byte in a command packet - self.COMMAND_PACKET_OPCODE_LENGTH_BYTES = 1; - #Index of param 1 in a command packet - self.COMMAND_PACKET_PARAM1_BYTE_INDEX = 2; - #Size of param 1 in a command packet - self.COMMAND_PACKET_PARAM1_SIZE_BYTES = 1; - #Index of param 2 in a command packet - self.COMMAND_PACKET_PARAM2_BYTE_INDEX = 3; - #Size of param 2 in a command packet - self.COMMAND_PACKET_PARAM2_SIZE_BYTES = 2; - - def _CalculateCrc(self, pData, dataLengthBytes): - # Calculate a CRC-16 used when communicating with the device. Code taken from Atmel's library. - #The Atmel documentation only specifies that the CRC algorithm used on the ATSHA204A is CRC-16 with polynomial - #0x8005; compared to a standard CRC-16, however, the used algorithm doesn't use remainder reflection. - #@param pData The data to calculate the CRC for - #@param dataLengthBytes The number of bytes to process - #@return The CRC - polynomial = 0x8005 - crcRegister = 0 - if not pData: - print("_CalculateCrc: No data to process") - return 0 - for counter in range(0, dataLengthBytes): - shiftRegister= 0x01 - for iShift in range(0, 8): - if (pData[counter] & shiftRegister) : - dataBit= 1 - else: - dataBit=0 - crcBit= ((crcRegister) >> 15) - crcRegister <<= 1 - crcRegister= crcRegister & 0xffff - #print shiftRegister, "\t", dataBit, "\t", crcBit, "\t", crcRegister - shiftRegister= shiftRegister << 1 - if (dataBit != crcBit): - #print "poly" - crcRegister ^= polynomial; - return crcRegister - - def _wake(self, verifyDeviceIsAtmelAtsha204a, debug): - dummyWriteData = 0x00 - mystop=True - self.i2c.write( self.slaveaddr, [dummyWriteData], mystop) - - if (verifyDeviceIsAtmelAtsha204a): - expectedStatusBlock= [ 0x04, 0x11, 0x33, 0x43 ]; - nwords= 4 - res= self.i2c.read( self.slaveaddr, nwords) - if (res != expectedStatusBlock): - print("Attempt to awake Atmel ATSHA204A failed") - print(res) - - def _GetCommandPacketSize(self, additionalDataLengthBytes): - packetSizeBytes = self.COMMAND_PACKET_COUNT_SIZE_BYTES + self.COMMAND_PACKET_OPCODE_LENGTH_BYTES \ - + self.COMMAND_PACKET_PARAM1_SIZE_BYTES + self.COMMAND_PACKET_PARAM2_SIZE_BYTES \ - + additionalDataLengthBytes + self.CHECKSUM_LENGTH_BYTES; - - return packetSizeBytes diff --git a/packages/E24AA025E48T.py b/packages/E24AA025E48T.py deleted file mode 100644 index 32cc429..0000000 --- a/packages/E24AA025E48T.py +++ /dev/null @@ -1,20 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import StringIO - -class E24AA025E48T: - #Class to configure the EEPROM - - def __init__(self, i2c, slaveaddr=0x50): - self.i2c = i2c - self.slaveaddr = slaveaddr - - - def readEEPROM(self, startadd, nBytes): - #Read EEPROM memory locations - mystop= False - myaddr= [startadd]#0xfa - self.i2c.write( self.slaveaddr, [startadd], mystop) - res= self.i2c.read( self.slaveaddr, nBytes) - return res diff --git a/packages/FmcTluI2c.py b/packages/FmcTluI2c.py deleted file mode 100644 index 04bf598..0000000 --- a/packages/FmcTluI2c.py +++ /dev/null @@ -1,132 +0,0 @@ -import time -#from PyChipsUser import * -from I2cBusProperties import * -from RawI2cAccess import * - - -class FmcTluI2c: - - - ############################ - ### configure i2c connection - ############################ - def __init__(self,board): - self.board = board - i2cClockPrescale = 0x30 - self.i2cBusProps = I2cBusProperties(self.board, i2cClockPrescale) - return - - - ########################## - ### scan all i2c addresses - ########################## - def i2c_scan(self): - list=[] - for islave in range(128): - i2cscan = RawI2cAccess(self.i2cBusProps, islave) - try: - i2cscan.write([0x00]) - device="slave address "+hex(islave)+" " - if islave==0x1f: - device+="(DAC)" - elif islave==0x50: - device+="(serial number PROM)" - elif islave>=0x54 and islave<=0x57: - device+="(sp601 onboard EEPROM)" - else: - device+="(???)" - pass - list.append(device) - pass - except: - pass - pass - return list - - - ################### - ### write to EEPROM - ################### - def eeprom_write(self,address,value): - if address<0 or address>127: - print "eeprom_write ERROR: address",address,"not in range 0-127" - return - if value<0 or value>255: - print "eeprom_write ERROR: value",value,"not in range 0-255" - return - i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 - prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) - prom.write([address,value]) - time.sleep(0.01) # write cycle time is 5ms. let's wait 10 to make sure. - return - - - #################### - ### read from EEPROM - #################### - def eeprom_read(self,address): - if address<0 or address>255: - print "eeprom_write ERROR: address",address,"not in range 0-127" - return - i2cSlaveAddr = 0x50 # seven bit address, binary 1010000 - prom = RawI2cAccess(self.i2cBusProps, i2cSlaveAddr) - prom.write([address]) - return prom.read(1)[0] - - - ###################### - ### read serial number - ###################### - def get_serial_number(self): - result="" - for iaddr in [0xfa, 0xfb, 0xfc, 0xfd, 0xfe, 0xff]: - result+="%02x "%(self.eeprom_read(iaddr)) - pass - return result - - - ################# - ### set DAC value - ################# - def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - return -1 - if value<0 or value>0xFFFF: - print "set_dac ERROR: value",value,"not in range 0-0xFFFF" - return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # if we want to enable internal voltage reference: - if vrefOn: - # enter vref-on mode: - print "Turning internal reference ON" - dac.write([0x38,0x00,0x01]) - else: - print "Turning internal reference OFF" - dac.write([0x38,0x00,0x00]) - # now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , (value/256)&0xff , value&0xff] - print sequence - dac.write(sequence) - - - - ################################################## - ### convert required threshold voltage to DAC code - ################################################## - def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - return int(dacCode) - - - ################################################## - ### calculate the DAC code required and set DAC - ################################################## - def set_threshold_voltage(self, channel , voltage ): - dacCode = self.convert_voltage_to_dac(voltage) - print " requested voltage, calculated DAC code = " , voltage , dacCode - self.set_dac(channel , dacCode) diff --git a/packages/I2CDISP.py b/packages/I2CDISP.py deleted file mode 100644 index 06ac0d8..0000000 --- a/packages/I2CDISP.py +++ /dev/null @@ -1,248 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from packages.I2CuHal2 import I2CCore -import time - -import math -import numpy as np - -####################################################################################### -class CFA632: - #Class to configure the CFA632 display - - def __init__(self, i2c, slaveaddr=0x2A): - self.i2c = i2c - self.slaveaddr = slaveaddr - - def test(self): - print("Testing the display") - return - - def writeSomething(self, i2ccmd): - mystop= True - print("Write to CFA632") - print("\t", i2ccmd) - #myaddr= [int(i2ccmd)] - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - return - -####################################################################################### -class LCD_ada: - def __init__(self, i2c, slaveaddr=0x20): - self.i2c = i2c - self.slaveaddr = slaveaddr - self.nRows= 2 - self.nCols= 16 - - def test(self): - mystop= True - i2ccmd= [] - print("Write to LCD_ada") - print("\t", i2ccmd) - #myaddr= [int(i2ccmd)] - self.getIOdir() - self.setIOdir(0x7F) - self.getIOdir() - self.setGPIO(0x80) - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def getGPIO(self): - # Read port (if configured as inputs) - mystop=False - regN= 0x09 - nwords= 1 - self.i2c.write( self.slaveaddr, [regN], mystop) - res= self.i2c.read( self.slaveaddr, nwords) - print("MCP23008 IOdir", res) - return res - - def setGPIO(self, gpio): - # Sets the output latch - mystop= True - i2ccmd= [9, gpio] - print("Write GPIO to MCP23008") - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def getIOdir(self): - mystop=False - regN= 0x00 - nwords= 1 - self.i2c.write( self.slaveaddr, [regN], mystop) - res= self.i2c.read( self.slaveaddr, nwords) - print("MCP23008 IOdir", res) - return res - - def setIOdir(self, iodir): - # 1 indicates the port is an input - # 0 indicates the port is an output - mystop= True - i2ccmd= [0, iodir] - print("Write IODIR to MCP23008") - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - -####################################################################################### -class LCD09052: - #Class to configure the LCD09052 display - - def __init__(self, i2c, slaveaddr=0x3A): - self.i2c = i2c - self.slaveaddr = slaveaddr - self.nRows= 2 - self.nCols= 16 - self.setLCDtype(self.nRows, self.nCols) - - def test(self): - print("\tTesting display (LCD09052)") - self.clear() - self.setBrightness(0) - time.sleep(0.2) - self.setBrightness(250) - time.sleep(0.2) - self.setBrightness(0) - time.sleep(0.2) - self.setBrightness(250) - for ipos in range(1, 17): - self.writeChar(33) - self.posCursor(1, ipos-1) - time.sleep(0.1) - self.writeChar(254) - self.posCursor(2, 1) - for ipos in range(1, 17): - self.writeChar(33) - self.posCursor(2, ipos-1) - time.sleep(0.1) - self.writeChar(254) - self.clear - self.clearLine(1) - self.writeChar(33) - time.sleep(0.1) - self.writeChar(33) - time.sleep(0.1) - self.writeChar(33) - time.sleep(0.1) - self.writeChar(33) - time.sleep(0.1) - self.writeChar(33) - time.sleep(0.1) - self.clearLine(1) - self.writeString([80, 81, 80, 81, 82]) - return - - def test2(self, myString1= "", myString2= ""): - #myString= [80, 81, 80, 81, 82] - self.clear() - self.dispString(myString1) - self.posCursor(2, 1) - self.dispString(myString2) - self.pulseLCD(1) - time.sleep(0.3) - myChar= [0, 17, 0, 0, 17, 14, 0, 0] - #self.writeChar(1) - #time.sleep(1) - #self.createChar(1, [31, 31, 31, 0, 17, 14, 0, 0]) - #self.createChar(2, [0, 0, 17, 0, 0, 17, 14, 0]) - #time.sleep(1) - #self.writeChar(1) - return - - def dispString(self, myString): - ### Writes the string on the display - myInts=[] - for iChar in list(myString): - myInts.append(ord(iChar)) - self.writeString(myInts) - return - - def writeString(self, myChars): - ### Writes a list of chars from the current position of the cursor - ## NOTE: myChars is a list of integers corresponding to the ASCII code of each - ## character to be printed. Use "dispString" to input an actual string. - #i2ccmd= [1, myChars] - myChars.insert(0, 1) - mystop= True - self.i2c.write( self.slaveaddr, myChars, mystop) - - def posCursor(self, line, pos): - ### Position the cursor on a specific location - ## line can be 1 (top) or 2 (bottom) - ## pos can be [1, 16} - if ( ((line==1) or (line==2)) and (1 <= pos <= self.nCols)): - i2ccmd= [2, line, pos] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - else: - print("Cursor line can only be 1 or 2, position must be in range [1,", self.nCols, "]") - - def clearLine(self, iLine): - ### Clear line. Place cursor at beginning of line. - if ((iLine==1) or (iLine==2)): - i2ccmd= [3, iLine] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def clear(self): - ### Clears the display and locates the curson on position (1,1), i.e. top left - i2ccmd= [4] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def setLCDtype(self, nLines, nColumns): - ### Specifies the number of lines and columns in the display. - ## This does not seem to do much but we use it anyway. - ## NOTE: no check is performed on the nLines and nColumns parameters so be - ## carefuls in using this function. - i2ccmd= [5, nLines, nColumns] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def setBrightness(self, value= 250): - ### Sets the brightness level of the backlight. - ## Value is an integer in range [0, 250]. 0= no light, 250= maximum light. - if value < 0: - print("setBrightness: minimum value= 0. Coherced to 0") - value = 0 - if value > 250: - print("setBrightness: maximum value= 250. Coherced to 250") - value = 250 - i2ccmd= [7, value] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - - def writeChar(self, value): - ### Writes a char in the current cursor position - ## The cursor is then shifted right one position - ## value must be an integer corresponding to the ascii code of the character - i2ccmd= [10, value] - mystop= True - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - return - - def createChar(self, pos=1, myChar=[]): - ### Define a personalized character and stores it in position "pos" - ## NOTE: This is not working yet. - mystop= True - myChar= [0, 17, 0, 0, 17, 14, 0, 0] - myChar.insert(0, 64) - self.i2c.write( self.slaveaddr, myChar, mystop) - return - - def writeSomething(self, i2ccmd): - mystop= True - print("Write to LCD09052") - print("\t", i2ccmd) - #myaddr= [int(i2ccmd)] - self.i2c.write( self.slaveaddr, i2ccmd, mystop) - return - - def pulseLCD(self, nCycles): - ### Sets the backlight to pulse for N cycles. - ## Each cycle lasts approximately 1.5 s and start/stop on full brightness - ## The light varies according to a sinusoidal wave - startP= 0 - endP= nCycles*(math.pi) - nPoints= 15*nCycles - myList= np.linspace(startP, endP, nPoints).tolist() - for iPt in myList: - iBright= int(250*abs(math.cos(iPt))) - self.setBrightness(iBright) - time.sleep(0.1) diff --git a/packages/I2CuHal.py b/packages/I2CuHal.py deleted file mode 100644 index 434cec1..0000000 --- a/packages/I2CuHal.py +++ /dev/null @@ -1,282 +0,0 @@ -# -*- coding: utf-8 -*- -""" - -""" - -import time - -import uhal - -verbose = True - - - -################################################################################ -# /* -# I2C CORE -# */ -################################################################################ - - - - -class I2CCore: - """I2C communication block.""" - - # Define bits in cmd_stat register - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - readcmd = 0x1 << 5 - writecmd = 0x1 << 4 - ack = 0x1 << 3 - intack = 0x1 - - recvdack = 0x1 << 7 - busy = 0x1 << 6 - arblost = 0x1 << 5 - inprogress = 0x1 << 1 - interrupt = 0x1 - - def __init__(self, target, wclk, i2cclk, name="i2c", delay=None): - self.target = target - self.name = name - self.delay = delay - self.prescale_low = self.target.getNode("%s.i2c_pre_lo" % name) - self.prescale_high = self.target.getNode("%s.i2c_pre_hi" % name) - self.ctrl = self.target.getNode("%s.i2c_ctrl" % name) - self.data = self.target.getNode("%s.i2c_rxtx" % name) - self.cmd_stat = self.target.getNode("%s.i2c_cmdstatus" % name) - self.wishboneclock = wclk - self.i2cclock = i2cclk - self.config() - - def state(self): - status = {} - status["ps_low"] = self.prescale_low.read() - status["ps_hi"] = self.prescale_high.read() - status["ctrl"] = self.ctrl.read() - status["data"] = self.data.read() - status["cmd_stat"] = self.cmd_stat.read() - self.target.dispatch() - status["prescale"] = status["ps_hi"] << 8 - status["prescale"] |= status["ps_low"] - for reg in status: - val = status[reg] - bval = bin(int(val)) - if verbose: - print("\treg %s = %d, 0x%x, %s" % (reg, val, val, bval)) - - def clearint(self): - self.ctrl.write(0x1) - self.target.dispatch() - - def config(self): - #INITIALIZATION OF THE I2S MASTER CORE - #Disable core - self.ctrl.write(0x0 << 7) - self.target.dispatch() - #Write pre-scale register - #prescale = int(self.wishboneclock / (5.0 * self.i2cclock)) - 1 - #prescale = int(self.wishboneclock / (5.0 * self.i2cclock)) - prescale = 0x0100 #FOR NOW HARDWIRED, TO BE MODIFIED - self.prescale_low.write(prescale & 0xff) - self.prescale_high.write((prescale & 0xff00) >> 8) - #Enable core - self.ctrl.write(0x1 << 7) - self.target.dispatch() - - def checkack(self): - inprogress = True - ack = False - while inprogress: - cmd_stat = self.cmd_stat.read() - self.target.dispatch() - inprogress = (cmd_stat & I2CCore.inprogress) > 0 - ack = (cmd_stat & I2CCore.recvdack) == 0 - return ack - - def delayorcheckack(self): - ack = True - if self.delay is None: - ack = self.checkack() - else: - time.sleep(self.delay) - ack = self.checkack()#Remove this? - return ack - -################################################################################ -# /* -# I2C WRITE -# */ -################################################################################ - - - - def write(self, addr, data, stop=True): - """Write data to the device with the given address.""" - # Start transfer with 7 bit address and write bit (0) - nwritten = -1 - addr &= 0x7f - addr = addr << 1 - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - writecmd = 0x1 << 4 - #Set transmit register (write operation, LSB=0) - self.data.write(addr) - #Set Command Register to 0x90 (write, start) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - print("no ack from I2C address", hex(addr>>1)) - return nwritten - nwritten += 1 - for val in data: - val &= 0xff - #Write slave memory address - self.data.write(val) - #Set Command Register to 0x10 (write) - self.cmd_stat.write(I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - nwritten += 1 - if stop: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - -################################################################################ -# /* -# I2C READ -# */ -################################################################################ - def read(self, addr, n): - """Read n bytes of data from the device with the given address.""" - # Start transfer with 7 bit address and read bit (1) - data = [] - addr &= 0x7f - addr = addr << 1 - addr |= 0x1 # read bit - self.data.write(addr) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return data - for i in range(n): - if i < (n-1): - self.cmd_stat.write(I2CCore.readcmd) # <--- - else: - self.cmd_stat.write(I2CCore.readcmd | I2CCore.ack | I2CCore.stopcmd) # <--- This tells the slave that it is the last word - self.target.dispatch() - ack = self.delayorcheckack() - val = self.data.read() - self.target.dispatch() - data.append(val & 0xff) - #self.cmd_stat.write(I2CCore.stopcmd) - #self.target.dispatch() - return data - -################################################################################ -# /* -# I2C WRITE-READ -# */ -################################################################################ - - - - # def writeread(self, addr, data, n): - # """Write data to device, then read n bytes back from it.""" - # nwritten = self.write(addr, data, stop=False) - # readdata = [] - # if nwritten == len(data): - # readdata = self.read(addr, n) - # return nwritten, readdata - -""" -SPI core XML: - - - - - - - - - - -""" -class SPICore: - - go_busy = 0x1 << 8 - rising = 1 - falling = 0 - - - def __init__(self, target, wclk, spiclk, basename="io.spi"): - self.target = target - # Only a single data register is required since all transfers are - # 16 bit long - self.data = target.getNode("%s.d0" % basename) - self.control = target.getNode("%s.ctrl" % basename) - self.control_val = 0b0 - self.divider = target.getNode("%s.divider" % basename) - self.slaveselect = target.getNode("%s.ss" % basename) - self.divider_val = int(wclk / spiclk / 2.0 - 1.0) - self.divider_val = 0x7f - self.configured = False - - def config(self): - "Configure SPI interace for communicating with ADCs." - self.divider_val = int(self.divider_val) % 0xffff - if verbose: - print("Configuring SPI core, divider = 0x%x" % self.divider_val) - self.divider.write(self.divider_val) - self.target.dispatch() - self.control_val = 0x0 - self.control_val |= 0x0 << 13 # Automatic slave select - self.control_val |= 0x0 << 12 # No interrupt - self.control_val |= 0x0 << 11 # MSB first - # ADC samples data on rising edge of SCK - self.control_val |= 0x1 << 10 # change ouput on falling edge of SCK - # ADC changes output shortly after falling edge of SCK - self.control_val |= 0x0 << 9 # read input on rising edge - self.control_val |= 0x10 # 16 bit transfers - if verbose: - print("SPI control val = 0x%x = %s" % (self.control_val, bin(self.control_val))) - self.configured = True - - def transmit(self, chip, value): - if not self.configured: - self.config() - assert chip >= 0 and chip < 8 - value &= 0xffff - self.data.write(value) - checkdata = self.data.read() - self.target.dispatch() - assert checkdata == value - self.control.write(self.control_val) - self.slaveselect.write(0xff ^ (0x1 << chip)) - self.target.dispatch() - self.control.write(self.control_val | SPICore.go_busy) - self.target.dispatch() - busy = True - while busy: - status = self.control.read() - self.target.dispatch() - busy = status & SPICore.go_busy > 0 - self.slaveselect.write(0xff) - data = self.data.read() - ss = self.slaveselect.read() - status = self.control.read() - self.target.dispatch() - #print "Received data: 0x%x, status = 0x%x, ss = 0x%x" % (data, status, ss) - return data diff --git a/packages/I2CuHal2.py b/packages/I2CuHal2.py deleted file mode 100644 index bf9f325..0000000 --- a/packages/I2CuHal2.py +++ /dev/null @@ -1,282 +0,0 @@ -# -*- coding: utf-8 -*- -""" - -""" - -import time - -import uhal - -verbose = True - - - -################################################################################ -# /* -# I2C CORE -# */ -################################################################################ - -### Same as the class defined in I2CuHal.py but the register names are changed to -### comply with D. Newbold's notation. To be used in the Dune SFP Fanout (pc059a) - -class I2CCore: - """I2C communication block.""" - - # Define bits in cmd_stat register - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - readcmd = 0x1 << 5 - writecmd = 0x1 << 4 - ack = 0x1 << 3 - intack = 0x1 - - recvdack = 0x1 << 7 - busy = 0x1 << 6 - arblost = 0x1 << 5 - inprogress = 0x1 << 1 - interrupt = 0x1 - - def __init__(self, target, wclk, i2cclk, name="i2c", delay=None): - self.target = target - self.name = name - self.delay = delay - self.prescale_low = self.target.getNode("%s.ps_lo" % name) - self.prescale_high = self.target.getNode("%s.ps_hi" % name) - self.ctrl = self.target.getNode("%s.ctrl" % name) - self.data = self.target.getNode("%s.data" % name) - self.cmd_stat = self.target.getNode("%s.cmd_stat" % name) - self.wishboneclock = wclk - self.i2cclock = i2cclk - self.config() - - def state(self): - status = {} - status["ps_low"] = self.prescale_low.read() - status["ps_hi"] = self.prescale_high.read() - status["ctrl"] = self.ctrl.read() - status["data"] = self.data.read() - status["cmd_stat"] = self.cmd_stat.read() - self.target.dispatch() - status["prescale"] = status["ps_hi"] << 8 - status["prescale"] |= status["ps_low"] - for reg in status: - val = status[reg] - bval = bin(int(val)) - if verbose: - print("\treg %s = %d, 0x%x, %s" % (reg, val, val, bval)) - - def clearint(self): - self.ctrl.write(0x1) - self.target.dispatch() - - def config(self): - #INITIALIZATION OF THE I2S MASTER CORE - #Disable core - self.ctrl.write(0x0 << 7) - self.target.dispatch() - #Write pre-scale register - #prescale = int(self.wishboneclock / (5.0 * self.i2cclock)) - 1 - #prescale = int(self.wishboneclock / (5.0 * self.i2cclock)) - prescale = 0x0100 #FOR NOW HARDWIRED, TO BE MODIFIED - self.prescale_low.write(prescale & 0xff) - self.prescale_high.write((prescale & 0xff00) >> 8) - #Enable core - self.ctrl.write(0x1 << 7) - self.target.dispatch() - - def checkack(self): - inprogress = True - ack = False - while inprogress: - cmd_stat = self.cmd_stat.read() - self.target.dispatch() - inprogress = (cmd_stat & I2CCore.inprogress) > 0 - ack = (cmd_stat & I2CCore.recvdack) == 0 - return ack - - def delayorcheckack(self): - ack = True - if self.delay is None: - ack = self.checkack() - else: - time.sleep(self.delay) - ack = self.checkack()#Remove this? - return ack - -################################################################################ -# /* -# I2C WRITE -# */ -################################################################################ - - - - def write(self, addr, data, stop=True): - """Write data to the device with the given address.""" - # Start transfer with 7 bit address and write bit (0) - nwritten = -1 - addr &= 0x7f - addr = addr << 1 - startcmd = 0x1 << 7 - stopcmd = 0x1 << 6 - writecmd = 0x1 << 4 - #Set transmit register (write operation, LSB=0) - self.data.write(addr) - #Set Command Register to 0x90 (write, start) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - print("no ack from I2C address", hex(addr>>1)) - return nwritten - nwritten += 1 - for val in data: - val &= 0xff - #Write slave memory address - self.data.write(val) - #Set Command Register to 0x10 (write) - self.cmd_stat.write(I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - nwritten += 1 - if stop: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return nwritten - -################################################################################ -# /* -# I2C READ -# */ -################################################################################ - def read(self, addr, n): - """Read n bytes of data from the device with the given address.""" - # Start transfer with 7 bit address and read bit (1) - data = [] - addr &= 0x7f - addr = addr << 1 - addr |= 0x1 # read bit - self.data.write(addr) - self.cmd_stat.write(I2CCore.startcmd | I2CCore.writecmd) - self.target.dispatch() - ack = self.delayorcheckack() - if not ack: - self.cmd_stat.write(I2CCore.stopcmd) - self.target.dispatch() - return data - for i in range(n): - if i < (n-1): - self.cmd_stat.write(I2CCore.readcmd) # <--- - else: - self.cmd_stat.write(I2CCore.readcmd | I2CCore.ack | I2CCore.stopcmd) # <--- This tells the slave that it is the last word - self.target.dispatch() - ack = self.delayorcheckack() - val = self.data.read() - self.target.dispatch() - data.append(val & 0xff) - #self.cmd_stat.write(I2CCore.stopcmd) - #self.target.dispatch() - return data - -################################################################################ -# /* -# I2C WRITE-READ -# */ -################################################################################ - - - - # def writeread(self, addr, data, n): - # """Write data to device, then read n bytes back from it.""" - # nwritten = self.write(addr, data, stop=False) - # readdata = [] - # if nwritten == len(data): - # readdata = self.read(addr, n) - # return nwritten, readdata - -""" -SPI core XML: - - - - - - - - - - -""" -class SPICore: - - go_busy = 0x1 << 8 - rising = 1 - falling = 0 - - - def __init__(self, target, wclk, spiclk, basename="io.spi"): - self.target = target - # Only a single data register is required since all transfers are - # 16 bit long - self.data = target.getNode("%s.d0" % basename) - self.control = target.getNode("%s.ctrl" % basename) - self.control_val = 0b0 - self.divider = target.getNode("%s.divider" % basename) - self.slaveselect = target.getNode("%s.ss" % basename) - self.divider_val = int(wclk / spiclk / 2.0 - 1.0) - self.divider_val = 0x7f - self.configured = False - - def config(self): - "Configure SPI interace for communicating with ADCs." - self.divider_val = int(self.divider_val) % 0xffff - if verbose: - print("Configuring SPI core, divider = 0x%x" % self.divider_val) - self.divider.write(self.divider_val) - self.target.dispatch() - self.control_val = 0x0 - self.control_val |= 0x0 << 13 # Automatic slave select - self.control_val |= 0x0 << 12 # No interrupt - self.control_val |= 0x0 << 11 # MSB first - # ADC samples data on rising edge of SCK - self.control_val |= 0x1 << 10 # change ouput on falling edge of SCK - # ADC changes output shortly after falling edge of SCK - self.control_val |= 0x0 << 9 # read input on rising edge - self.control_val |= 0x10 # 16 bit transfers - if verbose: - print("SPI control val = 0x%x = %s" % (self.control_val, bin(self.control_val))) - self.configured = True - - def transmit(self, chip, value): - if not self.configured: - self.config() - assert chip >= 0 and chip < 8 - value &= 0xffff - self.data.write(value) - checkdata = self.data.read() - self.target.dispatch() - assert checkdata == value - self.control.write(self.control_val) - self.slaveselect.write(0xff ^ (0x1 << chip)) - self.target.dispatch() - self.control.write(self.control_val | SPICore.go_busy) - self.target.dispatch() - busy = True - while busy: - status = self.control.read() - self.target.dispatch() - busy = status & SPICore.go_busy > 0 - self.slaveselect.write(0xff) - data = self.data.read() - ss = self.slaveselect.read() - status = self.control.read() - self.target.dispatch() - #print "Received data: 0x%x, status = 0x%x, ss = 0x%x" % (data, status, ss) - return data diff --git a/packages/I2cBusProperties.py b/packages/I2cBusProperties.py deleted file mode 100644 index a23f30c..0000000 --- a/packages/I2cBusProperties.py +++ /dev/null @@ -1,122 +0,0 @@ -########################################################## -# I2cBusProperties - simple encapsulation of all items -# required to control an I2C bus. -# -# Carl Jeske, July 2010 -# Refactored by Robert Frazier, May 2011 -########################################################## - - -class I2cBusProperties(object): - """Encapsulates details of an I2C bus master in the form of a host device, a clock prescale value, and seven I2C master registers - - Provide the ChipsBus instance to the device hosting your I2C core, a 16-bit clock prescaling - value for the Serial Clock Line (see I2C core docs for details), and the names of the seven - registers that define/control the bus (assuming these names are not the defaults specified - in the constructor below). The seven registers consist of the two clock pre-scaling - registers (PRElo, PREhi), and five bus master registers (CONTROL, TRANSMIT, RECEIVE, - COMMAND and STATUS). - - Usage: You'll need to create an instance of this class to give to a concrete I2C bus instance, such - as OpenCoresI2cBus. This I2cBusProperties class is simply a container to hold the properties - that define the bus; a class such as OpenCoresI2cBus will make use of these properties. - - Access the items stored by this class via these (deliberately compact) variable names: - - chipsBus -- the ChipsBus device hosting the I2C core - preHiVal -- the top byte of the clock prescale value - preLoVal -- the bottom byte of the clock prescale value - preHiReg -- the register the top byte of the clk prescale value (preHiVal) gets written to - preLoReg -- the register the bottom byte of the clk prescale value (preLoVal) gets written to - ctrlReg -- the I2C Control register - txReg -- the I2C Transmit register - rxReg -- the I2C Receive register - cmdReg -- the I2C Command register - statusReg -- the I2C Status register - - - Compatibility Notes: The seven register names are the registers typically required to operate an - OpenCores or similar I2C Master (Lattice Semiconductor's I2C bus master works - the same way as the OpenCores one). This software is not compatible with your - I2C bus master if it doesn't use this register interface. - """ - - def __init__(self, - chipsBusDevice, - clkPrescaleU16, - clkPrescaleLoByteReg = "i2c_pre_lo", - clkPrescaleHiByteReg = "i2c_pre_hi", - controlReg = "i2c_ctrl", - transmitReg = "i2c_tx", - receiveReg = "i2c_rx", - commandReg = "i2c_cmd", - statusReg = "i2c_status"): - - """Provide a host ChipsBus device that is controlling the I2C bus, and the names of five I2C control registers. - - chipsBusDevice: Provide a ChipsBus instance to the device where the I2C bus is being - controlled. The address table for this device must contain the five registers - that control the bus, as declared next... - - clkPrescaleU16: A 16-bit value used to prescale the Serial Clock Line based on the host - master-clock. This value gets split into two 8-bit values and ultimately will - get written to the two I2C clock-prescale registers as declared below. See - the OpenCores or Lattice Semiconductor I2C documentation for more details. - - clkPrescaleLoByteReg: The register where the lower byte of the clock prescale value is set. The default - name for this register is "i2c_pre_lo". - - clkPrescaleHiByteReg: The register where the higher byte of the clock prescale value is set. The default - name for this register is "i2c_pre_hi" - - controlReg: The CONTROL register, used for enabling/disabling the I2C core, etc. This register is - usually read and write accessible. The default name for this register is "i2c_ctrl". - - transmitReg: The TRANSMIT register, used for holding the data to be transmitted via I2C, etc. This - typically shares the same address as the RECEIVE register, but has write-only access. The default - name for this register is "i2c_tx". - - receiveReg: The RECEIVE register - allows access to the byte received over the I2C bus. This - typically shares the same address as the TRANSMIT register, but has read-only access. The - default name for this register is "i2c_rx". - - commandReg: The COMMAND register - stores the command for the next I2C operation. This typically - shares the same address as the STATUS register, but has write-only access. The default name for - this register is "i2c_cmd". - - statusReg: The STATUS register - allows monitoring of the I2C operations. This typically shares - the same address as the COMMAND register, but has read-only access. The default name for this - register is "i2c_status". - """ - - object.__init__(self) - self.chipsBus = chipsBusDevice - self.preHiVal = ((clkPrescaleU16 & 0xff00) >> 8) - self.preLoVal = (clkPrescaleU16 & 0xff) - - # Check to see all the registers are in the address table - registers = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, receiveReg, commandReg, statusReg] - for reg in registers: - if not self.chipsBus.addrTable.checkItem(reg): - raise ChipsException("I2cBusProperties error: register '" + reg + "' is not present in the address table of the device hosting the I2C bus master!") - - # Check that the registers we'll need to write to are indeed writable - writableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, transmitReg, commandReg] - for wReg in writableRegisters: - if not self.chipsBus.addrTable.getItem(wReg).getWriteFlag(): - raise ChipsException("I2cBusProperties error: register '" + wReg + "' does not have the necessary write permission!") - - # Check that the registers we'll need to read from are indeed readable - readableRegisters = [clkPrescaleLoByteReg, clkPrescaleHiByteReg, controlReg, receiveReg, statusReg] - for rReg in readableRegisters: - if not self.chipsBus.addrTable.getItem(rReg).getReadFlag(): - raise ChipsException("I2cBusProperties error: register '" + rReg + "' does not have the necessary read permission!") - - # Store the various register name strings - self.preHiReg = clkPrescaleHiByteReg - self.preLoReg = clkPrescaleLoByteReg - self.ctrlReg = controlReg - self.txReg = transmitReg - self.rxReg = receiveReg - self.cmdReg = commandReg - self.statusReg = statusReg diff --git a/packages/NHDC0220Biz.py b/packages/NHDC0220Biz.py deleted file mode 100644 index acb265b..0000000 --- a/packages/NHDC0220Biz.py +++ /dev/null @@ -1,23 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import StringIO - -class NHDC0220Biz: - #Class to configure the EEPROM - - def __init__(self, i2c, slaveaddr=0x3c): - self.i2c = i2c - self.slaveaddr = 0x2a#slaveaddr - - def test(self): - print "Testing the display" - return - - def writeSomething(self): - mystop= True - print "Write random stuff" - myaddr= [0x08, 0x38] - self.i2c.write( self.slaveaddr, myaddr, mystop) - - return diff --git a/packages/PCA9539PW.py b/packages/PCA9539PW.py deleted file mode 100644 index b387b80..0000000 --- a/packages/PCA9539PW.py +++ /dev/null @@ -1,94 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from packages.I2CuHal import I2CCore - - -class PCA9539PW: - #Class to configure the expander modules - - def __init__(self, i2c, slaveaddr=0x74): - self.i2c = i2c - self.slaveaddr = slaveaddr - - - def writeReg(self, regN, regContent, verbose=False): - #Basic functionality to write to register. - if (regN < 0) | (regN > 7): - print("PCA9539PW - ERROR: register number should be in range [0:7]") - return - regContent= regContent & 0xFF - mystop=True - cmd= [regN, regContent] - self.i2c.write( self.slaveaddr, cmd, mystop) - - - def readReg(self, regN, nwords, verbose=False): - #Basic functionality to read from register. - if (regN < 0) | (regN > 7): - print("PCA9539PW - ERROR: register number should be in range [0:7]") - return - mystop=False - self.i2c.write( self.slaveaddr, [regN], mystop) - res= self.i2c.read( self.slaveaddr, nwords) - return res - - - def setInvertReg(self, regN, polarity= 0x00): - #Set the content of register 4 or 5 which determine the polarity of the - #ports (0= normal, 1= inverted). - if (regN < 0) | (regN > 1): - print("PCA9539PW - ERROR: regN should be 0 or 1") - return - polarity = polarity & 0xFF - self.writeReg(regN+4, polarity) - - def getInvertReg(self, regN): - #Read the content of register 4 or 5 which determine the polarity of the - #ports (0= normal, 1= inverted). - if (regN < 0) | (regN > 1): - print("PCA9539PW - ERROR: regN should be 0 or 1") - return - res= self.readReg(regN+4, 1) - return res - - def setIOReg(self, regN, direction= 0xFF): - #Set the content of register 6 or 7 which determine the direction of the - #ports (0= output, 1= input). - if (regN < 0) | (regN > 1): - print("PCA9539PW - ERROR: regN should be 0 or 1") - return - direction = direction & 0xFF - self.writeReg(regN+6, direction) - - def getIOReg(self, regN): - #Read the content of register 6 or 7 which determine the polarity of the - #ports (0= normal, 1= inverted). - if (regN < 0) | (regN > 1): - print("PCA9539PW - ERROR: regN should be 0 or 1") - return - res= self.readReg(regN+6, 1) - return res - - def getInputs(self, bank): - #Read the incoming values of the pins for one of the two 8-bit banks. - if (bank < 0) | (bank > 1): - print("PCA9539PW - ERROR: bank should be 0 or 1") - return - res= self.readReg(bank, 1) - return res - - def setOutputs(self, bank, values= 0x00): - #Set the content of the output flip-flops. - if (bank < 0) | (bank > 1): - print("PCA9539PW - ERROR: bank should be 0 or 1") - return - values = values & 0xFF - self.writeReg(bank+2, values) - - def getOutputs(self, bank): - #Read the state of the outputs (i.e. what value is being written to them) - if (bank < 0) | (bank > 1): - print("PCA9539PW - ERROR: bank should be 0 or 1") - return - res= self.readReg(bank+2, 1) - return res diff --git a/packages/PCA9548ADW.py b/packages/PCA9548ADW.py deleted file mode 100644 index adb6742..0000000 --- a/packages/PCA9548ADW.py +++ /dev/null @@ -1,51 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import StringIO - - -class PCA9548ADW: - #Class to configure the I2C multiplexer - - def __init__(self, i2c, slaveaddr=0x74): - self.i2c = i2c - self.slaveaddr = slaveaddr - - def disableAllChannels(self, verbose=False): - #Disable all channels so that none of the MUX outputs is visible - # to the upstream I2C bus - mystop=True - cmd= [0x0] - self.i2c.write( self.slaveaddr, cmd, mystop) - - def getChannelStatus(self, verbose=False): - #Basic functionality to read the status of the control register and determine - # which channel is currently enabled. - mystop=False - cmd= [] - self.i2c.write( self.slaveaddr, cmd, mystop) - res= self.i2c.read( self.slaveaddr, 1) - return res[0] - - def setActiveChannel(self, channel, verbose=False): - #Basic functionality to activate one channel - # In principle multiple channels can be active at the same time (see - # function "setMultipleChannels") - if (channel < 0) | (channel > 7): - print "PCA9539PW - ERROR: channel number should be in range [0:7]" - return - mystop=True - cmd= [0x1 << channel] - #print "\tChannel is ", channel, "we write ", cmd - self.i2c.write( self.slaveaddr, cmd, mystop) - - def setMultipleChannels(self, channels, verbose=False): - #Basic functionality to activate multiple channels - # channels is a byte: each bit set to one will set the corresponding channels - # as active. The slave connected to that channel will be visible on the I2C bus. - # NOTE: this can lead to address clashes! - channels = channels & 0xFF - mystop=True - cmd= [channels] - #print "\tChannel is ", channel, "we write ", cmd - self.i2c.write( self.slaveaddr, cmd, mystop) diff --git a/packages/RawI2cAccess.py b/packages/RawI2cAccess.py deleted file mode 100644 index 2846132..0000000 --- a/packages/RawI2cAccess.py +++ /dev/null @@ -1,261 +0,0 @@ -# Created on Sep 10, 2012 -# @author: Kristian Harder, based on code by Carl Jeske - -from I2cBusProperties import I2cBusProperties -from ChipsBus import ChipsBus -from ChipsLog import chipsLog -from ChipsException import ChipsException - - -class RawI2cAccess: - - def __init__(self, i2cBusProps, slaveAddr): - - # For performing read/writes over an OpenCores-compatible I2C bus master - # - # An instance of this class is required to communicate with each - # I2C slave on the I2C bus. - # - # i2cBusProps: an instance of the class I2cBusProperties that contains - # the relevant ChipsBus host and the I2C bus-master registers (if - # they differ from the defaults specified by the I2cBusProperties - # class). - # - #slaveAddr: The address of the I2C slave you wish to communicate with. - # - - self._i2cProps = i2cBusProps # The I2C Bus Properties - self._slaveAddr = 0x7f & slaveAddr # 7-bit slave address - - - def resetI2cBus(self): - - # Resets the I2C bus - # - # This function does the following: - # 1) Disables the I2C core - # 2) Sets the clock prescale registers - # 3) Enables the I2C core - # 4) Sets all writable bus-master registers to default values - - try: - self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x00) - #self._chipsBus().getNode(self._i2cProps.ctrlReg).write(0) - self._chipsBus().queueWrite(self._i2cProps.preHiReg, - self._i2cProps.preHiVal) - self._chipsBus().queueWrite(self._i2cProps.preLoReg, - self._i2cProps.preLoVal) - self._chipsBus().queueWrite(self._i2cProps.ctrlReg, 0x80) - self._chipsBus().queueWrite(self._i2cProps.txReg, 0x00) - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x00) - self._chipsBus().queueRun() - except ChipsException, err: - raise ChipsException("I2C reset error:\n\t" + str(err)) - - - def read(self, numBytes): - - # Performs an I2C read. Returns the 8-bit read result(s). - # - # numBytes: number of bytes expected as response - # - - try: - result = self._privateRead(numBytes) - except ChipsException, err: - raise ChipsException("I2C read error:\n\t" + str(err)) - return result - - - def write(self, listDataU8): - - # Performs an 8-bit I2C write. - # - # listDataU8: The 8-bit data values to be written. - # - - try: - self._privateWrite(listDataU8) - except ChipsException, err: - raise ChipsException("I2C write error:\n\t" + str(err)) - return - - - def _chipsBus(self): - - # Returns the instance of the ChipsBus device that's hosting - # the I2C bus master - - return self._i2cProps.chipsBus - - - def _privateRead(self, numBytes): - - # I2C read implementation. - # - # Fast I2C read implementation, - # i.e. done with the fewest packets possible. - - - # transmit reg definitions - # bits 7-1: 7-bit slave address during address transfer - # or first 7 bits of byte during data transfer - # bit 0: RW flag during address transfer or LSB during data transfer. - # '1' = reading from slave - # '0' = writing to slave - - # command reg definitions - # bit 7: Generate start condition - # bit 6: Generate stop condition - # bit 5: Read from slave - # bit 4: Write to slave - # bit 3: 0 when acknowledgement is received - # bit 2:1: Reserved - # bit 0: Interrupt acknowledge. When set, clears a pending interrupt - - # Reset bus before beginning - self.resetI2cBus() - - # Set slave address in bits 7:1, and set bit 0 to zero - # (i.e. we're writing an address to the bus) - self._chipsBus().queueWrite(self._i2cProps.txReg, - (self._slaveAddr << 1) | 0x01) - # Set start and write bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - self._i2cWaitUntilFinished() - - result=[] - for ibyte in range(numBytes): - if ibyte==numBytes-1: - stop_bit=0x40 - ack_bit=0x08 - else: - stop_bit=0 - ack_bit=0 - pass - # Set read bit, acknowledge and stop bit in command reg - self._chipsBus().write(self._i2cProps.cmdReg, 0x20+ack_bit+stop_bit) - # Wait for transaction to finish. - # Don't expect an ACK, do expect bus free at finish. - if stop_bit: - self._i2cWaitUntilFinished(requireAcknowledgement = False, - requireBusIdleAtEnd = True) - else: - self._i2cWaitUntilFinished(requireAcknowledgement = False, - requireBusIdleAtEnd = False) - pass - result.append(self._chipsBus().read(self._i2cProps.rxReg)) - - return result - - - def _privateWrite(self, listDataU8): - - # I2C write implementation. - # - # Fast I2C write implementation, - # i.e. done with the fewest packets possible. - - # transmit reg definitions - # bits 7-1: 7-bit slave address during address transfer - # or first 7 bits of byte during data transfer - # bit 0: RW flag during address transfer or LSB during data transfer. - # '1' = reading from slave - # '0' = writing to slave - - # command reg definitions - # bit 7: Generate start condition - # bit 6: Generate stop condition - # bit 5: Read from slave - # bit 4: Write to slave - # bit 3: 0 when acknowledgement is received - # bit 2:1: Reserved - # bit 0: Interrupt acknowledge. When set, clears a pending interrupt - # Reset bus before beginning - self.resetI2cBus() - - # Set slave address in bits 7:1, and set bit 0 to zero (i.e. "write mode") - self._chipsBus().queueWrite(self._i2cProps.txReg, - (self._slaveAddr << 1) & 0xfe) - # Set start and write bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x90) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - self._i2cWaitUntilFinished() - - for ibyte in range(len(listDataU8)): - dataU8 = listDataU8[ibyte] - if ibyte==len(listDataU8)-1: - stop_bit=0x40 - else: - stop_bit=0x00 - pass - # Set data to be written in transmit reg - self._chipsBus().queueWrite(self._i2cProps.txReg, (dataU8 & 0xff)) - # Set write and stop bit in command reg - self._chipsBus().queueWrite(self._i2cProps.cmdReg, 0x10+stop_bit) - # Run the queue - self._chipsBus().queueRun() - # Wait for transaction to finish. - # Do expect an ACK and do expect bus to be free at finish - if stop_bit: - self._i2cWaitUntilFinished(requireAcknowledgement = True, - requireBusIdleAtEnd = True) - else: - self._i2cWaitUntilFinished(requireAcknowledgement = True, - requireBusIdleAtEnd = False) - pass - pass - - return - - - def _i2cWaitUntilFinished(self, requireAcknowledgement = True, - requireBusIdleAtEnd = False): - - # Ensures the current bus transaction has finished successfully - # before allowing further I2C bus transactions - - # This method monitors the status register - # and will not allow execution to continue until the - # I2C bus has completed properly. It will throw an exception - # if it picks up bus problems or a bus timeout occurs. - - maxRetry = 20 - attempt = 1 - while attempt <= maxRetry: - - # Get the status - i2c_status = self._chipsBus().read(self._i2cProps.statusReg) - - receivedAcknowledge = not bool(i2c_status & 0x80) - busy = bool(i2c_status & 0x40) - arbitrationLost = bool(i2c_status & 0x20) - transferInProgress = bool(i2c_status & 0x02) - interruptFlag = bool(i2c_status & 0x01) - - if arbitrationLost: # This is an instant error at any time - raise ChipsException("I2C error: Arbitration lost!") - - if not transferInProgress: - break # The transfer looks to have completed successfully, pending further checks - - attempt += 1 - - # At this point, we've either had too many retries, or the - # Transfer in Progress (TIP) bit went low. If the TIP bit - # did go low, then we do a couple of other checks to see if - # the bus operated as expected: - - if attempt > maxRetry: - raise ChipsException("I2C error: Transaction timeout - the 'Transfer in Progress' bit remained high for too long!") - - if requireAcknowledgement and not receivedAcknowledge: - raise ChipsException("I2C error: No acknowledge received!") - - if requireBusIdleAtEnd and busy: - raise ChipsException("I2C error: Transfer finished but bus still busy!") diff --git a/packages/SFPI2C.py b/packages/SFPI2C.py deleted file mode 100644 index 2dad8d4..0000000 --- a/packages/SFPI2C.py +++ /dev/null @@ -1,91 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import StringIO - -class SFPI2C: - #Class to configure the EEPROM - - def __init__(self, i2c, slaveaddr=0x50): - self.i2c = i2c - self.slaveaddr = slaveaddr - - """def readEEPROM(self, startadd, nBytes): - #Read EEPROM memory locations - mystop= False - myaddr= [startadd]#0xfa - self.i2c.write( self.slaveaddr, [startadd], mystop) - res= self.i2c.read( self.slaveaddr, nBytes) - return res""" - - def _listToString(self, mylist): - mystring= "" - for iChar in mylist: - mystring= mystring + str(unichr(iChar)) - return mystring - - def writeReg(self, regN, regContent, verbose=False): - #Basic functionality to write to register. - if (regN < 0) | (regN > 7): - print "PCA9539PW - ERROR: register number should be in range [0:7]" - return - regContent= regContent & 0xFF - mystop=True - cmd= [regN, regContent] - self.i2c.write( self.slaveaddr, cmd, mystop) - - def readReg(self, regN, nwords, verbose=False): - #Basic functionality to read from register. - mystop=False - self.i2c.write( self.slaveaddr, [regN], mystop) - res= self.i2c.read( self.slaveaddr, nwords) - return res - - def getConnector(self): - """Code for connector type (table 3.4)""" - conntype= self.readReg(2, 1, False)[0] - print "Connector type:", hex(conntype) - return conntype - - def getDiagnosticsType(self): - """Types of diagnostics available (table 3.9)""" - diaType= self.readReg(92, 1, False)[0] - print "Available Diagnostics:", hex(diaType) - return diaType - - def getEncoding(self): - encoding= self.readReg(11, 1, False)[0] - print "Encoding", encoding - return encoding - - def getEnhancedOpt(self): - enOpt= self.readReg(93, 1, False)[0] - print "Enhanced Options:", enOpt - return enOpt - - def getTransceiver(self): - res= self.readReg(3, 8, False) - return res - - def getVendorId(self): - """ Returns the OUI vendor id""" - vendID= self.readReg(37, 3, False) - return vendID - - def getVendorName(self): - res= self.readReg( 20 , 16, False) - mystring= self._listToString(res) - return mystring - - def getVendorPN(self): - """ Returns the part number defined by the vendor""" - pn=[] - mystring= "" - res= self.readReg( 40 , 16, False) - mystring= self._listToString(res) - return mystring - - def scanI2C(self): - mystop=True - for iAddr in range (0, 128): - self.i2c.write( iAddr, [], mystop) diff --git a/packages/TLU_powermodule.py b/packages/TLU_powermodule.py deleted file mode 100644 index 5eb9757..0000000 --- a/packages/TLU_powermodule.py +++ /dev/null @@ -1,339 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from packages.I2CuHal import I2CCore -#import StringIO -from packages.AD5665R import AD5665R # Library for DAC -from packages.PCA9539PW import PCA9539PW # Library for serial line expander -import time - -class PWRLED: - #Class to configure the EEPROM - - def __init__(self, i2ccore, DACaddr=0x1C, PMTmaxV= 1, Exp1Add= 0x76, Exp2Add= 0x77): - print(" TLU POWERMODULE Initializing...") - self.TLU_I2C = i2ccore - self.pwraddr = DACaddr - self.exp1addr= Exp1Add - self.exp2addr= Exp2Add - self.intRefOn= 0 - self.vCtrlMax= PMTmaxV - self.verbose= True - - ## Identify the type of power board by trying to read the EEPROM (if fail, it is an old one): - res= self.readEEPROM() - if (len(res) != 0): - self.bdType= 1 - else: - self.bdType= 0 - print("\tPOWERMODULE type:", self.bdType) - self.assignMapping() - - - self.zeDAC_pwr=AD5665R(self.TLU_I2C, self.pwraddr) - self.zeDAC_pwr.setIntRef(self.intRefOn, self.verbose) - self.zeDAC_pwr.writeDAC(int(0), 7, self.verbose) - - self.ledExp1=PCA9539PW(self.TLU_I2C, self.exp1addr) - self.ledExp1.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.ledExp1.setIOReg(0, 0x00)# 0= output, 1= input - self.ledExp1.setOutputs(0, 0xDA)# If output, set to XX - - self.ledExp1.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.ledExp1.setIOReg(1, 0x00)# 0= output, 1= input - self.ledExp1.setOutputs(1, 0xB6)# If output, set to XX - - self.ledExp2=PCA9539PW(self.TLU_I2C, self.exp2addr) - self.ledExp2.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.ledExp2.setIOReg(0, 0x00)# 0= output, 1= input - self.ledExp2.setOutputs(0, 0x6D)# If output, set to XX - - self.ledExp2.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.ledExp2.setIOReg(1, 0x00)# 0= output, 1= input - self.ledExp2.setOutputs(1, 0xDB)# If output, set to XX - print(" TLU POWERMODULE Ready") - return - - def readEEPROM(self): - ## Read content of EEPROM. New power modules host a 24AA025E48T, similar - # to the one on the TLU but at address 0x50. Old modules will fail to ACKNOWLEDGE. - eepromadd= 0x51 - bytes= 6 - startadd= 0xfa - mystop= 1 - time.sleep(0.1) - myaddr= [startadd]#0xfa - self.TLU_I2C.write( eepromadd, [startadd], mystop) - res= self.TLU_I2C.read( eepromadd, bytes) - print(" POWERMODULE serial number (EEPROM):") - result="\t" - for iaddr in res: - result+="%02x "%(iaddr) - print(result) - return res - - def assignMapping(self): - ## Map indicator color based on their position on the expanders: - # 0-15 are on expander 2 - # 16 to 31 on expander 1. - # One indicator is missing the blue component, hence - # the "-1" value. - if (self.bdType==0): - # Old board (with misplaced LED connection) - self.indicatorXYZ= [(30, 29, 31), (27, 26, 28), (24, 23, 25), (21, 20, 22), (18, 17, 19), (15, 14, 16), (12, 11, 13), (9, 8, 10), (6, 5, 7), (3, 2, 4), (1, 0, -1)] - else: - # New board (with correct LED and EEPROM) - self.indicatorXYZ= [(30, 29, 31), (27, 26, 28), (24, 23, 25), (21, 20, 22), (18, 17, -1), (15, 14, 16), (12, 11, 13), (9, 8, 10), (6, 5, 7), (3, 2, 4), (1, 0, 19)] - - def setVch(self, channel, voltage, verbose=False): - # Note: the channel here is the DAC channel. - # The mapping with the power module is not one-to-one - if (verbose): - print(" PWRModule: CONFIGURING VOLTAGE FOR PMT", channel+1) - print("\tVcontrol=", voltage) - if ((channel < 0) | (3 < channel )): - print("\tPWRModule: channel should be comprised between 0 and 3") - else: - if (voltage < 0): - print("\tPWRModule: voltage cannot be negative. Coherced to 0 V.") - voltage = 0 - if (voltage > self.vCtrlMax): - print("\tPWRModule: voltage cannot exceed vCtrlMax. Coherced to vCtrlMax.") - print("\tPWRModule: vCtrlMax=", self.vCtrlMax, "V. See config file to change this value.") - voltage = self.vCtrlMax - dacValue= voltage*65535/self.vCtrlMax - self.zeDAC_pwr.writeDAC(int(dacValue), channel, verbose) - return - - def setIndicatorRGB(self, indicator, RGB=[0, 0, 0], verbose=False): - # Indicator is one of the 11 LEDs on the front panels, labeled from 0 to 10 - # RGB allows to switch on (True) or off (False) the corresponding component for that Led - # Note that one LED only has 2 components connected - #print self.indicatorXYZ[indicator-1][2] - if (1 <= indicator <= 11): - nowStatus= [] - nowStatus.extend(self.ledExp1.getOutputs(0)) - nowStatus.extend(self.ledExp1.getOutputs(1)) - nowStatus.extend(self.ledExp2.getOutputs(0)) - nowStatus.extend(self.ledExp2.getOutputs(1)) - nowWrd= 0x00000000 - nowWrd= nowWrd | nowStatus[0] - nowWrd= nowWrd | (nowStatus[1] << 8) - nowWrd= nowWrd | (nowStatus[2] << 16) - nowWrd= nowWrd | (nowStatus[3] << 24) - nextWrd= nowWrd - for iComp in range(0,3): - indexComp= self.indicatorXYZ[indicator-1][iComp] - valueComp= not bool(RGB[iComp]) - nextWrd= self._set_bit(nextWrd, indexComp, int(valueComp), False) - if verbose: - print("n=", iComp, "INDEX=", indexComp, "VALUE=", int(valueComp), "NEXTWORD=", bin(nextWrd)) - if verbose: - print("NOW ", bin(nowWrd)) - print("NEXT ", bin(nextWrd)) - nextStatus= [0xFF & nextWrd, 0xFF & (nextWrd >> 8), 0xFF & (nextWrd >> 16), 0xFF & (nextWrd >> 24) ] - #print " NOW", nowStatus - #print " NEXT ", nextStatus - if nowStatus[0] != nextStatus[0]: - self.ledExp1.setOutputs(0, nextStatus[0]) - if nowStatus[1] != nextStatus[1]: - self.ledExp1.setOutputs(1, nextStatus[1]) - if nowStatus[2] != nextStatus[2]: - self.ledExp2.setOutputs(0, nextStatus[2]) - if nowStatus[3] != nextStatus[3]: - self.ledExp2.setOutputs(1, nextStatus[3]) - - return - - - def _set_bit(self, v, index, x, verbose= False): - """Set the index:th bit of v to 1 if x is truthy, else to 0, and return the new value.""" - if (index == -1): - if (verbose): - print(" SETBIT: Index= -1 will be ignored") - else: - mask = 1 << index # Compute mask, an integer with just bit 'index' set. - v &= ~mask # Clear the bit indicated by the mask (if x is False) - if x: - v |= mask # If x was True, set the bit indicated by the mask. - return v - - def allGreen(self): - #self.setIndicatorRGB(1, [0, 1, 0]) - #self.setIndicatorRGB(2, [0, 1, 0]) - #self.setIndicatorRGB(3, [0, 1, 0]) - #self.setIndicatorRGB(4, [0, 1, 0]) - #self.setIndicatorRGB(5, [0, 1, 0]) - #self.setIndicatorRGB(6, [0, 1, 0]) - #self.setIndicatorRGB(7, [0, 1, 0]) - #self.setIndicatorRGB(8, [0, 1, 0]) - #self.setIndicatorRGB(9, [0, 1, 0]) - #self.setIndicatorRGB(10, [0, 1, 0]) - #self.setIndicatorRGB(11, [0, 1, 0]) - self.ledExp1.setOutputs(0, 218) - self.ledExp1.setOutputs(1, 182) - self.ledExp2.setOutputs(0, 109) - self.ledExp2.setOutputs(1, 219) - - def allRed(self): - self.ledExp1.setOutputs(0, 181) - self.ledExp1.setOutputs(1, 109) - self.ledExp2.setOutputs(0, 219) - self.ledExp2.setOutputs(1, 182) - - def allBlue(self): - self.ledExp1.setOutputs(0, 111) - self.ledExp1.setOutputs(1, 219) - self.ledExp2.setOutputs(0, 182) - self.ledExp2.setOutputs(1, 109) - - def allBlack(self): - self.ledExp1.setOutputs(0, 255) - self.ledExp1.setOutputs(1, 255) - self.ledExp2.setOutputs(0, 255) - self.ledExp2.setOutputs(1, 255) - - def allWhite(self): - self.ledExp1.setOutputs(0, 0) - self.ledExp1.setOutputs(1, 0) - self.ledExp2.setOutputs(0, 0) - self.ledExp2.setOutputs(1, 0) - - def kitt(self): - self.allBlack() - print("\tWait while LEDs are tested...") - self.setIndicatorRGB(1, [1, 0, 0]) - self.setIndicatorRGB(2, [0, 0, 0]) - - self.setIndicatorRGB(1, [1, 0, 0]) - self.setIndicatorRGB(2, [1, 0, 0]) - - self.setIndicatorRGB(1, [0, 0, 0]) - #self.setIndicatorRGB(2, [1, 0, 0]) - self.setIndicatorRGB(3, [1, 0, 0]) - - self.setIndicatorRGB(2, [0, 0, 0]) - #self.setIndicatorRGB(3, [1, 0, 0]) - self.setIndicatorRGB(4, [1, 0, 0]) - - self.setIndicatorRGB(3, [0, 0, 0]) - #self.setIndicatorRGB(4, [1, 0, 0]) - self.setIndicatorRGB(5, [1, 0, 0]) - - #self.setIndicatorRGB(3, [0, 0, 0]) - self.setIndicatorRGB(4, [1, 0, 0]) - #self.setIndicatorRGB(5, [1, 0, 0]) - self.setIndicatorRGB(6, [1, 0, 0]) - - self.setIndicatorRGB(4, [0, 0, 0]) - #self.setIndicatorRGB(5, [1, 0, 0]) - #self.setIndicatorRGB(6, [1, 0, 0]) - self.setIndicatorRGB(7, [1, 0, 0]) - - self.setIndicatorRGB(5, [0, 0, 0]) - #self.setIndicatorRGB(6, [1, 0, 0]) - #self.setIndicatorRGB(7, [1, 0, 0]) - self.setIndicatorRGB(8, [1, 0, 0]) - - self.setIndicatorRGB(6, [0, 0, 0]) - #self.setIndicatorRGB(7, [1, 0, 0]) - #self.setIndicatorRGB(8, [1, 0, 0]) - self.setIndicatorRGB(9, [1, 0, 0]) - - self.setIndicatorRGB(7, [0, 0, 0]) - #self.setIndicatorRGB(8, [1, 0, 0]) - #self.setIndicatorRGB(9, [1, 0, 0]) - self.setIndicatorRGB(10, [1, 0, 0]) - - self.setIndicatorRGB(8, [0, 0, 0]) - #self.setIndicatorRGB(9, [1, 0, 0]) - #self.setIndicatorRGB(10, [1, 0, 0]) - self.setIndicatorRGB(11, [1, 0, 0]) - - self.setIndicatorRGB(9, [0, 0, 0]) - #self.setIndicatorRGB(10, [1, 0, 0]) - self.setIndicatorRGB(11, [1, 0, 0]) - - #mid point - #self.setIndicatorRGB(9, [0, 0, 0]) - self.setIndicatorRGB(10, [0, 0, 0]) - self.setIndicatorRGB(11, [1, 0, 0]) - - #self.setIndicatorRGB(9, [0, 0, 0]) - self.setIndicatorRGB(10, [1, 0, 0]) - self.setIndicatorRGB(11, [1, 0, 0]) - - self.setIndicatorRGB(9, [1, 0, 0]) - #self.setIndicatorRGB(10, [1, 0, 0]) - self.setIndicatorRGB(11, [1, 0, 0]) - - self.setIndicatorRGB(8, [1, 0, 0]) - #self.setIndicatorRGB(9, [1, 0, 0]) - #self.setIndicatorRGB(10, [1, 0, 0]) - self.setIndicatorRGB(11, [0, 0, 0]) - - self.setIndicatorRGB(7, [1, 0, 0]) - #self.setIndicatorRGB(8, [1, 0, 0]) - #self.setIndicatorRGB(9, [1, 0, 0]) - self.setIndicatorRGB(10, [0, 0, 0]) - - self.setIndicatorRGB(6, [1, 0, 0]) - #self.setIndicatorRGB(7, [1, 0, 0]) - #self.setIndicatorRGB(8, [1, 0, 0]) - self.setIndicatorRGB(9, [0, 0, 0]) - - self.setIndicatorRGB(5, [1, 0, 0]) - #self.setIndicatorRGB(6, [1, 0, 0]) - #self.setIndicatorRGB(7, [1, 0, 0]) - self.setIndicatorRGB(8, [0, 0, 0]) - - self.setIndicatorRGB(4, [1, 0, 0]) - #self.setIndicatorRGB(5, [1, 0, 0]) - #self.setIndicatorRGB(6, [1, 0, 0]) - self.setIndicatorRGB(7, [0, 0, 0]) - - self.setIndicatorRGB(4, [1, 0, 0]) - #self.setIndicatorRGB(5, [1, 0, 0]) - self.setIndicatorRGB(6, [0, 0, 0]) - - self.setIndicatorRGB(3, [1, 0, 0]) - #self.setIndicatorRGB(4, [1, 0, 0]) - self.setIndicatorRGB(5, [0, 0, 0]) - - self.setIndicatorRGB(2, [1, 0, 0]) - #self.setIndicatorRGB(3, [1, 0, 0]) - self.setIndicatorRGB(4, [0, 0, 0]) - - self.setIndicatorRGB(1, [1, 0, 0]) - #self.setIndicatorRGB(2, [1, 0, 0]) - self.setIndicatorRGB(3, [0, 0, 0]) - - self.setIndicatorRGB(1, [1, 0, 0]) - self.setIndicatorRGB(2, [0, 0, 0]) - - self.setIndicatorRGB(1, [1, 0, 0]) - self.setIndicatorRGB(2, [0, 0, 0]) - print("\tLED test completed") - - def test(self): - print(" Testing the powermodule") - self.allBlack() - # loop over red - for iLED in range(0, 12): - self.setIndicatorRGB(iLED, [1, 0, 0]) - self.setIndicatorRGB(iLED-1, [0, 0, 0]) - time.sleep(0.1) - self.allBlack() - # loop over green - for iLED in range(0, 12): - self.setIndicatorRGB(iLED, [0, 1, 0]) - self.setIndicatorRGB(iLED-1, [0, 0, 0]) - time.sleep(0.1) - self.allBlack() - # loop over blue (one will be missing) - for iLED in range(0, 12): - self.setIndicatorRGB(iLED, [0, 0, 1]) - self.setIndicatorRGB(iLED-1, [0, 0, 0]) - time.sleep(0.1) - self.allBlack() - print(" Powermodule test done") - return diff --git a/packages/TLU_v1e/__init__.py b/packages/TLU_v1e/__init__.py deleted file mode 100644 index e69de29..0000000 diff --git a/packages/TLU_v1e/output.csv b/packages/TLU_v1e/output.csv deleted file mode 100644 index e69de29..0000000 diff --git a/packages/TLUaddrmap_BKP.xml b/packages/TLUaddrmap_BKP.xml deleted file mode 100644 index 65fb534..0000000 --- a/packages/TLUaddrmap_BKP.xml +++ /dev/null @@ -1,105 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/packages/TLUconnection_BKP.xml b/packages/TLUconnection_BKP.xml deleted file mode 100644 index fca67f5..0000000 --- a/packages/TLUconnection_BKP.xml +++ /dev/null @@ -1,6 +0,0 @@ - - - - - diff --git a/packages/__init__.py b/packages/__init__.py deleted file mode 100644 index e69de29..0000000 diff --git a/packages/si5345.py b/packages/si5345.py deleted file mode 100644 index eedf85d..0000000 --- a/packages/si5345.py +++ /dev/null @@ -1,152 +0,0 @@ -import time -import uhal -from packages.I2CuHal import I2CCore -import io -import csv -import sys - -class si5345: - #Class to configure the Si5344 clock generator - - def __init__(self, i2c, slaveaddr=0x68): - self.i2c = i2c - self.slaveaddr = slaveaddr - #self.configList= - - #def writeReg(self, address, data): - - def readRegister(self, myaddr, nwords, verbose= False): - ### Read a specific register on the Si5344 chip. There is not check on the validity of the address but - ### the code sets the correct page before reading. - - #First make sure we are on the correct page - currentPg= self.getPage() - requirePg= (myaddr & 0xFF00) >> 8 - if verbose: - print("REG", hex(myaddr), "CURR PG" , hex(currentPg[0]), "REQ PG", hex(requirePg)) - if currentPg[0] != requirePg: - self.setPage(requirePg) - #Now read from register. - addr=[] - addr.append(myaddr) - mystop=False - self.i2c.write( self.slaveaddr, addr, mystop) - # time.sleep(0.1) - res= self.i2c.read( self.slaveaddr, nwords) - return res - - def writeRegister(self, myaddr, data, verbose=False): - ### Write a specific register on the Si5344 chip. There is not check on the validity of the address but - ### the code sets the correct page before reading. - ### myaddr is an int - ### data is a list of ints - - #First make sure we are on the correct page - myaddr= myaddr & 0xFFFF - currentPg= self.getPage() - requirePg= (myaddr & 0xFF00) >> 8 - #print "REG", hex(myaddr), "CURR PG" , currentPg, "REQ PG", hex(requirePg) - if currentPg[0] != requirePg: - self.setPage(requirePg) - #Now write to register. - data.insert(0, myaddr) - if verbose: - print(" Writing: ") - result="\t " - for iaddr in data: - result+="%#02x "%(iaddr) - print(result) - self.i2c.write( self.slaveaddr, data) - #time.sleep(0.01) - - def setPage(self, page, verbose=False): - #Configure the chip to perform operations on the specified address page. - mystop=True - myaddr= [0x01, page] - self.i2c.write( self.slaveaddr, myaddr, mystop) - #time.sleep(0.01) - if verbose: - print(" Si5345 Set Reg Page:", page) - - def getPage(self, verbose=False): - #Read the current address page - mystop=False - myaddr= [0x01] - self.i2c.write( self.slaveaddr, myaddr, mystop) - rPage= self.i2c.read( self.slaveaddr, 1) - #time.sleep(0.1) - if verbose: - print("\tPage read:", rPage) - return rPage - - def getDeviceVersion(self): - #Read registers containing chip information - mystop=False - nwords=2 - myaddr= [0x02 ]#0xfa - self.setPage(0) - self.i2c.write( self.slaveaddr, myaddr, mystop) - #time.sleep(0.1) - res= self.i2c.read( self.slaveaddr, nwords) - print(" Si5345 EEPROM: ") - result="\t" - for iaddr in reversed(res): - result+="%#02x "%(iaddr) - print(result) - return res - - def parse_clk(self, filename, verbose= False): - #Parse the configuration file produced by Clockbuilder Pro (Silicon Labs) - deletedcomments="""""" - if verbose: - print("\tParsing file", filename) - with open(filename, 'r') as configfile: - for i, line in enumerate(configfile): - if not line[0] == '#' : - if not line[0:3] == 'Add': - deletedcomments+=line - csvfile = io.StringIO(deletedcomments) - cvr= csv.reader(csvfile, delimiter=',', quotechar='|') - #print "\tN elements parser:", sum(1 for row in cvr) - #return [addr_list,data_list] - # for item in cvr: - # print "rere" - # regAddr= int(item[0], 16) - # regData[0]= int(item[1], 16) - # print "\t ", hex(regAddr), hex(regData[0]) - #self.configList= cvr - regSettingList= list(cvr) - if verbose: - print("\t ", len(regSettingList), "elements") - return regSettingList - - def writeConfiguration(self, regSettingList, verbose= 0): - print(" Si5345 Writing configuration:") - toolbar_width = 38 - if (verbose==1): - sys.stdout.write(" [%s]" % (" " * toolbar_width)) - sys.stdout.flush() - sys.stdout.write("\b" * (toolbar_width+1)) # return to start of line, after '[' - #regSettingList= list(regSettingCsv) - counter=0 - for item in regSettingList: - regAddr= int(item[0], 16) - regData=[0] - regData[0]= int(item[1], 16) - if (verbose > 1): - print("\t", counter, "Reg:", hex(regAddr), "Data:", regData) - counter += 1 - self.writeRegister(regAddr, regData, False) - if (not(counter % 10) and (verbose==1)): - sys.stdout.write("-") - sys.stdout.flush() - sys.stdout.write("\n") - print("\tSi5345 configuration done") - - def checkDesignID(self): - regAddr= 0x026B - res= self.readRegister(regAddr, 8) - result= " Si5345 design Id:\n\t" - for iaddr in res: - result+=chr(iaddr) - print(result) diff --git a/setup.py b/setup.py index 6b5577c..9fa0e09 100644 --- a/setup.py +++ b/setup.py @@ -1,12 +1,15 @@ from setuptools import setup from setuptools import find_packages +import aidatlu + author = 'Christian Bespin' author_email = 'bespin@physik.uni-bonn.de' # Requirements install_requires = [''] + setup( name='aidatlu', version='0.1.0', From 7550e808c1d3f14f12432eb764efb070664997f2 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 13:33:51 +0100 Subject: [PATCH 39/68] GIT: removed some meta files --- .github/workflows/workflow.yml | 32 --------------------------- .gitignore | 2 ++ .vscode/ltex.dictionary.en-US.txt | 1 - aidatlu.egg-info/PKG-INFO | 12 ---------- aidatlu.egg-info/SOURCES.txt | 11 --------- aidatlu.egg-info/dependency_links.txt | 1 - aidatlu.egg-info/top_level.txt | 1 - 7 files changed, 2 insertions(+), 58 deletions(-) delete mode 100644 .github/workflows/workflow.yml delete mode 100644 .vscode/ltex.dictionary.en-US.txt delete mode 100644 aidatlu.egg-info/PKG-INFO delete mode 100644 aidatlu.egg-info/SOURCES.txt delete mode 100644 aidatlu.egg-info/dependency_links.txt delete mode 100644 aidatlu.egg-info/top_level.txt diff --git a/.github/workflows/workflow.yml b/.github/workflows/workflow.yml deleted file mode 100644 index d9dbd7e..0000000 --- a/.github/workflows/workflow.yml +++ /dev/null @@ -1,32 +0,0 @@ -name: Publish Sphinx Documentation - -on: - push: - -jobs: - publish_sphinx_docs: - runs-on: ubuntu-latest - permissions: - contents: write - steps: - - uses: actions/checkout@v3 - - uses: actions/setup-python@v3 - with: - python-version: "3.10" - - name: Install dependencies - run: | - pip install -e . - pip install sphinx furo - pip install sphinx_mdinclude - pip install sphinx-rtd-theme - - name: Sphinx build - run: | - sphinx-apidoc -o docs src/sphinxy/ --separate - sphinx-build docs docs/_build/html - - name: Deploy - uses: peaceiris/actions-gh-pages@v3 - with: - publish_branch: gh-pages - github_token: ${{ secrets.GITHUB_TOKEN }} - publish_dir: docs/_build/html - force_orphan: true \ No newline at end of file diff --git a/.gitignore b/.gitignore index c47484c..7c22bbd 100644 --- a/.gitignore +++ b/.gitignore @@ -3,6 +3,7 @@ test.ipynb *.h5 !/aidatlu/test/interpreted_data.h5 !/aidatlu/test/raw_data_test.h5 +.vscode # Byte-compiled / optimized / DLL files __pycache__/ @@ -29,6 +30,7 @@ wheels/ pip-wheel-metadata/ share/python-wheels/ *.egg-info/ +*.egg-info .installed.cfg *.egg MANIFEST diff --git a/.vscode/ltex.dictionary.en-US.txt b/.vscode/ltex.dictionary.en-US.txt deleted file mode 100644 index 924c3f6..0000000 --- a/.vscode/ltex.dictionary.en-US.txt +++ /dev/null @@ -1 +0,0 @@ -literaral diff --git a/aidatlu.egg-info/PKG-INFO b/aidatlu.egg-info/PKG-INFO deleted file mode 100644 index 5ebf994..0000000 --- a/aidatlu.egg-info/PKG-INFO +++ /dev/null @@ -1,12 +0,0 @@ -Metadata-Version: 2.1 -Name: aidatlu -Version: 0.1.0 -Summary: Control software for AIDA-2020 TLU -Home-page: https://github.com/Silab-Bonn/aidatlu -Author: Christian Bespin -Author-email: bespin@physik.uni-bonn.de -Maintainer: Christian Bespin -Maintainer-email: bespin@physik.uni-bonn.de -Platform: posix -Requires-Python: >=3.8 -License-File: LICENSE diff --git a/aidatlu.egg-info/SOURCES.txt b/aidatlu.egg-info/SOURCES.txt deleted file mode 100644 index 1729162..0000000 --- a/aidatlu.egg-info/SOURCES.txt +++ /dev/null @@ -1,11 +0,0 @@ -LICENSE -README.md -setup.py -aidatlu/TLUPyProducer.py -aidatlu/__init__.py -aidatlu/aidatlu.py -aidatlu/logger.py -aidatlu.egg-info/PKG-INFO -aidatlu.egg-info/SOURCES.txt -aidatlu.egg-info/dependency_links.txt -aidatlu.egg-info/top_level.txt \ No newline at end of file diff --git a/aidatlu.egg-info/dependency_links.txt b/aidatlu.egg-info/dependency_links.txt deleted file mode 100644 index 8b13789..0000000 --- a/aidatlu.egg-info/dependency_links.txt +++ /dev/null @@ -1 +0,0 @@ - diff --git a/aidatlu.egg-info/top_level.txt b/aidatlu.egg-info/top_level.txt deleted file mode 100644 index 976da23..0000000 --- a/aidatlu.egg-info/top_level.txt +++ /dev/null @@ -1 +0,0 @@ -aidatlu From 19510aca9503e2021261d645735aa222e87f5991 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 14:00:26 +0100 Subject: [PATCH 40/68] GIT: workflow --- .github/workflows/documentation.yml | 27 +++++++++++++++++++++++++++ 1 file changed, 27 insertions(+) create mode 100644 .github/workflows/documentation.yml diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml new file mode 100644 index 0000000..c2e13a1 --- /dev/null +++ b/.github/workflows/documentation.yml @@ -0,0 +1,27 @@ +name: documentation + +on: [push, pull_request, workflow_dispatch] + +permissions: + contents: write + +jobs: + docs: + runs-on: ubuntu-latest + steps: + - uses: actions/checkout@v3 + - uses: actions/setup-python@v3 + - name: Install dependencies + run: | + pip install sphinx sphinx_rtd_theme myst_parser sphinx_mdinclude + - name: Sphinx build + run: | + sphinx-build doc _build + - name: Deploy to GitHub Pages + uses: peaceiris/actions-gh-pages@v3 + if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/main' }} + with: + publish_branch: gh-pages + github_token: ${{ secrets.GITHUB_TOKEN }} + publish_dir: _build/ + force_orphan: true \ No newline at end of file From ace0c0238d468659e38466ce2e57e21afa5c6d8b Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 14:05:26 +0100 Subject: [PATCH 41/68] GIT: workflow bug --- .github/workflows/documentation.yml | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index c2e13a1..0c82375 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -16,7 +16,7 @@ jobs: pip install sphinx sphinx_rtd_theme myst_parser sphinx_mdinclude - name: Sphinx build run: | - sphinx-build doc _build + sphinx-build docs _build - name: Deploy to GitHub Pages uses: peaceiris/actions-gh-pages@v3 if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/main' }} @@ -24,4 +24,4 @@ jobs: publish_branch: gh-pages github_token: ${{ secrets.GITHUB_TOKEN }} publish_dir: _build/ - force_orphan: true \ No newline at end of file + force_orphan: true From afa0a6bce64748d609af225e8338092116fabad4 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 14:09:17 +0100 Subject: [PATCH 42/68] GIT: workflow bug 2 --- .github/workflows/documentation.yml | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index 0c82375..8967d25 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -16,7 +16,7 @@ jobs: pip install sphinx sphinx_rtd_theme myst_parser sphinx_mdinclude - name: Sphinx build run: | - sphinx-build docs _build + sphinx-build docs/source _build - name: Deploy to GitHub Pages uses: peaceiris/actions-gh-pages@v3 if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/main' }} From fde23568be1a098d497b649184f9cad7466bd897 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 21 Nov 2023 14:47:32 +0100 Subject: [PATCH 43/68] BUG: small fixes for pytest --- README.md | 7 ++++++- aidatlu/test/hardware_test.py | 2 +- aidatlu/test/software_test.py | 2 +- docs/source/conf.py | 2 +- 4 files changed, 9 insertions(+), 4 deletions(-) diff --git a/README.md b/README.md index 53749a6..8d7877a 100644 --- a/README.md +++ b/README.md @@ -16,10 +16,15 @@ make -j $((`nproc`-1)) # Pass current PATH to su shell to build against current environment python sudo env PATH=$PATH make install prefix= ``` -One needs then to import the library path. +One needs then to import the library path ```bash export LD_LIBRARY_PATH=/opt/cactus/lib ``` +and start the controlhub +```bash +controlhub_start +``` +in the according directory. ## Python packages Install the python package as usual ``` diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index 0208a52..cf82e30 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -180,7 +180,7 @@ def test_tlu(): hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) clock_path = "../misc/aida_tlu_clk_config.txt" - config_path = "../conf.yaml" + config_path = "../tlu_configuration.yaml" tlu = AidaTLU(hw, config_path, clock_path) diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index 548a7c4..c010093 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -53,6 +53,6 @@ def test_interpreted_data(): def test_load_config(): - config_path = "../conf.yaml" + config_path = "../tlu_configuration.yaml" with open(config_path, "r") as file: conf = yaml.full_load(file) diff --git a/docs/source/conf.py b/docs/source/conf.py index bb68ed2..f144cba 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -47,7 +47,7 @@ '.md': 'markdown', } -autodoc_mock_imports = ["hardware", "DutLogic", "main"] +autodoc_mock_imports = ["hardware", "DutLogic", "main", "aidatlu"] # -- Options for HTML output ------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#options-for-html-output From 2691c9b67cb9700673b8056f7366c5c6d6ade900 Mon Sep 17 00:00:00 2001 From: rasmus Date: Tue, 21 Nov 2023 18:23:54 +0100 Subject: [PATCH 44/68] DOC: better README --- .github/workflows/documentation.yml | 1 + README.md | 35 ++++--- aidatlu/README.md | 31 +++--- docs/source/Documentation.rst | 151 ++++++++++++++++++---------- docs/source/conf.py | 2 +- docs/source/img/test_setup_2.png | Bin 0 -> 14252 bytes setup.py | 2 +- 7 files changed, 136 insertions(+), 86 deletions(-) create mode 100644 docs/source/img/test_setup_2.png diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index 8967d25..8008d53 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -13,6 +13,7 @@ jobs: - uses: actions/setup-python@v3 - name: Install dependencies run: | + pip install -e . pip install sphinx sphinx_rtd_theme myst_parser sphinx_mdinclude - name: Sphinx build run: | diff --git a/README.md b/README.md index 8d7877a..37bb43a 100644 --- a/README.md +++ b/README.md @@ -1,22 +1,23 @@ # AIDA-TLU [![Code style: black](https://img.shields.io/badge/code%20style-black-000000.svg)](https://github.com/psf/black) -Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with python using uHAL bindings from [IPbus](https://ipbus.web.cern.ch/). -The python control software is based upon [EUDAQ2](https://github.com/eudaq/eudaq/tree/master/user/tlu). -The software is a lightweight version written in python with a strong focus on readability and user-friendliness. -Most user cases can be set with a .yaml configuration file and started by executing a single python script. -For a more in depth look at the hardware components please take a look at the official [AIDA-2020 TLU project](https://ohwr.org/project/fmc-mtlu). +Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with Python using uHAL bindings from [IPbus](https://ipbus.web.cern.ch/). +The Python control software is based on [EUDAQ2](https://github.com/eudaq/eudaq/tree/master/user/tlu). +The software is a lightweight version written in Python with a focus on readability and user-friendliness. +Most user cases can be set with a .yaml configuration file and started by executing a single Python script. +For a more in-depth look at the hardware components please take a look at the official [AIDA-2020 TLU project](https://ohwr.org/project/fmc-mtlu). # Installation ## IPbus -You need to install [IPbus](https://ipbus.web.cern.ch/doc/user/html/software/install/compile.html) and its python bindings to the desired interpreter. -Follow the linked tutorial for pre-requisites and general installation. -The following commands have been proven useful for custom installation and building against current (non-system) python within an environment: +You need to install [IPbus](https://ipbus.web.cern.ch/doc/user/html/software/install/compile.html) and its Python bindings to the desired interpreter. +Follow the linked tutorial for prerequisites and general installation. +The following commands have been proven useful for custom installation and building against current (non-system) Python within an environment: ```bash make -j $((`nproc`-1)) # Pass current PATH to su shell to build against current environment python sudo env PATH=$PATH make install prefix= ``` -One needs then to import the library path +Afterwards you should be able to import uhal in your specific Python environment. +Then import the library path ```bash export LD_LIBRARY_PATH=/opt/cactus/lib ``` @@ -24,9 +25,9 @@ and start the controlhub ```bash controlhub_start ``` -in the according directory. +from the corresponding directory. ## Python packages -Install the python package as usual +Install the Python package as usual. ``` pip install -e . ``` @@ -41,20 +42,24 @@ The TLU is configured with the standard tlu_configuration file. To stop the run While configuring the TLU outputs are powered on and off. -This leads to problems in AIDA mode where the clock is powered off shortly during configuring. -To avoid configuration at the start of runs in AIDA mode the best way is to use the aidatlu.py script. +This leads to problems in AIDA mode where the clock is powered off shortly during configuration. +To avoid this at the start of runs in AIDA mode the best way is to use the aidatlu.py script. This is started and controlled with the terminal input: ```bash python -i aidatlu.py ``` -This initializes the main tlu.py script. One is now able to control the TLU through the python terminal interface, +This initializes the main tlu.py script. One is now able to control the TLU through the Python terminal interface, with the following commands: ```bash tlu.configure tlu.run tlu.help ``` +Naturally, this also works for any EUDET mode runs. Runs are stopped with the keyboard interrupt ctr+c. For more commands take a look at the python script aidatlu.py. -All configurations are done by the use of a yaml file (tlu_configuration.yaml). \ No newline at end of file +All configurations are done by the use of a yaml file (tlu_configuration.yaml). + +# Documentation +For some more details take a look at the [documentation](https://silab-bonn.github.io/aidatlu/). \ No newline at end of file diff --git a/aidatlu/README.md b/aidatlu/README.md index eb4adf7..191f187 100644 --- a/aidatlu/README.md +++ b/aidatlu/README.md @@ -1,34 +1,36 @@ # Configuration -Settings and configurations of the AIDA 2020 TLU are set through a yaml file (tlu_configuration.yaml). +The AIDA-2020 TLU is configured using a yaml file (tlu_configuration.yaml). +In the following, the possible configuration parameters and settings are briefly explained. ### internal_trigger -The first setting internal trigger allows the TLU to generate trigger internally with a given frequency. -To disable the generation of internal trigger one sets this frequency to zero. +The setting internal trigger allows the TLU to generate a trigger internally with a given frequency. +To disable the generation of internal triggers set this frequency to zero. ### dut_module -In the second setting called DUT module, the different DUT interfaces and operating modes are configured. +The DUT module configures the individual DUT interfaces. +Where each interface can be set to one operating mode. The possible modes are 'aida', 'aidatrig' and 'eudet'. -These correspond to the according operating modes with 'aidatrig' the AIDA mode with trigger number. +With 'aidatrig' the AIDA mode with additional trigger number. It is important to note that only working DUT devices should be enabled. One not properly working DUT can block the TLU from sending out triggers. ### trigger_inputs Trigger inputs take care of the complete control of the trigger inputs. -Where for one the trigger input thresholds can be tuned in Volt between [-1.3; 1.3] V. +Where the threshold for each trigger input can be tuned in Volt between [-1.3; 1.3] V. -The next setting controls the trigger logic. +Another setting controls the trigger input logic. Each trigger input can have one of three settings. The input can act as 'active', 'veto' or 'do not care'. -Between each trigger input there is also the possibility to set 'AND' or 'OR'. -A desired trigger configuration is set with the use of the [python bitwise operators](https://wiki.python.org/moin/BitwiseOperators). -These operators are used in conjunction with the input channels CH1-CH6 and interpreted as a literaral expression. +Between each trigger input, there is also the possibility to set 'AND' or 'OR'. +A desired trigger configuration is set with the use of the [Python bitwise operators](https://wiki.python.org/moin/BitwiseOperators). +These operators are used in conjunction with the input channels CH1-CH6 and interpreted as a literal logic expression. An input channel that is not explicitly set to 'veto' or 'enabled' is automatically set to 'do not care'. -The last two settings control if the TLU should trigger on a rising or falling edge of an incoming trigger signal. -With the other dictionary one controls the trigger signal shapes. +Trigger polarity controls if the TLU should trigger on a rising (0) or falling (1) edge of an incoming trigger signal. -Each trigger input signal can be delayed and stretched by an amount of clock cycles. +Each trigger input signal can be delayed and stretched by a given number of clock cycles. This is set with a list containing the number of clock cycles for every different trigger input. This value is written in a 5-bit register so the maximum stretch or delay in clock cycles is 32. +One should stretch each used trigger input signal at least by 1 to prevent the generation of incomplete triggers. ### clock_lemo The clock LEMO setting enables or disables the clock LEMO output. @@ -39,5 +41,4 @@ Sets the PMT control voltage between [0; 1] V. ### Others Two settings concern the data handling. The creation of raw and interpreted data files. - -At last the zmq connection is set. \ No newline at end of file +At last, the zmq connection is set. \ No newline at end of file diff --git a/docs/source/Documentation.rst b/docs/source/Documentation.rst index 627bb12..aa5e8f7 100644 --- a/docs/source/Documentation.rst +++ b/docs/source/Documentation.rst @@ -5,10 +5,12 @@ Documentation Introduction ************* -The documentation presented here describes a newly adapted Python based control system. -This system is mostly adapted upon the EUDAQ2 TLU software (https://github.com/eudaq/eudaq/tree/master/user/tlu). -And gives a rough summary of the original documentation (https://ohwr.org/project/fmc-mtlu). +The documentation presented here describes a newly adapted Python based control system for the AIDA-2020 Trigger Logic Unit (TLU). +This system is mostly based upon the EUDAQ2 TLU software (https://github.com/eudaq/eudaq/tree/master/user/tlu) +with some additional features for better usability and integration into the SiLab-Bonn infrastructure. In the following the control of the different hardware components as well as additional features of the control software are described. +And a rough summary of the original documentation (https://ohwr.org/project/fmc-mtlu) is presented. +During developing the present software I stumbled over some technical details which are also shown below. Hardware ******************** @@ -17,32 +19,35 @@ Inter-Integrated Circuit I^2C --------------------------------- The configuration of the different board features and hardware components goes via I^2C interface. -This interface is widely used as a serial communication bus IPbus (https://ipbus.web.cern.ch/doc/user/html/) -and provides the protocol for the Ethernet communication driver. -The user interface is uHAL (https://ipbus.web.cern.ch/doc/user/html/software/uhalQuickTutorial.html) a C++/Python library. -Each register has an identifying address. The addresses can be found in a yaml file. +This interface is widely used as a serial communication bus and provides the protocol for the Ethernet communication driver. +IPbus (https://ipbus.web.cern.ch/doc/user/html/) allows the access to the FPGA hardware of the TLU. +The user interface uHAL (https://ipbus.web.cern.ch/doc/user/html/software/uhalQuickTutorial.html) is a C++/Python library +for all needed read/write hardware level functionality. +Each register has an identifying address. The addresses can be found in a yaml file (take a look at /misc). The script i2c.py writes and reads bits to and from each of these registers. I/O Expander --------------------------------- -The TLU uses four I/O expander chips PCA9539PW. -Each of these chips provide two 8-bit input output expansions and can be used in parallel for general purposes. -The 11 front panel LEDs are controlled by two expanders where the other two configure the 4 HDMI inputs or outputs of the DUT interfaces. -To configure the chip to set for e.q. the polarity of one 8-bit expansions a command byte is set to the register. +The TLU uses four I/O expander PCA9539PW. +Each of these chips provide two 8-bit input output expansions and can be used in parallel. +The 11 front panel LEDs are controlled by two expanders where the other two configure the 4 HDMI inputs and/or outputs of the DUT interfaces. +To configure the chip and to set for e.q. the polarity of one of the 8-bit expansions a command byte is set to the register. +Afterwards the actual data follows. The script ioexpander\_controller.py writes the command byte to the right expander. -To control the four expanders the script uses an identifier for the -LED expanders (io\_exp = 1) or output expanders (io\_exp = 2). -To differentiate each of the two expanders another identifier is used (exp\_id). +To control the four expanders the script uses an identifier for the two +LED expanders (io\_exp = 1) and two output expanders (io\_exp = 2). +To further differentiate each of the two expanders another identifier is used (exp\_id). Clock Chip --------------------------------- -A Si5345 clock generator chip provides the clock. -This clock is used internally in for e.q. the trigger generation, but can also be distributed to each of the DUT's. +A Si5345 is used for clock generation. +This clock is used internally in for e.q. the trigger generation, but can also be distributed to each of the DUT's for synchronous operating mode. The chip allows the generation of internal triggers in principle up to 160 MHz. The trigger rate is calculated from clock intervals this leads to a rounding error for higher trigger frequencies. So higher trigger frequencies are shifted slightly. + The clock chip needs to be configured. To do so a configuration file containing ~380 address-data pairs is written to the chip via I^2C. -This configuration file can be generated by a software (https://www.skyworksinc.com/en/application-pages/clockbuilder-pro-software). +This configuration file can be generated by software (https://www.skyworksinc.com/en/application-pages/clockbuilder-pro-software). The default clock frequency using the default configuration file is 40 MHz. For now this frequency can not be changed. The script clock\_controller.py configures the chip. Most of the other functions are just used for bug fixing. @@ -53,21 +58,21 @@ To transform the different output and input voltages from digital signals to ana three AD5665R DAC's are used. Here one DAC's is used for the photomultiplier (PMT) power outputs the other two for the threshold of the trigger inputs. According to the data sheet the DAC's have an internal reference voltage of 2.5 V. -Although the external voltage of 1.3 V is set as default for all implemented user cases. +Nevertheless an external voltage of 1.3 V is set as default for all implemented user cases. Each DAC has four output pins that can be used in parallel. Functions to control the DAC's can be found in voltage\_controller. Power DAC's +++++++++++++++ The four output channels of one DAC are dedicated to the control voltage of the four different PMT power outputs. -Each output has a range of 0 V to 1 V, with the external reference voltage. -An internal reference voltage leads to a possible output voltage of up to 2 V. +Each output has a range of 0 V to 1 V, using the external reference voltage. +Where an internal reference voltage leads to a possible output voltage of up to 2 V. Power Module +++++++++++++++++ -Four 4-pin LEMO connectors not only deliver a control voltage to the PMT's but also distribute power in general. -The 4-pin LEMO has the following pin connections. -Pin 1 is used for general power 12 V, pin 2 is not connected. +Four 4-pin LEMO connectors not only deliver a control voltage but also distribute power in general to the PMT's. +The LEMO has the following pin connections. +Pin 1 is used for 12 V general power, pin 2 is not connected. The control voltage is on pin 3 and has the range [0; 1] V. At last pin 4 is connected to ground. @@ -75,7 +80,7 @@ At last pin 4 is connected to ground. :width: 300 Three green LEDs on the front panel indicate the correct functioning of the power module. -The POWER LED for the 12 V supply voltage, the other two are for the 5 V voltage regulators. +The POWER LED for 12 V supply voltage, the other two are for 5 V voltage regulators. Threshold DAC's +++++++++++++++++++ @@ -83,24 +88,28 @@ To transform the analog signals of the 6 trigger inputs to digital signals two D The first two inputs are connected to one DAC the last 4 to the other one. Each input channel is connected in reverse to the DAC input. A mapping in software corrects these connections. -So to set the threshold of one input channel one uses the function set\_threshold with the trigger input from 1 to 6 +To set the threshold of one input channel one uses the function set\_threshold with the trigger input from 1 to 6 and set the threshold to Volt. The threshold range is [-1.3; 1.3] V. The calculated voltage resolution is about 40 uV. These values correspond to the external reference voltage, as the default. +Also some people say trigger input 2 has some glitches. -HDMI connectors +DUT interfaces (HDMI connectors) --------------------------------- Four HDMI connectors are used as the interface between the TLU and the different DUT devices. Each pin works bidirectional any two differential signal pairs can be set as output or input. The direction of the HDMI pins is set by two I/O expanders with the following signal pins. -The first differential signal is a clock signal (CLK). +Where the first differential signal is a clock signal (CLK). This clock signal can be enabled/disabled and is provided either by the Clock Chip or directly from the FPGA clock. -Depending on the operating mode also different words are sent through the clock line. +For now the clock from the FPGA does not work. +Depending on the operating mode also different trigger words are sent through the clock line (e.q. trigger number in EUDET mode). The next signal is the content (CONT). This signal is used by the TLU to issue control commands. The BUSY signal is usually set by the DUT and raises a VETO for the generation of new trigger depending on operating mode. SPARE is only used by the AIDA mode and raises a reset signal at the start of runs and should also be driven by the TLU. Trigger (TRIG) is set by the TLU at default. Through the trigger line not only trigger signals are issued but also trigger words depending on the operating mode. +Setting the correct polarity to these pins is essential for correct operation working of the TLU. +One should also note that DUT interface should not be used in AIDA mode according to higher sources. .. image:: img/hdmi.png :width: 400 @@ -155,24 +164,40 @@ DUT Logic The DUT logic in dut\_controller.py sets the DUT operating modes. Different DUT devices are enabled or disabled by the function set\_dut\_mask. One important thing is to only enable DUT interfaces that are in use. -Enabling to many DUT interfaces blocks all HDMI connections XX. +A not connected device configured in handshake mode blocks the working of the TLU. The operating mode is set by the function set\_dut\_mask\_mode each DUT is controlled by two bits in an 8-bit WORD. -Bit 0 and 1 control DUT 1, bit 2 and 3 DUT 2 and so on. AIDA mode is set by setting bit to 11 and EUDET mode by setting 00. +Bit 0 and 1 control DUT 1, bit 2 and 3 DUT 2 and so on. AIDA mode is set by setting the bits to 11 and EUDET mode by setting 00. So to set DUT 1 to AIDA mode and the rest to EUDET mode one hast to set the bit-WORD '00000011' to the function. Trigger Logic --------------------------------- -The TLU can trigger from six different trigger inputs. -Each input can be enabled or set to VETO new triggers. -Between each trigger input there is also the possibility to set AND or OR. +The TLU can produce valid triggers from six different trigger inputs. +Each input can accept or veto new triggers. +Between each trigger input there is also the possibility to set 'AND' or 'OR'. This leads to 64 possible combinations of so-called trigger words. -Each trigger word describes one specific trigger configuration. -Where one obtains the resulting trigger configuration to write into the trigger logic register by adding up all desired valid trigger configurations. -The software uses two different variants of these words, one is the long word variant. -This is just the 64-bit trigger word. +Where each trigger word describes one specific trigger configuration. +One obtains the resulting trigger configuration to write into the trigger logic register by adding up all desired valid trigger configurations. +For example if one need triggers from input 1 or input 2. +Than all valid trigger combinations are: + +.. table:: + :align: left + + +--------+---------+ + |Input 1 | Input 2 | + +========+=========+ + |1 | 0 | + +--------+---------+ + |0 | 1 | + +--------+---------+ + |1 | 1 | + +--------+---------+ + +The software uses two different variants of these words. +A long word variant which is just the 64-bit trigger word. For the second one the long word is split into two 32-bit words (mask\_low and mask\_high). To help with the generation of these trigger words, the software uses a specific function to translate -the trigger settings in the configuration file to these words see sec\ref{sec:config}. +the trigger settings in the configuration file to these words. The trigger signals from the different trigger inputs can be stretched and delayed accounting for different trigger hardware setups. @@ -186,34 +211,40 @@ rounding error for higher frequency. This shifts the actual output trigger frequ The number of triggers since the last trigger VETO is stored together with the total number of triggers per run. -From these numbers general status messages for e.q. the trigger rate are generated. +From these numbers general status messages for e.q. the trigger rate are generated. +These status messages can also be distributed over a zmq socket using the online monitor. Operating Modes ***************** -The TLU can run in different operating modes. This allows more flexibility for different DUT readouts. -Different modes can provide clock synchronizations or trigger number together with the trigger signal. -One can also set a veto signal for new signals to the TLU. +The TLU runs in different operating modes. This allows more flexibility for different DUT readout setups. +Different modes can provide clock synchronizations or distributes the trigger number together with the trigger signal. +Each DUT can be run in different operating modes where a single one vetos new triggers for all devices. +This vetoing for all devices can be disabled (but is not implemented). EUDET Handshake Mode --------------------------- The TLU sets TRIGGER to high for 1 clock cycles. Afterwards the DUT asserts BUSY and sends a clock to the TLU through CLOCK. This clocks out the trigger number from the TLU to TRIGGER. -To set the software to the operating mode a 0b00 is set to the DUT logic. -One the other hand this mode can also be asserted with the configuration.yaml file. +To set the software to the EUDET operating mode a 0b00 is set to the according DUT logic. The clock output needs to be disabled for this mode to work. -If the clock output is enabled and set to for e.q. chip then the trigger number is not clocked out correctly. -Where only the least significant 15 bit of the trigger word are sent out. +If the clock output is enabled then the trigger number is not clocked out correctly. +Only the least significant 15 bit of the trigger word are sent out. AIDA Mode ----------- +In AIDA mode the clock of the TLU and the DUT is synchronized. +For this the TLU clock needs to be distributed. +The distribution of the clock via the LEMO has the problem that the clock signal form no longer arrives cleanly at the device. +So distributing the clock usign the HDMI connectors is advised. +A important step is to synchronize all delays (e.q. different cable length) of the clock signal with the trigger signal if encountered. + At the start of a run the TLU sends out a RESET signal to the DUT. This signal can then be used by the DUT to synchronize the timestamp of the device and the TLU. Then the TLU sends triggers continuously to the DUT. Where each trigger signal has a length of one clock cycle. To generate a new trigger no answer of the DUT is needed. -But DUT can veto new trigger signals at any time by asserting BUSY. -To set the AIDA mode the following configurations need to be in place. -The following is a checklist for the working of the AIDA mode together with the BDAQ board. +But the DUT can veto new trigger signals at any time by asserting BUSY. +The following is a checklist for the working of the AIDA mode together with the (SiLab-Bonn) BDAQ board. * AIDA Mode BDAQ Firmware. * Change Testbench yaml. @@ -227,13 +258,14 @@ The following is a checklist for the working of the AIDA mode together with the the DUT scan needs to be started before the TLU scan for the RESET to arrive. * For now also the aidamode needs to be enabled in the scan configurations. - This can for now only be found on a special tj DAQ branch. + This can for now only be found on a special TJ DAQ branch. Or in the testbench yaml, depending on the setup there is to enable RESET option. If only one BDAQ board is used in AIDA mode there is a chance for two very fast trigger to occur right one after the other. If the distance between the triggers is smaller than the distance between the first trigger signal and the BUSY signal. Then the tlu sends out two triggers because no handshake is awaited. -This leads to an eventnumber drift. +This leads to an eventnumber drift. +This can be prevented by stretching the trigger input signal by some clock cycles. Another important thing is to follow the procedure for starting an AIDA run: * configure TLU @@ -264,7 +296,7 @@ To start the online monitor one navigates to the directory and uses for e.q. the start_online_monitor configuration.yaml -The next command reliable stops all instances of the running online monitor: +Another command reliable stops all instances of the running online monitor: .. code-block:: console @@ -275,8 +307,9 @@ Tests With pytest (https://docs.pytest.org/en/7.4.x/) the AIDA TLU control program can be tested. In the test directory different testing scripts can be found. The easiest way to test the whole setup it to navigate to the directory and type pytest into the terminal. -This starts a series of testing functions that start and stop different aspects of the control program. +This starts a series of testing functions that start and stop different aspects of the control software. The test setup helps to find bugs when further developing the TLU program and also to check for depreciated functions. +For now this testing needs a functioning connection to a AIDA TLU. The command: .. code-block:: console @@ -300,4 +333,14 @@ Integration into EUDAQ2 ------------------------ Due to the similarities of the python control software and the established EUDAQ TLU software an integration into EUDAQ2 is possible. -The TLUPyProducer.py is an example skeleton of such integration. \ No newline at end of file +The TLUPyProducer.py is an example skeleton of such integration. + +Testing setup +-------------------------- +A small test setup inside the lab is realized using a Pulse Generator. +With this pseudo scintillator pulses are generated. +The TLU then processes these pulses and sends them to one or multiple BDAQ boards. +One can then compare the Data recorded inside the TLU with the one recorded on the BDAQ boards. + +.. image:: img/test_setup_2.png + :width: 650 \ No newline at end of file diff --git a/docs/source/conf.py b/docs/source/conf.py index f144cba..5b480fc 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -47,7 +47,7 @@ '.md': 'markdown', } -autodoc_mock_imports = ["hardware", "DutLogic", "main", "aidatlu"] +autodoc_mock_imports = ["hardware", "DutLogic", "main", "uhal"] # -- Options for HTML output ------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#options-for-html-output diff --git a/docs/source/img/test_setup_2.png b/docs/source/img/test_setup_2.png new file mode 100644 index 0000000000000000000000000000000000000000..8bd9d35a371832a2fb669aeb06ed01e354abcae1 GIT binary patch literal 14252 zcmaib1yohsxECIp_BOE+L2rj|~rjKoEt6_@p3^=QH5g0roZcP8xA|4}m=MGUVm` zF3ihIXklfpXK11efslo}hI0zFeZ}oim(J4q@<9N_vio~7g79l@jJ&6;>{N$!nw||1O_Nx!KZ+*bVC?$>*1KyOPx>SmRarN_R z?w_5gAzcnREOXk)UfC>1$;(zD33Ww1wgJsgC4R(yD1;dSZ+lBnGh|6ScmgihxE_h7 zs3Sy4ZNbm}r zg^;2(1cIyy{d$(monr`Igs~A8=ZD!sc!!Gq{wgzE3j!g82=jfDahN?=uy>J>eSA8Z z>enG@ypnh;1?z=Ecpd^9UI*LzJU#z66}-YUe=|aEy%dFC9g_{}OY}X-XE^+IX=IFW zpEIu(N#lj-=$pCIJ!!xF`23A)sOw`WOzqWtZLIZCa>G`C?G~9BNhBPR7YdKcJEcMl zc6RnWKb)`;1HQEHLj3cD6q)egM}GN7=E{eWA~oMCww0${hMe+X;bwSXiM(o7-8~qM z!UV*L9xI}xUO`_%;SuV_`1gRP3gw@Jw`Jb{9yBL%T$6z_V9R@x;Qt`x&r-_g$xheK ztnF_Fjq>QKEq>3+V#&%CSIiRe&q^i^S(KP&nNu5e>!TAHnP6HaK)cS@O~g*6- z|G@}B!biI9c&VjpPE7j!-w);6$|%gu;V6%yPfc#`nTr2AO(-USh8V4MDzTxVnWeY= zka2wUP6Mf7BR)$9mn*bMO>n)2?9ISyY-4PYk$*=Q1JtCQ#%v9)JM4{qnNPZjyBgwE zH#@;x9wK2+~ zlY~L9g0VZCHw0Vjc~t`S8(^1KHc{C46}+sZb^;ponU*Vun5oAK#nM4Tjo(x#%glIG(7-gxGL zcbkh9Yo75esi^#9>JE=5E}$we)mJLMG-@gq%Jl3`Fhx_%hF~gEI@Lu|*UUSKf`~{N zy1Y{`kt2Mr{G1puMid8BjZfA?!n9u=dOeubrJj>k^@uwW`y ztzBR6e~&2e>$R7P4fnSfJT&oQnft;Kk;Fx;J5(Rseu#=9=np3CSg7*xy{xJ_ATVIH zKg10W50^i99~c<;nT(9)>2QBv%);WB+U)FnOmb~|yZhHGg!NB>5_?-ym!SoPh3pMo zfByU#-n(#cbX2|278e&M=4fzDo!i|tl1O6tCM}J|>3rEfH5D5bh0bI)-yQW?`aO-t zU-Q|a-smr-rKKxrzW5Oc>*T{zdt^ft4=YPfQdcP%!uk5sOM+=q`BXQy3{^2TFKeVy zWCPUlyGAER8>rUi7@pt0OskSYUtWEI`?(Wm zzFeW!+2%l0bo9xnC^fD1WhRk7@Nn=SrJz zPk;YfVrhgE;OKJKS`YYa*yFM*7f$;lYUv&~YSp0^)9 ze6X;zTp7=kZgx~h=DH@tVKNB|TqDmLEMD!`CslT@O3q{`^a>-=tnqcY-<&G5t9XsZ zAje$s;8DdKfBa(sVu4`S1yVfeh;C$RZ#K%fzzp(AfqmwFl`D#zIG7R9>a?9vKkYkN zCPoo&GddwPsj{*%29-*87!fZSB_%N_sqfBM4jL-zPm!$Ec7HURol)=mdl&LAU*KS2 zHAd3;LH?t|zd}Sr#AUVeIzG0rVZ&o&WIW#)gUJ{Xa&h4p92~5vsrjy;Amre{VrOTk zqpu$s6C+Tp)_8n;{JWtcDJco}m#1e>Z*O{2Q;KGrPkbmX4NaJb2c)&N^*ys0u69>Q z$IMK8X6C1JC(fp(CI=@ctJ8Ji`T2R+HzwR1G9n`I@$vC|{QN6NN5~#>`5W6K88x-F zsCam;6N82Gkygcz2JL>Rd;$Wi%gcZ1hdA15EZ1O>k-K_&{Li+Aq~+xWL0+GnoLt}C zt?lg0WP5`eo4CJka(lkRtE7~0b6cF7y9{pVe0L%+J^cf?+l6}jE)5!s{b|}DfsZd< zzxmtR`fGe#1{((l4iS-0IY}PvjiM7fQ+vki#E{h@Kf68$+Bf z_j^EQv)?ywZf-^-C5hSC*d)eWgEyh0r{5XL@V!~Q-*L^KFgm%s?Ck0iq^kPzm%cUe zN1z$g)8goOYg4SMfyEM!X5jgq%KjnTn?%EezTTKSu`Hd@LG=BETKex|oXJ=)mA~La(~p4Y6{SiD9|P(PATrM%)V~kH|>0A+9@<`lq2T0{4D-b=QuEtEHh< zZ-jVmcdm~DK^g-Ij50DZG^Vo*hqYVya>Z)mQ$?x+bD*H0MvHXx$I}z*s24VKVtnGcQqj~(m|X8Cn>iCI}$O_rLH|Ni~zbag0hYs(1l{R`B| zYJ}$yMMcH+&CS*I^>!aboRaG5tqx4}PfScP_?%9|8G^{5Fe)^;u!2;zTIJ87F`1^J zproulYPz$qvPv&4{ipCoN5A@;z-X+{;6Q6>X?cBfQ)<4%b$fZxHzvtFaDB2CPp1nn zkP*Jo7fWxquK$Nv@lh!Pyu2f5A`H7luO3nr`$Co1Y#7@pDED_APEe z!?v)s)xF%GVPR)4w_Fnd*$j&ED%fo=m%|0|zZFl3c4H*(A_6V-!j;8wG|LK z867uw3v25PkVAj|kb{*2p@M8;?9a|BSy@}NI-Qg4?CfwH|9vsqu}hxcO$GCJ0#+v0bbz_`&#SuL@jBV<@i$?Q{yvrjvx2?^LDA|jCOg?eVA%2}6zySuw; zL)NA^P}S%SM>FmE1VeCz0X9m!bM6YkgWmr^FW5^{Dpahj@oo>dD=RBM3kwTx9v@Eo zsD;JEUJ?=#dSI%%pqiVTLrWp+jJ$tQRrq}54DQ@HrQD?)pZLD*NExL#<#_aXL>a07 z)720%KzH+HCh%27cAIp0&XI5gGbchaZX?g8>!u^z$R_4vm9yk5&$!LgO0&ZosSi!g zEVQ-7Ki;X$dRL{Vl!l65i+@aowd}y=$%Jpu5)u>B0r$+nz+kaGOyq-v2ksA^R5Ilg zC1r4Tw-9u*Ws4_*-Lna{ug=M8#~WOhu;zyY^OrC%YlnwshhHA%t%qo}JKum)16wq{ z=9xS+RGTfZ$rUQ`gSPzY)hmw6Y3(FV=NJG00s;bH5fC!;hf+*K4*vdp@rjfa)E_}m z9BX&76Z`i%gK@fyiyJ#Zn}LOeB?Q=o*B7bO`N|CRYy#JFRJP<07y=g*YHDhLQb0*y zVPmt}9sdkUmQRlks3@&2K|Cs^+#z0PAiih!XG7YirURP;iKU?YoOBWBPyCTJs8nJy zo#j(g!-qk}hl06Pu(3&riC+l{3R)W<;n~l(G`Zd>)L0NbK0emeS699D@%5#*KU4vj z%0P|DdQ)uC?ap+6e;;5z(%E36^s$}XqM}2K?yr?f10YcpYpsYcFE2rL8J?c*wjSaX z1OWwU(E{3xCqv2_p1X8eHSZY~DWaGfHV0QR3 z*xGn$x3%2`sj0M@5!P4+dWsANImJPt#kpPj(|(K14uQ!b$@Alrk4GZ_h=kiCjVO$L zZ5Lk{*gIF^Or^SkWGQ(I6s~6YF6j<0`JfKxAV@R~-fzsz2~WEP45eP9N5Y}Q(=cGc zV!iEMu5EK4yI$Z?5r+T!37JbxKQ(B-wRm>>gZsGslB=MJ2?fOU_FVJn@nL#yu3FLU zsPXD_s`+tZ9`oF%`SZJgz0H;`3{%SNm`zdgd^?M@+NRe*J_rcWBIB2X2kO;D*kh7? zpQCc|sG{hZc4!#X;!GvdCN(s*mv(kZ0Wv6_X-o(Y{}~vF08lC}w_DQF<5jcCVncjg z9UDA6yq}-n*PJm_92`*|p4Ow;u2StTknig$&WGVRSIomkl~C|<)CQyc1RuCGU_fKF zyLQygmeS+F>S>95vK>WK&%e~~GqXp79X#5&BhYIszseseO+Q_Ghax8z!@$gphKAP@ z_RW=@qW}?ib z54k_`+So8$TwL@gG9x?RO%hhv?#V5>Ke?KinwC~p>H@&)-uvV(CH2NW)J(!UJw$}4$fB{E}UBD;{z>#8k<#Y#-%@iL(6X>P3enrg6^z3A#iyh6LVxw&6@ zdLZC*Iw$1hOxEpFTGF;3SB1h+#+T<@ePk~!!d%qZ7`e^t( z`vI>q!#JlP)p#a?l8xH1r-{xe(3niDKS%TlrC^_3-ngnl$Ntgzv`halC^A(otL}gU0 zyw%ctvy_}%OG50T-hN!#{>&Yvdm?@C3h!NbSX^(;oPFAef!_fdzgY2Mfzzd}i)&+d zZ*PX+6^+r}?ho>48QF>BD`)+Y(NUh=8;ZrJZEJzh_d-HKgw&7rFBTW^8JMd}wtuoa z-X(|j^!Ij*jz$Fa<1#f}?7u72kL=NL3;S+El`mf`kUw!c$bJN!qVQeMe}FkKj>YnW zbdCfxc@-2@Q(a*TIb41y&OI8j;xreLtt%6pkVYpZ1*sL2vau;WA7@-&-thB`<@imd zBq-Y3-_IcV=fW5i#+83!6HU^V_PAf+lW9^!Tba$l(ih5h>wqq^Spd zc{0%8oz5m6m0h&q&9gfHsdW($paPRCL`HThB0AbPFc7WQ(c9&8MuO|^*!JyPX~)x@ zSckpJ==BXuS}g_l+w;SgfQe#S7Xxm5hi&1e*-L<7<=$XB;+))ZwU3P<1`7-X4p9>m zQ=&!ocmWNXl_uz23oM<)~jx1^dB@ z>V{*e=@?^RVBn>_A$wL)b2Bq>);ktfw&C&d_A62}KW?Xp`oo&&)d;#2*A+@J60}Bb zCrF`o>+Oxx4{2q=He@t%9o?;y-MUFQkX;o=a zSy|KiH3`mC|7Q0+|Ff$z5@O0oqC=|9qEF$ds>QvpY)dVFcU0;!V8_$zBY|nNV|EtX zv&9311{$m0sLuf6phS!KB)(mB($&;#0q7!*$rPKw<@niLm1&y>4GKQ~Ae0aSDY`R} zA6sFCVEK;oW<3%#gmN%QgE={L#DL-HAeqCF?gb1|gTa?-sXQolns>W9xZhKM(mI%} zM1S`V6&t%3&{PA?M~z)KXIrc;*EBOTGf8Z=0Z;c^+|ZNX;ITyo1i*`tj7{LR4)u)u zEQkACQSn|#xX+~evAa~d;qkQj=CT`Zapv^%+M2-d@bF)5g7~iRjGW;olm7J%*-x^+^REPmWb85Q7`89_@bH#-*n2VZCbstJu;v7A!l| z_%m31&L6{ujT1|;cHVW1;S!HU#LR33+58WALPFw9`IYi*mq28cO-k5rNQCrgJcs5v z@?CwMdG6`!Mb;OWjaQ3(A$aV5fr0ey35N#V^p@Ij42Ex=FZaU(wlkHKl&E(0Hq=ko z3gY14;68o&RAMqicmMDJ9pB6K1|mc-^weEWUXA5Q#s<{E`AJ2n-FdnqU}IQ&R(*#Cp9O6-rhB;sJ|J2bS08jppw7q#6dm zRjq(C0+gj$?MBQOw6dWj@lH^AshbuhElRj0LJHBagRp7C8t+0&HnT4JALdM5D$gMJ zhg9eLs4XpRU0_q(UtNfgPca{F^Ik00nfjryP1i;Qvpxd9oq)-gGmF!J&B~*{@E3B2oNUo zMUz9OPi(Qhy&?c&b`K6ds?Jd>R|QosIw1rLcq$bN6w1*Xjg#s1$F%^$tv}-n+(`xi z`uB%>;`en|i_W2f_>M25MZBY<-+>{##S?}eOaUYkNq)Y*UHsJpJw0inu~cNyB3zHx z+Jh;aeZy(IpUKJ7!C0$$v$?5<@3c(>2nNsUYGzwoTX_;4!K-}%o1shT1SKUS2eS$7 zgBZyg-En^Py^wfmH%oQT*XMe}9*YMt$XMgm0|q3AW>n`p3vKszrqzpDk5?e?r}XCD zNpj9^UMbSg4(V+kZ4E5{bnS1ZPFk{iU*>4PL?vHMNJl3{zH)WDqKT$`)}jBvz_jzt z(bd%6-QB;zL{FOH@Z1B?Z4!De=sY$OJ*AV3S$Fq4J4=vXx;fVFzghPXq)0?NwDLY0+dK~Y!48D;g% zy#~_Z8mIJx4{2l4+0rH5Xt?ImfXtnj-P%F^PwuWSKLP& z6io8fsyRz8%KO zV9s>Wv4An?Lze(5Q(2-)gS!+L7gv7@=UqhKlFgWS5{uv`UEu9!H+bLBjF9ha209pJ(LrF7`?+K!6Cz{ah=;7^R^xtMXd z?#ZMYsEm#}d~jzGK#U4_yDDE}XPF_|tRXTQu*2*ecGET4fuS0V6bq661>-FhAvI32 zEwDK6si#WsxrHQ{B{#BFP#=|v5#J}XC11sU_|qOS>)eHQsfb%Bu&H*SE{an) z^H4N>${A<`ZH~Y5YvaIys{Ed?pXTzTY+|@Cl?nTJw0%MwN6S-9$3?d zdHp)UcTXly28{3-BL)^07GW_aMC}_t%8sP5t;Qg^TsV@h0v~=>Q}dlfhGRpaa#4eA zYwoJ*k@V?6m#JEt4qf`VN?cr*c00P5z(-7J(lZZ=Bjti zIC7E5tC*_7rF(-fm0rR7m-YGrGI_E!ubeH;B2HY+hwC%(gh))hE3rkDMS)P5 zKpDmNlojguk^=mHT+Rrcn>8gR(%HU-fRsRgd=h)`KrC}BbMo6ua4vaSZ;5TCvGk+? zjg$omvT7qzvDxBuz4~^Yw6YZMNi9#(i`gNhxy*@3cP3;=bIPNE$;KS5r6W|!RKYmp zHEYp%Kc^Spnr<5CaWx$uC)Ui7QqN<#g20qD`}U~Ry~BE4CV^a(hGFNs;*8eL>d#gl z%4n)~^Wk*!G>&p~kIdq?e({r-2z}gk1d0X}+i!8iCc}f6i0avz;XQ6ooqFj-cJ9iH z2)Vbg>`pYrotLot9_24lSef7vL=mK#Sp~0ig#x4lW?$}8KRsY+eym@a?MQx6?84h$ z=z4OnBWb8ad#C>3^UNM88%VCLna@?8FF*`(N6V})wgAaULxSe=xSrEane0`W5v)S9 zdg^6@P;Ze3N7PP`E~DI9eBUUi^w=avpVj&HOEDx*hv?A*q~$C9vuC+q@*7QxjSozC zg_V9J>SpZ_gB9A|#r~mqUyAB+@AOLJNK^9kkgqN@FdZaa_}Xm9e8kCt<9D+DZ_Qho z??X%A<9P7*HBv)`YLM1~dd)690y0TW&hjUe5L?Q^> zpM;s-3UdoW1?+oPMRp}L&a6shAHg_MIXg_QbERC_3WjQTjS(H1gu4{ApsE5S<=84! z=2ii|WsLowKu6X!+aTb&j5937b?4MMA9`8VKVmyhpnWDaqO4Mo0NfO790c+%8R`W< z1AN#Mi*4R|tIwBfqoqUb$(~t&kL^^k-nbX|(6_-J?7xI1V{aqpN-%i*(DY z{>LMZ3P|V?t{jp0)qK^;=4&Rrx)QZF_8=E=NarSzF(sI`cWpLpoesR+mD%T3S603r z8J2E7Not#Bs1UA}g^ln-^?z>Kj25BtA}rqWpLG#RXOg#RG9p8mFA59mQ&!Y)XDq^I zBd`J2pEP6lwt5+%?TnF;Ns|uSaD)-a@uWvONb2{_IN{nE+oRm=xKiQ*XtN@wiLS}_ z+x2!`+H?s9Mm5(u(&C8X@0H##Ff#poE;TS|EuYel^K_xCee5*N3G&V6^i+>UHh36|hYo-V8_4jvpWhjh7|xuqSJ;I19i!oD zpY0#vEKclcg@8k450A;l;Am^;Zy_M0=Sse(udR7mr?sags3-tO0U6fDIT$WN)xpHjDmk`8NiysQ{}b4$D$KxEXi{jEPShjFe2O*5A|St z(m^1iLNmNU2ggP3fTsSR4vnxdN~k@9$ji$Fm^&h%Vhg|ynIFK5(4yqm2bC=Vh85)p z=lu7{|9t@ct^fLz|8ZcM)l;zx^(8PKKQc^V)`s=Gf_%CsXI++Uwa!9mf;>M0$dx&de{P_7@hTq16x+7M8p zv$Q~*?{~UALxD+04_q4NXI-)^2W0 zypqj4kTjE5GtKI3>4QtfcT-?jdfIgxL(Y;?mJ$Ues9C9sr8X?+`fMCs?#1%*hS2vX z6c^{)KDd88Hq1qaW7)!@RVc|(h52}9-|$_^FO4}qHtbl6GC*$G@W7U}vRTvA(ntE_ zBw`K$n&td2PL`V$OQ43msWtxu0nP*+Jn^k%<0TZf55FGm-w2py9a^ON=)E{GC*V;p zZfll@9IA3sD;+NHIe!-ZoPK3mdhWLe2mdO|umji0ngHzTAQhW4{;QABK((jNEYC8o3?2mKU^>W6i>R}X8D2UoF?zE zg|EdE)t{GKRU`poyK&k;A}{O1dTK|fU^vJS1mY{W_VWuisVfq71|0%7Yl0hntJ;ej ztZ5c?2V`imVP^A?d^+I*0!qig6D~E{gP*Yk*ziO}Y;e;&Dyb9<7%UF#fJ*1lwrwLe zUBlY!_*?HIl_1wYbCAPE5m|SI>v@Rp)9CvGYbhHFcjdD|+$$bFpC_S@k!U=1clP)I zd=q)*is7hlwV?y|ALADnU{!x4tNSM>y83>*(yBv3aAP?^RV?sAg$s2 z@x4g&+t{!2B2obWhg89B8^TDz;$ejFxx!=%Xm~oM6S~N&Dzi(5Nrvyy@;8a+4$#zUQ3q-ack5?R0AU7Y7^t30bDW;Csu zGQRi?`EnN+Dx+m+><=jF9nw3LRi$t!%6*q6m#qdY1x3YDmm4eK_25xd z!~*kb$HGD~^P+<%*u7^!k+GaowGU5B?9)$m^@)we1g-#}5R2%-TL!b-)W!*--i%x+ zhn8J+Br7N=p-eMxN2urLrS;%?xy!^UcqAusBBg|E>Vh`f!=5ZUyQp*fX#3YY%&hPk z+V-BU0;>&fMPh|h(Cd#z#=%J(mIKiJwi*DO4WBqTlDxcL0uBrTgF<6xG%E;)IRs3K zNFH}b&8dJ8Ep@su0$~Kzq~W*~fkM40X?0Z#373WN-Df`1x94r!L_09ljZ5Vt8kyud z&y_Lfs#N(eQ!=tk5+q|?3?5l;PV5W!kTNys68d#j0j3<58&|jCo)w{l6sgXy^ZjF| z0(_wi$O|eeDg`B_(^=!;#F1Yl!C+oi%$F;Y(Q8xNOsYU)psLL=$N`m2zzhA-iu`(Agwe2zlL z5(ToCOpN?5V|QcQ13Jk@bqwBHsL*@o%>U;rtYsD;iN33;NdOOq<4Ff5V2w0^mIoDB zF&FfJhlS&IJEK6UGGHV_P@6736I=%$JerbcfneMeaWr)w*~T`zFOYNyokUU*TJGk~ zUUt6LT+{K)D%4F(^&44uCbZzJNh18D_VeZWAx~?FG=OXemjK~d+Rc3iU>IeZR7fJK zW;2sm8P-x!MpiZ)sFsF?pMgn+n3gsi5r^p*$cx&>#vp@Kr)#ZA0Xe!qUFr$6aWKCF zq5LB^cPh|KB2!W%G&BfdkZ}JlE~a?GAg6yP8{V-2Stv{lNW^h;S!s1Sn6KKlF91e| z7)&xsJ2k~+77wmsZSs$|t3kkJ$>>B^UizBReh0=sTZV|;*YIek-5e1T-ODtuA5F5z-2TQAhFv!pFIY@{&?$z$23?4b~FEBkI6hQM~Pj9<~lEPx&48J z9mSp3bTbk#DB*ZSjB7P5Iic%w>kDvlNRXtXCjV;vG{6Ut2_d)#V6K4t9x;H0gCqLz z;W;eJ<0Yl9M*dbu4LBYYn`S9^0 zkjHiBYb=2rC`ugo~FIZJZV=K$E zt2|dZ@js3sV$c0lEnj+YJ*#bnmXzU*k0*T^Xt`xgha88AGqhGqW; zx)9w-VwV*&D+$@YpE7dURH&H_cg3 zT4?`E0DEuQ6N1kvqNcW2%?$Jb5D>uj-ukM`;{&b-z%*M*(3|uc=zMpm2U{0;>tYtR zfnUS%b|;6`;h2z;lCoK85$r9%zo(7ufDv7zFNP9er5`_joCMAlDwO_8X17x!o^4BVi`Mov^z)b`F! zI-Bk9z?U=tTq6!Zl+7(D(78HX0OGhnD84vI(X6a2C=m^Kx^W{$U=;fR`~Ypf$lBW4 zZNLsk{Ljbos32@?Y=E69#IHj1MZQP}@J;*kwUHpNwP@a3*xBigW(q@91i%d@5)3}o zHs5bh149vp2XIcl#l#f+`W0foV2cUtRV|~VZ$(8#fs$rnXZB!G4Qg_V`=cY!aO1dK z>;6T(e#R^Fwbu7%sZUV>H9Vj;%E`$AnLUp5E7Y3@yq*N45=k0BKZ2G81BL{^Y zG1O5)MHTW{Iy(%gT@q7nbeX_7;)6^u2&@P@vz1?rr;4KgnFH=og@uLD(b1tF6we^+ zC-JuAsNqb4j*d!RTmWc@?>vXj94hVQ!H>VpMXZ`eF_x3xCCkt9YdH^hK%?dc> zgoFe@WC2T~hNk8>Ai9J6k&&10V}V-8U*11jmWhK-f=RVrSiJ}(6M-J&33MM+T-Z)ML$hk_(?iYhQ- zsm!jQbmY3#rKDvBu8s&gCObj^ml7UES%if}3N>3_f790fSXEWkeA5MHQc%Y==;DFN zdE15!)GkM`wpi?T6sq-h0H{#fblOp7v~;K0-d#UFWSGXV-?C^L(0-4L3zcUkh{6y7 z{w|hBGma+Chm$vF=~bCApw$W;`tREnCm!DzA~iK(swN+%-(A99q}P=M@a|py2w%8t z3kIf~7sZEZO$8WN8dsu^Phe0Tu@zzgNXF_L!oTsW1RhghaJg0Ge(m`as8*nBl$4f& zJrnW!H{s(+sJq4Wv8I)kRUD_YU5-gdKXC82ZwtYro#jy%(^F4P8DuSSg_K;CbX5l8gfm}hwEv=oLi^o5Dw8?DYAH?yl{X{o_)1aNnHvil18iJ(j=VBX zx>T(gd)#2y)nM#!k@-aGZZIHmegB5DQ?UAT#?&rr-3bcs z5sol;vcYGO`ImO$+Q`ICH%pRFkAcqLWZrH3DYPLP^D{f*&;0Ajy_$cMh-`K+#m27< zLYkfVs8lRFdQjY;eS_e54ff?!20%+C*7m=bP4JD35oZIO;L#938@w~sY^-}$y*%kl zVk~N8U}%&^mIr}U6WW8}CUHt#?0kLErN#n*U-;E~*UdB11uh3|(f%)#iI=$=l}8D>lIqVaM{*$F_Nj?erq zXRTA`iHxv-od?gUF!IZKy+LAZ*1M`nQBcV1;Yzv5FP_<(RCqmvXXf_zGzSn+V6G&( z(qV$!U^Jc7)JBJW%=;In>pm;P|@ zQr#|2aU+Xv7^_vtm2Drav%rObkvzhk1oIWjFsa}2H&u{dEN3&qV%$N@c$`_)j_cv` zXfuEl=o}otzbZ;ucT4q_j3QXc_>lLx;KNCNc`oGynXE{9&iSE*$!p%}0--ul literal 0 HcmV?d00001 diff --git a/setup.py b/setup.py index 9fa0e09..24a25a7 100644 --- a/setup.py +++ b/setup.py @@ -7,7 +7,7 @@ author_email = 'bespin@physik.uni-bonn.de' # Requirements -install_requires = [''] +install_requires = ['online_monitor', 'pytest', 'numpy', 'tables', 'logger'] setup( From 9e4da8a93dfbea2c9c60f60fd7544b857208e7fb Mon Sep 17 00:00:00 2001 From: rasmus Date: Tue, 21 Nov 2023 19:00:59 +0100 Subject: [PATCH 45/68] GIT: github pages --- .github/workflows/documentation.yml | 2 +- README.md | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index 8008d53..0a7a489 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -20,7 +20,7 @@ jobs: sphinx-build docs/source _build - name: Deploy to GitHub Pages uses: peaceiris/actions-gh-pages@v3 - if: ${{ github.event_name == 'push' && github.ref == 'refs/heads/main' }} + if: ${{github.event_name == 'push'}} with: publish_branch: gh-pages github_token: ${{ secrets.GITHUB_TOKEN }} diff --git a/README.md b/README.md index 37bb43a..e3365eb 100644 --- a/README.md +++ b/README.md @@ -62,4 +62,4 @@ For more commands take a look at the python script aidatlu.py. All configurations are done by the use of a yaml file (tlu_configuration.yaml). # Documentation -For some more details take a look at the [documentation](https://silab-bonn.github.io/aidatlu/). \ No newline at end of file +Additionally, take a look at the [documentation](https://silab-bonn.github.io/aidatlu/). \ No newline at end of file From 3fd9ec529b99cd4f86e97289e328fdf179c1a315 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 22 Nov 2023 14:55:53 +0100 Subject: [PATCH 46/68] DOC: new theme for html... --- .github/workflows/documentation.yml | 1 + README.md | 2 +- aidatlu/README.md | 1 + aidatlu/tlu_configuration.yaml | 38 +++++++++++------------------ docs/source/conf.py | 16 +++++++++++- docs/source/index.rst | 2 +- 6 files changed, 33 insertions(+), 27 deletions(-) diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index 0a7a489..4bbab60 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -14,6 +14,7 @@ jobs: - name: Install dependencies run: | pip install -e . + pip install pydata-sphinx-theme pip install sphinx sphinx_rtd_theme myst_parser sphinx_mdinclude - name: Sphinx build run: | diff --git a/README.md b/README.md index e3365eb..1619489 100644 --- a/README.md +++ b/README.md @@ -61,5 +61,5 @@ For more commands take a look at the python script aidatlu.py. All configurations are done by the use of a yaml file (tlu_configuration.yaml). -# Documentation + Additionally, take a look at the [documentation](https://silab-bonn.github.io/aidatlu/). \ No newline at end of file diff --git a/aidatlu/README.md b/aidatlu/README.md index 191f187..c0dafd9 100644 --- a/aidatlu/README.md +++ b/aidatlu/README.md @@ -23,6 +23,7 @@ Each trigger input can have one of three settings. The input can act as 'active' Between each trigger input, there is also the possibility to set 'AND' or 'OR'. A desired trigger configuration is set with the use of the [Python bitwise operators](https://wiki.python.org/moin/BitwiseOperators). These operators are used in conjunction with the input channels CH1-CH6 and interpreted as a literal logic expression. +For example "(CH1 & ~CH2) & (CH3 | CH4 | CH5 | CH6)" produces a valid trigger, when CH1 and not CH2 triggers and when one of CH3, CH4, CH5 or CH6 triggers. An input channel that is not explicitly set to 'veto' or 'enabled' is automatically set to 'do not care'. Trigger polarity controls if the TLU should trigger on a rising (0) or falling (1) edge of an incoming trigger signal. diff --git a/aidatlu/tlu_configuration.yaml b/aidatlu/tlu_configuration.yaml index 8fb3174..3d0a6aa 100644 --- a/aidatlu/tlu_configuration.yaml +++ b/aidatlu/tlu_configuration.yaml @@ -1,46 +1,36 @@ -internal_trigger: -#Generate TLU internal trigger with given rate in Hz +internal_trigger: #Generate TLU internal trigger with given rate in Hz internal_trigger_rate: 0 dut_module: - #telescope dut_1: mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' dut_2: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' - #DUT dut_3: mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' - #FE-I4/itk dut_4: mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' -trigger_inputs: - #threshold voltages for the trigger inputs in V. +trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: - threshold_1: -0.015 #-0.06 for scintillator - threshold_2: -0.1 #-0.06 for scintillator - threshold_3: 0.1 #0.1 for HitOr - threshold_4: 0.1 - threshold_5: 0.1 - threshold_6: 0.1 + threshold_1: -0.1 + threshold_2: -0.1 + threshold_3: -0.1 + threshold_4: -0.1 + threshold_5: -0.1 + threshold_6: -0.1 # Trigger Logic configuration accept a python expression for the trigger inputs. - # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5'and 'CH6' + # The logic is set by using the variables for the input channels 'CH1', 'CH2', 'CH3', 'CH4', 'CH5' and 'CH6' # and the Python bitwise operators AND: '&', OR: '|', NOT: '~' and so on. Dont forget to use brackets... - # eq.: "(CH1 & ~CH2) & (CH3 | CH4 | CH5 | CH6)" - # produces a valid trigger, when CH1 and not CH2 triggers and when one of CH3, CH4, CH5 or CH6 triggers. trigger_inputs_logic: CH1 - trigger_polarity: - #TLU triggers on rising (0) or falling (1) edge + trigger_polarity: #TLU triggers on rising (0) or falling (1) edge polarity: 1 - trigger_signal_shape: - #Stretches and delays each trigger input signal for an number of clock cycles, - #this could be important depending on the hardware trigger setup. - stretch: [5, 1, 5, 5, 5, 5] # [10, 2, 1, 1, 1, 1] # stretch: [8, 2, 15, 15, 15, 15] - delay: [1, 0, 0, 0, 0, 0] # [30, 0, 0, 0, 0, 0] # delay: [30, 1, 1, 1, 1, 1] + trigger_signal_shape: #Stretches and delays each trigger input signal for an number of clock cycles, + stretch: [2, 2, 2, 2, 2, 2] + delay: [0, 0, 0, 0, 0, 0] clock_lemo: enable_clock_lemo_output: True @@ -57,4 +47,4 @@ save_raw_data: True interpret_data: True #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: "tcp://192.168.69.110:7500" # 'off' +zmq_connection: 'off' #"tcp://:7500" diff --git a/docs/source/conf.py b/docs/source/conf.py index 5b480fc..2206ca5 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -52,5 +52,19 @@ # -- Options for HTML output ------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#options-for-html-output -html_theme = 'sphinx_rtd_theme' +html_theme = 'pydata_sphinx_theme' html_static_path = ['_static'] + +html_theme_options = { + #[...] + # "show_toc_level": 2, + # "show_nav_level": 3, + "primary_sidebar_end": ["indices.html", "sidebar-ethical-ads.html"], + # "primary_sidebar_items": ["page-toc", "edit-this-page", "sourcelink"] + "secondary_sidebar_items": [], + #[...] +} + +html_sidebars = { + '*': ["page-toc", "edit-this-page", "sourcelink"] +} diff --git a/docs/source/index.rst b/docs/source/index.rst index 5689517..e1cee5c 100644 --- a/docs/source/index.rst +++ b/docs/source/index.rst @@ -14,7 +14,7 @@ Welcome to AIDA-TLU's documentation! Documentation .. toctree:: - :maxdepth: 2 + :maxdepth: 1 hardware_code main_code From 850ddae816609540401291e58b707e8edd4a9f85 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 23 Nov 2023 15:20:25 +0100 Subject: [PATCH 47/68] DOC: small corrections --- aidatlu/README.md | 27 +++++++++++++++------------ docs/source/Documentation.rst | 25 +++++++++++++------------ docs/source/conf.py | 1 - 3 files changed, 28 insertions(+), 25 deletions(-) diff --git a/aidatlu/README.md b/aidatlu/README.md index c0dafd9..87896cd 100644 --- a/aidatlu/README.md +++ b/aidatlu/README.md @@ -2,21 +2,24 @@ The AIDA-2020 TLU is configured using a yaml file (tlu_configuration.yaml). In the following, the possible configuration parameters and settings are briefly explained. -### internal_trigger +### Internal Trigger Generation (internal_trigger) The setting internal trigger allows the TLU to generate a trigger internally with a given frequency. To disable the generation of internal triggers set this frequency to zero. -### dut_module +### DUT Module (dut_module) The DUT module configures the individual DUT interfaces. Where each interface can be set to one operating mode. The possible modes are 'aida', 'aidatrig' and 'eudet'. With 'aidatrig' the AIDA mode with additional trigger number. +And 'aida' or 'eudet' the AIDA or EUDET operating modes. It is important to note that only working DUT devices should be enabled. -One not properly working DUT can block the TLU from sending out triggers. +One not properly working DUT can block the TLU from sending out triggers (especially in EUDET mode). -### trigger_inputs -Trigger inputs take care of the complete control of the trigger inputs. -Where the threshold for each trigger input can be tuned in Volt between [-1.3; 1.3] V. +### Trigger Inputs (trigger_inputs) +Multiple settings of the trigger inputs are configurable. +This includes trigger input thresholds, trigger logic, trigger polarity and trigger signal shaping. + +The threshold for each trigger input can be tuned individually between [-1.3; 1.3] V. Another setting controls the trigger input logic. Each trigger input can have one of three settings. The input can act as 'active', 'veto' or 'do not care'. @@ -33,13 +36,13 @@ This is set with a list containing the number of clock cycles for every differen This value is written in a 5-bit register so the maximum stretch or delay in clock cycles is 32. One should stretch each used trigger input signal at least by 1 to prevent the generation of incomplete triggers. -### clock_lemo +### Clock LEMO (clock_lemo) The clock LEMO setting enables or disables the clock LEMO output. -Set to 'True' or 'False'. +Set this to 'True' or 'False'. -### pmt_control -Sets the PMT control voltage between [0; 1] V. +### PMT Power (pmt_control) +Set the PMT control voltage. The possible range is between [0; 1] V. -### Others +### Data Handling and Online Monitor Two settings concern the data handling. The creation of raw and interpreted data files. -At last, the zmq connection is set. \ No newline at end of file +At last, the ZMQ connection can be configured. \ No newline at end of file diff --git a/docs/source/Documentation.rst b/docs/source/Documentation.rst index aa5e8f7..5605e2f 100644 --- a/docs/source/Documentation.rst +++ b/docs/source/Documentation.rst @@ -178,7 +178,7 @@ This leads to 64 possible combinations of so-called trigger words. Where each trigger word describes one specific trigger configuration. One obtains the resulting trigger configuration to write into the trigger logic register by adding up all desired valid trigger configurations. For example if one need triggers from input 1 or input 2. -Than all valid trigger combinations are: +Than all valid trigger combinations, ignoring the inputs channels 3-6 are: .. table:: :align: left @@ -195,7 +195,7 @@ Than all valid trigger combinations are: The software uses two different variants of these words. A long word variant which is just the 64-bit trigger word. -For the second one the long word is split into two 32-bit words (mask\_low and mask\_high). +For the second variant the long word is split into two 32-bit words (mask\_low and mask\_high). To help with the generation of these trigger words, the software uses a specific function to translate the trigger settings in the configuration file to these words. @@ -212,7 +212,7 @@ rounding error for higher frequency. This shifts the actual output trigger frequ The number of triggers since the last trigger VETO is stored together with the total number of triggers per run. From these numbers general status messages for e.q. the trigger rate are generated. -These status messages can also be distributed over a zmq socket using the online monitor. +These status messages can also be distributed over a ZMQ socket using the online monitor. Operating Modes ***************** @@ -236,7 +236,7 @@ In AIDA mode the clock of the TLU and the DUT is synchronized. For this the TLU clock needs to be distributed. The distribution of the clock via the LEMO has the problem that the clock signal form no longer arrives cleanly at the device. So distributing the clock usign the HDMI connectors is advised. -A important step is to synchronize all delays (e.q. different cable length) of the clock signal with the trigger signal if encountered. +An important step is to synchronize all delays (e.q. different cable length) of the clock signal with the trigger signal if encountered. At the start of a run the TLU sends out a RESET signal to the DUT. This signal can then be used by the DUT to synchronize the timestamp of the device and the TLU. @@ -246,30 +246,31 @@ To generate a new trigger no answer of the DUT is needed. But the DUT can veto new trigger signals at any time by asserting BUSY. The following is a checklist for the working of the AIDA mode together with the (SiLab-Bonn) BDAQ board. - * AIDA Mode BDAQ Firmware. - * Change Testbench yaml. + * AIDA Mode BDAQ Firmware. Here the external trigger clock is used also internally. + * Changes in testbench yaml. * Change Trigger Mode from 3 to 2. * Change Trigger Handshake Wait Cycle from 5 to 1. * Use special clock cable configuration. - So enabele the clock LEMO output of the TLU + So enable the clock LEMO output of the TLU and connect the clock output to the BDAQ board. - * Check Cable length to synchronize clock DUT signals. + Or use special HDMI RJ45 AIDA mode adapter. + * Check Cable lengths to synchronize clock and trigger signals. * Note when starting triggering, - the DUT scan needs to be started before the TLU scan for the + the DUT scan needs to be started before the TLU scan for the timestamp RESET to arrive. - * For now also the aidamode needs to be enabled in the scan configurations. + * For now also the AIDA mode needs to be enabled in the scan configurations. This can for now only be found on a special TJ DAQ branch. Or in the testbench yaml, depending on the setup there is to enable RESET option. If only one BDAQ board is used in AIDA mode there is a chance for two very fast trigger to occur right one after the other. If the distance between the triggers is smaller than the distance between the first trigger signal and the BUSY signal. Then the tlu sends out two triggers because no handshake is awaited. -This leads to an eventnumber drift. +This leads to an event number drift. This can be prevented by stretching the trigger input signal by some clock cycles. Another important thing is to follow the procedure for starting an AIDA run: * configure TLU - * start all DUT's, telescopes and timreference planes + * start all DUT's, telescopes and time reference plane scans * start TLU run AIDA Mode with Trigger Number diff --git a/docs/source/conf.py b/docs/source/conf.py index 2206ca5..9e4413f 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -60,7 +60,6 @@ # "show_toc_level": 2, # "show_nav_level": 3, "primary_sidebar_end": ["indices.html", "sidebar-ethical-ads.html"], - # "primary_sidebar_items": ["page-toc", "edit-this-page", "sourcelink"] "secondary_sidebar_items": [], #[...] } From 2ba3c6c86be7b7c4139c409fa050960699d28d13 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Thu, 30 Nov 2023 14:34:00 +0100 Subject: [PATCH 48/68] DEV: version control + setup --- .github/workflows/documentation.yml | 2 +- VERSION | 1 + docs/source/conf.py | 9 ++++++--- setup.py | 4 +++- 4 files changed, 11 insertions(+), 5 deletions(-) create mode 100644 VERSION diff --git a/.github/workflows/documentation.yml b/.github/workflows/documentation.yml index 4bbab60..62e5707 100644 --- a/.github/workflows/documentation.yml +++ b/.github/workflows/documentation.yml @@ -21,7 +21,7 @@ jobs: sphinx-build docs/source _build - name: Deploy to GitHub Pages uses: peaceiris/actions-gh-pages@v3 - if: ${{github.event_name == 'push'}} + if: ${{github.event_name == 'push' && github.ref == 'refs/heads/main'}} with: publish_branch: gh-pages github_token: ${{ secrets.GITHUB_TOKEN }} diff --git a/VERSION b/VERSION new file mode 100644 index 0000000..9f8e9b6 --- /dev/null +++ b/VERSION @@ -0,0 +1 @@ +1.0 \ No newline at end of file diff --git a/docs/source/conf.py b/docs/source/conf.py index 9e4413f..3f89854 100644 --- a/docs/source/conf.py +++ b/docs/source/conf.py @@ -6,11 +6,14 @@ # -- Project information ----------------------------------------------------- # https://www.sphinx-doc.org/en/master/usage/configuration.html#project-information + +with open('../../VERSION') as version_file: + version = version_file.read().strip() + project = 'AIDA-TLU' -copyright = '2023, Rasmus Partzsch' +copyright = '2023, SiLab, Institute of Physics, University of Bonn' author = 'Rasmus Partzsch' -release = '0.9' - +release = version import sys import os diff --git a/setup.py b/setup.py index 24a25a7..f45d5fb 100644 --- a/setup.py +++ b/setup.py @@ -9,10 +9,12 @@ # Requirements install_requires = ['online_monitor', 'pytest', 'numpy', 'tables', 'logger'] +with open('VERSION') as version_file: + version = version_file.read().strip() setup( name='aidatlu', - version='0.1.0', + version=version, description='Control software for AIDA-2020 TLU', url='https://github.com/Silab-Bonn/aidatlu', license='', From 4eb34f78ab74e729a3f5d8dad50df91a5d19c067 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 4 Dec 2023 10:04:59 +0100 Subject: [PATCH 49/68] ENH: installation dependency --- README.md | 4 ++-- aidatlu/tlu_data/README.md | 2 ++ setup.py | 3 ++- 3 files changed, 6 insertions(+), 3 deletions(-) create mode 100644 aidatlu/tlu_data/README.md diff --git a/README.md b/README.md index 1619489..9e1a4f0 100644 --- a/README.md +++ b/README.md @@ -19,9 +19,9 @@ sudo env PATH=$PATH make install prefix= Afterwards you should be able to import uhal in your specific Python environment. Then import the library path ```bash -export LD_LIBRARY_PATH=/opt/cactus/lib +export LD_LIBRARY_PATH=/lib ``` -and start the controlhub +and start the controlhub from ipbus-software/controlhub/scripts ```bash controlhub_start ``` diff --git a/aidatlu/tlu_data/README.md b/aidatlu/tlu_data/README.md new file mode 100644 index 0000000..6e6cd5f --- /dev/null +++ b/aidatlu/tlu_data/README.md @@ -0,0 +1,2 @@ +Data folder to collect raw output data and interpreted data. +The data format is: tlu_raw_runnumber_date or tlu_interpreted_runnumber_date. \ No newline at end of file diff --git a/setup.py b/setup.py index f45d5fb..c0d403c 100644 --- a/setup.py +++ b/setup.py @@ -7,7 +7,8 @@ author_email = 'bespin@physik.uni-bonn.de' # Requirements -install_requires = ['online_monitor', 'pytest', 'numpy', 'tables', 'logger'] +install_requires = ['pytest', 'numpy', 'tables', 'logger', 'coloredlogs', + 'sphinx', 'myst_parser', 'sphinx_mdinclude'] with open('VERSION') as version_file: version = version_file.read().strip() From 475eedc47abe126f9a660e4d6e78633a63b9f848 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 4 Dec 2023 10:41:34 +0100 Subject: [PATCH 50/68] DOC: installation --- README.md | 23 +++++++++++++++++------ setup.py | 2 +- 2 files changed, 18 insertions(+), 7 deletions(-) diff --git a/README.md b/README.md index 9e1a4f0..21fdd7b 100644 --- a/README.md +++ b/README.md @@ -10,22 +10,33 @@ For a more in-depth look at the hardware components please take a look at the of ## IPbus You need to install [IPbus](https://ipbus.web.cern.ch/doc/user/html/software/install/compile.html) and its Python bindings to the desired interpreter. Follow the linked tutorial for prerequisites and general installation. -The following commands have been proven useful for custom installation and building against current (non-system) Python within an environment: +Install prerequisites. +```bash +sudo apt-get install -y make erlang g++ libboost-all-dev libpugixml-dev python-all-dev rsyslog +sudo touch /usr/lib/erlang/man/man1/x86_64-linux-gnu-gcov-tool.1.gz +sudo touch /usr/lib/erlang/man/man1/gcov-tool.1.gz +``` +Checkout from git and compile the repository. +```bash +git clone --depth=1 -b v2.8.12 --recurse-submodules https://github.com/ipbus/ipbus-software.git +cd ipbus-software +make +``` +Instead of the general installation you can install against the current Python environment. ```bash -make -j $((`nproc`-1)) # Pass current PATH to su shell to build against current environment python -sudo env PATH=$PATH make install prefix= +sudo env PATH=$PATH make install ``` Afterwards you should be able to import uhal in your specific Python environment. -Then import the library path +When using a custom installation path for IPbus you need to import the library path. ```bash export LD_LIBRARY_PATH=/lib ``` -and start the controlhub from ipbus-software/controlhub/scripts +Then start the controlhub from ipbus-software/controlhub/scripts. ```bash controlhub_start ``` -from the corresponding directory. +The contolhub needs to run for the working of the AIDA-TLU, so needs to be started again each time the controlhub is stopped. ## Python packages Install the Python package as usual. ``` diff --git a/setup.py b/setup.py index c0d403c..30c8f00 100644 --- a/setup.py +++ b/setup.py @@ -7,7 +7,7 @@ author_email = 'bespin@physik.uni-bonn.de' # Requirements -install_requires = ['pytest', 'numpy', 'tables', 'logger', 'coloredlogs', +install_requires = ['pytest', 'numpy', 'tables', 'logger', 'coloredlogs', 'pyzmq', 'online_monitor', 'sphinx', 'myst_parser', 'sphinx_mdinclude'] with open('VERSION') as version_file: From 07e48ae4155cc8a42868c6ef4cfca7f26b5d31f5 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 18 Dec 2023 10:19:54 +0100 Subject: [PATCH 51/68] DOC: installation --- README.md | 3 ++- aidatlu/__init__.py | 1 - 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/README.md b/README.md index 21fdd7b..ba04a75 100644 --- a/README.md +++ b/README.md @@ -22,7 +22,7 @@ git clone --depth=1 -b v2.8.12 --recurse-submodules https://github.com/ipbus/ipb cd ipbus-software make ``` -Instead of the general installation you can install against the current Python environment. +Next install against the current Python environment. ```bash # Pass current PATH to su shell to build against current environment python sudo env PATH=$PATH make install @@ -32,6 +32,7 @@ When using a custom installation path for IPbus you need to import the library p ```bash export LD_LIBRARY_PATH=/lib ``` +The default install location is located in /opt/cactus/. Then start the controlhub from ipbus-software/controlhub/scripts. ```bash controlhub_start diff --git a/aidatlu/__init__.py b/aidatlu/__init__.py index 98af98d..e69de29 100644 --- a/aidatlu/__init__.py +++ b/aidatlu/__init__.py @@ -1 +0,0 @@ -print('gg') \ No newline at end of file From 7bc84208292bc4ff0ab227abc71a670a782485ec Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Mon, 18 Dec 2023 10:30:34 +0100 Subject: [PATCH 52/68] REL: setup --- setup.py | 10 ++++------ 1 file changed, 4 insertions(+), 6 deletions(-) diff --git a/setup.py b/setup.py index 30c8f00..e79e954 100644 --- a/setup.py +++ b/setup.py @@ -1,10 +1,8 @@ from setuptools import setup from setuptools import find_packages -import aidatlu - -author = 'Christian Bespin' -author_email = 'bespin@physik.uni-bonn.de' +author = 'Christian Bespin, Rasmus Partzsch' +author_email = 'bespin@physik.uni-bonn.de, s6rapart@uni-bonn.de' # Requirements install_requires = ['pytest', 'numpy', 'tables', 'logger', 'coloredlogs', 'pyzmq', 'online_monitor', @@ -18,8 +16,8 @@ version=version, description='Control software for AIDA-2020 TLU', url='https://github.com/Silab-Bonn/aidatlu', - license='', - long_description='', + license='License AGPL-3.0 license', + long_description='Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with Python using uHAL bindings from IPbus.', author=author, maintainer=author, author_email=author_email, From 2401b987ed04835a29c1754d9d8c8137adcb9cb6 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Fri, 1 Mar 2024 18:12:26 +0100 Subject: [PATCH 53/68] ENH: trigger in rate in status --- aidatlu/hardware/clock_controller.py | 2 +- aidatlu/hardware/dut_controller.py | 1 - aidatlu/hardware/i2c.py | 3 +- aidatlu/main/config_parser.py | 52 ++++++++++++++------------- aidatlu/main/tlu.py | 37 ++++++++++++++++--- docs/source/img/structure.png | Bin 54197 -> 44639 bytes 6 files changed, 63 insertions(+), 32 deletions(-) diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index de03245..e3e7ba3 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -84,7 +84,7 @@ def write_clock_register(self, address: int, data: int) -> None: def parse_clock_conf(self, file_path: str) -> list: """reads the clock config file and returns a panda dataframe with two rows Adress and Data The configuration file is produced by Clockbuilder Pro (Silicon Labs). - + Args: file_path (str): File path to the configuration file. diff --git a/aidatlu/hardware/dut_controller.py b/aidatlu/hardware/dut_controller.py index 951ace4..9ce2583 100644 --- a/aidatlu/hardware/dut_controller.py +++ b/aidatlu/hardware/dut_controller.py @@ -5,7 +5,6 @@ class DUTLogic(object): def __init__(self, i2c: I2CCore): self.log = logger.setup_derived_logger("DUT Logic") - self.i2c = i2c def set_dut_mask(self, enable: int | str) -> None: diff --git a/aidatlu/hardware/i2c.py b/aidatlu/hardware/i2c.py index d8b01d9..60611e7 100644 --- a/aidatlu/hardware/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -30,8 +30,7 @@ def init(self): self.set_i2c_control(0x80) self.write(i2c_addr["core"], 0x01, 0x7F) - if self.read(i2c_addr["core"], 0x01) & 0x80 != 0: - # TODO What is this why is this always happening? + if self.read(i2c_addr["core"], 0x01) & 0x80 != 0x80: self.log.warning( "Enabling Enclustra I2C bus might have failed. This could prevent from talking to the I2C slaves on the TLU." ) diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index dda0b92..fa541eb 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -142,35 +142,39 @@ def conf_trigger_inputs(self) -> None: ] trigger_configuration = self.conf["trigger_inputs"]["trigger_inputs_logic"] + self.log.info("Trigger Configuration: %s" % (trigger_configuration)) # Sets the Trigger Leds to green if the Input is enabled and to red if the input is set to VETO. # TODO this breaks when there are multiple enabled and veto statements. - for trigger_led in range(6): - if "~CH%i" % (trigger_led + 1) in trigger_configuration: - self.io_control.switch_led(trigger_led + 6, "r") - elif "CH%i" % (trigger_led + 1) in trigger_configuration: - self.io_control.switch_led(trigger_led + 6, "g") - - long_word = 0x0 - # Goes through all possible trigger combinations and checks if the combination is valid with the trigger logic. - # When the word is valid this is added to the longword. - for combination in range(64): - pattern_list = [(combination >> element) & 0x1 for element in range(6)] - CCH5 = pattern_list[5] - CCH4 = pattern_list[4] - CCH3 = pattern_list[3] - CCH2 = pattern_list[2] - CCH1 = pattern_list[1] - CCH0 = pattern_list[0] - valid = (lambda CH1, CH2, CH3, CH4, CH5, CH6: eval(trigger_configuration))( - CCH0, CCH1, CCH2, CCH3, CCH4, CCH5 + if trigger_configuration != None: + for trigger_led in range(6): + if "~CH%i" % (trigger_led + 1) in trigger_configuration: + self.io_control.switch_led(trigger_led + 6, "r") + elif "CH%i" % (trigger_led + 1) in trigger_configuration: + self.io_control.switch_led(trigger_led + 6, "g") + + long_word = 0x0 + # Goes through all possible trigger combinations and checks if the combination is valid with the trigger logic. + # When the word is valid this is added to the longword. + for combination in range(64): + pattern_list = [(combination >> element) & 0x1 for element in range(6)] + CCH5 = pattern_list[5] + CCH4 = pattern_list[4] + CCH3 = pattern_list[3] + CCH2 = pattern_list[2] + CCH1 = pattern_list[1] + CCH0 = pattern_list[0] + valid = ( + lambda CH1, CH2, CH3, CH4, CH5, CH6: eval(trigger_configuration) + )(CCH0, CCH1, CCH2, CCH3, CCH4, CCH5) + long_word = (valid << combination) | long_word + + mask_low, mask_high = self._mask_words(long_word) + self.log.info( + "mask high: %s, mask low: %s" % (hex(mask_high), hex(mask_low)) ) - long_word = (valid << combination) | long_word - - mask_low, mask_high = self._mask_words(long_word) - self.log.info("mask high: %s, mask low: %s" % (hex(mask_high), hex(mask_low))) - self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) + self.tlu.trigger_logic.set_trigger_mask(mask_high, mask_low) def _mask_words(self, word: int) -> tuple: """Transforms the long word variant of the trigger word to the mask_low mask_high variant. diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 2c1e60e..d4b87d2 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -22,15 +22,16 @@ def __init__(self, hw, config_path, clock_config_path) -> None: self.i2c = I2CCore(hw) self.i2c_hw = hw + self.log.info("IPbus interface") self.i2c.init() if self.i2c.modules["eeprom"]: self.log.info("Found device with ID %s" % hex(self.get_device_id())) # TODO some configuration also sends out ~70 triggers. self.io_controller = IOControl(self.i2c) + self.dac_controller = DacControl(self.i2c) self.clock_controller = ClockControl(self.i2c, self.io_controller) self.clock_controller.write_clock_conf(clock_config_path) - self.dac_controller = DacControl(self.i2c) self.trigger_logic = TriggerLogic(self.i2c) self.dut_logic = DUTLogic(self.i2c) @@ -44,18 +45,21 @@ def __init__(self, hw, config_path, clock_config_path) -> None: def configure(self) -> None: """loads the conf.yaml and configures the TLU accordingly.""" self.config_parser.configure() + self.get_event_fifo_fill_level() + self.get_event_fifo_csr() + self.get_scalar() def reset_configuration(self) -> None: """Switch off all outputs, reset all counters and set threshold to 1.2V""" # Disable all outputs self.io_controller.clock_lemo_output(False) for i in range(4): - self.io_controller.configure_hdmi(i + 1, 0) + self.io_controller.configure_hdmi(i + 1, 1) self.dac_controller.set_all_voltage(0) self.io_controller.all_off() # sets all thresholds to 1.2 V for i in range(6): - self.dac_controller.set_threshold(i + 1, 1.2) + self.dac_controller.set_threshold(i + 1, 0) # Resets all internal counters and raise the trigger veto. self.set_run_active(False) self.reset_status() @@ -257,8 +261,13 @@ def pull_fifo_event(self) -> list: list: 6 element long vector containing bitwords of the data. """ event_numb = self.get_event_fifo_fill_level() + fifo_status = self.get_event_fifo_csr() if event_numb * 6 == 0xFEA: self.log.warning("FIFO is full") + fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock( + event_numb + ) + self.i2c_hw.dispatch() if event_numb and event_numb % 6 == 0: fifo_content = self.i2c_hw.getNode("eventBuffer.EventFifoData").readBlock( event_numb @@ -267,6 +276,15 @@ def pull_fifo_event(self) -> list: return np.array(fifo_content) pass + def get_scalar(self): + s0 = self.i2c.read_register("triggerInputs.ThrCount0R") + s1 = self.i2c.read_register("triggerInputs.ThrCount1R") + s2 = self.i2c.read_register("triggerInputs.ThrCount2R") + s3 = self.i2c.read_register("triggerInputs.ThrCount3R") + s4 = self.i2c.read_register("triggerInputs.ThrCount4R") + s5 = self.i2c.read_register("triggerInputs.ThrCount5R") + return s0, s1, s2, s3, s4, s5 + def init_raw_data_table(self): """Initializes the raw data table, where the raw FIFO data is found.""" self.data = np.dtype( @@ -302,9 +320,13 @@ def log_sent_status(self, time: int) -> None: self.hit_rate = ( self.trigger_logic.get_post_veto_trigger() - self.last_triggers_freq ) / (time - self.last_time) + self.particle_rate = ( + self.trigger_logic.get_pre_veto_trigger() - self.last_particle_freq + ) / (time - self.last_time) self.run_time = time self.event_number = self.trigger_logic.get_post_veto_trigger() self.total_trigger_number = self.trigger_logic.get_pre_veto_trigger() + s0, s1, s2, s3, s4, s5 = self.get_scalar() if self.zmq_address not in [None, "off"]: self.socket.send_string( @@ -321,17 +343,20 @@ def log_sent_status(self, time: int) -> None: self.last_time = time self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() + self.last_particle_freq = self.trigger_logic.get_pre_veto_trigger() self.log.info( - "Run time: %.3f s, Event numb.: %s, Total trigger numb.: %s, Trigger freq.: %.f Hz" + "Run time: %.3f s, Event: %s, Total trigger: %s, Trigger in freq: %.f Hz, Trigger out freq.: %.f Hz" % ( self.run_time, self.event_number, self.total_trigger_number, + self.particle_rate, self.hit_rate, ) ) + # self.log.info('Scalar %i:%i:%i:%i:%i:%i' %(s0, s1, s2, s3, s4, s5)) # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) # self.log.info("fifo csr: %s fifo fill level: %s" %(self.get_event_fifo_csr(),self.get_event_fifo_csr())) @@ -366,11 +391,14 @@ def setup_zmq(self) -> None: def run(self) -> None: """Start run of the TLU.""" self.start_run() + self.get_fw_version() + self.get_device_id() run_active = True # reset starting parameter start_time = self.get_timestamp() self.last_time = 0 self.last_triggers_freq = self.trigger_logic.get_post_veto_trigger() + self.last_particle_freq = self.trigger_logic.get_pre_veto_trigger() first_event = True # prepare data handling and zmq connection save_data, interpret_data = self.config_parser.get_data_handling() @@ -401,6 +429,7 @@ def run(self) -> None: for event_vec in np.split( current_event, len(current_event) / 6 ): + # TODO Carefull if save data is active at high trigger rates than the RUN LOOP is to slow above around 24 kHz if save_data: self.data_table.append(event_vec) except: diff --git a/docs/source/img/structure.png b/docs/source/img/structure.png index 40024ec48d31f92a8173340b4ccaa26acd2497af..17448ef0449161f4690858966622af60995d22c2 100644 GIT binary patch literal 44639 zcmcG$WmHye+dp{GNSAbjfCADX-3UslfOJWBcXxwGHz*<9-6`E6-QC^)lly+2`S8wq z=gZ7&mdoY7VxRlmNBrWzV0l?dR3t(q2n2#E^=f4R?HlrJ%PgAfh!WO2pBiK~#r^Ds>Ol}J8n z-Xh2le#gO+Y^z#c9@d>~>rzohP!`6xhq89C`eYZ4b@Ty=QMd8fiEL}Z*6BztG`G@`Df_dx^RemXd_L>M)GZt7mL{sm%Ey$$ zlvI?-b)#4->vImRP%19pP(B~J@}mEI9L40Hm;b+hJ*(v%GAUw9=JZ~Ul53(ryMC*dS^yJ(6+4U??MB#iUk}28?k-xIC!x{n>V+vBGeN#kCPnwf2HbbxhXIAEg@dMcd{$d z&QI&!U?-lpfd@MJy-^;cowa!U&4W;l z7r~tY{vXA}>|(4kAkX{xe{JaVx}J}1Chc@W$}BYLOd}yxX?WJRTUj~MXr@H;wRH^{ zhDTOTE_I7mkA&g4hO)F&f;IS69QIb$_9E}|1luX0b#y3LrAo$ktTyeC$}5oMX_Z^& zdQjbUDOWZZoh2*_ekfAC+F?Dl38jdi7S%OG*3v>h4~g*d^<57*D=GL)e)tUw>GVU! zlROU-}`yp z81{7c2f2Yp$HLJ{^JKJ@)S6~t1)anb@lFvx;|htjr#L3_vj({ONPndQ4qnUUWzDZO z7uYf7+eGgWJ{iB%bU(9Z@Nh``W5X|MnDI%;ob{nbFkbIE&jaH1`?so@W(!$^BXLLm zTJVU`$C|cw5x>`L607N!{Ij~PwWrCb*H=VZi65Wrx=a(CkNCfy%#vN-hH^+`Z*shI z*;IywzrmN`b>)FOdfIYLd6#PTU6UMgiyG8UZ~0cF1xn#w2jic-*yZc%|K_wA>z-7b^FL(KBvDnyO7 zs_TMVZNV2bv5vxbHRhw#G8eVt4xXFUy^)WC6%7XBNRg{@%sH(tHhHWP6vV-dUzanF zfVq}-z3QU3|Fwv$14X?(x&)pwEq4Pq;TW+XmZg(nRBk+d+E*L0tUHPJ(NQ$#x-M>_ z_z<#|xuC&4^yTf$is!xe^G8T3q^Fzdk3CfQI3!{J{Tj+d@hOa}4BhTY}xM?T#gsl;y*NGX3Ug?$Ura+ijVk@eZLS5X53(&K)0Q26(Q@RBuV5g@`{g$@HypEN8$m0! zr#ByZ|GX6w%BWFDI`Vu(hiJDwlu&ZzVhBE*q)Dl;pPbutO*PSnrFFsv-s-I5rIU`*^UxI+hCrg!5lHF+DeNfIR` zroKxJyUT5XRH^Fy7|s#AT3agFOTRu3-U;AH7Vrr#s?zarsv-ZF#0gzN%hdG?dDdxd zLk<&TTi@vvRJa^&c?jmHy^F=kT@X^*)S)N(fTqL39zL%}l!DNBimxxMWm5&dSM$ssOK?{Pw5ij?dv^oOFuU(*@~7kD8Z%^$cJzdnSH>`-@K)dAdP6e-#aIwJgMhc8qne(os8m@;KQ-Y z5R)7nA3mkQLALE$O_@A&t7nNZ|H-!759_BcUlb>+@6sEqn-`oLYPnYOEkx$+33iRwU8Vj2$0Awci%=(toT4ObA0C`NQ)#$VkO~1Jwgou8`C}#(J5^$+ZCn;&H3Pwr=xqGUBO? zBhr4aHsIL0tci#?CYadQ&)DtVM0>MFMQO5g+f7MMSue2kbWawR&R4mxqqbXV84){! zB`VojNzYwYRV6GFPVD?n{9rLIt*K*WtguDOcIYjdm4h|&y}OfV%8Kn06hC?NOeFyh z`w`Rl_l#nJpnXiA${#2{;@(@|e*69hJ0mBT^~m#_k2K{B3lsuIPT{%c4Q4+P3K|(A z3kUaNi=l%zpAisvJGS`PVCX8pUFS734xOD`ctw^-RzUW5u`@JBG0itgNU(!b7~I)v zo+HO%72^>S{0|PWN8=%}dMkjMD@RSiThO{6q6&86 zSu6BD&og=F0p@S|)dT?*>Vt`c**jgF3GlZ4S!Wat(R+*qE-bws)b_Y=1Skk^4Qbc- zhb_V}>0gT69_&z~50on#2UEK|M1)_s9jHmShBd@IG+B1nyJ4s%rltr4l$HDE9yE$c zCJSFpPTHu~N9kWTxJE{C#-Ci;bGgZKCl8UqV`BP(HaoO3u=DNSb~d**A`Gx#7jS*vh-G=t4sxie zDWw1!BOa1c_cI;7CcC$wnR46nVY=TrT3Uap7zpEYE5E1hSXz3#=9Gxo@s#YVa84(I zIBkUc6XzrbZPTonlYzZkod`aod;10Z$$|9Xy9GCCm_Jc92>( z%#a5m=)rK}0!trwh*a<`jnNz@nF;ZQnONvvuenkV2y|5@xzxkBxZI*5j(SNA5@PbT zPqGbh{X=g?BJZcTkqG~-dAp?y48_%m#f60|&e-m@AgE&m2)X9?vEhjXXlZ_m(iB;6 zJdBDOmbj7(ruUjX+6rFo^96v~>*t8fDou;4Mo=x83*(_#M_^4GGh(UzLrN=qlYy}$ zE?;DHWViYUyTGf4*qou-TY==uMy)*I{PwUHPp#`LayolCzW34pfjKjjuCPRk1~Eyf z`EEt2YLWA&2Lx;uQ98A{pbs1=6`HafB#!^##!Gh)6&|dgY*0{CH2rd7`TC&Ga!NFN zRJZROvuJbaek0XTj<)8Oa)P8L^COv?)0_GERH*I1eF0aVUz6v_T%=x=SxCBNFAr0> zyaloTMFb@Lc`t;Iwk-l$p6d3oD?~AJX$+SaYMSdd=BThuFP15^kwi zjZjW|8ezupRX8qvgiJk@-NnV{cMicn!_y!!5zvIja+gd`#M8G{$4}CyTc9Bf?kAbJ z2%_YaltLNf3#$^HHEoT~XY%UmVNV|Q_>0YaJJ_S)<3^PUoEBvYb+ zF$sp1tsx{bq+4;KS~j1)gFN)JurX~BZrVp8vA`k;mN=7vN*v&vNZ|Yo8GpW1gq$A* zCbGIyw^R9$mt#;qek826;0e0hlxfYmxI>jAO@j8-q0&3vO^(cNmi2!3IUNSl`%|%Z zm>vpJYiG^w((wRL$zS3(PJ@=bCjhJwHGA4Ey+0=!fzdUk2Q_20w~hP!U^&GWZSQ2vQ^$oY(REmJb5I^ii~Sb44G>4p@7fr}aR(4$n9;IQ-gNcZd(2I2+s zS??e&a&A7})sdv-A-VPEvu;p0t$kBjx)SLW1YE z@V0t)X=}ed6Xdu-ma#x{|Bl4bX0UE*lv;=kmK}FB< z{Hz_Mb-!-yZ`H#Z4O&}wYWiAJO-n~U_Exn0FUXQd-4wH6y(RUO#SN^*;oF&pv)Y|Y zu4c&R?7Din0}|>|OU@|K@$;#q8jS{-yU3>?xu+|(XExSn$*H};MHDu6PBH=$8b8EF z#Ey*_%_5LbLAjd&Fu;4MdcTlU=^1IWWxQqoWlo9X`pMHX=_u!Sc+&bX^Ze?7NLV#! z4$Lb2h?TacU__prtg^Bzmwcc1L}EDwDt}a5mgkH7Px(F{@Fe{tVcTZAyak~t>-DdH z0zPYj9Gr>@K>TE8^`Gfsm}U>s)as85^BT*Whht@LJ8>z`_eq9e(t@_(|2I1P++m)N z|EWLxmoERmef@vaH|&}i9xb$Lf94Sjx>d!wrae>hL+Q_kk>bMwD<~$12C=amGtD~~ zkq*x!zMlRfBKVv9nWOH}3Iw_ARixYV+1+_Np5D`+o^vHnHE1Tc{H!R&ZHM=m&w`3V zZjOb#-kPAt!<>gF8jjHalcti@LiDdD^3o=em_vUOBgD_@*vhXe^#XMxH%~!G^L1cW zJ*fyXW+xUsw+pxMx7WHl*#963O4iu;lt6c_Lvyx;`HeH}y>G~nsF!_Fm28-Jks5yL zG=Z_>CW6@$74YVdo5vlOCgXEHO3p=cku zBW&leN*@OWS2c=%{mlM@yAOpeLgDLqqcoEkQ0cE6PwXmDf=B z_c<4Ne@0I}SR&Nd{4Iz<9PyAWEbm3rUv-3k10t&-Me7F{>74@wB!jsL!&FPdJfUVe zR8pIQ(=)i#wyeVsk4E@grX{X{zNvpSvSjA94NJ&doYi$QT2)l;pEoaO?$c%R-sJoA ze)5C5LKj;icu+MnP>M>5gjpgL5|@3){s#3eNeira(f8*tB={#tO=^yHRuWE15(B)t zg)-2;Lo(_s>$^4zq<@!QPIBCOb&L4zSPpc0xgu2mwd~GqVA^C%M;K;iIs|&pKk6A* zciRG{h47)lRzA)fh?ivP%?)8J{9L@Hf0hIk1ixIH4%!8w(zGd#Qz_wml^Tr@M8~tv zv^_PF$I;8}Odt}C0dq)X#5q`Z@PclT0S*ElPv-EC?LF1N>|E^n@a^t)nt$7--C5V8 z9S+9^2mb9bvy-1o5 zabDh6=pqB>%?9J&y0p9-XSYo#U_>6r>hE1|^`=_3TDunm;N!_BS8)a8yG6E}#egM^3DIpFO5M-NS43>JW*hnVA5y zgGyCKD@s%QdVl@kK0YZ8BuJH?@=K6cZ$k*-rdsOI-UYu)IGJL|_R7g=gfB=_4-UA6 z&(_~9*hNV%I_P8&18>d$r{erA`dy2a{Mdw9#rlqhj|(&65H0UbG5bJCQJ%P>^(#Bo z)KMGzK_5bTqGv7fpDJ<~6=NzZqC^J+F?03o*Xk1`*M5ifZ~oMa-VW|YT2N8VeKa&S zH$JZ^%4Rg_Glf9<>fv#z{}Y6~*`c0zb|)>5(fww`X2tLvHm{JsgWC7zpS-R~F-(YG zsVEdO8QXeR_?`pF-U!Ma8a18c4eC$0Pq;{!m<#M?9ui_=H57R-yozENci&uOXJ`cX zi^aDbLl4dWRPQh{g=&IXUOwz?e?>|8T)eZ?hCi7FdsN&JJ<9SXI4sDFR1z{ec$OpI zwfqh2SR(WJsypZd-urx)c6aAVGejWbAP{2@xKEd_w5CtD9AAmNErNrbr;H%$zF2bn zkCwU3iKP<4d~mT7#VrMaNGg#^jQY1bFsj+q;rDn1gGj68)gEbVW03z(EZ3y_Cc_vs z^CP2Kpvk{hoH@RPr#|GK*8R+$DjPTflYf0SD441ATgTio93+KbY|%^Pn4ln(e-w(P zFFGz1XzrdEkc>}xVVgzn_8jCG5QxE(D`(+>xy4_X1)q7AqYeb?FDFh{UZtaFSAxCY+Dqa69u@Z-Mv$e2Nm9-6VW8l$j(M z4jDYJ4#T3&&6dyIQ-5$jYb8gl_IJIXPxIRMGyAzO$09{rjzPi9gSgORC%GNPj7SK> z2nzV~=E1hm3G!VYwDZ=Zre&rj9N$xeaQ}kaC$Fp$SpVDQ>#VBqDuXvDTYi+)KmL<; z6KyYGp}FsBTW5Rx{e{KEro(Gmo*T%&PamxApXEKbi03PS<9~Lu|M|L|<61vg$$0)! zgs?`5uJF7+A7vv355sD}Dc$=%abtrQ$Y*bIW0M9i#UD{Om;*VhVtj|Zq?zL-qN%GXVk__4)YNj#7e%VBXY|}tN?fnx zvv@xgj0&ktTaBN+41vX%J0-N`$}7ol;h4tfHC>!+Au47;dR6&`5!pw0Fm;V|J0kxn zq1R`7eFD{_(CsE(?fX?ipoEYc_Tj*2s=dtz;*hs^OXqG>@ctCkIrPZ2G5sxHgKEtU zEnS);EF$nPMyzm;HMG}y<{(9fIa|EChw|@J%v4%a=Myzv^nMsL6rP7Art|veLH%#I z;Qv?OLt!hU@Uw`fqN7GXw=0h@IYuRYk;E@y6-_w4hWZLnrJn<6!5!SaQ5DZu6}58a z!>FI4`7^h(Lwl;5;e$-VX7m=ye>Ij4J9df;S*`|Ww*?A`kW;|t@Fzx6t2P(H>H3+t zl5h#fUt;5ib4a;9Y9r}Rr*~#O4F*=FR_VBid*et>r3&2!hsyWHVj#hJ33-id7vrPs`80@zJZzaYiVIu8ybPm8`*79q!J?d$%%&~G%UildL4Euo5BCWb=mD9K&VBV^Pz zHRkvUtf7zRm+`CiG3e@u>QdXd%G$!lPZ7TXVc*fDNRyEJK3LSjJAo{o_-e$s>R?fc z7mt_Qu53%TVQ?!_HIaB^M|-J@R3v)mh=cbXp7YMlt!+pV{zFdZ{NL~~s4~wq=6ixE zCRkEov*6Z@0G`xfxiJ6oW+*bj+n2-(#K-GBkwRvs&AQeOa+I(l-U?l>PJ~#eJZoP@ z`*xIVcfcJZTERfR#44^`caL8m`lqveyM%o7Fi{8iNTFxS)(-ugc)=I$ge%tLp0e7l z8vD&JB+%aDrs6PEf`DHKo^bo%^h@C;m=RAC6h7#=V*D?$N-NoLS|Y1Pq46G`)q@#g zua#Fvj>@ZS7Yw*|H7;PaV6)EiU6;|4$Wwx6KpQqad`HcLP$FTE`-FKb^* z=R-j*D42}GCX%Ci5}^oT4PDtChXadsy6yM>TDOlEWZqd?ibF6q)oYUZDQ|hCYmqMU zuk<_k!Trdx$gp+U2GrBBGi$rS7wS?20jV*tz?iBUQ{}GbC9l+CrVJD#&olHIwd8a- zkox+;LR5Y{kGld#D)1Az^$+Ge9eL5z=V$?2SL7fpL zm&+4zYzb9nZ(L9!VIk}jT;x$O(5 zSYQh)6RPX8;00SQ5hkQLNbjr!8b$d~&p;7KnZXZqFF>Q*(MHm|JA<3y`D+unU=Wi= ziU4u)9FhbX>~ve;FLAfsp8sLyu0xo5Um$X4SVp3Rh5w^PLZMbO!4~>Y?OWRz%$f0} zZzP}76A>X!j#GgNb!JHS^*4l@gLl(r*AmzGkD7Of5pi%*IIf9PqibD^d0S&RUk`VW`o9U{s(|=w*{z)y&f@7yXC5d$#Hc1R!@v}Cp_*CR#BcI`7&xOn_2ugr*($!DU$Med=;{u&-9ce;wT5syZI7Z7J zaY%(U)b>$?oQnzZn5?>2WhvI=#w0$AC1SS#PhVbp`D06Rw97v9Jk0#^vPtB^(qYff z+@6)InyI!=W-navct5)Bl8T`q!DO@)H0;f}m&SeV;O)c?^avGSA3?;?dfm3cmS}Zi zBVqKU{ZWOrO+H`a>QLgxh9bGmdsy~29M$e+$jvK@uCUnI|D6S>J-SdSwI9)9K)-i` zO?;tD4#H(U%IaGDR`)3~P-jisSJjxY(TBhEdauX1bpD$Xr&16xmiIAK=2!65#47H@ zJgI0`>f6q@A1JH0BmizGdwfT$%?%7Hnx`Og{ud*moVF% zUOTp)OhjN?1$=AYUnDhwg|_yrxq$E=Pn(}2HM|U?5Y*ip@O_9?tY6h%qIvy{ZO9bK zge-t&oISNVP;AT4i$;vd57IEq*@+sm+)LKOtgWimSa$NNvHsH8iDMB{>v{!xz|}Nj zme0YX0zJRytv0yg$-tG|>dF;aYfQRez>GaD6oi6BW4%jtU88cTfQ-^7G~|kyQiQdCovX)plgGOe!RV5zle)^4|C3iF| z+86MH+m;D$t;@SI2p*!6lMKrTq6H(Mc(f;cJ5bFR;Gm(pnTW$XAEan@u&-z{sT*)Q zwotvZ8?trkUA>61B*ZAKJy~r&pDiyf3>($ms>0>)vth=CQ=ML<;w&77pf3nzFkn)d zd_hl{C5`lJ+LAdOgKnMG*cvfZmjh4>^BpzxpTN)77M55N3HfF;B+_sYze`kO`=� z3n%wA)~_E5>#%uBqqd8!wsj*zpkJRd;UwH!JRz9|*De?{z7yGEjQ3cj-2J_W$wF5+ zDJ;1rv?_6zYq(HE+4pdkeeHO~k&n`F;~=afQ8s$sZ^%kiGgx_=eMA-$Mjt#&6H65-#1)jR=wP;Agh@=& znd-*tvxaC1_HSt>{?Atpd;Yg6jo+NIrW|$2sL5o-?+?XL(z!anX-7AnLqm+F^ISdc zaX9P4VZ97X5q{H~$Xk_d=fHAIl&!Nb4wxMLF^K_<>!9!RuP-{2A6l{`R71PyaS3jr za*1U+{yK7I)i=V&;6-x%91d#n(OUZIyQr64>eNMvC@une(EiN|B%(ynKTly{Wl36| zZ7X)?daX!s)YS~zVt6uAnvP^+Y%C zZ^YWfIB#9iQe`xxXQpdRFM3;@I0RJ62NZS^+Z|v#ag?Fu6->3rSN|F%$Uif_P3|))@u|5jV zaVoj&nZ7hnpCP2Dx*T!{Fg|g`vevatQK%0N?WTNY9!=OL^{&4@{T?6|B^<{N`StiC z%S67$YCcg-q9vk7AR#hC^T6{8QxdDicH+&$qq)Ejix|JAV0;0`_Z1{?Vv$GT4}zp3 z;90L_NMs0hgI*6vC+_}&jT?I!Q=wTWxYv=Q>-?PV92khmUDDLc_FI2)fCBT&c8-xQ zg;q8w--muX4nJn8t9wm(%r$6`UY_*vRla(*-_qxIi=zv*t5Ker5dFHB09-{o zzwJLB9#wpz?3~csq3IF-ydkQo(&N+g;m6kA-J4n`qR5cfI6Icwbn78XZw7eS=?G_t z^I$4$M-i~ND)ch8rhoeg=rNxN9%2u32DK>fP6iQ%eBS6s+=t zc!6TK!1zgbT<1vFaI7cmF3M_VMrF;WJr?(L=G zIrZbGk>~!|4OBLt&OSniPRy{6!@&woynk3I$w6C|0oxbOW55|qI*p70kqZ1Qv$>J8 zp*PvzBBC!0~!du81BBZdUihpL>H^+Ef34B;kU z&Nh{+Opx3yL#C@$_k;SZxBPM-o@DXG?4P=Th`&g6SczNZ@@dLC-w&mAIr~n}@zTE+ zvVKeJXRV`8OHK;(3H67ZKPa;f>kI$-B20&+`}flW^2ty;Vo2-r|#Hj?nHMX29l%BtI_{hnZ+hp(U3FLLryDZn!KKGeUL+Z7IrR;}~nwt2!L zsOo_5);i zVr-Ak-IV_4gBw4gXV0tfqd%;<*V3;G%?g+I+Z@yFzbwG}i>^`&ch@nnPt>?|l@|~}(>o8TV9LB!JK1RbPEZF(PpS+h_Qa?6+JfF7tZi@*{zd;J~ zO=si9iUK6l=MGl*Z48ye;EM^xy<}X!3-q>*BSA>!`yj0)bzeoMhk(<2qycr#mynOp zdTNqt47ck#fGTwjSzfN}y%Jmo+cn^%^M+a$3-l|*VuEDbb05rvyG>$so*3^)JU4H2 zvTNdombpmGvjFYY&sX?k`YBC5*hI3=>tX1M0|5@5Uxw$zJWm5yXzAt?r;rgv=R?Ub zr^#xx4K?cFWAQPf9hVj+Au+rf0@06ev6N4%lU_4ay(9o#2wSKM43WL(Y%o();fN@H zhX(p#M9!qJ@fX7VTL&^M&;-C`0AwGdmg&r}0xkgev{OX~HF_0%;8T!zP1_0v;;eCT zc6nuW=X%###;4y_+ch(|<0Ln0_{G!)*``OWoM-ziB2Ru#r->*r&hfHZ@UTLWE4MtM z%0aaC*R>hU*}dONvGh~1o|=xZf2P+OeU}@r>YE*Z!KRr2?fi>)FW%C?v$+8g0jc(b z`{Q~|K&CAaKb-6bT1HL3pbxm}aemTc0*W}iFu$6>=*O?@xZeEGrBCr4+~++kPVjZ2 z+ZjGYr~{fc+ZCjR1!pP=@neh;lZI5|eU5YcoIxUVPRGWC~z z`ZfxZGk*Bn>UBEpsG|)#U~3fR7&`kMRGGk|8kd|?h*S37wr*P9-|)NLbpgep{V^R) z)QrA6McL3wav?ui=e2WQ^psH1m{9IG#fA|fSi$b^U4m9=*;0YoLmShQM#xzIl;LKeS7UwSc|lUP9v%^t$jH^`jf8&x=ZC%p2_}r zyuAPd#~7g<+23&Q5px`la$b`+CS`Yb4_**_7RR8>Kicjx{Af=eDAsdpEGNOP%cL2e zBDogwq6--TO4RutjGNIG2dsHjx7_>56_(AN(kS20zdXX{tiOia?p|n=fx3g#dhEX(YEKrn2NU z!$GUfSoRE@0QaU54wubk>uYG1@CN6Xu{Vp3Fy2CF_*ifk0_{8U2MtS4yR_t~iu}WU zQPufUG1xNE;bo8Jc0(Vxr``-P2wN-VuUsW3MxjD5RFHJG%mR0YzFYo@KlERaR}# zMfE(>I?DwAA*Eg=iB}~+ncvL-s~_!}gJT)wxvCLgrC#9`e(VMhj#$GLTEne@+)W7a z**NUdUv6cR#1J5dU4RcH_%#j8JK3SG!=p>R?IvGsJ;U&<0bRgo(ajFbnKxH3879#3 zOw)zdR^sOVOtryBGI*9wvO{NP46;L(rw0*0zprKE$$%QaTdZt!>u7qi*z_(GZ0kk4wYuX8>&Km@8w+hoayhVeO`ze(<8Y|q|4>sVJ0+!Y^Z{lX4o5hIJ0 ziy%tMVx+$$Fh^?OT*aO}lmDA*@{cR}tk(Z$M&Q4$N!FaND9^b0FVLV1CU?*UK<=xa zU{F(1-tvwa+sjYAM)eFe?=sMum+H*O@qHgGBf04B$9XA|uaExFKS>+BP8*T(h$c!M z%X};1*?e5!$N}G>uzJmu*J^25C=LP{$L3Yqtqp}+d*k1M7WM{|-0GCJ<2uph^_5;| zT42|N##X%q@q^LuNNu8TBepb-jjQ`1#!fu33#bUS1-?l=g6dxL=Wd19J<_E3H6zD& z2-!~)`1kjJ$)a%ko|zs{f96Nc!M@~`C>-w)E6_4uY1zuFeDVjt0V$oCY5wY#0U+n4 zQF9adypaqeAq^Q4M|p%_Kj_O&I*NMV_qKcGyN_Dhu#4eRJ`36RZ8*gD8;Af+%%9EB z0n~=dC8+!?$nM{rU;wpq@$jPaNUAfD zK@Ol+1WVOZnMP1?@u$N{a1Bh2;sQGWBN+UW6qq0Ty0n5645k+}Z{1%f<6_uD52eWh zaq%|9Cl(Iq-AC7){+)JR$;ny)zp7q=_5K8{3;=auCH3IkW;YZIk79|lY})hgHm!)| z5z8{VMs>f07fny6^%4Ul(8^?Z|A_c<@WgZ(Fej1c;#U-y42bn>z|8p86`S{_0N$=B zchaOXk0IZu@zbI~Gvx;dK5ai>uy!U?+pC{vl0~-e71;Fupfh2<;7W+P!U`^eL8e1sipt`jInUc09 zh2X!)d(s~?uD;! z!NcT1KYh>aLa?%&G&*XJe@r|^%Ml+aXl^3>EH;bG6@$q(<7d{opNW6^vjX2maw*td zdvC^ujjl@CmyH+Oisg`XJ=XqNzzGu832M&86T`jjvjUn)ge8ypSA*UGC^~R~d~-tu z6;(I{Jl8PyZ`44=I$pj-ei^;6L?U#$@&&Bb`%|%BmTa)j6>9r(B8-sG=C>pMC8BY_odNhuWGA1PXtlKFhmo9h>)OpzAvkeQs9e=M9!)#bSuBrHv^ak7zh zwS?S4jc?a=L^?_E&qVo@Q8CO6ToW46#P#4(cET`U? zUP6#)f5ed;E3=N*fRf-S0cQ%yzqG1G7-;aILy3o1;)bZgxkLv0WGQ)5?a3~Kl;*o1 z;`6&e<}B3f=uuNh`W%t}fuab#zn4lg-*>S&wiw^~M z{!M(yNR=T3i7mw1Zb1r1<8@p6##wl?@@X`Cp@$)VqX-|1m8#&^U`A`VabplomM1^2 zJ3h3CD18ckzC=Xw`$`V2<(U#-8U*vnXkuVUC&y|tOcCyXi^Lk*`8_4MZ z5zE8XmhqGcS9c}wY=z|a5w+?M6(7ZZ4{uon;OVCd89NX(dp;UE1-j_YT7J;c*Mlok z4V59Y?2s#YjDR~D5Vf+4qIl>AR|oD*Hxr>RXzU`?SQI)(&inT+OrSc1xmn={43I)V z`&Kv$)By(3SAna~Gs-grMartw9aqB-uy~eujn{i@0XfwmQo1gg9DywwBRt3$mzshQ z`w4Ez>WDKvr)ugO0|zGw;OiJz*swsbm$l>%Ej)X7-BTkcL_V^l2%MBmUo>Flh%HHt z24qN>nZkKvaMy3bis;s_9v_u$^Om85-bBepfjrmpH+?qq-Al^ROI=~nx)d{z3Zs!Hphju-mQFY)h=>B3yJ^C%vI>O|$~W2k^J ztEfK-zy&n>gFy#Ez^d<7y)hG5&f1;x z6nO>3qCisz3|#L|e5m&5b<>*<1v)x^MDJWu7aeeK@Q_(f+jIiF;2|#I!6NgQuqiW6 zgzkqsaKpuipcOqkZis%+AJup;9focNbek~+wgoxh-@SGQ1AGz>PK5`B6lMRYaDRVAm)&Zd5hF zx!^4ibrf%TFQC%A=ShG*!jQ|3Saheo5T3dr{;~N3_*ll=fgpl)UUO=xES@cR0C#Nd zaaxif)fWEaUg)(!5z6*dK3yIJ%=Pfh%L_Og-#Fc`1yY!qB?62_p)>5fPQ67@nkxv& zxSFBs4WYL-Tae1ucLlfmFIo2v^P~UTxN7YLw`XbLKt>2!f;WzX^Z z?9ecII-!=}Q5xqr>(bQwyy(1!!K35UknMNnys*&t91W%x%azUGfsI6#;tuiNO*3u; zH5*G_L&nQP@$r$iy?%7lM2i!2fnFM*T$-y;0f7K&=jOi0pR`yT!94EH+a%a+Gh>;B zRs!TX2C+^z#PU1J9+{XxyP{c zVQB-7rQs6P=+!wp;RD0QSDxaOVPlqufh}4p5X04OWyn}S)44HGD2S9zZA$r=!@=*u z)W;w^as2+FD?>QE0<`3lkMM6k{2s~p0tErmfLbFJJYz0d_BNQz5Y3)ySJe#%aska5V^>@rU6crga=R*JGffMTrILIct+=qniy^b% zmP|JwPXiWgz*J14A)bi?(caQEWF2m{Ru%Ua2rsNHx31rz^8nT`1{eZtTFeQJO-*d1 zmaUHBo?SdC&u7RNc?QGjwRWO6MjWbnIB$UGx)FT*Hfww*%7&U`hn9(e-~Xu~Rul+B zU@FGG{45Y<1nTnbW-=bv#W=%od^=Y=LhLKXbkTh^qpbNc%g@)usUhiSm1;L}XIxVXtvKD`r z2n=LdM3**G9HX9DSU1log-OXR_-Z{>;p!66$4Ea^6%JGyn!B_JjW6KDe)50$^fh>F zo;6I18=hiRLuX;e`YztHNL*1xG4w`jPE*OqAZRh58#}qqoMU=X2`C)gI$K(ASreCB z&4#vJfg$J*={WS>FsIEDbM`X8KNBm zq?gclvPJ!M)8;&#$^Jx1!_W%z;N-I(5O5nQo2%DC2=onlFox9LSHHovYQmvPtYS+H zK)m(NN1AH)DxwO_gulACw}k%2`oGJTWXG|y)~Sr?>Oc8Be%58aZ*H4T`69-3pPD2g zQczHAI(^Bp5MBdH@6_36i#q`f6-0`-pp|70y#sG^Vly5^e-7T)c&H)pK;QHZtwG-X zjVw=JG`VzPmLs8>jsEC%?l_usNICu9ROa-{#2Y|{wIqdhP>eHCIL1_KZyFqWZLmW8 zKnz-3Ut?5Sje8$PoYkg=E})W80om55WbMi}x9dn&Ovq8N)~OBxol&1PWmcaQl4Be6 zXyH7rwrr|j#h7D`Zir5c$mnMrICRnrA38QCWUqOT#miyYm8V|btzfZCf4 zDnxYzqo58j)}V_dTt6UqDfGecAiDi`7GQ9~_xJe)IT0@rJiFuT%lw`9F9&|8n_XKK z{5fwDB=rH5n$-P?LJSQ}ay|lp{#*`B*t>`O5aY4Q5Ldq&Cwk3q<%M<8WgJ|0!bo?hku7x$VvV%W*sF zLFtqp{869yH5bSOAo`)$Ytjoo< z(LHd-h@+=D4p1%;y?T*f@)WdP=P$bB z<=g@RZeNb5?*jfgK)leZ;kedw*s33u z$&dXLog8QBCJDx5KIx6K?D@aOK=gXYBoi3ZK*j0Nh&m>6MzSSq$&0LC5ucz>yG2R= znrM+C4RQA+BIMYZSbtYnJz(^>9xgsaesRWfRYD8}#kar`58%Z=bV2zg`I7T!mx4M&nM9sK| ziIV;u0>Iov613Q$Ctr>#Jh%KhU8W!Q(j9Pn6FIeeyUM_A!~^0CrN{KU9~=!BFZJWd z!Eg*1$QU4bZ->A!!Ii-82`=IDTUh@+*UpZ0DUO=%(r?j#-m91APj_yTe;vB z*+x|Gm+(=U0{6Wn0}!MV21*Kwd+Hszz|88ij$pA<{T^9AK-dT(lsmc73IA|+04BSJ zQ^9{XaaJ+6e17TljpiG{-=xRm(-H%%$DetM?OA2MRX|T%cp6@G?@?A+d?NEqPmM|0 zC;2W!9_7~r`vpTR0IA5Qz+^$y5SR_T`&JW|(;kzmSKFl~8un}2FJxh7T?448za7P- zq&(cMwR+>Z^$iN4Z_LFjd*glnvednPp83uRnLh+n&|pE1~r`l|v;= zXG&hkIiN@8FuApIzut#7zqX4pahNpemKn!M#>oyAyl7)(05R*%I~dc)fiubQlJ zd%CdEM=+*_eRYp%_ipZd)7SQkCjJ|V<3}(NyHmPXNG7vmjXv%0e^`6(uqM7OTsVq? zg`(1wF1;f{K)Q4Tgx;%k2)%buQIM`wA@ojYLV(aw5RhI2(nUIs@QTYwevqiC(lPFYU-b`0mqFJv`l>DW`Uy$|@j=C1LMy#+vYq_{9Vj zb~^gYHR0y=j4W$8=%w}7`o}T{mj0P7RUYnS@ z8gZN|?Ww_3OSF)RXW()B$y~@EjeKZHXNsH1<+yaOm<@7mPCwt3NJvd%%o)l&4abEx zSXG9k@xH%*&%GkF%v-6nk6ZQyy{5@TVbILUA4$}V!DB`-LFoAToMp=XFGDfs2?m8e zh+2S8a3ph1p!c>cbqJ-=PLCV*ux;D6-<%e2aX`k!y+16Ap1!52J%5;og=%SdAbYjrxUUx4 z8+qJGgZa-^=5*AxV~tN1D7$IC6p2gw^_YQ+eRZP7xMmA;dv6)-2PJ(dxZ#Yd#g0jD z7gZK2#PVO!(iUxhq)Iys*5JP~iO_5;c!WXt*lE@Kpt#SmoPAg0^jaHia+=5l)Oy*{X3vuN@QiZU#n5Khq5@fTV!fp!5=+8Z0g$JQhojGv3q~a!0@l+ zoT`%^2LvZV+dW&F{OVNHlJsEGYec_~x?g@$2mi+KQJ{Zf^U(q_+FQcU{e6GSzM+pH z4N&M$o9C=-e7l)^&tgQ57^B4f-oq3y78S_}Up~{Rz~zlmI-Z@)ex~2P7+x$#Wl$f~ z0<~Msh->gUrIgk0`Rdt|wdZv_-{;$*uG_4G$G}>QeV)``ZtHaE&1L7OFw1txgk)r# z2rIsqU77PKvzq2N!jcjIHbG6(Fn)|%pVrsuSNULXFMMDW_!C`q89B{goy03wwKFfK zKv0mzkq}@0j^yqI;|4Pu`y)Dfsqz58Ut__rk2`NE7xtsdw43{uNa?aG0Lj!TMmR51Kip}!scB?UT&`H)e1xr@iO#$w|9WXDpV?G_Kt6rqigOYAp{pS8n~J zo~Y1VeA_QO$rsf1RDyBJW3SGf@C?hy#>FCQE5wFp2KYGwfoD_xl|CdecTetFjN zG+Ew%`sc5o(&D+%Wu`IFgJ1b@gBCoQBOt*?N_@K>0rCez*-Lo7o2J(I;wAgvcHfpu z-k?fYkEICM8TChRAP9Ku5DsJ0)?}>Ay!tGCk0A^21U}!Y0wO|0XJ| zuF#@E>F|aPDRJKY{WVhDFIO%@OkF&NN3`#yy?P|R-139(pD6=79xP>uX3kXB#_Ljq zs0ODq(sz4)TY3Ga>^1+BrB}{_4?xjd!5`7Rp(_4%O@s?R=2R;g@-{bt?VmvJDXx!n zqt{1J*7b&7|2p0QUw?jCc;os_is1hoAHc3Zzfr}xe*5S4|L28#tFX$y8y(%yUit^y zVDpiN`}dL8q@50#PLCOK@TZueK}3UwF{&b84M4N)ZZgQ+%h8ScqhLLlgL&k>?H#Joy(69BJ1+|Vcr<7>M)SxyzwWOmLj7bDI}=%c~^~pdG}PD0VnEZ;hOLh z9KWGEFT9^AgeNkvrG$LD0hp7#1C^Yo&Z=Pnv9`CUG&SEztKB7}xcLGUl5s78{}RwY zqWK>J`bRYXLqPxB{yz#)c3vhvNisPYKdSpHPbugCDgLeh|8s0?O5s$X52+3f(1y;u zx6-b6!JQ4q?;jg^PvCEP0w;gJkjw(!{*8qHtm0ePaxFW_4mydFlyc=a;u>zDgTH<= zj=JlVw={kcApuUj_)bNhW!3urE~31A1$KRjJe|1sW$m#T{`c3x=Z z3z*mN{B7{7*#TZCiSu`md~<<)8KR!>O3`xZzBC6iAz;v(^p!N!Y;4ccu!f4LByg@C ze;>embaGfhI)bO=Sjw}(sDYh>l$siv0|E(CivhQoXCo@rIg)si7*8$&n=U8q`0xFN z{Hl=g@jy`P?VF-BG3nkLycD-VgG`SDaX~Mb_s*~*{jIG#0}nkLw98G(Q=0>9sQ`kS zU4RSPDRr3sDw&Xwu$g!p=Z4tTv>QL+KjmFs?)LKS`HF5P*3CGIogIwy>iyG^delq0 zO!CWpTA!v_LX2T)ss3I&Isc=7?rIj-|N8Pq%hekUu%bHMsvl5dKz9ez#P&xuT!qu{ z49V}@Iyi(obuYONss2^p%rjeC+o5S9aaFnpFODgrx^jiK8>P)}{2idJmA-M4qzQZR zMS=chE3wPf9DXuT=EyS@0?_ZmG-1z@L>_~~s3}<)85>2@tl{AiTYk_tUirUYZ*X&r zq-Yge@KcZy6X*Q=`6@3z4-(6%UF>UV)oq3BazyoPA7R>8G75(Ng5>4V?w|dE9t;+C zs%O2{C*-C%HCz{#^hW=Eol& zrnGohD8payHuk=L_DbEmwQsbF|6)(DWynKtI&<8_FG>yvbgck0oug|bBAio{ux4&v zUNwpOd0Ywt&>-7iSuOt?E&qUO5Z&4~uv&EE?~P(YqtDLq|HJTQ77uCsBa(lv-Z9Do zBzld!>w5l=W;v7my9hul?j+mZ1bwS;%@qUp_4VzY_=iVE#`js>=Q;9i0t7@oSJi7J zD=$yGQym^2?mpu&US!e|=)2d&Qui3-eDk`3owN@r$$ZxAPoGL&9d}Aha+y@we17!k zk?=V=FwT*Y_%q%MQS3ZCPNV9 zQQo+BkAUd!g}%{Gr9)QB8n%X20{4iUt}f5+;Req?tCm1bm43KS4jV5s3Xh1mzB&HO zmtUqL1tUcW1iKIwVP0;oyY9AYou;udcf(BC!NCC`2IVwY7DXu-f`UMy=r6qHB($^x z?KiI-O=iIwBDP;T1ph8x?DDcz`;Q-01})yZW=8(I?TEs{J3JAQk)y#x;)R&fUc5;)F9-bD%0kI>)P;)M$dd-52!1{bBJipFuB_v5uKw!PHhkK-9yLrl#Hm)m| zCEZ(U+{lYs5XejeGJKyB-QC?S0b67o zB(jM9 z_dB%L<4kn&pmA!ssNp1w05(e;&nj4*v@2hdy75=piK#K^DL@Tk7Y8G;Qs@C-?6G@2 zJjI2DudI-IN7HTtH;&F`wgboKh2VG{roAm@jTvKo1ywNeBeQh+NM8fN-G04sd2Ww{<1+pi*iVsUH@@5{e|gUiap zv;Bs2fRT-lZ$Gm<3D__Vf+#Dy99ucuX(Q@c*j=q2Hy*h-nkzrsZZY}%`LpmsB%k|~ z18VJHL}ex9uPOU2Lj(rUO>XG?{o$rtA>P4J8FIot^ujl=lzhTjC$zxm7z0#T`S_w+2e))Cg0ef_E$J6fQUu~Yf$ zmo6kL%MnjqQ`7zDf~AGU^{}J&2PDdehtHEfTKl^V45OioU^Z&r^Zii#lC838qWdwZ>zQ!J+7#}65Yxn`pn ziA(PW=Yv6MqBTGlR-Gd|I^-@ck6LtGTwFpuwj1}OryWtlBO|2b`>FFg`B9Yi1#41%&v#{#FP2oaKXB#-y z$pRwG1#nVj+J=ipqt~vDK-1Celp}3SXO7=io&3>^R|e3;QIwMjP#g>}BKpn#b-h-| zOQ20XHj53?e|s2>QRM@$qE|ZRtX0|ch?p2`*-gU4#6*2qK}{H%uauk}cyYSs6m$mP zYC1A*Iv7@DXJb<{Gs`ZkTc(%+q^O{%i21tRCpgC$+Lnb!>#qtIa8QRp!a8DT%K?ou zAd8SyOynH;_>iG>suSqfkUTB=PkThQFBZmS+^8GaVxp&~R}Touqm$apruPf)^aIeK z*0zLXy4fud6+?{p`PZ#lthOE}nUEL_#Vx?Ouae_|sQ6|~kDvS%HQ)mPqxmf?Ed3v! zd+i7Q;lkI)Z)%zU@(sXs0RDfANCQst`kU2>!s8@ZZiu zj1b@-fA6im3qJTqFW=Ba0YzN98eghwK6p)P7I6P{-G3I674olU4VE^Y9ZsFj69r~= zhlk^o%}I=M>es3+bVh3#8Br79{Vl9MbT;60wOYqm&3LZ49x#yy-~G0`CZ?&3TO2Uj z7yA>|VBcTQ`o4%8wYRs2$HWxO10*o@>Ca;j&{6iEeOmL;)X$TZ!d+i1!Wb!$yGK<`=~xd@Q&ZbJyMV#$@$o1ifG>pE+J0tC z?zOtu+3T@=1`wv}A#@%^6eC7?L<}5JXP>4BC`5HR#!GX)gFtETfF6ERQ&ffweQ{YJ ztb=N5#+S9MF;m?C>yq771ZVw`+2&@1^|Ju&IKR)63(!+QVlR&ln%w%;o;`T6daA*q zq-thH5BR_9A+2XAMk6LxGI-*;Moo>}l_DKquRZ}~#$A)nol>rgJ;gLJ4@9c6b;A;2 zsC0uJ3?L>pj6gg8dg;8=k481O}mAahs8JnIBWzAc{ z6aYb6`-dueCMDRuR95!B*&jcunGm1U^ZMD~3xMXxUNfpMKUdL;ICuoHi~tIa+-5xf zT_W=Q;Bac`V{~Gd{aAvI2{agJ`>nPCKqg9xiV?%a#0g0m<>lJt`H~=zQL7R$;Mx-k z3t5c<(OSYD=iU@Jph3%PF#wD@XIJU}64CuvT=$=B{=dS)!6&`tEtmE0zSD7CmzOF3 z{1rVw??9l{ieA4f7J9HEZcZ54->Nom;{;Y~ZNX?^&j;${tmHXMajx%}+83g%zF3oZ zl@0JyAe^L${u@yKkLv%c5&s$VIQ#RB02+EBGsW4h93I~W(?(7euUnzk^+osa-lh2( z21^QyNoW-uD60GCLb=OT@(8#CnoH#{3YfZQ|ESnt$1>ykDdd8mlfxMI$z-Y9*~MgS zgPI8`8WYEr0DN5gLNjIaY;|CTXgvO%W+{)|Ah`%E{(&u;wBTTkpi_xZerJa8 zGcApK>ROsp*~ORD{L>toLyN<*EZEPCVlESq@UX9|Sts!7#=__b+`mwjQqOj%H}`GE zf4+K{q+@H@)%@-EuFvS;`cVE1l-yg;p^-#h%oSc#Ba9d9G*YX|9C3Y61}T;GPjj zAL(NGVujTNfKi$N#^|Ns1M<3p6$aMZL~8fHZSG^{PYgzX?*%T{$;s=+KXTB350rQ3 z3!I#XazB5~zu^{+bo`dnM<6VR?0|PGrn) z*cE-JUG9DBc=-TLp+Ujo2b7PkZN*puc=d9kO*nO+!LIwDmqnyiB14efKqqxro~UoG zL>6A$+A~1?xv04F$N{yzSza=F$X<}mz$xq{!;|(o1M&8W%X9c4soF2&rBOE%lJ%zQ z1`(>W3Plxl?RR45`*uBw{MOLAK%tzMar^4PaI~7bbhObHmobrivbT!k7O9Krr%cEj z{qk|=aw*EeH$b)`-9(+UT;f~QebCH%$S^GDl)3a~5Dd1ee_`*$e zQ1KvD5k%S^)jZxxhEmrEPd}%s8(hch(kW^yC@9!iU!UF@u9FnGnX^qWFsLJ2jc}YD zUw93MS0Ou!jPI(2BMqv2b%MzpO9q$sm>syChS!I2lPxq&J&+-mojqpH*K_(3^ec0i z8~Y|J<+KE=yU6db3WDXDh_f{fF{7cFp6ap^HOsTxMJ8zp<)b`8JdMnK?Gso-t**QY5(@Y;req2PAByi%}{zStESqjSEV#9 z8`^O+4es&H5~3Oz+l4~|#(G6~%MN3W1f<=HQDY}LNH9N#^1gs3>tLT>HR!iD*-ot% z$_&W7f$AeV1jbMVKNiw6Y{($DXZtLBPxP-LF zdChEuO@~@xTX3XgvBG+F)mLo-E@Nn~;?RAQ5UD<%0gA$|6WdAkiCnmeZW(Tp&i72s zx%HuQC@ElHq0)Q{B$}DhA8&&E1+zk~?Fv-%bV&Q+GzyHE9EmXFgY#F@L4#7kn)kQA zl*scuDdoF2+$x=aX#MP!pwc=INH;0#>WJ?x-9uBtr9sv zJ4(qR)piy5EI-EHidc{vKBDJ@Azd(%16uT6Ld5Ohqa$9wFkc^O411~R#Yc$t( zw&PwvO{rY0dq-xKC#HZvX{0;W7cD#`ac(lVJ|+)mZ@auI@lGO$EYt)Xu} z`gFh{z{fl^b9i+RcG;m&?Qm z2fTpI^<`Dv)ucx=MJ;XTz*cM19LgGa`D7!?Frhdq6VA9r-Hf(^sssH-aV~Pxk!aNbXYIp0>%Vy`|EjUwBFM2!q7K4~~HkIe@Jae)l&vf;PspM2hD zWKtI1QR7^AwiYj%ruOMDY6X2r;C3#vS+qqK&;N{JIdh6@<_SU>g<=7A0s#Vn%g1p1 z@TxC>Dii6e6_>T=%r)03yE$br=6uggWeg=_BY~wloGq7zRT~icrs_6;tC||zBqFz| z-wD*}q~_x*j2|K#QiNHdKo8g-#~aeLh|g%37TvZLHdn1*b}AXIdRwHgrd~docQ%@s*uBoZ4ZC5`=t=4%f`Kps{Es;El)_Loi_O=0mAK>XR@Z9#6FWIwU zpF^k1YTBvO729F6f}=3`YQFQUFv2OxBb8{v4=L1IeQB2>n_T0f9oE6p+i-cv;OtOoxSWyGSad4;-83mB1L{Tf7XsWE%=b0cVeTlq?R@} zn;vl?EmJa+-3#nNeU~>cKx@$TQI7jUuAvrxeRZ?D$=$_)n(?1Y1O$?2C&{mEDwAfl_+x!UKBzM<~`t_wt4sXJxKgGZm*I?G<< z#n>~)!O~~~>Pv+iMIXo0T(KU!xk#2CPIx%JD}9-_Aa$0AZa7#*4Ce^9G{t$!O&mrU zUv@pgIT-6J=rV&{C92O3<`d*)-8170IUIf$MLHQ|k=C;?Zar1phDjnH|KOK(h(gxO zmd*|$pK0KF`a3cMUQ8Mp4JEA%mrJ@txh65LAvDfBkJAou2Q=K`s%?{)_sZ-+aW;k9LS+e9?wx$79o8>m927u2Kd2pceG@e zDROl&5E7dUc-VUb-;qg@l2Gc$>}TiOJmFPEjSHgu%`a7HGE7*G6tx`{s)o%O0B4~U zk1pB8iS-zd{O(#G5MFISf`5yI+%}=_(LE=C0fTvYWOLjekSh$?Qu8HE5lPj%qfrLFAFDzSD0*oy1Ou$haodC@+Pcb|cQL ziAEqocjuqla717ZLcPX{s%FuqS5>+VG_}s}DU4`l&i}XuG~r6UN9Pvsh(MTg)KHDJ zQrOb1;7j8<+Vmnj<^wHl|JAyj%y*NjlbJ1Czw&tli21XE6uhTSD0{Hm177RaBlr2~ z&%Q~YI{MCYfa@Fa46UD2xPLF?GvH3_$fBD7 z>}(_mh?`z|S@FS1Xe|>aSkWkNwCj7)X8IClCON&O-P@Yue}wWA8cVElVyOhcRlcK> z?M|BB-NnP>dZSngUsf!9CB z|1p8}pM`uwS?AwX#+PhSxg4{i`19-ur$912z3oTk#nSS`g8bAFkZ>57S1a+oCdEx4 zYV9QQk`420*}FsF^2JO}Np*M)30yl`=}@{Z4wse&r7=2g@H3_Qk`df^qZ+&r_x)#K zTku`nVD)FOq}`t?nuYZ4`or<5I4(P2J_tqIJ3xo1TCyJzgBP~^Y(EC;o*f!YiU!dT z41J*YCDI)Iw99|XIkL)8U!hQFbdD+l;+3v7=%@!5%-q9G5}(p8ZKYs|x^?i<-;xLf zQhrQh^787uMD?0Pnewh>WRR|-%fpurys@j6IUaK*S(Pb7R|{(TB}OLazA3|I^frcn zL*&7eo8L1%(be4x_Y3R#3XD8TC-p|M1-0YXV++K`f2GP?oNh>lUP*S-waa|5d`4ko z_*>-14j&Nu=lQb8tQU;zk(%>iXo2cdb_1>nuK|0C`;1$U`^ee_U|)=*xXaBp$(oS$ zn%iX)T#$NG^)flE@+ZK;rGhO}P!&?f)W zjR1m92V&Ds0UPb>x+|c?U%fUu&3Li1NL5`MP-&pV?qGv%+ea0m7j!(O;sbp=ZCIzA ztO;X#Ct0xiAm18H?Gm~f`(+gdVRdSd}wb@SqDB| zE@EQBa67Mno0bcblA4jtaaE@YSV!K6R!!K2ut}|P1i;4+7XNIXj-wYebw>()C^;A* zNI9#uji+nAuw73y%J%?uVgRu3MuvO*ehx)8i9@dk2YX*=0Vd%1lFb(g55*_+nSv$j zS5lvzcEyWcoLoe)7ugL3xCz+IZnD*T_2VW8*0z@O0WQU)vDi?DHD z8*xBSgI)qVWjz6bj{6(y>XoXMQ80sP;j6F#Cdi7!Zk?fV3m{k$N?UY4tU$RRdT*Q0 zE1)i)KskwVqW4-`HK+azJ;9MqASobF7&#|MkoOSx;BthhYrdC_J-8D8Rj5*<%?6mH z-s4ver8Z-1XK6j(4bKnAVX%F6FtZed5MHCjk2<3UQ5jq(6yIzs$>tQ#4B!SIMDXFk zTHjcdvX{m2JkTr$?k}>x=foFe{vpug@Fl6m4|XvDsSL-`vHnRiC~bV%71vxI5Hn!o zlC){iCl*ZanT^ZrVR4L5?pNr6e$K&;N;xpfCxMi<=!@9f6;;P%(U;al(DCj+zCIT> zu+T7V4S3Rh!fXBhw#&RzUG!afKn2ZY?q|1WBB8#SZXDNQBw9Hm0F00}$++!lCVyVX zFD&W=js^(dRXwca-g7gpxpg)8c#!4_UyakM&=)NxkLOPaPWOGF^O6y?U*robC7}z8FcXUlMz&4H*_&AtcSa_1Db2xWOo+w}iz5(iiWOnB*p^8nOan z`WvxJ^{)OBn5RO`sQcMFk917M%&AL1%MOMHGQ=x$W<*`_Mz+s+gap` zOZ;d%1|r&(=%1SY@z6RpLZfHG26xr82?nBd6sn*S0k(P95QhM+`SkULu1&vNsFk{R<=KFwp^63C1A`g!PuuJ|#oC0!Zh+{KB# zhNeS8U;P3ay`DiqZM;o%%j1Mkk>B)XxI(IO-;^pFYM^|d=1LRjC7PqZ3<#Un7Pzz& zqQg|BIR?;~7z-vDc8314a?dfg2l$?SElxo%je}mwyH;HteU}q!$z3&|PP)!y)@uU( zk;iM4$~_>br&v&D3)b?rvaZb&h_9zE3>Vyk79Q{f(Ru$gr)9kr(3ppqshljQ&dF`o z;^B6t+)Ugo^mN@F$~$G0T??a4&kfOznKjfx2p=uXOJ6H%{V*;-yhn&Su|w_VzII+siab0VV}2OV;vsaMSg2gEWQ(X@Cbuw~ z0RRe&0;M~qhocRByM@MIok{=zJP^M`pX(Yr0tNm)KIknF0Zv%(J|Q*bEpiH%MnQYV z|!y4~*n*8IMj_S`Ml701hr5rnZ?FP|>7sVn3(z@EH(o zm;gFQm6XGw(se!Y>P!zmI}vWTI&?&F4x7cdk9sf+FmPJw^~nKDSYblr1cTY{qf!(= zN@^pEdIBXPCJJBKjR(X(f0D<_K|9k-P&~m}T*r~o{EVX_v?}U5ovf~sg1gM>DWq;v zch+4-7EGACY4^6D{6(X2r*@19Db!&-j>_W}7vN5#MNpSZw*mH}je3y;WH-^9BYV|0 zZXW{A#)P?*iA?z)FMjnfdd2@3AIKa73y0lhbS+j}Z$uKpW#w|RdZNi~!Cf%^Rh1PY ziA8b>fXv|LR8jjM&TYRU9#a`vl`=Z&eJmz>omI(tMDQEVg z=W6OtwaSVqZ(e*gd-m8Sl7{gCKBA~^Jg?KPmk%NyaBJ(((>?l8rnQmAg@u2pJm;08TVSHDdDl>2Bs*B z>rGiaki8`8u;6%r-@Syj`yO(ysisk;*b|M>B}E%F)~W05e%5d1J9rsX)t3$A(0v0T zpBdQ%C$gA;NtPsv9FM#xeVxfd;2V7{_3~wbwZ={f^nv7B{dqhUldwI)-hKo~tsitC zh6x820ao$*MNM6aWK`*9C_aBxh?S_*{&AJ5PC#gY=vjj545gvyIapP77e=M`1-*W4t*H=BW7M^`hb1I9&c{~%TH-WH*r5eQ&eK=sAR`+f zKI@xNb&)zRdr#qR5SXC?1!G}uHQn~rtirs?57m0>KpWUU)>8+-Uo>p4%WEL(#?aE= zTabUa5v7;SS+@tw&b9kBfA{wNpESgIkvD|8d$&2{{p}0VrA`j%xq_T39z9nl zNlN5IA+K!Qq=d?h(Eu?eXPX0oL4+Hz;I%KI?;>HG$1 z=}a!^c2J3fq7KFnWsLT53cP&N?CK!Xg0@3arSq253^Z(jBp$ioEQTLT0k{yN!-9HJo~R@y$(>w^J^b@Htd9v}VR< zOW(0i>E|M{jrPv`!y(G*8CgD+a_^VRoWUrYqeC-@y1Rc#Ht~XHt!vYCnE;ceC9B_S z$J%~tEwyC!`-+SZbbB7m&ZAl+UQ!ZE&09EA8PW|SEuW#!7%%wI>uyP~QIPFnzy#4Q z*3t`htqZ&Hy8&osMo|_U$o&xmbf<6FY_s0k-Koj9&AWXfW^P^u+~5iPG(pW@VOSDX zAun$`yH%Z`q=FVDKh7A;E4d?n+AoS{P|h_ux|}Iv-2RHMGpc zQGHN)a!+B;FW_`9%4f35LhBgoZ(QEErCOwu(a8~0%yn+E*5RPPJKMS0Z+$eDmU7iw z40CW)Q^-&f!cZQdMQCl?8)%FCG0Hw-G>)%9tK3;3nmBJ%_h%;bCrVbDSw**kRIH7V zjBNCiF2@c?`zTMR*`l}scz)!KfcW@?GGN!~xCyhdN-wfkvDs!hTF%|CCg?X2+_R-F zE70-ZVdfsgNBye$j)~#gPWUaxa#!N>QXswH)8gOppXaY0@r_JUPi^n76?;jjOIab5 z)4@A8ZhOW)9A-P3Gm(9L&wD0B9uZ;iqIB!nl5g6|N8U(0XNA@69SM_ z-{*)LJ&V(j-3F^TNwu-VoE=~~jb$0GOvnE;uFL;8=TXGC(`PYeg}YCR<}Go9C7EEpd!W(A1}A zrYP#!xq+V_2j`NTh=cz8xYGn0)bpL@1AC=|Re{(<2Z}Tp;9&#!xPr%Z?Ca*ugXVAW zI6*49x8)i_yA{!=Gf~~qsNynou|#8&vV(C85QKQFB%e@xA}hvQb{zhYgG@+%P=sc} zo-X)Nx^I_cb$_hx0Va(@R+4P3p*?rq_++-$vRuG|w1?tc*uL=an60n=PEIk%GPR+* zF7rz@7dN9AlO42AVq86^5<~I_E2uVC%1%xPK*A={5eBjGkBFZlyXZ2m25HUs4n+%e z=UN{O5z~*}k~R@lV*$OiUULxxUu_3Rox-fs!eIv3F@!o~7|34f1do&!U!GX5_B|I+ z7Zkrr*z)sg3OvXtC$Z&S3)hgEn{}F;DHJg_Dg~0dt{T}MLmC-&9c>X{VHU}tR52yg zrB_~mpm)W+Vz|drQGiMz{AryE&yz@8@Hm*pow8+s#acS<{8Fq*m^tSr-W{gEa>1%g zyC(5YSJCRON2hYYcECi(IOjdM!V_`h(7|mUD$+}z{Vmn+kC>#oS1THg) zO?Au&vFh;fP9qviQj7(14(w&NXn_2J8=CIn=7IAF*3-Pr0v2c=TI%%g+)QxdSXcG1 zFDIbo8OaW6p$S~)%hEyUQ^J>ys%fQ$4X5aGCUR>>bCpHrzT_ScR=_58%-zOzpWa=y zXLIpn5~gpJ;F;3S(^gsC{>HR$M(sMs3fDt+EZZ#g!$LCB=nkRH5Cg!ly$2@Wv|NlL z`f1a+Ai_bKiI=EG#>UHWKHRl6j!qjH&(z9445ZYipJ!m}JHkc-`tEIJO%U3JChav{;ec^~O3#Y_j~3rd;;PyJ z-^&Gu*xc=yt(=VB$D9q-3wKs}f3S)L&fH?^lv!Q74Y#Q!{xQ359WQ|cD9eT@7$75K zVB%9-z>`(`o+Ds{0iXe>%W7;z6E+ygH=R}vK11Z%6f3XC{X~nWQntc|)^vKuPTNgj zTO&Md6*`Ds?T8fE`qI8P*mI2Ea(SCFF$pk3F31AArO*g1qe=td=QZ6ZQowBb6Tn>& zj74>2Y9`IRI{hKc)zvky-F+w9VapZRgvfo(iX54HxZtY^9kBnTw-#Aqq5B9SWVri? zoC8UxBh8#q($3SEx18d8`^a9zxfQaWkd)(s%*X8O%#Ac!8*7`%Aq@Mq2_B0WGGS0P z@CV;lb_>lqx%EoOqSCuOI(9KCNvVu}?`4Deq@}-A#%3R&id8-{&RlfgL!)X%Hr&p7 zv$~aCSOuBnVl7Z#_^(iWgg&XGx(y0;Qxa~+tD%?#GV5_67VHpo1b1yO45un9*BIT$ zogBq%$s)_f!=N2|Fpko}#6^)BekEoZd;gq(;KpwmLNE}OGs#R;*;_k!Ply!vxMN2B z#k_~^%t%<9@VxCJSvQ&pns)Sj7t9gBDEOhhWL~4w?&o40TGNQ7rL*8?t&OalJoS!2 zP08DfQ4SvYD6MM1y-*0Bkmzlmh2*{XlMUV-c01hsy22!I|l&Fxfe+QwH?*!uuf+ zF@=?sgT&xE|Gndzr~Ym}oiPdDaU>e&{v0BP*2eBxS8J1K(;ij`BvjL`uVHVyuiRI} z4zGytyJ^;hShN@GNU{FpZ)gM_`GBw{a~y-4Y-zeg#YK4BysHYVy2_HP_fSZ`PTEff zN3`|;YQ9{;Hc}{lS6{n|XT!eKW*As@fL+-S#h@+Q72JP~a?F+ixvv>_-=L;ygrM1S2W3aDIr0j;+|@R0X6QyVfk_Dwm1V&~K_h0AYK`>DiI4S(O**vjFy zp6(iw?$;aM;?c*tm+cF+M+Jg9)Vty;eG)Zo-?X<&hSt--hWPGxMga=uEESp}(TFrw z!}Dggva>`2e0}n&r}MSy=|pjHd=gpCiw0Q(4mhyB0LTU=jMHiwMHs~JOy+lzf9MF* z0XJY4G{#?LiFkg|LlD4z7IAZS-rA|aWDebrm za2^{pu6MV0BGneb0;anORa4UD;$job9Ie%QXr{F_&Ey0(ID8zc-1OU?$+E!f%q`~Y z7k)M#S@N`aF5s6`97pkt+tI*;W4+xnT~mV(=jE^7%KM=|fX4un^DH zqdCfwKa*sAbE;X(HR+T$X$)#*k@8jur%E6%L7gd*zhWz^mS(K4U7~{03R+n7_+ajjLU{p~MtO^LE3LD>ME|-@N za&RbA<>#iuAAA$MML0y zu6u>DSmSs9v}&*2pJO4Q)1Rr+MF8-`2J?rSS$baSL^BGqYObM^;J`o zhIYn=_FRlIrhpdOi_YI;ZaTJn*fs)k|9a$P=ta*aU;FR10Nf;l^=@&Kp4*FN)DT^) z4-mMVWG%mqkb}MU?R~hpuzRv}=&@NK7(};|)~h@7=WxwA5Pc^?%`;-{4Ms^W7+Apr z{Bul8DW}c&T!6JCINcsL6Mx~U0n8BR<138-QDiZln6jMosxZv~!BaXcG@7HD$kF)nwjgK@_5K-t9ttK2;FRVSYpC+Dp#yMF|3j2GTz)d1Tfoe_RTh!RU&HZ?)Fn{fE> z@t?U{-TG`MsE{oKRTF#&H50Im+pvn8>);AAFdRYKj7CvBB$d2{L}SC!_{*ULz=_Xt$ROzS`QZi&vqn!EMs_l;UUnZAEq4Of`OqG4i-l5E5tlM z!AZJb(a?ymPi-$ctK`?BHTzh~aOvC)mdp13K^#}1kl`Fhsn?T|6q-&bAMs#ezZj`@ zC}p0yBqT0bCq|$WS*h_n8+uCX$b)C+_d<6{`m1sqmT#ffPm-POGxMlIyU>k~Qdybx zb{|>fG0afUv%pH%>C6rc)~upom;H55mw*6`Q+|ooO{b?netEs$7Ezg$y2IP`j>5%f zT3F;^oZ|-l(AMFbaYdtnJLOc4ujOr7q&rJMvMpZbM|B0A(QfdQE19v z__rmy-R`o zX(-M&^{SijMWhKvgWV8$CKO9c&Yi%?N;3R}hZlK!ZIJg4^r z@^GJ(++1>UDJ8l>Aven}#QZ^fo3mZ@%(47-7%PK{Do)_m+NQnKkdgl?6PpzmUL zT%%ki%(dp`${TWv*McT9uMxMQJ$%-Jks18?^v%0&mnsitWTC_rq-6R#>n;rRT%WrFkbXQQ^*N=u)(__Ej$WHuF+*8ZF(jL|; z%R&;HDd*3A$`uz>7TE@~&MXeyJBoOfk{;H%`P4-pSGvhcT=$AFdEe?&P}}pX)9uS$ z%SZXSv7aSylG-3kZx>R<-QO*l7zF$B!^fPj=t>i+b@4*OAS!ZMpeSSc_P1vAj}{(p z@Vc$`IrQr5y;6cbx=0h-@UbQ4OXRUye~eZ?m<$G@AMUr3^%yJ}4{Y6wYnjJd_1@s4fxsl|`?4`9Rd zyWVRwRq8XmFAXxPF68wRDF+6|7V$V6<|S&nsmdWzk8a;mNKX&*sQqMaaH#6B$T&jQ zN9a8(VD??yzWu?Y_hXsxoy!?+at53>)`WXXSx;HZ-rWD4wnV)Wz&)JH(3_iA;dPu% z%xsHRh-FZ6C(?gX6=hPcn)N2KknWqH()q@A#GSZA=eX#Q^!fe37#ZBPk9UVghV6C- zg0zcCa^=3PQdg*~3iIfeKV_Ctahv;DTfLb5&iy?_^{5w758kVH6uT}mc|V9rNaaq> zWbv^Cm8Wp8lTMjp4}AqMYI>hjl57qC58Z!|>UujLv@SieV;3gQk27r9OPS4;2|+@N zdliKEY9o`f_P&p7eVSY2gmZ4Bsj0N%b$*0E83PiXd@R&CYd$}W&oSwyL4^i8*|Tsm zho4gh+|Y7YxJeU}L_Br)`59B%;Fmj<%Y8pgtB3SPfI+by>%IwkN8iSK3%(l#L9i&GG)=6XAe- ze6}};SaZE0Ht~+)N;Wq&p6~fef2KueAc83AI5?#&geC2v0oF+13(@)}R zxW>48{v_&!@gGF){4|O9sYtjoZ-=b4Qs7tFD729DC2`5}jlXyM=+agqSb*GaFRs=_ zPIR7`I;I>uGkAOgXVRr|+|v8fopR22sXf$HlKZUj8<8N1xkv5EJa)n`gHpvsgH}?Q zK)*Uxh;3f9p*&HD?IDSU?Cxkf#iKR?8%>4fe7T(?OpIIQL~r#(#k9#MWk)I2plKuM ze7w!0)<~+-?fDhBvdh$l%ofMb*YZ9hBY&js@Yx)1nZ)qgxb4OWLRrMRWj-3WRUJRj zKjjpy$a&Nx*FNCX#nhUcmz$TNHL`GQAd9E6b%m3TtK3RcU4Wc;JXF-KeRh*5@zY^=v&R&^`d{Y@}Z(qeln- z)dJtlKCColYy>+>#lghILs=~cJlp2>CDu1(@-Z*bGY`|>X{EKjBs;#g#kO?(%wNfw zJ(hpDJYP{tieqE`aO}*WBIZpn@~GAONQO_vX-?h}^J}{P=W8*+KFIQCIPgQVZhIQT zOx)pquYk~ z|6fmM9T!#5{eO{=4gqNpkdBpZQA%k93F+?c2I)p5mRP!_yFogZ?nZKvSYk=3-}QN( z@9)2T-Mw?~+?g|H-sf}X-bt2|*3#t_Q?wH-=dVW-3l=k{BQ*J1Z+>an>$UXs*N4*E zMTCv!=H)Y z#9EH5b)8_@Vn)CEg&otnw86$Cr?G2br;Sjz0HGkcQo|Lz6c-cy=T+2J#@lDFj3qAE zMn!;3qG@#^}Aktb*v zg?ffYAcD2ql3o{BJS`6%Co_V}ZI3&eFhs>+(fQ)MGxdu0_(jO1OIWs8Gkf9%GvW0+ zAN(p3eKu?JmFucq6+2MBqIqZg>(EfLgw=~aeHlv5piA=gyT^v3%A+K_1Qfn46N6tU zFZ}jvs+vrOMBQoC8n54~hs&eK$A7SyMgCnca*s5=FgjlMqiR7U zQxh1G!BIT^wb)~5Ao@hprTS;0rhoMh!%VbTIX;h!0qSs@y`60GU{<^Gp*V z)q8JDa<|RJ&F3(=?bQcnI5HExF|%;|9cw3ejLR#0{1J}$8-CJF%6;WSl|UL!yC~(O zxLtr_&ABt$-?p+{SZNc{jNgm8(BUo86}j}${1X$@lPqiGoR*0m#NFWy-~f0tI8r%q zpPb~=$I3IaM@)2}6L;PY8OcLB3>7HxuOd8JS9z~us)YrzwitO9V2qAAMd1YD4(My& z$7B;`qmpuDbjc^eUy^(3cJg*w?2Ygys{>8X9NpQRp0rx}c-i>)*pJY~XBj6HP1ABe zAt60DEH15&3j2YXE?+jRqyGMCOXxAWm<&pi@Iz#m4%E3*{6&GYtKA1lHi1ztrFZzLPydYe_A$gu{(zkwruY+0Q*Z&@P#SxMfEYTgJ-zv=~e z4oM$0pKHY18lQ!AP`|?BeTV+A=GP4Aq?NlOU`zSN#`AEpgfKedJo%{fJsxX{fVdoX zS5}9dLh}=gl8f8Y4dOu~X@PTmKyy|>LQx=c=+;~#v_>ObnT6l62ib-TtwqX}l6vUJ z$(6oll$vO-|I`y}f^;}M?@#XS=VFl;=tg^;@TP$J;hGjJ z4*P|#-s-cOKf_3eEqaw0RK>uU9@-HMqVE~fYYjXKYB}>-^P*|A^|!EGXpmK;QC}$8 zsb@Q{8=j)4YN-U0knH9g8PY#w^=k900`P)4`9hWV?#6-`n`rFr0sjUe!LNUA|F(8ctD_afPDgs<3*&1VFlc$II^wC9BjC`#L59 z(X*_mpFjM?>tLGbipTFDuj?ln4axBBRv7%4#AQiS&1L9@MyXkK6K$}t{iOX}DOP4TTDvveHU#*d$i4)Qb=VQ-ExxP@{kzJdbMhjNwCHuyXI0>t zaHX8t64}f&G4jyq`;OAio?l1Zh_2IzweMBuQy8a*K5NnrIX~YkDIo+ zH3@x+vbme43~D5!5>iUeC~j28iah#I z_`ymY96dg~+GwQ(ml#rIB5UxnG9+|+|6F--Goje;dzyQ;0D4yox&6xZI9LU*+HBD) zzP&`2ACkqs2Az=ApY?W8?l`(>zJITJcKB;zDMMVF>hy*QL}QLfKy!bDR=kmk!FlrC z%1k`saDWALHb#^;PX^lUJ(%W;8%E+zA+}d6T(*yhR`v1p9yE-5u$ezsH#8?aEq~df z5vHqz?e_d# zHjaZ^GX^G-KD5e|sO zBEk^TdS4T1e$*u=(o6Cz?WQCu29A4gD2uTKb~(q8sf;s9mtRg`a(w4D&5zB?o`-hZKYxCh%WsL`yIS(nv?`3Tq75Gdt zI+U={mIx8zL(9p33DD(wuDmmzNgUP}g(+-5`|XT!C50Qu!}_66Jr+%(Q-8K~3$w?# z=OJx!d_5ex*~iV>GV`non0?NVv=oH-#5;t*I3Fnh?CgvwjbG;v4hSfY0gcJi3UDRW zGZX3s^Xm)G{(4Ne@2ufXbO2?KxGiz{!jetuuXS#BQ=GH@9{9SeyW<;A{=okUqcIRi z`qh-8Y-DeZVjmA4T-ZY-E<{hr6537wygd=I$X90>R>a)CJB;6U_WAmqdbrgr4S-8( zgN%tV`dCWp%nj8wp+04Stet=^$VAo%XFWN?*QpQpt|pNIT_S8EEgqlA?W@9%n(@)> zl(N<|-jrF>($z$k!;sy1J}Li4$+xxh0<=O!Shh@3IM zc0O3zAwdv+&}`hxy|*PQgf+!a5FLsAS@v=;V)LVX2@N25xY91{CICy zVQig3HfBhymy0^W4rbrPx5v{KnEEUfa|3tK4SvK{A{{cEoD>NSud1bn&TVe3Ou+N= z18lRy%i3;>!FY&KN$5>~<}IY;U*A87}^|2lC z>oO&up$#noUXk|)S)sn$r5)!*tuKSp z%gT!f{-fgn`yTtZ>*Mha`bz!)2Cg2?*hQl&x7!Em7AVOrGC`=shxvO^R=ihgohQs^ zU#%`Yt|qm%+AQr@E9h95f=`=CgYxNAO6Oc>`SPd!2sRu(lRxQ5o^7{f#iN9s7Ez6A zx1c0zA5*C3s&X&d6je6&80Z^udwDzHD4*c4f4V)5{)kNd zQ15VCi1m?~k_-{c6u7ui&8$r5bq>;^b9+L5L#Ead zr+Kk-&1o z!F0>9#S3Oi;YXkCtMX-v|5I0C%H<(ui7m~|P|jZE?XYiv`jrq=1kJAeK3nhQYy@=h z*m?WRGquGz66EauX`YsrGjwLxXlU=XRu#ji04qKV)w}`5=N<;Hd6chnPV6-mN|5rE zLi^#=7MW*+z((0c5Oss1%?t~D=xu?_-6LaJuiHAi1+vBq++vCf8EHA!>h{vgoE({3 zjImO$b2daI_Wap`B+e1@;pxH!{+2P71Gh{2pG6q_D_$vEkWyDqWc&<;3cY$R-Wjia241uu*xx7B3Y^uqtpAb zLKWZobS&+#>612$t(!^D*Cjv^4-kn9q;L29^}tk6KqXz(2mNEVZ7m)?y`cA-xWB;yR;VYlXOZ{nNGrn1>nx){Jb7!odk)3gJ2-9(D17&o`xCXVNM8Qd0_IeDFfu3a6hfnzRN1^Y77i2XSRx9 zOLD)!5*6VL3FtIK6K`VxBR7Zv3R~2&6E{?^eBDSSU>ycs)m-2i?ZBD=479uM&idi- z0$YUH`YmE7%X*a>gjNyt)Z&5*@^``T5NnA~!MLCX#!?7=_BX}wL)my-^R=IpS$>{m zcn^R4v6Fp5?OC)b^{l|K+%?rbL zMAkG3&Gj{~yUa~}{gMYKL6A8xhJ;rvm^gQ054CkgR*$*r4uJ^ED zuRu(hfRY?h79N?@I6rG;<9Kfq>B=E#pCpgA{*M}f z(K>7YmH8C+w#NR_mD@OI$+J05yi@aF!(2vA(1UXXJyqudB{#1$Z)U^lcqe86V77qzB0ELeX zw_y8UnPYgz9=Kczu&C%dhm8!=vGJ#7ukq677&`yfdr>XC=Gm^#pKWQ>J5>bbeld2Q z%O0W>f1OtwTAyBly{xMcpRL7Bg<&0nzr}O0+^y{nu{ApWft{ilZ_z*chAzlei;^?l zCv3^HBx?b8UUiP&aoz7<_&aaV3Eij|+Kk5Hxw*RJar6=6b&Ee8JT|-EfU}0ZOQHfQ z|B}1_NRf#bulONUC4yb|Ki0*Qxv~PkzBF8`xm_Waf6-Yzoq2P48tI$!f&x5Hfsj3G z%`IKr9)U^;2)UE5sRj?)9#%ro^gNYX+l*F3$d3YI*+iF$)Sdo?80WcS%B3HY$KEvhYD zMpA8m>%p#s3N=~Qt!#I1UU3_`ogXB~@Dn%bzC~?K6||ZdwgaSn*149AV0w2F>*Ot- zu~&i|oFOw*!7z4B7Nb11_Y5Tj5)l(xPZ62L9$!#K!D%J@b)f-14_h|u0F8x#Ip0#+ zA*N*bX&&~41osF;o=(A%FuzBSlNA4>E-P3mzk8N-TZx-8@5N~c%zJ0dmAK8%{iX1w zB`B6ehEhpXAJqX|e?CyN=LCX;+_bAP|AwQMK2C)79(Nyxw!)1by_>%s7lJhwWPu5Z zBrkh%6$VH|^5rZ#P?4>JvrC3Q5IE&dRXF*g{(N`!8bd4{%6Bl)_`udHeUSCu7wE3?H-i~I z1+@+I<9ssF;{MRnq*f>6J#EO{^IPb}ac8i{nlD ztj2EkloRVs_@_AHoK{?jj!U--TxDCW{C}>7Hl#UE>|*P)6$(^| zp(b~vUvbYVJodrWWVm^y%V4hmP91DfnMt%k^q*o<)J+*ih}WFqi1x!~2&c^7@Kaq= z9O}D>WFth5I0Jv+!ePHKZ;)M|bGKcEBz?>U-sYw6MGYmtNbeq!sD0@YeWmWS8sO9c ze}|-$k$IivjSB9KWDyOy5Sl4{_vN`K89yGk+a|kt1?zjRbg!z4pIL+fEAcXA{rI+d zT^-?oKzZ(<8#cb55ukGQ`1v3Xyj8uF=JLR;&1$`0Zqu{tN|2pA(qPb$*}83(bRr_B zrAuUA{KDlUNhwb;7woUieN{z%W2A|Wdp;I-?!5K>$v$JTBQ=n)M9YDDl9`>*bQ{$r zwz<+iWUldo)wi8nFk*3GMGgV#O4Z+UfE5Hl$03yR7n}~S;oH9{RxC?Pj7kPa6*oFb zh%nQk);ET|uUr|Cg-~6P_H7R7IK|TLDZogb`k9#%UENbn9ze8h{>&LX&SW<5W&L&x z>kAxYygml~>V3_@3lUa)h{OyVeW3KRVJhqLA|Z%$dVIDAgouwhMO77v9iT4h^{D#%D#-{C? z8`wU3i&zeYl#-`IGNe5vu#53t-62Phw(zLf3~;t2u@cJ)r6qNUKkDY(u#^Ich*-D- zW`e9!%mpsM7B$#kBS`f?ob+jcI`^=Wd@L3vS8;wl@~p3bfgp`kez$wOU}79YP{~wP zba;m603 zp?e30SuXD7<@rU3``Nt<@a_tuzw`^)pIv>&lwjcJ^eVnXXS_0MJbK*#$SuP4Qb&40 z#KSM%|JQ*;uw~2T3tex?9qQ~=-v*^iQZ&tI%>2q*(R`Uk6faj%bmhsy)EE%xvFi78 ze63h!?7+=KEg%mPmRKxQZ}oyTIcn7mYe-L+<{5YJ#?EHuTrK-GpjHYxuKI+I9On58%E}M zB!PZ*YuE(I2p#3O{gC^`7#jz;@RXKDe|>1(r;%ZDEZtecE)+GJS%tLh!K7O+?2H%8 z%eCQ&B6#@O$r4f$>;sed?bLWPSTIyu*rlh_G8Gb1?CFyF)bO`uYX55C6`EQ0i8v!S z`{&jS%tV;$LQ4Z#at6xdd85qpql$EWIlBh-8A!hXtouV*?{w0`Yt9&oWa!lF^%$&u z;3HFzAkOe(8rYc!7(XU2`1nVuOx*ZaIZ3G8#-tbq^HpQ7nms#U1y0obcnbT19K?K- z0UlLPPAZ-y4xD(utN%t3744XF*-{&pfU1X#FQ*dQpGI_?Ysa_0$cw8P2S@VWh9UXq zzfB~;ojuE6P&x&YdH&9lxY0a*lFy?l#@c;;#?odaGBs+R_g%>equHVy@|F)PZ;@mu zpW#tNc@-BV1FD)-$%p5%|3Cm3FpNSbpYWO7kBTo{sWLCej&!PCCh-t{Vc;Pe> zU;$20iH4PtSNKOs63R2@$~0vuWA-}(AMpAft}Xp5z<)4rcq9px`H@Wnt`+bts5xDA z;YGF95cffKKisx3-`>k{l-C=20g##F;Aa=uD@z75V7no)HM-u3|2*G2##kk252!rP`H2h7XEe1(BDD?< z4Uvgg>@m16q$qi(DtmlmQG<=_S2%IIKFxjT^E;WzT4<&lFLPhBywh2 z$mK~07^Z{t7;NecB(#9OOfNxJ`ew;c;?jPr#YvUs^dIv?40OY+abAB!1LMB`-T zg`eKdTK_1v_^bBTz_Nn{>pZpgwZkf`cRL>=K0YPU9av|u`K2Cj+QI1uwb_<<7jK3e zi29O==;ka|=uHS2XLCGgLfH%m<^-P1tHepY58LDmo&G`3e{4C?%|)NiMUCF`6!h`g zr>M7is;ngkq!(3$1y~T0?JG|e7C$jdu5RHNZNAdajLUZwkAY~fwU`iAfQa+&3aJGU z_ZK1tp2$tTp$pra9mNJln|5r4FHC=63Rn-zp5k;tqj2(mkxPH62BJZ!L@Jt|CVkGW zPkx|hOc{57s2UM##50~o0aRpMAAm%9fyv}R43J~hd0}}}hfh|(0C?XGVsq7b{$G_N zO7r8&MvDF~rE$zPb_ZS-s3j6mNjZlk?7fSIp#PuQ;{j%Ya|llfYnIsOWqsL;E&5l3 z!3G>3|kyw|} zZeXztzIL+0bD5IuUsq!Qc7255)`&8tguJC+8CkaC)4`axL7#{2HvVrekkAbR!lWu< zKE3LR!vWht9I}|IW0KBqW#JsRezK)P19;@PoI9iCxfvtBA!5INw(y2`!PgXV4MQ z777SL02WZt_?e?30n=y0p=F%#QFV|i6p)krXA~0unv@@4oE%GFq;o57NrY3{QKC8thAC;rG#P7 F{{wE6L{tC( literal 54197 zcmdSB^;?wN8#g+DbW3+Bh;(;@NGYHK(j{F2(w!Ri zzhgV?5_Q^ArQ^_D2d-KS{+h!Yhd=Av`<`#J8+=2E!4JY*hQB|Yb>JFij&#|RRb*v8 ze~&9m@G0BFyX#Bf8KG&F_Lo8TQ=%Y;xjxQmb1WKP8D@z92+5}y<)A>~Vp2wW)k>WBdn3si#mCc!5spmHl|E-25pHWbxLY3n zJBNGwLA{r3Wd(A^jBb{RW7-2U%%dR!QIEJ%h5veJ+z*q@B1yl8L) z)$Z+Q2M7e)0QMIyUo6iY97J}MQ<6d6LMK3>M2MMvbq9e^L*%3-KDx~9FFI>zZ8aeu zmqtcLid*5hADLT~VG=j0@6VLuMRd@GM{^I>k~Eno{cci#Nm7`!)MnVf`VJy+mj?mN2$!kYK5J|w6XG0I7G;j zC^P{$l1RxZkv^p@cQ%T7z9gwg;0QPjF)A8J@k99ED^L)T|93Rf!0r{QPZ{DUPW_y! zm8U%}p_}s45(@cLoaqjdZA|ms&oenzKHZ!BLcV9kvOgNd@ZxFK0o0J@z zfIdT$uT!mQj0mc2(5jrSF}M;EfRO>|jX>?ZtlC7AkUzcGtI$hM%*ZOey_bk zv4QY3BMyGr4rT~Ywi8DosVi|JiQf6d_;Dnk2Lj;@#$-)Lhm=)Tan>~zeX{UycfhJ8 z+=TcXhL<#mrp}P_K00?>LLgti;5EB#atXQ~a&~T^jcVlX8E=zr^X?uX4>>@T9T|Nd zYa=b8i1R6!knJeOgm2*Pl-;FLtem=PT(8kSQ^W{LBtg$1dC7l!Baw1{NZj#BN#k_) znCE@i7fk-kPMV3$X$Zt6PWV}8aO>PCDS4Uzc!v?SdB31Bw~xlLnc%V*T11fCosp%7 zzIWBS;!!)|jLC_kWUlz&a8?FC+P*xyTDo#xJw4Heh}%iNifeB6m^q+&xWXG)PS(4F z`y@aB@j1EbG&~%?Qh|>adLyTa3u&EIeDe$lTl73p+F&>MG>KwWDSejK0ftRlL$?<*n?Vtl zbsxhA7I)q*N1=sj`d{AirrhkjsMKWnrT#uI+6Tv8Ctr#atUvdkMM^s3+2>gJCEV5`?;pP=79) ztNgTha>cHoC;~?k3I{feVaC1(N2TTg3ntdgCV9s|1mf^7)9VZ#BM$HR1|j0b<~sRM z1gZnXN*|JW45npGu$=dBJ*$sgykC@NCBgrr_zLLg*OEZXBw9;ei5)>k5)kby!@HP zC$?I6N-G(s6;u~KnCW!1LDkzeI!c&uS^No1O5ym9R| z}&~`-c*P8y1jN}jwFzk(>jgEF1llqt-Kl?St+4+ z9`5*GNOIhy-p~Eq$7wL5rDu^NzHB&HDnmHHw5DIyYdK*o8#r%yKX-|bpMx*HzU3Fl zCZb=aG}VluEtq6fw!kujaF(u>Pc#wpB_``t6(p9ZKT|dTd}>S6ZdGWB3bj9ag>uc{ zlo;FdFBRrXb&R-D7YBl({lFdp58N&1G^zPmwWh1U@F^FA@qVQFZ&m$~^%u-&A5LlF z9rv0{>Hf-GPrU75OSb>ewZk^dZ1iRntxiViry^3S$m@LKP^whQro5 z-~^|hky%N3Cw5N|XnI<9A12$nnn-3+y)JL^y;t`O+r(>~pyooUpkL>-`%7j1pL1(c zB@uHZ3u=nMrWab8>nma_s|t|KXW-YyN-9kLdS6JFKU6Gvnv^+j-#_Qr-f-!7!G5q% z=Rr9`&PC5|h{?)21wV^iL2bV4Q~MW{YigMHm9P3+f`KcrCiD}_^$pAoly@K7pS%!2 zRfDvSO+Ej26yjeTLNPF7VpeP^0WIi%CC4PWb7MH*;lWBTmcYL549U0>x#jlcFZ+5l zIc7$9?pIRclo&PO%IIW0gB2@@$eJEJbH~s&JB{dRfoXwmp&X;?Q+dGHBl0UO*0wlE ztLzR_Wcug0qtef3Q}8Z9?#tBK8^-}m++z}BA=VzDmxU&vq2hlX~l?= z_I&=^+v`Jok+bXQHyUS4Zv1!KHF@tec`!*D#_aa{+w%uXT74rf4Nj=yN%u_`8c~V) zY~dj*Gx5Purc{CrgX#X#h;^}$^P0;IaB92Kl!h|lc%K`F(jueybqMY^_rRlh0? zI|kDABPrzyb2AGXarMNm1ZZ<*c9MQ4yj4&C>ZNhB`P$#dVs4IP=Hk*RM(&pmc4K7-ypi`aUu3}E3FD6+2bWXM0KXWzZ<88VXNxMHcpQ)Q&jyzK=R?W_8 z@$_C<*Y_t)){>c{?Tl-=N0Bk*n`*q9i9BQG{>050y${D;%L}joKoUJH(_kMXkX?ma zBu~x7sdx{acbg;++$(A(`P8>*;^z_49vQQ)#lH;a&vrDhrI*YNFzjXOPvBiNvEm9% z7NY!ANAQY2mhY7;Ci%4;HXbpoMV)`Ez_{EY`xlW@%F?|#?M*z&5kN1)4wd+7k=eVF z!C$5PbWtbizoIX0ATJ7`HkME59sZJ7e%#80OBKHcw#Y|L+VZl32OO?JKdZNKm8%mD5b#HX{Ac@iB139K`N z&3_eNx1%4F8DnOyR!cSRmTt-HDb+VVaw-){K5w__ubR+i>4M$IZ~^2!Q5RLVV@Ba< zYzK6QXYbG`@!xLql~+_&{3d(;zdLpC(tNkJfPzE^VG)N*jF9F>X1j4&`?o|>7G&$z<5-BTlH;$~Wj_u;{ zkE7qiyPTwle@IyBFztryW`O(R)J&{W5mq!m5`JtRMbA(xugPOAy<@aNJ)tlig@kO- zi%Y*8(&YVXX-}cM#3_S!vf0bdZk1#+@D#m1DGp%876JZ|OLG;s=4X%!MWT4Af^yQIKZc9nlb_@4N$XK4g zk1d8nqNfTfG5T3Y|9KLAc=h871cLSf4a3hLx)&axne)b>inyTu0Lbec6Snh9P znfmZbhr*%@i(nH7PT0zo-La#wpTDo;HOnrV1f5 zQ9HPDjYEE`F3v?1$sMEZ*>aIYqgvW6 z9!<*|9Om;wqUQeI>DaDgY&t%|O{lrbYdjm88jbqDO(jwQ8ePl15!rY^8+06ZDN3~L zYjt4jriDXBco)`h>-QuVkH`_6-1vYY#out&uv0zK3;Z;p^st0$%=S=D)XB8ebZjP$ z;`%oDwj)-_tkhO&qUfu>!%P|OY#U1t1?I~Oz!>hP!=|+OtSka{HaRq59U`(^h{DIF z1ZDZFm)#`+v};!FsDU7jMf}sdP9=qD%0w@v&J81h?AsK|MEE(;16xv^{1?TVGgLCy-{&PyXI^6L1lf+&07f zT!;@DQJq)h!~74{M!HXTA`m6CwvP78@mamvqsCv(RGZdA^d6DNi4%zpVcA{@^_Fly{ zYr=#fHk1L8^KGHO04LzWJcR5E4INz~B0V5Evmcu66FWTs`lWK9r_bW!{IvbnB9?T6 zB*(0TFuS;f0MwCI$_d5ZsZOjCI2-lpLFPb!a;()gaoIU~wigf~)JOYo0Qfwcvu%8` zR{CH{6{Q=UVcC7yGAnF~oeh&R%peSc2qegQ2 zP8a@tE0<=Q7=L7bt#Xjxxa-RCKrs2&?y)J=(pfoKqr1rN@sCS({N5RpxPHrGse+)UuvEcU4B_O|5xtpJi?SCa&mzi{clIG6EiD>!I0z7b_?3%}MJ|%Mva;hc zt;^s+L)HIuiNZBDR^sfGajQC6HqZFm-pbvGtA6fV#iwC+C#cSB<(>`ORsWy5T#|E31sk%tnYADMHV?`L)% z-jwI{3vyxdZ_|B+%l}3g?!tx$O91n{^hLj3yGqY$UPselCNz1nNrM`@1>kUMG8Y%D z<+{gwd@UoXowD@z_m*iphq$yULfw|N>jSb$g3^aZ+Q#zBhwiZEtBvvWtU8PB&r$8a z=rHL0Eeg!Ls#|QPl6@3GB}i>Ra5F}$)G-fqFGGH=_QIfBOAGT-V5{IJq4ovkWL@mc z`R$IRr}*$>r%h|Ev!2Vdn~<<)%V!q zu9bWGZ;O4E2>o@?eYP>D@y+bpa-okDzSDbv#0@F+dN zb(od-GQrqd74STSsvDmA<=L+1hcuKcXtj~tPU6}xgMhqX$zUmbocHDU=W+AlnZVYF z44^B>kTS1-AMDVbT4<(!yeWnT1=?aYEQMZFT=;d9$;=ja)E$^c-R!yM8kM(pJqH>= ztq1FRsy)Cg991&my6+P1&OMLAPV$n;X|iJ)=o7AdvZ^=KD>pjgtW2V>h+!*BYYfw!&wV^PQG&1G5O9c$7$$=~L%yt52*hwx z$wxhak9EIS{31sl=o6!pPj}bdhz4z*<#yN?(yk^2qo{*Of}D3<*O;@RsE`FIkvEQt zlE{`to=;x^2a{Qyh1X^*k{R514VY#t5!wpghJVJjvh=`r(o3#ju94qwLG!Gkz9Mr< zO-M`(do?arYd#&;QL%2&WmLi8DhCg)UfC-Xqxc(3vVqZ-;rJ5AGVBuMtbRe&2%Q{cIYzTW_ZXVva~=q%MV)D z=EZvO^Qc*w~#ra%y-3IYA}%1GG{1;n0Zw zOs=bPs!Dup#uor$M^#ljJJW{aJy-m6rhq?rL`k|lK)AGH8NlUdBo5KlwQx*!5KEVs zYE~3}xHxlz`hw4ytHw`R{)ROt+RC+nF04RFs<8KUGw*|sT1YK2J>5=}`HG$F6BotZ z5sf2vwG^Xz*86e3EbFxEb@SS1wc)eN&QsB68LIhZ4}&a`Rq}8wsb>KT3hSx@Kyny4 zw`e6gHK6;87}A*)Kx0;D*$E_zZ02nI@U(Y!=k|WprPx5QWZ@aqPfUc`Hi}6`x8cU& zV*yQ4H%ioH{Xkabf07Sa>NWSZ{`AOFK+XfVtF~6`EQm@9I17m_x&Icb@y*;Ok1?*0 z-nU8tz*4NP3ADI&Xge-Im^d6FXz!MWAU>c*(|FC|-`^g-13gr7p6?X-4{%4Yy{}2p z65;!oT!^73nT~$o4sK~4uNgACeC5Cb3Lw#yDXoPGH(_I~%XcnvpkW1peg%3}Vkb~r z#h#YwCkQ8~T_+tu(HcXCOuXh%tx1#E9X3@?{qTdpTMyH>O8;Yb{bP0>1hU+8{Bl>J zM1LyU0awJdarB!|=W~yTg)O7UD~jtd{!PcvIQAl!o@HbJ|8!CD3Om5o-{m*oBYr)g zWQYE7`lvk_pj7IC4DQdc*IC>5n#p{g9)XxZPzz~Ftl;NU5Ulm6fLH;5*ec#eNF<{H zN;o#0cg)pid)xfJg4UsyCk!A0TP}N(>*6lC9re%s2I3oAAE&%>oC>^F6h$L#6Iia` z9~C`q=lO6QeIr!gu$O&Y3bxnHlYP4T_glO%rg4U+{y!3`or0FW{-G~&ao~Zp4h>R5^t~ups6pEX5Q)0PDr?Ri4eL+@Gv^|*N)DHY=`$PcNkhQ!a+>9gODBL{vA2mV0JmpH?t7UzF6>ay&6KkB}x;c;bo z>Io(x1S-Py;J=b*5nmtp+HON<8Er7H$CsItOA<|~*!s6c6hn(h2vl(Zj;-t&g7h;mm%(#fsNT$1x`o=x;OMt#E-FU39mCdd%z!_?yIdVJ6kr zP=Wg$IM94LSkkPx*@;^>%Q#MauQ{SwVdp3mg`Sp!m@CF>+4GGqS5{(M-?Kf!7v6t9 zSoM+qwHy=dT5Nm|2mYQ@6hM4Ne%qA^>>IF!maU@zY$MfGa*i7t6IR`^J~m4JqBJcW z95-S2Db!HX!eD8k=z zMh+}62n5(kFG>_mC`bHxK5=Kg$`MzeAEOOaD+~nug4RTuMp)oE@kjHlolpOcO_39) z;mP1~OOpc|@#=a69B~1Pwvwp^cFemYcb(+f+OjR-pQ>oo@qILoWp6;I>=;O9x2oq+ z|MAse*mWXaTf+7|8?X(pl~BYNvgB4iYqIb0#7xj_9m{N1*@ja2(Fap|%Dx6$>{d+u zd%#97N{V!9NzcqG!H$3MQyn32MhS+Vej%Ca5lIhndU`V{u?78+4HS4k*bR-i%DlBF z5G0*9*s`#-Pd3scmjvE}^bk3paq<`7+9`TaQ!*yMAIIkE;#U8W_^tw%D=SDxjGyKi z$C;7A7h9e`!zzUnFxw)>TxQ#9Cx9dvQ{7t~h5>-e*Lz@b_UG%#3gUOB&XLOtC}=UD zPNFEG1)Ju_FFA~EUlkJmYfHmu`hqaLzX~4GwCC1!qyh44gK~|8W*hpCXp@n9KqrX& zyTPsbCmFhGj{};_2g&T2eC(7c{bpr(p<}B@x><_;Wt|&jT z;o?i5>{$C>Nr2`s6+Q)f9mg4cD_QH^8_Bis;G&HbX{aUlh35@o5sa z(U@vS+M@tgf7}Lkn;9e2bkZM1O(go|1rDbgs);&F=?0t7Ud!uEV{K7vbX^VZKf?f2 zmt72tWi@4D{$TpG%C|%Xwj6ACc-{Zw1GF-LWY3h$%K3he4z>^B>5&XBqaC0dXVfKT z(x4qi)d^AjV2V~sd%CTBC7g^6yd9s~C=pZcCJV5|pFwI7-9yci^Jk^_u&tP_TLJNJ z;~BvmYNq{YqE7v7j|ArC@9gzsBK-LI258Pw+tfhnDnztZ2ff9wE>Hm0s=?y=t|g30 zp+wO$m+U)sZn*Fij2t9FFA0URbaOMxqZq_heGEy&wF`QELK@2WWqMa04lEX(>64FN z)QP1p4lb;_sA9%xaYb34Prc*iZ`i?KPhC{()w1(=B|k&}11ND17Y4ah9oLBU$j1?E z-u}S%=k!+UJ`(jNl$6!^^beTmE87R5V5_zrVhSDKv88kMpa@@Y#k%FZLXb+(5smXN z`)#+$GoRuQYzDvI1qfgjjj-$l0lBH=>D0TGbDRR^T&CP#5_5XVaiL%h*$4*C-IKZm zxf%?*?-_JWRh1-{>C;xXR3QR^?d$kXJCtD zT&xN(P1|(OgVNM%na)mo;nT}({bUCik|MfH>E8HP2f9DK!yvYrTQf0f6%tT!ZD`s} zZOEHaj$4Fuv#E?j%}bu|rFFA9wa2yT5wVo*9asaU&1f|wgu_O8uyXkta(~@z8(A%a zczK9L%y+fxLn9E(wst?{04QqL&z54tp|8VsY%T$=tI1nW=t@V&+0QYb39C6t3ZD*F z(b)(tER76>x)~ScKDqrqcnjTio`Q}l@a>y}`gnikOqI?4lBz;;6V$Z&C)Zuf(zmw1 ztwh6uR3F*|TTUL2$&MnTmnr?hJtw;QVtBJm>FaGpUGZ*Y9mnHpES~@RL==27bZOD| z-T9ulCzj1G;9Ucg!A0hly?ZljRzL?}I{R81w_>5~XGHMh-!c6F z6bMS{^P%5)i~v}EpiKM|FGp;aHR6^dhf}U`C{LyTpYn~!~ z(SsC5>lVd&^&9uf3UF}*HTEn}S=C7*ItB&4>+uG<4sMo}@0ViJSy?>OVK-K^KXLwo zh6+6S&=Q9m7gnnj>s7qs!Y1+_Y0PJsZxC+Qm>`ZyL1y`?hJCGEu}mvVF%R*kv6&?q zgmKkz-_r`kG^s%`>&2#rhYa02{vz3}ct0LoA(jdc>0ndfMDfyr*OvX5R0^7Fd`%6^ z#*BEpJ5jk{{D?vNJHXk0jGkG*4;2Hlxa~tpljcJRI6+;B=g)iXb9e;t>A5zBJ|dvZ zf@PK$eJ`jo2vwp6TnaedQCwX+7fi(W&U(u|o0KCKRc~sjir0C@zbU0474*Dr2X33O zJy{$HI`;hzjmO1+Y0q3ds*t*S3NULGbaiYTC2OFeu~T1b$z5bzG64etm<1)Bu$LJ- z@i~(CbVq(g4j!oKkdfJi*{7C{bGdgt0QMRLUbp@9~CrhF7+D{yg$%*sBiH`x4@ zZzf28o|wRGCq}uWEuM{qbsJ3d4b_f|^fwlFX>64|O^JnHNDEWaYf?ZvIemRN3VDUm zxVXl@G=Oy^w6yRu?U1tQaoPwe@N;`^e}-hm=)ik+e52t(U-%B+BO%RPzZc8I-k=Ce zetP0cR<^T~1(+JX5(uUcb~+b`&tENpT@)`nZ~qU=6td7n;ihkO9;{whHx+cE?|=Yi zrL*5kn)TQN-~(tBp{Y;TB;C!zp|vv6p`xLftZsNv|JYQUI_aYH*UhPshciel=~a^TFy)Mbp>}!yHp69s z$|^q|l9;%0Vfgd0jmStT9Hs77aZ2Qad%e3&-=h%ew_$h%JWoW#>NudifrSO6S$}Xp zUno(ir_0b+ll|H7ZTt`pkTNA8F96?V$mSA%vty5Bg^Y}UGXmOs&soI0w|1_@UhtqP zKT7a@k#FS-eDVbvs00VNhI{&F+bl#k4V*b+K;i*%8@(|hr#-Tt*d2HpmdTa$ov4g(%ZZm?Y*&IGk~eztQ|L zdRHV7T2SH2oc@k#QG$979u-EFd{`r1JiGaiN1SNF2Z#Fe%X3wDyyyif;Yf#9=)bzK z%qEhSKML6n*bS5MCS!6{lW<#Lo+*-FFNa$58Xx#D5@8$DnjAjkzpJCZI+lSE>>^j^ zCNI1>gPHm{3BVy;O>26ae`K>hV?VuV;c?`P#pc-BrPpov%p-|U9yuzuZHzXt`H7C2 zb%eSemcrqKQh|U3&|!GiM?4Xb-YlG?<7Dt(u6!uil=n`V5vl3 z-1u8v5zhqV=95R<)5lx{e+6k6q!yp-)(e<`P{OZ`KmH$d>?>tFoLEv`A zFDcFdpIY&^_Xspx&oo0c6RmivHAD!&H=#XP>XG)9DBfx6%Q(q0ln&v4Wpa#j$A zNy9V|Wa8V_*K=|Ki`R#uhh(ZAVrZpgHKik+`pv*pM;`NiG_4v6WX7g%cbEj>At8^?LZO`9>Pc>*THY z|Hye4TLTFGSB>!{H%A|cTAZAHqeIaVb}^W_i12hJZdh8f`Ma}SCEWfk@NKT&gzwgi zK=Zq?KW$f&k!c;Qg$0?Rs?9S>Y z>0;DSJ4Z)b>N45C;l7p>O)BhEgwE(A=CSQfCG&*u5VcmU)7a-8-e|b+E+5xN^?h9t zb05(Zx%hi+VC#De6}mrEB@LqDi)v&e^_IS?;F*aYLzYpEgq!qRtZ+Ek2A=a zfyVe&J27;vQqs!nzz@1NJjqq)osf(pvw}#opWv!iyn2xz6h|)Ap6adI`gzz>bAp?(5mV4Kz5Z; zsDo6j7E$dJ44Rb-Fu!LI(s3m{G-pqt460 zr}eFr6ohIO8T(t0xQ|moAV5(L9x~v0D+;oZ##FUFfIKN*Gv1ZJcK9w!&s;oP2z)b=V z$B`q7Ly1w{5a_(1qr<`m<5a-(eEP#ym&JE^SEXUXEIl|Ta)0(-hco|6%GEy1HGjM8 ziUpW!^xfznemW+Td!|IUsr}JEO}p~bBg+-S?Qp%NrEt)EC8nG%Ja#~38+gwE)-6Su zLq)gL(-r&pln%{@?ZXq6+pBBevoO=%_BJtL2p1REzUvjy+YWVwdi0@n8cRKTAboP2 zod~#fbD#aF1M0YRR|$6$BvWfFZ1HFx0$2!uhTA1MHj56qg;LXw1%*mMU4M~4;0gqA zRtVSN0LuBzqPqX2U$bSu&0}N&_w+$`sm`S6{ z=(82RM~ef!JdLb)Wb;C6V9m?1Eg~f$%H2+XDx8RPb^>nP=j4yJi_ir>k+L%?F|Oe& zAOr5--wlI^7U2IJvwr><5jhmXYMaE=urPd{n32iYS;ZIXU%7hbAh(WShrr4TJWxa9UO(+FE`vuH7JzXqi4yW?9Vti3SR zp7uCEk*2w8Ma=E+?cXxkP1;GQ2>?t(!A?kbTclN+!Qoezn{%D)`C_;EnEI z>hY`bz{o8e@&t+1m19SW6wtt7yb`S15eqmiBCSn{=TG{yYw_<5f{F^6@;fhEta;y> zg4`fX=C8ktbe{syBhq1;wriOvF3|Gmq33(`%#M^aY9r3l!J_c_95K+ z8}Ve_CzrMH%+hEO!1Abn6!Rg}xbH?zd_D~a^v#(n10gG&gYxysVCvJ!qiJP555xvu zD_Lh*BDF`)uu+=ty)zkrJ*+*V*Osv%P+%Sa`C}yiE(7NBm3P$E{R0*WDj-lM$$*vZ zKOL)QBl_SWU-%aQFa(pxKmrOY?VYmIh4|8J7|3}gTr{{MWm{w7i&8$FvZKA*lF+Av zsJHt6E=SN+5rw&!cIOj=B+9WmzB**pWL7QLvEa-IR{QhBcz*3f@CY(hFai(#?W_kH z0Z0l@?)p*6vVQLkSN!kJB!&@f*a*6=7R*+BwD~)LTVw_z5FkJCtP7G%$LudED~f0JAsZAcW*`m@hxU8dkf2~qH9zqK z<2y5waRm6OT!iSf-qSQ}Y5?GY?QrhM2;?eT`-yb2f~smn9SR+gh$1;DnnaM0RQSf_ zR`0I=&k6yAxyVGv5NVu~fy+Gd>B6}W*sIY0W->AW(F3uiKa>O@$J0pbi323!>-Q)V zWPg8y96Z=DFnP@iiws1lHidbpz?yi2Zu!TaX>^v^xB7j*$5da9+MZJNixR80s9~&( z>I|eq2}%%03ji<%NPaK^bLs%~1hU8-3Vj@{(TnsE+5@rDTm3I=0jbFkn2Cz-$0p#+ z6&Qe}#qTQQ+fU{7T_slXM_dvi*d+Q#hx+y|<608M`!ZTmWb40dj+t3jR#y3U4ODFd zpiqgn(-%OO<5maot6+6|!N_m6WhfOyN+u}1#5UAWt(-j*&gP0I8#~Fk2ARPGRcP17n>M-Xva=8zL=4~d( zBmEHUx5!$k!=L-Y>|VaWWf$+JRn^`>sk8q-S;4RYms71Cp@z&!3r3p5=bFWYU@w6= zCYudU)z`t*5r@$M5J>PZpGd8}^t0vKl7U-4B0@ToN03o<*fGPp^sFTttuV5CqKXUc zJ+~>fZHJZk+okAq5rnApeu{66ccRw>@ex}qkMVKTZtpO3*smf%SQaLFg^7jM!4j() zLbEW#6?Z&)_4$g0MLE12+Ph_mD&5%DblJr^wL(aHGal zyd!|E=d#Vl6s)~fXetUstX_TBu|MVs^(I{u7Y$%AK>u#Jnp)qGTCK4z>D@tcM>k4W274GXGLIN~22y0#11lZM z;4QN@RSCkqJ)iA~xuG!=0yi2R;sf0GF1GLE^Yj3e{c&Idzh#D#p?iIC|Gjw+w6;w3 z*$@yj&j3nObR0dkp<4{pxsIzufCS9#Bs9N&4hu5WB)WfRX!}KX9!GJv#tuOgZf^vJ z_}e29qnF-vpq-E$LMiP~9my)p2YI(zc}A2<3&JZRxU8hBo!3uTJ*@>mPHP44K!K=F zB&dH23WRA;xSMhJgPv5=Z!HsP%a=ofg|uG z^d(h@maN+L@ zFbD{Bk+9{I znw4S@78_wcV5YI0tV+H3dET`{^W!02DCC7MS}U-8Y$q zIzgd}<{Iaxs{3y4LLjjQL_IJPw~dIL*f9PTSUT)R$xyy7Dj+Dr0xz|l(JFB&KkNNS zQ78g{F|b0p4}FFVw3M04E)z%YN;(hC7yH@=qaT-G<2pbEfckrX?wzoIoAeSk#0Ok> zaLR3YGB9e>-7JWa*@OcU7=RP*>Ru>eV*xRJb(<(y|G*3e-$2e;3aE~-`9{F*jdpiQ z+5n7Z!vBouNgE_4U=A37AONFmic~-(87yI53dm)EJPJw* zekg%$31`nW`Mnt%D@DJrZ6#~;?--`2Dlt4+Q85%*ThpSOX`_$uZV_e9&ORU(x{C&e z*HrD={r!bLJH!%$(W#$cP8AG~`ll9B0LT(`srl5jHp~QOR*8*QYVL1j!6XbUaSl2= z@VO$+T2iFO=*G*on)E>C4?r1dP!K_gEDCrZz-oT6h9!>PSbbEgpS))pcKU984N!T2 zbEU5<-`Wibz$kF9ZG^8aODkwVG%q+p-)X=K+WM4&MpQrmf!xeZM7m0f=68pARh&5d z@ZhIrQY!~6K*CSX^!VT)UQeA4%6+jewmV{BhfH7k&DT?V0u_9irtU38T;l3Y6rO)Y zcDlD_svMal6~0>xaeHY_@c9e>?FP+p@wLmjKf}s)fu&Z_u8P4OSu!l62hq5_MQi$H z`^6cXx8)K6oPLqpoeEf&Tt{CH`az2E$_PA`^4$YjIKmae_3&=7M-i~mVPOs&b#53- zB;swt$n@s{0ikBE%d_OPO)$Uq^*Fo94&A8XM?gK2uR=tlu2RS?U*SPAj(q z_xyGFqPt_cCOA^o?oEAImSl^M*XY1~uQYDX5=IlU3$kzlPFiW+BI39z2D-t%r*}N7 z$X)3_I0WyOiftAEHT{hk`(JgYT{%N%wF16Ti9*jaAwHptJFwB*CQWh4L&S;v*vJOr zc+>Fp$??QrB_P2CbP$Zp?2E{G#s>GuYPwJH<=R~Sz%J2w3d$GY@cBVMWa=f1YUT^3 zZaIA6GAtQHj2_6rlv4J5&XF)O*j9cF!P|&P5cbDr4UpmhIkC*?7_+kKTQz1EFWdmE z`XhQU>NG#<>S8w z&lh|e1jzLuB|IO{Y+f5_E?Dhm3N;}sg7?~{1Czb`32WZ+_p zUrAn|a3rjRDtz;U5k!KiNO-rGem_XtYve^aRt$lB}j zlLx`sh0}BELoveUuvX(dDJFLsfIzs_cj!G-LG0X_B!7cF?#;A2vm{=kH*c^t`~Hi% zy9>vQZ9jRq_obWiY*HeA8LKvlFPjRLkOCDm8>)k9yCEaV#?~1E*#(w+^(A!{i#**M z4ocyK;Oe9p7-8VrehY*a^`@Pgio?XO5XoL;a>X(7s*Ukq(-cJZhQ)=F^2q-;otfyr#n=Utu zyY4Q>N))q79>|0=hKC_MF3SZ^f^pp6#EZ%6qur%W2>9KEmZO#{J}^W1p3d|@^a9$a z+jE}G@vU^*>M;GlRoS|)^`Uc}XL>iCOX5x8Q&H5FD!)3tuP9b*@5KEbjBGcWQZn7~_r z;sh`$m*$x=2qnP2od9&#)RilkG7Hm@Kv#r?=d|et4FUQGsZ^i>M0o-`zj<5E_NjRP_2-hd)kHeiK@3Ri4%IvHIs2-Q#RZKje19W<GucgiL12P0i_Fz7l5@_O>Xn12ad09=(m|)(|4KJcD*p1d$o){Pl zwQDeEmm0KK+x$ zn_~S%w`~4{oka>kAc!4u^GDH)&_W!$023H}qNk zni8Gm(R)|_e%Cz3^v;iEU+0t|chhA3WI#$@6)lP;%8~d1>kN6QOP`(Husy-iC`f9q z?DrmhF+GPUTtrq~d1du_SEoMdrFok{y416@M#br;i?>%el|lpoJj*Ow_r4DJul0y) z{0=(;y6qlYKQExwj$c;P*f}cfb>mQycP%_}$;rvnaERh^IaE|$SsDzrE{nQupEgyb zO?+&Zs=06;O!oJ3Kqh_?t$AYJ%PXSi5eQuSq@ZtO4y}7Ief#}Kp4af3k5<}@?Sdug z=!UaIA>SJbd@YyAL1Y=68d;-i3p|oPTubbo*-Xuk6Po`++*`**8Mb|&g9s=hsEBk( zONVqRDkv!>-6hgFbVxTyw}5m>H$!(Q-Q6{G!|XXe&;9PZ@!5CxuSJCcFR$y&BYwyC z9KW`pOsMjjp}gvOMcp~)23sRm{N+9bBX>0NOo3VG!+(fa_au3Xu06uV$Ln)~+19LE zukEo4n9iPVs4Vs{GTpkq%zKsBiMs+IJChyBD$di9dNEJDuQjV-(Je7MHS$Oe{a6$${ ze%D?$c{aJm`ei&L9jijI1zMu}sNlOP>Q0(6y&L&Ka^B!j@5i-4j!*0bFeQm4zcpwE z8)`FUyqWsGMfROL2afaJ@BI^eQVhR}72TDuN&7c2M|w{#T;(6h5$_KfXxOS>Z}cAa zwfl&VI6Gnl8E^=eH}Hlr9j`2>LE-XBPAiYsSyX;nA%9T}$yha?O8HaT4X!2Y3SMvi zq0g`|-gW)D@-=E{3O%7{qP6#XGTQ90biEswk0J*c}-fJk5VDpi5 ztNuy3$-?|quO9g$x_>~DFo_@`KGDFDZPjQ5iB|6A>oj>cjQf{~oSzUj7@Wo;LdH&~k4PPM@PF=LFDw6c6=2*qAiaosWz2=Zx z=H28y4y+X-dx4-wcN>8KuP!9gv0logvIbf|oNaOzI40Luz%|=7|2?#1 zwV-QD-mw4vo~#z_YA^G${xW+tTi0MmY6H#j(piMtsy*56 z81+sgQJlV_pDuoKJ;f=ty<<_z$dMYHN^Qjp{>63b;>p;>ihD6($o8g~lI*#AB|ZMl zP`Sa0XwVmy!5M7_?m?I1OG6Vwa%p39QQA1NKF?(thSisq{p&|`gileqW+&r1 zdO!_cSYTccDtUWsK|q(AciS9hol|xjk8nO+C7CVbBZ&sN?R0Uqpx~gk&UrtM%09z} zkB3msAdlFRtje`_@SHYI3rfoHuHnw@K-U+X+SN2481aWr(*1CD7WSD0gIrie1&bSK zjtI#kF4$0d`ddTptzpXl)VRu4f%3C2TvK!icyr$DTw0pQ95SRnFImpRDKl`{wxu}w zaik6POhZS+Z<*#G_8Xu%`gSz%fLte_mFFd8=L#}=7@^# zqr$W@zn`2F%@AO8?x!?%mazwal>U^}BR)W0a2XIVyUO9uRvNm^UFqE z0(RQw@{;IS)fitj0&ApRwsW@kdvv=&KhwagyE;1i1()MMZdu2t2|A)F7N>mW=g%LZ z53!%2u@PWBr&o^{TyUU+iQzqS%3ye1=+hFxt@bw&QPe!luqQA;6VCYfnrp3cT}kmH zCC)K%Hg?Z;1k;b?cqu8B*G4alChK`;p#&o?S=2ed9>Yx_>c#?^4~IffGTJge%1Ct1 zcf5S(FZtBz=$RgFKyXM$@8Fe}H+n$sI~%d9m}gJ>nFm5;Pu-pytsC*KS}CFk2Ry`? z3)Fa@ip8kTSZK!eBcglda~kSXsv-ZMTK&JeI09ePz<`=Dj#c?>fFNpmdU~N|qZ-;vN=i(O zBiH-h>!C!44%cV>seB4(lt<5feSQC~4)ARK$$l)ts`B$5XtXb?lS4*E#@D|!>`m8a zx@-g&x!NK|cL&~>Vymyvz1#e;BNG!P;O7e)IhV&uY?PE4z`C;#?9SBVE7&SvVS`a1 zxLES#sN3@p_&o7`&x7si~8bD$^BT zTjqsaepgk}T8`Zi*u1-}E6GOlxw}mew$I0upne1{{nH>vb%R6JKG+hb5o4?0jf%IU z#DRW)t_AmBqrbKMR4O;xoi0T+RW}t*5hAKjc7Tk!7qCdzS2gRf9UL}$NhNW7K%+hK z@qSzG_xNxIMlOIMAU1e?&(zoyGQT?w+PR^7j4v=h&+z_q|hQs&ml16Ef+K z)u!x@?a1f7jpo#dP+Ote9!1}Ao$gUmQE}e)UM_LWPRi-Z6~=t5ED0SDJiKw)A8W_gsLfREYzO81Xy^z`%&=IZeU1O(hwE8`i)@`p7!0FktiM-|Wk+y$3%}@%T6X`N_F9$o&i! zCb(?l-wWimgChSd1i1G7M%up}4X(>Lmi>2IMLy()1-lFU{_p!!QXXmKpdz=h9B;%% zp2h$DJ!aMU-hyZU+c|Q)AN$ZTF(~;F$o9VZPs88*PmTLO-Q>Tn1DF0^Tl7Ef0Gjrn z7UhGX`PXt_j{dvrPnBfe+ua2s2R0y}Az%seKN@V4!5H_SrYQ0uyZ!(0wEyWL|2=RR zl>dvTG0^?z?*E65{u>FTU;OXs_@8?0#H$@nEIzb97Z?`ip4;0Kx~QYZV>uWdh^ypC ziTJxgB|coD%(}e1OtyVfPekxBm{vNTOWzL@Oc94+F=YfoZ$Ft&qt-Qn%7b)0DUD9g z)c8@ebb&g-QHxTP>4nxQ8pZAxdQ^Vb=C$Lv2aRq?DBc(akPmm>e4NJ5o;_=gR1m-T zb_Z2aRY!TEXG{D}xF*_W%JFWl&OIu8`kZR>i0c_H?$%s&3Fc?;#6Kyhm$L3^HBT@w zFv2cL4XtwS?$2XVQ*-+`jyPgfJ<$(%30`|PAG=OA;lvGTw^BhZjE0hOT5&JK-8CBs z)Ya8*pyZ1yET}avoI@ifpFHb-#x^O+RO-|2XLYtrC~P+2|FdtRJ5EG|(`e|+(!V(r zbQ;T7HZBSWZ`T=?e1r&QbqS&6=I7Qd_Y3&*Kp1{TsEm5g+7@cuwmuL|uPX0LcSJsx zryO1>EIc_gW9zyg*W~K)`mpqHYY=vzt{bu?{9}=Eq9=)!JgM3;$10MNLGbC*p6I~0 zLgT9s59X@FfkSvoNcbrblcq<3i(I|hJl&~0Hd)0Zy3d$pX?=e%+kA#zGdl!RYW3mt z?M7OK*|5*%=2r=27Vkbrz6;xPmg!29@C6R-V)Xk&-f#cvbtvlmml1?Vz(l0yU88>g zW=j@Iepvaii?1`VJLzdnZGE}*&X?Y3=7R6fdhWS6z_SgbXy1SMu(Z1LK3@9%;9r^d z^Fb7G{uoJB=9vb0@(lF?x=-ugB0FWkuNz)fZ9IX!Y zt?$je`qEH?`4PDySEug#7(FI_2D&$x%r{lxR=-aWAV}k}KmDPPRyrUsumwz5)$Zh5 zYgt*mkbnTe=k_0C>53)B^3A53QkGLw&1S2lTi&d`%HLY+s0$^2rB+BFtX@S=5D?H! z^*05zhoh&bCq8LMj_7~i2VjS3(Sv{tX@7u;`uF9Z9s2+ATh)Gz4+qPiq@<`R1vStP zA0#KIlvY$IzBMTIc6Wa-CYDd}zDxzo9KA|z1g7ZwR9;-~7M;)R4Jr<1Go1(N@06Z| zFV+X*<}Iydeec%WQ>$D)$x~o>5Xm&|(F}1u-^uy@B$7@Biv4~ykWt}~%WQX7@d>5u zEakjsWXl7JadLpZOeSWnVS?!4_y87^1e@YbC z3}9*d6Ckm%Ul;;B7^#s~^3b*?rwD;DK1sWWqE1oEquOlYo?kN#2kn^SD5>)O2yYmO!*5f7GLkXP5AtT?v ze}905wc4M+d2xM>i-(8S41TNva~J9gC!cux9BHwiM$+M7=PTy5{?=^+t;%sZ->0Uf zU0z%B4GerZQKFq=F;@rL^MsUiV6s%7P}r5X+H!%Gg(XZPhQWHhpAeY0cz%0^zBpEo z+&wilwWIxdc$w9bC?s1Zr8k++*2>CCXZY7Asd%;)0&HYI*ZSf@mvnl5(1Z~4U;_*H zf<+^sy1E+sS3~sZ25?>PQ**&1{}w2-&VwkTH~Q7P;1|qnJUqsy+hflezhHSjCg%R_ zbZn$n`K<%Ac@vB?m=K+{-k9f1DiPrB$6GmRdd9|GxpJ9g&NG)sYgF{~4?JU;)qgN5 zvlJE;z0z(HH0+Bt?2dS;Sgb)PD=YhLEdN8b#T?||=qTe;6fG|=@8@zOX`9vVmW#s` zc5pBR1@FIx3X$)4xD1JA(M$xfqwwvC0uwWHPZAGnR~Tug(Lf?ZF;Cw2&!5kOX(B`8 zMd}HHP8{$2u?_$Hd>fybC_Ie2@BRI|6%>j=K|$fUKUc3%Wu{tKSQs)8%VE1W&E_089>xrbc1xVY05 zCT%6KyP&+hSN1#OG`zg=T`iNVl;;ka@s-Ge64oInTs`Q?|J(BV>lhJD-y* z-%?XkgE;%(_;}@XLYt>)zRAsHd!l5h%&?D*iz^E}AI!6tWE{)wPF++~6rbDG>rC;&I z@BjKI_b;yM!rx5s1R)o0#xI3Bn}5Oy=1FJ_xMFqkJ-Pgb-6YT!^);l05C~FPl&tQ%bgM!0h>-U)v5gxCxTb6UR7AmCBBeO zWP=VS)0GWgo$i#|ZON}}+%0G{I>+dDh0UGY&+#G0nQptFq^Xx}puK(7iwcsEn8*R{ zy0U=B_~n;gN6>i+VsOq2FDXTx(GQ7p5B7VKwEIXE<%QFz@e1Cz6xdq$+qk1THMLb zSn%qqf%ECsar<~$baearKoW?U)(u$pHzo$Js;Wv=jVv}cc5-^k@REDC%#j#rQ)gz3x48QU21=n;-NWYK-Dj3IH{-Kr zz~b&;4^Dfl3+_-}ZX0Wn>FSGV=Xz}(%cRyWetV^BZZ5r1tV!gF~wfZXT6{D_qs4TOxSReXJ0q*h6<^7|)pFuQ|kS-@tGevaq!k^2Woa7)hT zsl>xbUSEoL+{!&?P^6z*C@wArCg4}n`qoxpPL6S%iI$dDG^27D*qyJC>OjL+u`sd$ zb=B92GAb&QR0HI8dqn2yRqg%#A#>N~BBLBnmzD^y{FsgkB`r^`YEY2uobZuRJ62yzuMcG7R2;kSW*Eq4ZIeq#bcd(dwb_^9@QExkxcG<2lN(9*FdA{Sai(Q};+@yQ!v*2!Z&PCBe^28NPT? zs{cA)IlR67{e141P%dI_1E;9MsdD4vadk_>Ntv%->Cev2{%bRb!v|w}3Y#QYf6S2x zI7f?}Za)PfH1Sw|>3G*h`u7&Fb`nH9?(`RE64-R_saIP_^DxuX2LRtVQe&~ylJ)GuFpfhHntGeuF`g*dredv6S5t<4&y0CfKsa3PM4j%>QE z_y375kzMCQ+ym&|Ed(##Q`?G%lce_~SrU1-Bt9l4<0pXF?TkNGP*4Eg8snGH2@?}j zNU46;Jrg%wilPPSHowOvlfPf;>gsm7(=;_T6{=MRfzW`{iaPfuX6X8rJ~@VjgVu;v7F+_ibrYDehwEG$~&(?qNt1`eAq zVK;Vc;@DS4SBdBGmR~0u9z(oc9G0E`jA0b__4g-dq|&_7-m*`ubPK*gdn9sn$C@Y- zoVFp=*4p}GfoHGdWpEdDoH02zSfb=6cF!Z@LThXJ40~fc=I2w>Jg%Ah(ozmpu5;T? zY#uy*+=f`x1#;2hfmP1u1;fQB|6u{rzpwTrUFVr2Izy9~ZtNf8vue?D(ZtL;34(Zl zp>oG@Ycar}Rflb{+=vg-)APwpt@;PHrn8_`!ceCywXkp)^Nw=4&bT7PU84-!l$bbK zb3crp(|S-;UjE0W`MlJfN+p&HhPV-n#=|$R8YFU&OZSt72KM*VRnB$%BooIf;m!!lo;)6M!sB%}IK;XX=YW1XxwQ;gt&Y_n-Jy?H4MP1rW z5|C0vUh}$NP)yuoB~CgsQ@Oo{v8*JHgbH3_E^hAXC9g-)u{s^$eN@gHiV?s8ubSsu z{$A+E&}pL_tunreT&AJd&cigH-|uaog0WejvGUf}lv(d#UfEknzE|0Nw+Dm4$t><; z2o2x$1#is@U{D@?#~{Fadxl5$LMBSgyvCl<=N7|(&E#kzJHtp4`7}o5Opgq|}ku7y4`RU{!woE4(LI>&os4 z3nO*kzLrb3QKG%QAg*#a)2OxciX=aG$t`FTHjQ106kwHBhe8%_5)_h!>_suS1^iHf z>jdFDe%o)|%vzgE22LaC&Ou?fq$(0VjRZF98-=OxDn7UY)pUV|=2< zEoJ|^J6#v)$2|R~P`az$OLwfyxJ=~o(Tnw49CQ?`l5qROw5 zQR90fXy`qDON*)4J(Nd!E?ZY5Oe()UfmzdXJ5^#(E>1RI*eFVR$)sJCi2iuz2V7D z9{mBbT~^B%+RvF3JtH$4LCi`5p_5J4YlSM**ll6z>Mq&Ar|H^+8%FX_ExB_|Z?1>4 z7(+;pxq$EJ8XHR>6RHR1q-C1R1M;V~Z)UVeS<<-Jz;woSJTl^mY~~B|o~RN?R&VUa zXkg~6$_-tt}{)QDmxn64Qb;N_!r@e-}vNiygmr&_-{8)3D|y<0~=nb zzTm7SeEU9>~Fdn*=b6;@Xsqy9z%#BwF>=6+bDZ$5u!qYFczd1hv!;xROR zkEz5fE@n~8y$Cwgp0Y9IF!R`6CA_ZbLC z(0aRFF0rC+h9t)#(@14z5;9b5^7KtQWrtTqL7Os*X186cZH}zX{>dkz>V|s6DRFiC z@Nh4IE42&I8J_xj1Eq~W5hy9Gt*t<}K%rn^8RXrQp_@85umYhCpUvun!^6X^ackpw zAD{a`m7Z#J;Q^TxhgKu6)gmNECdHW#r_e-AA$=7?aC1|O`0itUhI08j@mBUku4*WV zHMz~FV=d}@v(RF+UB)h;EutpMe3r8{mnzr0)d&jE=T4(fPOU;lpp`I7tc&H@RX1BJ44bxr;D1+)y2r9@E>;I zYkvljpYYwqO5A?)`cN9a=g!*}oJOgGQ(_Qo>X{}r36|C z9om7Y6J9yw<)z%S6?^zn)`HJ=Qz|A-R6>FS*dgggv4PUEDw*@?lx#kP>s^b&0?Z?M z#wgo}P)k-q%AkJ8d7H;P&^OC4`#LQ#u{Rr*=0P##%}*UnPa^pAogY@ZHq0HQG6zfk zr7#;0CfCCSLEKHk=Ni)8 zO%K`K-TN32P6yJUIajDwHT3t;u-jl{Tg+zeCf10)p1yr{ucR(~(A;MpJ6*1?icpJD zxwP!?RY%fzF$E3HWgc8xQSsLyVz8Jn>EgE54M^U)ofHSMC13LV#P=(LUjVmI@GL>P z%_|Wvu;C(g>+1)Q_pO3FN^hcA6C`G(9td}dXz*U|QMiys=hNj@Q2JCU{T>nVWH3db z3*?uag*hNrk59^JjNvfsUEJ6ZPv9^ypYDrc6qlEO2D0Ag%xWiDe80oOuut|TykAAR zFN!vQ2zt((tecv^xz1tVixoz;fbnK5zvOVExG`DCHkHFrl5j)7emjKS${_1Umc(zH z4mD9xi>PCA=%c|Dp%P9dEllA8kFM!yKTUO>GSi(-tdg0@?8l!-Y<6fd&iAq5jp{3MwBnW>$9_H*%aCY(Xi0cW`P-G7XE9P%7B@X^aXa zz#jvHTgJ7A_s}r^{Q87zG?<+5MKy&lO4w~58_EYJ_}fG=QiQR#wr*)@dHD{8+Dt$@ z>|W7t?a(yCGTz#H&+#Ukg_Q%Y>z%7qk3Ls-vc5fbV(!XgIo*k%bsd^4r3zh}$W-RB zS+z6lT_q3EK3LLSx@lPCY51nJ5z3=AH2r(BfEfK9!-6~KRE?YV0_yI$P`vKEroj2< zs!>xW^*VXuQiDh7FRKq`uIc#ZY?t8mbR!;!!T_PYutvG3Pm_hMu{?)M6hEG~8+sB? zc=NjIkn`Ep%|Q_Z{r#cJ31jSh*GOlxyOtob@l!TUxWZyC5r|*7PoC(wp1lzjy{GMZ zh{kC=_zQ?xkea$W4$y1TN`C|35Ho0w6F9aoh{i2Hl`ek(Hez|XL-H4O4x9cuwOWPQ zx8#MnxzLz`7l&^b-j0@xge=npE!9ti2bIJcAB|du9FOIV`8-0w4-5^>TxJ|oIp|}$ z!67kPMl@mQbT2;Lohwg^ElT=ZJpn03)1=#+wr6OP4<+@m(CNuoyJ2&~hTt}(d>`@~ zDAcO=nb0a}A2q=}xHF)hsorm&>Il@Ro!uHfB?U@S+)n;zTEv3Z+5W7UT5GjT zvC!@)Ivi&8Dgz+7Y_0PUU~TO`PZoB&@@1F>3aXWrofMRAMfJYV%Xb)TjvqY+(_n8j zG)SCmHahpj$-DN3^5*MP7L4nC)kPYcI*Oebp*Zg*_IbH}2T-y|0JER{ca z&DWTXVDk4BG=D7rx+G?;lX=Fv+maO-$!oz9&r%lpj&23qmI)qhWX&xVtt-XxG;AA9 zB0^1-KbKp0W1ypY(iTnI-Wf2br*@Ow6N!^RQklYUFEOFp zGddcr)#z+}ye>WOyu-X=$H>Si7Dm$39Z5wf;J^gzzAi9^zp}H3fkOZ)1_7@Xg_oBX zlV)A?Sb=i6UI%6@tM*`)WZcEch-|IH9#NX>(L)FrU7$(fVv+DhfHhn0e(P-1A0KH5 zKNWog$qK^D6iwhr7H~PMy*N5MVG;uZ)IKrRU7pp_ciG$8d+F)Au7Y2AfqD@Q2J#-- zu|60K7=i*%n>o!w3#fr0ybes5DtA-t>fai1%6W&Z1eA5)x7Kl)@-A-VSa#;@uKs;@ zU#ltJM10<5EcZCO^j_*%<_khB^eS_Wu81bhPZAQp>}rET2t?Qcp@e;5Beb~MF0IyOl+$fNJ;H1KPui%#PT4h#%f+uG{)$Fl?Z5gmeq6K>ZxTi;06 z)6#;1Aue8wfp%z=!f!TJ>aEuiyn}lVQnntZcm(5q?X1aMHeV@w=}pBV&v$Q2Hp`Zf z4GRw#p-8G6l^BHWU?tqCQ6dJv?#8=VGt;~;Rz*#%%&r5Ih{rr1$M8jPP{`s{<(n@o zcZ32i7$hkgH6Z!;?#oFoxO7&OG>cvPsTMP4@_fUA1wGmDI{S2WKs$o6L&8dElfk-_ zeYU}Ru12bPY6MjDq6B2!S2P2IH->&hM}M5$djbJU3a`udeaOSZLm%IH+Qt4?XSrJr=~hO&ztkh|LUG2PXY5|7{73ux^#NShq#UH!L-=lFPyH60b9^oh(4fB zOUrD!DipY?Ed?k!pY6I%NB#%1g{EMH8xI~Qr-DTEfdL58XXoZp3*1OW!0H#v5^B86 zTx!$4(19#Q3h;!gSLn1Qa@m>9#2PXx5C68-cVjF6vxM5@de`IM3A?s82{z6v>(_{P z62G?Z!#^I`g^x%R3z$(rg2&+o!!>dwe49!8rzmjPW6%G{2WgLY2Tp$$bUNu}WNwb+ z+1K@^0*xaztM|xgB=+^Uzc1+GLZDA?&-V3Z16rWxFk5wrxPtDAv{<7$1S3A8HRG}z zkbTE@%4Uad-)`wvd*uBMkWuaOaF!&}Y4F=`FK%vr1gd+v=29%PcIsdCDzXO>|Wq@*)d zhFd{+ufGky#}Hv`@?>VR`t5k}7b%l+3i4g1?UQPqxbNNgW58$}L#Dzy&G_S<74{%=50{o6N*Z{N0UT{e)2%$~CL)*B(e zy3_5vrlT@nZ`#L1f^1s=Hp!`Hh!_J-?00pwN4~F)sj+`n)*7z1Wkwc_@}0G)()L1H zY^nOvqTyqVcMXkc7ZQ)r zQGv)Sdm0J~G*5_$uNA8a@$f#_*c`cO%a)eDthkvwyj0NBtNHCAa`;R0RqAW|{O>J~ z7WZUQRO%erXR0kaPOj{GUw`w_kE8@zVpMW+NO0b(b;QwB@Lk+cugC{6vH5C+twze$ zHu9@3UM8kpUH2upPy+j9*VXCCNY2LSVdn1q;RU|stnFI1p}!1LS>f~`9kNReiYbu4 z486vI{DyXYks%XF-ZCvwER>2Ns^pYHn5-*4i{hC7WIA@Ji4-Sw%JT%;67qqE;eLRz z(sZYm74ad%ixkQL!cUGmEum%0xz^s^pbveqe}BqR;SE1(?(Fo#Gadg^=VXhl8eeLA ztc}?3&|jb9Ij}af6T2Bz6Jvq$WyBNWzIL!tNr{4rikKNEiaQD%%-twbe}L?_?CTv9 zSoIu&#I!GkQ$Z=?I*jQ#J~7f-RqXx=Y?j17IG=lGCiPmmMC0C1ouv#F)tQLCl@?`L zRMs43VsiYx7)g8x{pZ{VKs2bSS?_*LLc;$$9H_M4y^EjW6H6}XnY@$Z`8uwK!A0y6 zxla<%4f8?8dpll_i&dP=>jjo&um>WAb|5iNM~ec=&{dSkrN42u7h*Xc@^oiHA=_ck zj7u$Y2~O^0yEubQ$_sD^P+lngHph?VZM+(%l*Ac=5V0MeV7fJAk&$63oU9UQ<9K&% zPsdG*?kNL5&l8g;~_=Po&!IPDkncW3&D45-{1hyD^o3 z$sacLNhQ=j@U#>m@6?64ox2%%1LmjuxJU6rL?vEP05K1NQgtyq0h4NzM#~}^_5&zG z&%@GEWMiZ19SrNw32~pc#Pckyt`4SPqEjAO#RSF`s>->#3IZio<{UmfMgd^5Q{4#s z)O`|zbafsJHZZUEWK&;rOXsP>nlNdJ@B09@qRezdrjy4+wBs@HS5S?ua`cFN`qXvm z_es}P-_LIeycQ29qod`g9Wey)8Q-dhkK6SP**A4%7K}3Eb72;ZniIvo z{=vbpHPdlqj{sMlu5i3QTjzA_i|Fs{==cj9(%}9=czHSJ4!4COo1@?SL4Kc4g2>Y1 z=1F(o*{+BWQ17IC>19he4u8z?(W{p6nvSz(tDQ2a#3z*--Rg0hYxbn;*H1PMVGyem zZ;yW){1qr7IDWOVg8TLF3G){g?E;)QdJ+SCW0|z|n*`1wCAN#xxDG|vEFzY$OM=s> zxC)a~?6wARPJ@G-=%d2^5*U*V52E|2{wwYM$3`~?RIuBs5H&8M;YL&P<>h^?NRq3b zj|@tMfx-I1vCNvED)Ub_r?YsZi7H!MHt8Wv7dMO`1M4X>WK7`FCo73Al7I=Lj+mPc zY@bFmpAtpU%IN=X%~EtL;!eXN)FoLm22$Z@-q`)~WM9J9Is~^OB%-%<1CAR-=edgM z=z$4>#uSWv{QPC(UH;$33iNeTg)EviA3Rv>Zt><75GZ#ap#=ic2f8wV+MM#$^ie@s zvTqMa;pe;kxldPA>YdvpmC>)y;W?mwU#Qi1m37BNBH$1LYUuJ6U*$j%^t^SqIuXw> zaze`^WkI!Dd zdGctGn_C=C(?P}U@gg)(&cCmuUDCH2I6@$-_W-is&J)X=R6WvUZfrD^*mV^1s0WC7 zB7>KKsP1o+`-fT35|qwce#ZkgI27T@t}p%v?wty`pA36* z%CjBCX)M#=|2V^el=a)hVTNL$M4@`M7i(AO^0f(WjhjF;=345&n7O#PcolpeS@NqQ z_)#S_RaUrA6A3DJpDR?@Q`!NCcR(IOz~_^O&tx-0c`iIpA1Wr027-`q@L zvuc$kF(!dUGK(WnU*T^=b|BFH-RTjEO5-*T%Y9%b;r>F%b=Vbt+p+wikI!|J;P2nR z&*>w=!z?S=EmoYYmRiwd(u4={6mq^!m94=B$w^40_GfEfsaG)pIK`tlY$fAEu;WGw zbaMeFqL3rw_RCP)X$=pc8XbUL*q;%7x3owza`RFqz__EYz>l2(;<_vp;biM!w4aQo znE#s4)9H~>1Y=yiH5nZpUA|I5>;7C*LhHDZg+VPkKfeq@R_d7H!f_HD~*&x+TAy9ceSKui{+dxp(g zkVcJF;5-~hXF*G3+*}3o&E1WY$$S~R2%;k1W=&!wUwWE?c7LA_C0_6lV|jVya;;jG zm!JQ)eV+_JY$WNELg%qXT-eZ!8y%o@wzhhJ&^`#XF3ew=Z)GJUTBoNIHEL~2jfcnt z1qE}D&PlhU=w$Di45;7U-hy={vcJC%>h?D`H#M%8938>GnjnPRmV&`COn{rRkq^ed0uJ(~oU_ry<8+ZTdu&Qw6)L$6B}n z(R~*C;oACudQJU;P>Z1CFIavGkEI3a=ckdzAEDSBfMe2v6r*cV$&%q5tqt{6BZwu} zdQDc4@HhzMFb$^;jS|~9Ohu!99Aj5vTKHKASzu~Qi!q;=s z+>vz2#Z|VZT}W7%5zw7SOSH-H@$nP6&3kKYH{tPQ3fWZ8x*4CKY%<(WWCU2-kvbT4dzwNb)fPg4MW*PY0*M$o| zDoyWn4!X$8T-QXu6kmlppPGsc^J{8qPF5P@uE6d9Y5Y-mQy_krMq7V7ns7_hGcWMr zlJ3*3J0Pkg4RyID>ZSn2n%@(Y!W9ff!IZ@${zzmIjA2;&1nOu9Gw`8d8pZ^kbLF$$ zd5q>uTNIqiK^D!0*1>sTXQ2l>Lz3~}FD+(kI;J>LhB{mv?CgG-&s1$?H=fVCyqTt# zTJS&!0dWUKXCRRa(tvQE=2V%Tojo`^%a+fQxVbqVGMjH8WMySlt1|lpurq+vVS}Q5 z#kaAb+1e2nEQhUOU+}Y|m2eTF>L{SaN54`)Ru{k_pQlt}0G|pe0f9l8mLfh-(3LYuEu%=9mw`Nfbbg4m0R(>p4}d7k!09S{p*Q^j#@2haXf8mQVoHcEp&kT z*u_?yYJ*nR(Hu^{zFm{Oo!w7M7#ULAhTZWG(2;v|Zbq);Ds%6V- z5mJK4jhf|i`WRUBs)4@m$1;&QzV6v9No6)r$dB>X~`Ho{8>TZ?RKQhKlL# z{t8)Mc}DgvrQDcBXViElS2G8FjWN0n1o41E1C39;KC-g4ZR_vHGB!4bfQtUU06e7$ z1L=(cJEzg)N(dG|Kul!D@|8l5W3KD9jRD;ivUdeH>lzq9G8G`4tO0h_I^h|OgpQ$J z&+?=HumFZg(#L*#bO`{E5ZALQV}RiS$XoQyn`So{e1CTa0AC=hZUNyWXbgzNbTpI! z`UW{TIQWb#D9;ORT|eHP*%3FBVzN|}G)2TEC;!;80VNu869LJ%LJHmsbn?fWkKSwz z(_EU3eolOC)>ahp1d_;Q*$>?N16~g=ked_4X;zQ>M^ZI4Bf9tP0J85?S7>e)iUnd*3&O7tyEZQ6x@2Vv`E%6VQIB`z|NqQuVY3e;JBF6{=Td1e3eWeW7T$ph0Xq` z-(SsGXA!`HgdHw$SYP`q==FX_d;4!__`)MxO7hN>Ui;gtQ($bS7vLgKF#J!XlQ?(I z@c|paRtGw%kG23#O8~9NNTqXl_!$^;P})NRoS@JHs0gd0)t>8dq1m~SD}8JNHcyAW zX_SKrZI3135&*gt+O?W?eI%;+WO(cPh-hCZvD|p592(AwdgURSE{e7}Q-T4h+!<{j zV8%e2Y}_scr65x>Upc8|?JirPh3)}nYcMVUkkP6$4I=Y;Mfih9HhX}C; zf(odqfSc~f@RfX}m<^$4mD zARA6<_jwO+SL733zO1%?@*(ve9X|dF!cqgrIoA*fI!hPlV{d!zMhoeMyjz+Bmh?YK z$QX?hSgxFaN`b;`c>p`XFSM;1|Kj&)B2X_t1NAD?Cs|w_pBSuzI^}n7Z?6ggBrn@3 z;RLEe0D|RiA|od5+ncGjc5vvNolOS&7J2AsqL>uO$E__bUO+%6m>4P57YEkA)_y1U zP^JfH>>OCe?f|ijWzp;f#uM^&u8wPPaIo_>AUJphIFHWD>oe(kPe2(-Kw_CxTSEv< z2GZb)XYWKsC(8^UEw~;(cDp)3(E-~5IC4NOz6E?Sc*mbiU_BsA>Qy0t6e$CuGDT68 zjfO@tIQ0TcmA&sEK{-BBr^B+0D15vWMb72FFT|$ecvX6spyRyVw|wvQ;Wd<_3?#D`7Y1AxmMijDm4U10akVr1)FL7)+gF=;h}-+^38le`pj!} z{gkWvR}cUixeEU?L_-??-eqX0fy$JkiXz(B1$ z$r6?-3MWIQmn-81l%LsDLz~JtJA3Jc+2qk|0|KD7fY=SMuIA|o!dn59Gk_M!EG;dk zt1ZI;p9<9MmYJC(fNrhq>;zXN-M2Yc&jUQN;o0sK zK*N5UPOuR1ScHK4dfXiPTd!saVB#^nmy*H)#%&{*wMl2GwIW>?x<4BTE&<>mH#&+Z zE9~Y6NF)-Fj=kJi7A(7j*Cx*(fUGq<+58L0>@w%mZ~V}GDPROA>+Bf;HD?E(PXYD3 zV^E1j{ZpvQ30Q;=^9@dyd({gUz*zx^ixx0`niNi{d8&UU3*G$3E8u^wno2-E9<6H2 z5w>+LlY`xffHF)*%E0G6?0f*Veef1B@A?OJj(Xnl_pg_3n~2fmK{&jgH7eV+$eZ@) z`TGxIPwbeV+{q0U$Tz-7LZ}R+&2azS$&|ISv2KJPfs$+#5W{1(wHTy)y_o=gr`M2w zU6I0T9pv7K+{Mp6ed=*kvXs)(d$zRn6kwNfITq4q4y)bxi|9BFwZL96=zE*4vjI>51y{4vgwry6ySe zkk6HEU?K}j>;S%e1u~M>0%!du5(J@EWxh!H5PwLMbq&g+Z@PN-P8%%@+s*??V} z0TPX^Y&;P7JjA6nhzGi96(PUSLIJ2Ff>9Qlt0-x@+HOi)0EOcb5R74!BqSspUT&lr z$KQFJ?F;Y+<)f?|SdKjU^zi}8vj>gJauJk`R3AQke($V+@-}h!=E;X&JZ}?Un+yv) z9Cm>Zi_-bN`S|gr&=fqpxi=BgBGz-Ayb{@HYwU0~NSy%Pm=^#D%`Z?6G_fcFq=C^8 z6qyjE-uOz`z5ev+Q(m1ElK<>}RG?bmV7AZ@ncMvU-Q)0;w|EGhI<{;%zgT7@Da_np zJY2s=`6rvDVqu0~AI05{#Rmmi=?v&l>dEysW0Oli+5#-^W90WjO;~$j|8(bcVf|+{ z%oqJ#>6tUU9{ZGlHHxN}drr3@1=78)ico*CP*SlR7ITnJ`k;6_udK%oH!`)w;Z%5hHc#5R|{>VBUjKTp$Aj*AT>$8)G_bjyNT(DXFLXvX> z?{h>Tt_Ho-W0@c!cS{&ZG0WyfnKueB2nK*znrUZ zJcENd@faF(tEB5+XX6fiB@1JBoO;guC4@6aRsr^f*|o~TZQWDH)HE0{^>g*m9Lt3! z*~b_=6D2tSzBFMGuw4%UXMu-*Z2@fSx{H|&fSX)F-IA;B2GjsITKs=jsXE$UpIZ?#RzWqy>BG8mmK z<$rP{pD5%Z44{re^>RP{v4Zl$>IM4itDP+z{13rMk;M6IH=+0n1XBP^W*I0}Wnp2# z#31Zx%Xtyp-Iq7fY?zmBfvU${g}H^CLp}4cUo=eb@yUwSG+fX z$Wi~mWXWm6h%tV8Qn1nx6BEB+)|BX5A5eukFiR7?zUb2o87{Ef8V0{<_I{VV1NBXh zn99)5?_Pq{Q$fyW`{tPUal1k@J<^2v366%*eL8?vocjqEXbbN^a>nPjJ*quD4mR&5 zI4(fSZ^t$;dctJ)@8HQ@tp8k4$j}lWMxFNoJUBdjqu)E{USGMbon3opC#|NvcnHx4 zJG<92Rc7h#MQRroGh%@lvR*RbAY(fOhfd_{9n9Skw@`ro=!4@O;sAu|-$uKCpUv?= z2kBtIbZ1G#WB{WA@@hsTx!t{Rd@%U7JX^Z7OkyF+w>-Fy^RZfWWo1Xp(jn&|U{{_# zdD7f&z4E)P>}m+(6|DEWQi$TWF0!|M#xm|O(-#CJpE(^(PQ+kB&c;|c-7Zb~NNf8mL*-m@y zF-Rf|9}C{)v)Np{K?OCER1?p_8zC_78V!z-?LPM&QeImGF_O>$DHBKw&;Fv^*@y@vMb|&*t2AcQJ<~R9@MZJlk#3Kz69zR5&V=l1|sX)dDj(QB6 zeEWaCVLHJB`1q(i}48H~?zv!@fGwKrW!1rG8kU4l#=5CQ)Gjz)kpdV)hbpR0|e zzu!a6Q_8{Kadk~4z~VNWd;?A~4L3B)hPz##m4e)_ysXjX+~(@U1jKwf|E7aA&cD2d zo}jznr|L*{woXJUJ32TiV>nf22+%S-Pmm4$1xYtZnN%vj;kl6s!T~8v2EZ&U#GM;B zRnW49G4dB=l(8Tt0nW)7sAQd#T7P^}84dx*0PqZm*&l zwNqb>TiRdXCThz z1?V3N3JUTV!b(?ol!%A>8=SWQ&5Dfc$6!`}_MG5j+TATU5_#cqlnS5)4VXhza&1F* zB9~;M{XJC6r#5u=OOjI!dX~>d&CxF~Y_1(1%-7l`ZqrdyTkTCtFRv_jr_kXia2QJ1 z&Jpw6)Dk}n%29SGGdy-&zMh4V53ETl0}+TqR?89`!P3rOAtff31gW(2LbP(hmrxMV z0lWifi`W48Y8@Qh&zqS72jtw{-CuwG;|T<^Mz%D|U7v?TiVGmJt1_QS)B>ti!o*1Y zx2pGn&%);b=E3)Mw#GWK@81#d)hzik#aHgBJaFw9;QsLvxo+cs{?zGh(n@4dVl357 z!FdrI3#bh*nUtA>woS|5zdt5CG-|sVIDvEe%sMsnBuykyiOYambMx$_$Wn>`9prd} zS$7l%x5j;s78U)p6TWQdKGTr0xk;eGAz*vj`3m`6QzM2#zM?e=Dd|+H8xI=hy8ix6 znw7_sk3jE{$vG1WXd;)+8}68@Kc@iuPN%alu*P^m)Y0+262mwsAPG1+8mxJ=H33)e zMNLEVl#Hz9hoP-wz1&>fw&M(_Ac3hIK|KS6SRfD^z%=GS;qyq+4@8?eeyPAla)1*E zVC^1=`g-Gsb|N=(fMAABi)%7}eRxnzuk}&8iJ7_iq=(}p7n}=@|E;;Vj*2?|+C>LJ zP$>}=lu}R-k?uAKMM6Z84k_vGR8&AfM34@ZlJ4#XDe3Ocp=)5cd&J-So^|g!cb&7= z`Qyx5;tVs)%%}E#_Vet$zdC(lZV`u^qOuWYDJQ6KYc7XUA_~_~cD*5`U7DeHk&#T% zf^KFh-HEGSQGDfi9*)*mH`<;3*DGy53!_{SP{Q-`QK^~B*cPdqGMA?zXJhW(guZO7 zz|(Q4cn>QK0h<_DK;C=V}h~`UEp*8MRQn8+z0Vr3`jFf*%)jL1ZLonDTu%% zPyotfLSWb#AVdv^3z#tn2&~!#yu&M)BlMLAGJ%}jHj)>2P|OkF{%$6s`C3+L+?!4g zPb@99(g&dYLA6oaSH0Y1 z`P|=3wkFiOL68b6>f__He{jIA(?9@tu|9&^_?L1nJ)aRINsM#QT+ksu)2?jHs{*>{r&Tml{dbMD_7VM0z5A&;tT4njfPll zdO7#o1f<{7Rae`?a?!G_Uxma3cGXIy4O;z7>)FjS-`zZi{0_BgBYDsgrMu~GM)I&; zrYLgs3ffHE!6dLx9_~aYZa#H;h2V4E6}tWO3g^r#7PM!(?!PjW z?+awV{(!Xx6b8eMx_0d5&I)_GtSn-roZ`a$%nrPD!_cu8nHyJi7+f0bXe7H5O@B*s zH0bIqnTqI3MAScZ*B@%#fI)W`c4&RNa#yZU?l5dk1S-X`E|QYgmS4TnViH=sHT8h0Lk_1At@`ns~0ilq~YDZsJ*ywUVD;sRrmX;0jgn3MWXr=aSRZx zDR(YSU(Gu#I*WyV>vA%xG}oc}5YiYI`rtd~Ox4;mDzUkL6y;GZFoCIF2IjCk zNAIbAM~n<;mH9@!KY^}K#=WDe6z{?i6BX48oGMU{^N^4R82=qmxZw>9x;7<$J;f$D zDBg5@`vr@(YHC8LUF!Ii;nSfuL+h8fm?y?=gtb2aA5R-%Y-< zvT|;n80Y)sFk>#k>Zog#$*8mT!GabuD1gofEWx}a2(|^e3rBjbxnB^i+qQ= z+m(TAY|v_e`+5(&-M{=zoU;jj<6%ItLNEioGj^8UstUMFFMoeRpt9$nEHKZo8yEJ# zjoI@x^X<_sS@UHev12Ti#4hFFLaz?ugkl%!{dh1FMoSk*zB_sY2nMxe#c~ed@McE$ z#u8sAY)0|9MHGv&;!KPbk)q#)#`wi>jWe4BsMp^k^7Fb}05#Ij(I)j<1hJUh% zklath-Q9YB?|?b!Dl)`I81O zVP5v2BSsiHHLx)w2Xh%fK)D5#z8JOuI85t>KQ}STN`=b-6hv^_D*Ohf`?ORutOfQ{ zjHb)O6A?}8+21d%=6CgXN(Uil&@K$X`h96v9}ZuVrraalCE6`h&ZeAhlu2;%FI@Pu zF&(-~4#GkF!I#XunU2_TF3-S7)g`O}^NNGSL1SPjp~BeQDH=jy0{8a6u-RMa!G}+? zN~PKxI-#Y-#T<4=>n~<^D0+CDkKcUX=LF*+0Qp(TWE)W5X>*(>tS)MLG9X|*C)fKR zsFJ#&2M2TNPP>iJZZhy@pt@&PiTNF;2r6UwC4&k@XO?=GjmVoX_M}5U2eBEFL2F%| zsQ|jR-(_V&y=E$lua$SIW|R9dCXru5_+(G{D!;6I;+J%7_$0| z&IP)MjSngq;b2+}^nwFRQMc zJWTCNKVNJuNqBRU)j7_^k-J8-C%uIXjDPaY7+StNO8#FST=SENlW)WLqs+T;o!-$O z=-(VMxj<|pk*;FoO(2G`e;N-&31~h;{AzPBL(2V8*8xNbAB9ASAKro7`-=pFZf5j| zaZf0O$f5KW@)u%VcEhiWa|j(%ddG80r>X{eH>K+Ic#{xZ<695V+{DEurI(uvG8^sT z16=%K$%BD*^AkCzRXig(`Dj%be?q2hCbe*wfMd?}zr8S^oyj|&=?3% z_H0h~J^TE@RBx{h2GKCczIb%nL#8R!q-%d;8`+KLK~FU{TN^_Y9+JN}9wV_D@tW)U zVs|Q8ocsO*LZ}zUR6%AMu`TqM7BZ6O9p^ks5m?2unHpt5VzW6^Ate0Ce|!We3YDON zubTB~XcX8SGOeuz2p2x(f8bWU+V=(L)?2to)JJ4U$bRU(%?l zjqa0>JP}t2|KwfvdTp%SH>p$*p}mKko|yP90jStUzK|BajbF7E+p+5S$=_A~*V_xu z>0^n#1x)cW<}1U$`+q$brtW|8KIZ3X7ovZ6ZvAaVfN4(AT*Y-m`SjUaFZnPDp?`Z; z$n*UTd`{mA^Aq#hK+(VLEHG0-AdUT1{Iti<^ADKXxqlz)>9u&D5H=HLb}!)l^#GW= zr_GEocd(lOJxZ>5``5x^EKJQ?%uh^{vOAQ2Ta{rR9{K#|m%3WlF$MaVH~M;)5>s_^ z+R*Gj&o|N76aUxn{)f@RvBQj<`oBi?cWVAKhQCAl@8A5JILCYcHJJa&3o)|+x4#q8 z__tjA|L28&pZfRKH=!(gW@hHatXzq;#e6#zchu=P%A{Bh4kj1ZWJguF&-+7hX-SfCPRf3ouLOUWm zMBTjXEq?r!^88Q)>b{=@U2aA6=Z+de;P@dDJ>8d>NiT~pv!2(F4j=~2AWG0F#9Yu# z#^wc=)>wMe8!is#eQ5=@Fg8aH{|GL5?7vN~;P}SBPLN6)%{vdKw4Fw9*?%TW|;IeQLD$l37 z*G7BE|6{K~^_3X?gh6(vTLk|5yMg{c4`;H|z|e1&MGINJ;}<R9{R<)b=~5A z?_H);8Oil6*;~jQI@TrWZOs{-Yqpr$W>6B-V8eVktKU89H7M$b5z}0dTF;B&%W19F7s~M+QLqIP1nY^>ZtHavj-^_7pbqd`}uS$^SCo^ zSZ+AXxA?=`z%YyL4g}aCPlr+deGIm_fQ9REDq9U$`KX=a8?UnT{v=OoREiPs)gVmFEk!Dlzk z7ox~I7yIkW@XemP3fLYm1^d5bYKa_Q{DkQ|*5q3=R*mJ%hJQx3U6!wuFV)gRUDYn| z%a{B4CB1DdOOMRF)}ORw;NXq@oUhWbSr}vQEh(@o;Bu;DPtaf$AEq}AW7ihw7TEW8yJ1IyE@Q9G`>1gW;Y$)U+Fy0g0f%J@j}|MXciqkbS;1(@0yK!1?7^$ zqVayC8M6;N7Tm-7Q(`=9V@qnZzcyUtToe=)-0F9pQ{z|1HBzeD&SS}e9Buq0K#cs1 z$_xeGO`6}$#A(0Y6k16=`L&v4pJP>hL0>vbR?Q?Txy-J1h#*#|CM~k-w>Z;&BJx@8 z4zZd*Q`iq3rF`AnPkf#vZhqB!%5HL0sPEcI*1G?p^O0n@#ysT7l{4H z-~BA=*d8E{y);sM@`^%5N#$9B;uS?^jrt5`!SlrUjrF}B@}Ksz#rJC|2p8TnGZ8KC z-ab4E-*S0YSUgxDixb6h_G^%&Tvy^oqkc<^Q>Ff{)7p}wuGQ~4RDMMGw+_`Ue1p%i z`b%MqBLOAmclTNsnR#hNpvC&lJ;NNzS>(zbAtpca23 zU~qoewP0hmP;(MyBz)RHX?yEofyv0j_L1WK*N@G+56vrHzJ;@4vD5W3@pH_Xs&%Yd z80~y$K7P};{>bIf%#G<^H2hh{qEzF?9jwb_Upeh%5h*<>?<9$ccab97x`8X4=TXB>oieS3RGQX0X>le-c`R8rlOIMu&KIC4_ zGC@CgDnLc~STls5c{p~)@fv(rEZ#F=Y%VS-$649g`rF&=pa~{ic!wYgr^JjT5Rk_5 z9wFlR<8C9JS0l~5^5UgKZBmTa4kT0WGf_?+E~0*Qlo9ruCv!`$GqE0u)DX`KE#ZRK z%|{%rBF3Q^?D%*ZV;T;Q&Xz}i*t^fJ?X>iVl{&>3Dn?_ru;H4nNLv3pCbw7nZW9)X zEYigTZPB8{#ar`}8VluIdmYTa6t)!owG9n(KByCmV%VAR(0R&0R#r-X-dr#{KbISY zE6l?4dAQIFdm@l-m@|JmZs$029OrpO0_?7XfeaxqDm|uZ0XldAiNzzZflK_CE%{os z9WD|rais*!nTW$%(lN3JveJx*4IT^=DI!&TRj=|QI7*8-!1jU}oXT|~eS|s+*g^3? z*Fa;ZSnH&Y2iGU7x_*DcyxCs+DA(SWcBIz`5!UmX2MO1|aRcNod(JfnRT61?s=T~s zJ-v*2o`(PA*f#s6%=;}bZ*7Ra_iP$$4H{dMvsD#T&S15NtWhqF#8lH7Z^+FncIZEc zQ_9Q+h_JDA)6FghHk%0U#h}b)^^aiaD_Qo-!br#xb$cOkw~M?jlalo^Q!~bIiYO}i@VS)+6kx` z*syS}w6zT%fSqm)DK07r!^M^qon32+6&IHXM@J7bZ{a%<&{*wR;7uHUTNW4;KlQ$? zLp-)8Zk{)fE3dB7Y;Eg>N>W!`bv;2_ADEE2Ro?&ZenW4}f%#=21r&L769}REk7Er> z1NvBxv@ABabPdc;2J~3M)e%J}kH4RSyFhnwX=#&)*}a+?nG?I#V8%9qW(l2$SwJKw zO%e3X5oyY|E2Ezi(p)1kEcsLJxQgpG&+@!TNgHfN*T`r4u@RR)yN?k1C;QeV z6}_>%rqt}6?BJlfe>i)+Xrb9Xk$e1@Es|vM$F$dHVM|m5nte|V(b~t>6Hw7!5>^B2&i$y<=Z`dG{*a!C?JAArHD@5Cy{SQMT+`>2TLDAIL zgSx(ZhmJQ9FO6Q-3uYcfd#X8>$&mdiEm+}DwOcHsakgJ^or25J$EyuUj0f&Q}DTZ+p~(dRT(;N(8))&D#6_8-wN4`PWyd78|&wMQ3tDw7(#*3qdQ2%m0V znTtsI99kG^_6$2GBhhb0(ir&pb0aIR&%u4P&vp! zxD30Ki~5Iu>R6JIrr0A@7hQQcEH{MpB`mCI&b9(R+SsmhExMmVRZtZ^3(ua0O})s= z>w`jt)j=@+7Tz==b$`ObO*lb`_rj$754jIakM%{;_OK`v&2G%torC=uwm>dRE!@oO z>eZDyI?u;1$bQ^^Etv7uTsB$TOXH+gKd#+Kc4@TsC5l&&fDj*^XF3!SP@2cndkk*{ z7XLQ=VE{Y!V7XP8zOO(L!)z{pWnMlC|ZcHamf^h^`?c-BWu^tdYoBp zGMIH1zSBp4ijXE>zVh<73chAFE~~+}+<_4R~|-KtZ9re0VPlX3Y~XHUWBn z&9Fw%>`y=G24lc)w@z;U;;zAk$DoAKdCKpJiqG(?7Q65>NAe~qg25b<_bM2al9rjb(#HapdB(#rkJhF z#v8z_UhmIu`ef^wuN*u9UnG_ouRjB;XsNv@Id|D?3a+ z_15Q-vBP`;lQ^|BC$%v8>~6nF)gZ7@mC;_{> zy*JeDiR~lu-bj_o4Np%kZPu;Aw)kNlDx$CMjqyKk>TZk(j~Jeq3FaPB5buZCx~f#V zP}Lr~KXOqM$}r1#|KTHMvq(qq4))-}sSDn5BlK`IcAH#D9uMbOlFZ$}F5Yz5+F3XW zKhqss-%!T^&<&Q3``e)4z?R{-8JAI?cSJ&JWbcV#Cs+3kGmlynQFo~hD{T#(Mcb6b ze!24u;L0b~?zarqqU22CAXthJDyoG`NmrjbhmMb)CtY!q69fL!N-D}EcD$D>w?e&r zKGSPfyCh~jk^|nS*k&xig91y}&N@M9@ipiv@y?=l%Q+KdX`0w^Xzwvjhe-w`THmL zS)wc7gZ~x(MCNF;@AyL6hTs*8#++G`x=@Zm+_TPyiR?Gw$ZzK4JSPP*L^wlvgc?P- zGYzKr!c}Im>PDf-Jwr%ummUqG&No$%f{HpB?TDCFk&NL#xlOOwG*f&Jx2>$~oaS7! zatoj43db~XCNaeD3!ikk4G9h?lu4gIM*Qo$944^4@HjHV^vcM#76p6K(ky2s5GDhai^-nPW|5_Ki+7RzM*50=fBa*gpN1@3kn+HJVDC8J*7iD9vs-QMTgy|zTc@i&-UMcM&a-l+QpecfY4-E_ zo{O}!C$z#iG7!W6&!YL$-l_kK&&~`gC!fbO&AdoP(OBF)?|qJ#!C*~ohAB+W|CC4A zd>sMaOeB>z@vgmPoAi&LXOnmAsH7@2hD-)AQS$yVPHqm-sNnM?dG+pP7G?nwaFI^J zn@REnG;C4c&XaPZ3~>7BJ7T}iuoycNGJN%2MV~B^`?oZG8C(Gwt2N0>#^eYq7DR)8t#;{94XM#8bxXdPd zQZER^aH^KCjB6ccaxNY|FsMO($gQ(ywA;1QAEpvC7K&6fooPbT5m58LhrWZ8_G`33 zbF%cgkxYov!nG-{YC3X`CF8p}MOWihD>9-gFgxATkS zmuH>v@YZm~wL1!gaSrgN7G@rIeyzXrDp@VU9s|pC_xeU5r+XrB)U%(dBG72$A+QDo zCIb(_M}&{6CCg_Dj5%6I_Co)y1_OWAx4hU*Gb>`9U&S5cYAECgb!cg?y>%n~9<(7r-+O50WwA8C2=Jo?T;f81KJf#r2PS)?vZ;ll9O|vu zY9$krO{*8d@6XG|PLC{FtCiaH<{gDDZXNu3@bun2<~uJ7E(2>3_heQ=jn5ftU+2q4 zk+x2_fYXI?!QI#MbJ0L<1f8#Kw5~^Tz+2GK3q<^Cy3_4k*LZsZ_#FW~V@ve5vQ!8& zOEl@_md4KZzDH~Tpu#XO4d-m(i0}-QvuXCm< z&l1(HA%OR(mD5S&0P2P7c!CO%(w8A2=72*oNrHg=@F!`DEI55#;E{wI;r)DpUg*Lx zRhn5b+g3P+;Tw6<)Z|`2Jab&JXznBzutMD$$s@;5=zZ=lQ7R1;9({d%b@dGumr<(a z8iWdax1TaGeG0zw5J*Ft^ir!yD_HKG$Ua`COvR+@7=p2(cUgaSFvo!NEVhR^gG*@2 z(~dYm{g}x8ixt3Cp?8$ULRdxn6YXNZ-O`{)|5>Kbfm`0vHhb@~BA(X#92J0#Cohv! zMwj4ov$qPP5#&Ms??1lJS(yI-zrlL;!$`d0L`dVmza_X?pMZeI(b`cVE(G9>0CiS& z_Kjj`_6TlO#oYeSvf1A)F$gEOr7i*e`AvF0a!I z5u$4LplSDtN_T2q;|)Ko`mUOhe@w+B!u;on^QG6f;_^a#$T&JF39>&aFyQOOUKN#)Hp+PE80XjnZ#^Kk)( zERaABLvVL7!ch4Y40Iq?k)%=yXKo2%<+aSV#5u%EEMCih`f8(n`S`i(n9GMvpgTPb z+9@wHy=>jq1XJNtME2P~eP?_KT|tGi*t6h;MUJ0|??K74(EuWn9`&FuA$9TUZj=pQ zLO^k0#Hum4;ns&;<$u^->%3+J1EA@Kx>DiAt5B+K8q%gIWii1VJp=alJ3VmrC14J5 zT}3>)8|64{M%Z%sQCLRh>UbXEr21hc4MH{$p9%6HzpF$qvCbG+H_bptrgw&wc&;K} z1gjx~xpogAxrtJ7bsD<8O^|%V`l4c=J1b?8ezUZg!~4PRI2w%=(WP?5HqZzY4WH3O zu(=3Pyh;%2EP>4i(Pz?7e^%)a8>dQ7!--9B0?OzXj&3UmWx!*4S8G*`bv|Gy@z!++ zfs8q-6#a{V^NS;cxoJuTerbck4u!p_4pUJ(hy%Hw_E}6do&WmvYta=4-%(Zj?5R*a zzeA^6vMlX>VM6Z;fyd0x2rY!m)#~iqu3aiH8~J^}InVDjajvo*_3a5Aedly)N3vR+ zRRmJdxJ!E1WV|-Nr5~{UjXO8IIc7DSm(Uq%dGFemd8{E6zQu6c5;(#!mt~pun4@U% zTr#Vrg(u*CyaRlbloqFsxb*Mh?NQ!ZF}nldBw!WdM(NMcwK#XKzgM?8;={C8K(1pt z>uRZe6;mkL?q?!QbOqgT;IQQwx5&Ct?$C|P98x38#(*B}083-FT_sLr&o*Uzn1X%!t` zck3rD9pjFZVcC|Gjx-O4?e=ApRTCdSZ`}jd7QP%LTP=fYKy*13SfAj#s-O53^sNu3 z^zJd=<8z-A0zlqSN>grd{g;aa@}^rjI}H?{|3pe!uS~G(`^C!UDk39sc~6Fa($Xpm z!4-5Loysq`)3KFPmq-o*($y-WLfRq~(xlJNnW`O)?9!!QbAV=(O;Lj%9@gV0Er(+R ze`ulA@AeMcGdnA<3PAEV)SJ$nKrC+F-Rtwnt6 z1x62IiX*p8zvNbKUy9kg-*ielcp$S$MMhQl)?^|p<~hY%JDFhn87xY z?pm+!dRwisqYbu}EmOGbTJ+zk^ij{gKYpOV8w(Kv(Hg&2!3cbZ+Zs%U7l|Q9roV} zC$L+8B~tkBf0Q!+cOM@3Vq`ShfO+6C<>lq&(m_R(jN79S&DT&fp?o@jEahoWF|{^{ zeqPWVJt4SqWa_1?4Az8EF(piv{GT$?Ux#GCI6+EEDy(zc#JVuOWe0tcGry+^??YY^ zssJhTijU9!kW#1Onty&eAx!~lJ;5YsCjJ)fns}Dkpb7|J%?8IMBxDq*nw9aC`qcGG zw;*aw*9=mnQ&1Y)t8-sY$lB>l7D!PjyOo5&Mx;XK6IaCASaD0ms&jXKdY5^y{#b)OeHzgM#a!?gw2-8RfQ$)Hg@FEkl8c711KTTNTM63;Y!L%dXr``xEaoO%W#UQFaS_#JKM0vY4VXXfYr`5?%k^g; z_rsl7-Gj@(kTio@>2r>_5u9q~M`E_o@N3`|fX~L(+Wo|@yVcqb+!;8EFN@^)fZPzs zUI(wL$On)OzHV2Ki+GWu5&nPX%`#gPX8eWid*1M!=P>Yrk6CYy`x;K)i0XVrN9}RvZCue$_ z1tWQStx+8quDm$PL~&XVb|h+Lxz!6a5K=svk5M16a-mq>GrdJG@X=Ic*ut`{_2Y5m z9hgYOIPoD|P*Rb@e3kVm@6B~%yY%W#Mhq+Wy2O{wYUz%Ot~s` za2fB2K!s1o2(GbRW}IEEz(|o*wBA-|PTk%sA`KDg-l1L$@6}D^bA87+V(4}ANIiIe zKM)zRwpz`AOm2QPS}_AmA;N{%l25&I9|vOmTl9%5-Nv&mIoc=mW_Y9YQyT9Vk6)Q- z%CsGFH_e6n1I3gLPvQEL6I2Dui&`<=@CLD!&2UUt5vlZuo8u{+BW zAo$L-$9jdgxHa_k@wAnIdIU2UWp-SugVZ9v58Cgq7wNB4O01LG>w$!Uu+8A^@<=NF z)vF=;J1~j4udA)bj@N~|9nJ${1ujGE+5L-=issV!tM)1tl7MBh^KyQw!o?H!I-T7Q zwi+87yDLJFSaPrsrflg3VqDhRk8dAN=B59zuYKOj{4D-9RLQc2(-dc1iD6F9N8z{y!GX@PVgTMHCDd_pP zq#oN_+iNtFH)w?tQriHWw3UoP#k!^S=9Sh^r&uZ~basciv9ZBbt+ce~YbNCW4L0SO z5VnT0s-YzVo}|js1c4eE(yCljhiv3T4`*YJ5^Ou_a-?nH5bWF+Y`(P2n=aQ)Jm&aA^rZI2)-UHD+B56F&74fN121t= zmkVB^AdSozjG%s*E^C|8n=A|FP?1HgIxkz4ePQcus|LFy!%y#Xe=Y9FH<$EV2uWf} zZl;stx@)=pkZbZf!FL1I%n3**{I|}}I3V^?lt+2*egkdqBiOw}r%I9NO0DBhwydr5 zqRx;kP4xk^nlolN&!!%fB8rMju`W_Nw7jre8c60UG%(cDiy-QK!(|1?0gU-IkqJSF z*Pje(T;&yT9SaAiY(4$kHv0X);nVZ2Uj^83hEe_PyEE@vLmKNFCLzp?uM_)88@FD$ zCMRu^Hz-~P=NE(J{JiTwaE`0eA|I zrR6}3!`R=uLy7M3Zyv3U&L|hvhCw8<;{m}A+jbVj@fWEIa50aJn({x+#4Nwf!_{3x z+iN?nS&K$MQ8FB$$ckfP@J7H^#IYv`ftU%{-0Una_Qk80q`-RRuGTl!bDFNmft|bP z#2bvPp!^7Ujmp`EqnA;m1P4mSj)WYq0>M53QO~{TJtly1V(%p{F3`M5Sq|?G%KF1L z^?Djq<4fg&u5Z63T!B0UsI|0yEG3Qed`&4zct;R4n%-t+I8oJMig?gQR8%oPGE!4;p?FMabSJ!vFBH%)?ni>$%DkIY0_Y%R%z9Ov}BP(0k}!epo* zdZk_@R0|TWw=cI%4f+}d;cz@eETOjvzCZb(2 zBL|_hJK@zUa7*X`Q`V?_4|&Z1K%3X*TiaWexdV2Q1@$K-CklH^K<5f}taM?)3ZDNv z)~6|E(@wz3`$QF6f337|<5?N61GF))gQ6a?H}06Bq3;Aj2~nn4agSox{HJ2=5Qq;~ zJK(yp4q_3*{=WV?kY$2!>B+tVPuI{nORWz$RCxuxP2#`i5CH@R0s~7F20wQT+G?GW z?lalCVS{KjXuaeoacm||8I4F!_2lkRn^(qZb2i!N@Geo@@jx2voG$@oSx*@Et zrmnQIm3m$CG|^Av7WBn|%fdFP-pEuguGadl(7}TFc!youTs`BEw7K*M^U1Zz4BX47 z37(}p?}i!EmyK#JGqg4wOFX-k*rqcywP+-bNy5eC_;M`K zAfDnw81mRbi7z()Ft~r*Wt7YNKS{lwhTCp*K+5eO&s-a_?^c4$89!MJHN?RNGN@aa zz^6Zg_8aYys*tw(H%*r{4vyJ+i{HV>g)#{F&ti1n#r~%ll0SI~cjTOw(uq07lvlVm}=%k?UA@BD=wxXbC@FefB2xzoUD#I zD!tS@)3|}Wf;@YsM_TNySZ7Yt?R075GzKa{Yqj6rmJe#Uht*UlW!fZtlcO$~NOej# z13$MogXIMKWu5E zZ0D2K6F2VpVGwzjK!~oZ1BaPcFt7G~Cv(K}|*A&7wm$oVo9qYszzLar> z3p0-A^336vl{l))3t#p9z)Yg*?K4o*tiyl+<}c}l6q|gB6DKn9u;?Pmf$$$4sAoOF z&K+!B?kI04wLbYhk%UWnwLFBi;3KE)E*FQKK8KkYLIGRj%y;vXihCChhPj1fo4aFm zMtr9;Qa(@pd`*AoH=nDQdX2L=V8@a|iKY zhb!e5a(W3?1;xlx|H_q3`8#7}_6NI>I3bxQ?H@r7|@lsJ;{AT}=l0XRor-eHdeeXGqj^3z^sxPmYzwUnDN!plYs4f<_#Kgp` zXTNDbGaFN#9xW(Lv*OeFCQV_@Wzf-%0hxG%mBxU~0A{rF=WIG*9}4;Ip_NgeKvhD& z;4KrmiDB~!J;ezMK5pxj-0|wpw+2Z#Sr&6=rhXQ(TQp5a3AlZo`e}`@DM=-z5AykH zeLIRz<(RL_G+kwCY6#cEFOQ)8YD_{|Srj&k2r<#q>JyT#qS7MBZCwKpuqXb76-VyI zx{2H#suX&ABbwS<=S(J*5kf-3&~|;I<^Z3P-vP&CjyYnwubt3?PL%2oYcHqK3L%FJ5mlO4(9{!pnMtb|&mAcbB`qmDq86;)y%Z zZI8#od$b0_yqOW9D+(QBd%fH46bKScF*f@lN(m>FiUE!+m8iId*2OryfLkVdVLJl} zNO$Q&H1=h(E2Na|s|j!=)fZ!LmTmO&BmAOQI&{Z<%D8sLX{YG2a|`KU1{a~Y zDa>BfH&q~SdibUuD(XAJ8I=*@08V-U7Z?&nF{!B=adV}`YOKIwro%>JnCw{6@u2e zR!*~sT}3JSB}}6!yO%pA1gK9rUC$-_+=fcppq(;QanI<^UL<>Y zOm^sRT=}!;7kO-UM~{G87}DZ!7pZDKdd=`!nZU-Cu?udfoNC1P_;?(kvU2CzDDF)! z%y`QvP)N0ZQwy@(S(wl!gr@AGyLdtZ1q@cM@hk$Tj9z4S{j+Cbniem2bmJ4SdowQ_ zC)mZTA|7WBo+zkDl+TU~6|?LA)Qonjd^*zM@Pum zSl|pfnGa16lx?Sz1@a*grM3{+8eu1W% zpPF_?#Z8|6qcrnCD_0&bNe!al#Doc=q=|e zq4#*N4-O3U4C-@TPfkgzaj6D-%y5cKUaf9ukAW5Xnn#yi&7sY7Qr$YQ+4wq}OKEOO z`aU}RNM*PqDualsu+aR~)|MS&(`w7;8)syjZcI~}0=bQZ-2)jCT zZr?7Is=XFRIQe=K*8QCtHCV=)-F=l=o^)TWK77t)w3o&fbbGVbpKz3v)GucXn)*5Q zbT`?!!%S!z*)q@6_a!=9-N0Q|k?2RuLr0??Zxv&5mBM6=VArE{_T_?TRr|hSOu%^M zN^kCMvT@oMiYi8j>r z-@uggo>zhf*`kpLTk{?LY~D@&!EO@>&5vHwBZ=H{=+}X3ZNG+HN1;TvOc=#{mx+lz zTzY19E?ZdO^Fd~7-1v92bhI!b$h$wbJ&!ndDW(cnXRhMmrQBsI?oQ>*Sjz}w4O2ee zxI1EIU#n|OyOerI(LL{z^4na;i60u=ymc&E9&(~;KEA2=M4vw&F=>-kzkSfEx=n97 zs{Z7O^i2_#m^@)r@lJtic4a+vT<2qSxXUH`TUK|DZ|LdiIoNI)Wy!fxuRb!fWAP=c zkWDGE7N_}~Q#voKt%zWfw&8|aAO3LG*K(V1QE?KIM zx;GqP#;Qlvk+?Tl_Xx5B*`d!~XwS^$z6^p|cPRwW8-&I+&{FHl`Qho}`p&mtF>}^; z&T_GnJD4vz^9k^u=^g)&#Uv7L{<=UxS-E+yw1R=fiQ>wYE6}elF(u`b;93PK^htpu zd#RlLli8jv-Lft{%{`ac4OZDV+tbSZL&ZN|_hsx;dK4d?yBZkjS8bJCY)%rmJdsLB zLB2Tc*n(8BE|vSp!CcxY5S%?Y3kuy9SRjY7$@5k1rh%`%(>9%rz`kx7!63Jc%C#-xJ=kN6Fn5@zk`Zw03XDLdF!da;up zpF1%T*3Z2L6^`RG*@)oK(5-__?)c-Z*g0kuHvO-$J4dc~hkqKkEc60>raPM+3^0fUj#X4GjUM!`})^6k8*e7=@VW< zQcGqftGbg-xnV|hRIACyT_;Kpe3-GnDeL2Id|xyh>n$#p88sslJ0QKwinBZ7ztxsN z3OdscH{88zb&D%%yQ^a@6C$2>nwb7nd3%p&$#8>$VpVRt*!k_(4D Date: Thu, 28 Mar 2024 14:19:05 +0100 Subject: [PATCH 54/68] ENH: data handling + particle rate in online monitor --- README.md | 6 ++++- aidatlu/main/config_parser.py | 11 ++++++++- aidatlu/main/tlu.py | 26 +++++++++++++-------- aidatlu/online_monitor/tlu_converter.py | 3 ++- aidatlu/online_monitor/tlu_receiver.py | 29 ++++++++++++++++++++---- aidatlu/tlu_configuration.yaml | 11 ++++----- docs/source/Documentation.rst | 2 ++ docs/source/Introduction.rst | 5 ++-- docs/source/img/structure_software.png | Bin 0 -> 27587 bytes 9 files changed, 68 insertions(+), 25 deletions(-) create mode 100644 docs/source/img/structure_software.png diff --git a/README.md b/README.md index ba04a75..acc12ba 100644 --- a/README.md +++ b/README.md @@ -37,7 +37,11 @@ Then start the controlhub from ipbus-software/controlhub/scripts. ```bash controlhub_start ``` -The contolhub needs to run for the working of the AIDA-TLU, so needs to be started again each time the controlhub is stopped. +The contolhub needs to run for the working of the AIDA TLU, so needs to be started again each time the controlhub is stopped. +The default IP address of the TLU is: +``` +192.168.200.30 +``` ## Python packages Install the Python package as usual. ``` diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index fa541eb..f24d7b8 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -37,7 +37,16 @@ def get_data_handling(self) -> tuple: Returns: tuple: two bools, save and interpret data. """ - return self.conf["save_raw_data"], self.conf["interpret_data"] + + return self.conf["save_data"], self.conf["save_data"] + + def get_output_data_path(self) -> str: + """Parses the output data path + + Returns: + str: output path + """ + return self.conf["output_data_path"] def get_zmq_connection(self) -> str: """Information about the zmq Address diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index d4b87d2..37860d4 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -285,7 +285,7 @@ def get_scalar(self): s5 = self.i2c.read_register("triggerInputs.ThrCount5R") return s0, s1, s2, s3, s4, s5 - def init_raw_data_table(self): + def init_raw_data_table(self) -> None: """Initializes the raw data table, where the raw FIFO data is found.""" self.data = np.dtype( [ @@ -309,6 +309,7 @@ def init_raw_data_table(self): self.h5_file.create_group( self.h5_file.root, "configuration", self.config_parser.conf ) + self.buffer = [] def log_sent_status(self, time: int) -> None: """Logs the status of the TLU run with trigger number, runtime usw. @@ -335,6 +336,7 @@ def log_sent_status(self, time: int) -> None: self.run_time, self.event_number, self.total_trigger_number, + self.particle_rate, self.hit_rate, ] ), @@ -356,6 +358,7 @@ def log_sent_status(self, time: int) -> None: ) ) + # uncomment for debugging # self.log.info('Scalar %i:%i:%i:%i:%i:%i' %(s0, s1, s2, s3, s4, s5)) # self.log.warning('FIFO level: %s' %self.log.warning(self.get_event_fifo_fill_level())) # self.log.warning('FIFO level 2: %s' %self.log.warning(self.get_event_fifo_csr())) @@ -405,11 +408,14 @@ def run(self) -> None: self.zmq_address = self.config_parser.get_zmq_connection() if save_data: - self.raw_data_path = "tlu_data/tlu_raw_run%s_%s.h5" % ( + self.path = self.config_parser.get_output_data_path() + if self.path == None: + self.path = "tlu_data/" + self.raw_data_path = self.path + "tlu_raw_run%s_%s.h5" % ( self.run_number, datetime.now().strftime("%Y_%m_%d_%H_%M_%S"), ) - self.interpreted_data_path = "tlu_data/tlu_interpreted_run%s_%s.h5" % ( + self.interpreted_data_path = self.path + "tlu_interpreted_run%s_%s.h5" % ( self.run_number, datetime.now().strftime("%Y_%m_%d_%H_%M_%S"), ) @@ -424,13 +430,13 @@ def run(self) -> None: current_time = (last_time - start_time) * 25 / 1000000000 current_event = self.pull_fifo_event() try: - if np.size(current_event) > 1: - # This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. - for event_vec in np.split( - current_event, len(current_event) / 6 - ): - # TODO Carefull if save data is active at high trigger rates than the RUN LOOP is to slow above around 24 kHz - if save_data: + if save_data: + if np.size(current_event) > 1: + # This additional loop is needed because the event fifo can have multiple events in dependence of the trigger rate. + for event_vec in np.split( + current_event, len(current_event) / 6 + ): + # TODO Carefull if save data is active at high trigger rates than the RUN LOOP is to slow above around 24 kHz self.data_table.append(event_vec) except: if KeyboardInterrupt: diff --git a/aidatlu/online_monitor/tlu_converter.py b/aidatlu/online_monitor/tlu_converter.py index c404b89..05ed4a1 100644 --- a/aidatlu/online_monitor/tlu_converter.py +++ b/aidatlu/online_monitor/tlu_converter.py @@ -20,7 +20,8 @@ def interpret_data(self, data): "Run Time": data[0][1][0], "Event Number": data[0][1][1], "Total trigger numb": data[0][1][2], - "Trigger freq": data[0][1][3], + "Particle Rate": data[0][1][3], + "Trigger freq": data[0][1][4], } return [interpreted_data] diff --git a/aidatlu/online_monitor/tlu_receiver.py b/aidatlu/online_monitor/tlu_receiver.py index 961da6d..afc7529 100644 --- a/aidatlu/online_monitor/tlu_receiver.py +++ b/aidatlu/online_monitor/tlu_receiver.py @@ -13,6 +13,7 @@ def setup_receiver(self): # self.set_bidirectional_communication() # We want to change converter settings self.hitrate_data = [] self.runtime = [] + self.particlerate_data = [] def setup_widgets(self, parent, name): dock_area = DockArea() @@ -33,11 +34,13 @@ def setup_widgets(self, parent, name): self.timestamp_label = QtWidgets.QLabel("Run Time\n0 s") self.event_numb_label = QtWidgets.QLabel("Event Number\n0") self.total_trig_numb = QtWidgets.QLabel("Total Trigger Number\n0") + self.particle_rate_label = QtWidgets.QLabel("Particle Rate\n0") self.reset_button = QtWidgets.QPushButton("Reset") layout.addWidget(self.timestamp_label, 0, 0, 0, 1) layout.addWidget(self.event_numb_label, 0, 1, 0, 1) layout.addWidget(self.hit_rate_label, 0, 6, 0, 1) - layout.addWidget(self.total_trig_numb, 0, 3, 0, 1) + layout.addWidget(self.particle_rate_label, 0, 3, 0, 1) + layout.addWidget(self.total_trig_numb, 0, 2, 0, 1) layout.addWidget(self.reset_button, 0, 7, 0, 1) dock_status.addWidget(cw) @@ -47,17 +50,23 @@ def setup_widgets(self, parent, name): trigger_rate_graphics = pg.GraphicsLayoutWidget() trigger_rate_graphics.show() plot_trigger_rate = pg.PlotItem( - labels={"left": "Trigger Rate / Hz", "bottom": "Run Time / s"} + labels={"left": "Rate / Hz", "bottom": "Run Time / s"} ) self.trigger_rate_acc_curve = pg.PlotCurveItem(pen="#B00B13") + self.particle_rate_acc_curve = pg.PlotCurveItem(pen="#0000FF") # # add legend legend_acc = pg.LegendItem(offset=(80, 10)) legend_acc.setParentItem(plot_trigger_rate) - legend_acc.addItem(self.trigger_rate_acc_curve, "Trigger Rate") + legend_acc.addItem(self.trigger_rate_acc_curve, "Accepted Trigger Rate") + legend_real = pg.LegendItem(offset=(80, 50)) + legend_real.setParentItem(plot_trigger_rate) + legend_real.addItem(self.particle_rate_acc_curve, "Particle Rate") # # add items to plots and customize plots viewboxes plot_trigger_rate.addItem(self.trigger_rate_acc_curve) + plot_trigger_rate.addItem(self.particle_rate_acc_curve) + plot_trigger_rate.vb.setBackgroundColor("#E6E5F4") # plot_trigger_rate.setXRange(0, 200) plot_trigger_rate.getAxis("left").setZValue(0) @@ -70,7 +79,10 @@ def setup_widgets(self, parent, name): dock_rate.addWidget(trigger_rate_graphics) # # add dict of all used plotcurveitems for individual handling of each plot - self.plots = {"trigger_rate_acc": self.trigger_rate_acc_curve} + self.plots = { + "trigger_rate_acc": self.trigger_rate_acc_curve, + "particle_rate_acc": self.particle_rate_acc_curve, + } self.plot_delay = 0 def deserialize_data(self, data): @@ -79,15 +91,23 @@ def deserialize_data(self, data): def refresh_data(self): if len(self.hitrate_data) > 0: self.trigger_rate_acc_curve.setData(x=self.runtime, y=self.hitrate_data) + if len(self.particlerate_data) > 0: + self.particle_rate_acc_curve.setData( + x=self.runtime, y=self.particlerate_data + ) def handle_data(self, data): self.hitrate_data.append(data["Trigger freq"]) + self.particlerate_data.append(data["Particle Rate"]) self.runtime.append(data["Run Time"]) self.timestamp_label.setText("Run Time\n%0.2f s" % data["Run Time"]) self.event_numb_label.setText("Event Number\n%i" % data["Event Number"]) self.total_trig_numb.setText( "Total Trigger Number\n%i" % data["Total trigger numb"] ) + self.particle_rate_label.setText( + "Particle Rate\n%0.2f Hz" % data["Particle Rate"] + ) self.hit_rate_label.setText( "Trigger Frequency\n%0.2f Hz" % data["Trigger freq"] ) @@ -95,3 +115,4 @@ def handle_data(self, data): def _reset(self): self.hitrate_data = [] self.runtime = [] + self.particlerate_data = [] diff --git a/aidatlu/tlu_configuration.yaml b/aidatlu/tlu_configuration.yaml index 3d0a6aa..15d3b65 100644 --- a/aidatlu/tlu_configuration.yaml +++ b/aidatlu/tlu_configuration.yaml @@ -7,9 +7,9 @@ dut_module: dut_2: mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_3: - mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' dut_4: - mode: 'eudet' # 'aida', 'aidatrig', 'eudet', 'any' + mode: 'off' # 'aida', 'aidatrig', 'eudet', 'any' trigger_inputs: #threshold voltages for the trigger inputs in V. threshold: @@ -34,7 +34,6 @@ trigger_inputs: #threshold voltages for the trigger inputs in V. clock_lemo: enable_clock_lemo_output: True - pmt_control: #PMT control voltages in V pmt_1: 0.8 @@ -43,8 +42,8 @@ pmt_control: pmt_4: 0 #Save data and generate interpreted data from the raw data set. Set to 'True' or 'False'. -save_raw_data: True -interpret_data: True +save_data: True +output_data_path: #zmq connection leave it blank or set to 'off' if not needed -zmq_connection: 'off' #"tcp://:7500" +zmq_connection: 'off' #"tcp://127.0.0.1:6500" diff --git a/docs/source/Documentation.rst b/docs/source/Documentation.rst index 5605e2f..6140487 100644 --- a/docs/source/Documentation.rst +++ b/docs/source/Documentation.rst @@ -1,6 +1,8 @@ Documentation ================== +.. image:: img/structure.png + :width: 600 Introduction ************* diff --git a/docs/source/Introduction.rst b/docs/source/Introduction.rst index 9e95b08..fa518fc 100644 --- a/docs/source/Introduction.rst +++ b/docs/source/Introduction.rst @@ -1,8 +1,9 @@ + .. mdinclude:: ../../README.md -Structure of the AIDA 202 TLU python control software. +Structure and communications of the AIDA 202 TLU python control software. -.. image:: img/structure.png +.. image:: img/structure_software.png :width: 600 diff --git a/docs/source/img/structure_software.png b/docs/source/img/structure_software.png new file mode 100644 index 0000000000000000000000000000000000000000..4cccd801755c500f4445e0b6e62ce9d0e2f34fa9 GIT binary patch literal 27587 zcmb@tbyU{d`z;D0A|MTt64EUVBA}pjgLHRDcO#8-H%NDPynxc35+Whp-SwXJ?*09q z`_J#*anBfM?6Jqd_tR@V>v`rg=Uk!kvSMf`L@01@aA*?Z9~I%?p4`L1!NWa&27Vb^ z$*~3h2s9TFk(Uq=A-A=+F){yQ39<0bwB`MTqI6cToJxLT;_-b^a5w9=iNZ1JA{z`!&`qWy$Vf8ryN{V5!&@4?mO z$nFaLcy>sdi@z8Cb8WctYZjxLQsHAf_>bce3NOTmr?)<`A&;FnVUXz_8N3_1`;(Qc zI*{_>7`eMvnulo>ZFNURYO76-#N?9%g&-{{$F^U0lva|q_nMaN+!#lf=vyv15}KDN zR!og-j6@74xOU14T!UApS}MFYb}B=kXWD3e^yv!AnH(}qo+2|DAisXvUz8KT&eU!8 zOPnnx=b9%pgcHhr>8iazruCR<3&0k(s zTk**Hc5>L}*WV|+eRC$^UY`Fc%D3HWWwj-Lz@u{m{mpfrRM5IOeCneosjE<~&ES)V z#`j~X3z`mOg&6NsTO^m@kb*nKzt+qOEG_<8TIeuMeRzO#<+CqNrYjHw^YY9T5+GThgp*;5Cv+N3pZQHt)V#4zvE#CUP=}R;-f$M9jI;d&9nz=TOgjg<+I*o(4Z1Q`pn! z1r=%lW>(8DVi)=8R?KJ5p6&l}h^DPRVbvZTAICH_q|{!qGRpI`dUOAU(6q0OvrN-} zgwfmRYh)6Ke3v)i@`e4L>L#ZNHa8`jON(F&ne(Lz~m`5?EjI=enJ}0@;5i_(yfsDy)(Usg~sh&YcrA2 zvQBMH&3OS*aDYAKK6iN<&$x``+A^Fbv88MVq#K0EdiQ@PVX6DHUehW6ZY-W|Gs0#* zmWqm|+HmaaRl|{3lp&n0^P~+^>z9Lh zj&Mk@Z;u}0TyIx$29+Vs&BM}M&z_67a;0$PaARa{bS+-1DncEJ!ZAj8LmJQ;Bq|wnJ{huk4*L zENpV(Nf*u}g>JXwm#bmC-!nn0s;!@qS*}0AbZ(e=*Yvn0g*4BgkdHOnWnFtUyKFYm zjo}L~z|a2Ow9kj@s6q#I&AQu*Xtp%eaOqugYBJ^p-LrZPe?{}_NvA`hke_QiYH;8k z1X&dG#h#xGOqg+&Ew*XS5?p?|bmrzXmq#sE8QMkTf1L0l6L9{fF2vk5&&E2{i!qzl zXz;-8?r42Bh~>mDa1aL-;aL(mzeC=rb^aJB!4c4w|&p0RPF*~;Ms8XEH3dnBwYvi2)a$+3QNE@pu~TBY(CP3!B< zF$Fr@b29Esk&aihmLViJi71D`Am%isxLKuj|H_Ijia_U4b2ObCq= z(P^kue{Yxd(|ssBlQ%44;mVeE6&?<}!1>X9Xm>Z&jLk#f9R^vpqVa0x7$R+7Tl%|b z0eRJCqQ~wYT1-1A1x;`7x`Ul?SRzPCMan7HiOdqg+%h|V8u&~K3R)gy?!5(3lPYPs z1>s#(@oAz6V<~xcTHWxMy{{<$UXguQv9z`C7lHi#rS>@G;^Q`)@bLSX_ifN|e=q?t zam-jx=*$Swx@*@P_f;nDqd$fG?X$(7%h{0{D#yKao4xsUefZ=0*yO6}t9!vz6`Pv} zhzaI-reHH=L0|QJb00vY3&6xaMy2S!yCC0X+aV-uIl9qGcSO_63iZa8QndfJ#Hnd% zSyNLZEX^V3;+Nm^imEzwKVDx`f7YL;CdybBqw}`i93yrpsc2*rljv&eTB25hrz`svj5)pI~p;!o{`b{;0?G3iIBGz zyX13v=hE`tj2zeRK2BuV3M}Ml(e66(_PqkW|Vws8gr` zcE}J%hNWxr;^N}&o*p)rL#@nrZo=~Nn7>Ls=YB3#xj*S6d*^u_t&l5#?==$t zT=Vf6I*IrXKSaW}jzva6VCa1U;z7XuGm)?w0Gq3SM)03MVWa%dF=Q}3|HG{Rk0*y{ zeV|t-2XoyK5b*T%>(@H1;kmh#k&%&==^grA&mu|qo^dRqrh6m0HAcuW zF)=Mi;73Y2I&s#Ou{0jm(b3W7-6k#~v|Q1MpIu!du;Tm=pm=O0;xv2j?tZIT9+#Zl zpTX}!r&dL-qN2jLX=-e2_i*c^%zy`;nw*^6X0Lg5w7hk))`!cW{M^#g65=*7F#%@s z@4>;tq!oDO3f+!KFs0yh0wSV8WDtu!(f@>`R>hb3nz@CAwT%tL_Z{93YV{U)`uh6U zx3{Z%dqYbQkBgc4Ru|Ux_I6J5$)`L#JlczorjcYqk&TT!N(IvTU`D|+L%1wwwl`-> zK8LlrolQ2`89qZJ`griWzE~mm89Y4v`Oz{N1_nlYdU~>uk03a=du$AYm6g@{Pg8bd z7PDr9JQ()Pv8*vLs>i3NtBuRv2rIk4N|h_L2z_nZZ=UJu>Jo8TP|<2Vr&x-Gh0RA6 zhxLA}XBebqciu-!0(BbYhj(K_(C~x=ybm8fqzial<}dI%?-R^c>LPuYh@%0M6eI+_ z$MF@5BAuu+R}zgN>QUA8LhNMixEBt4$xQdT1UFwYVYNSo(s5@p@L-|w>}D(LY%NO2 zerJ-JMkck}4-u74G4DAD2P$Ufh*H(c*wj?X6n5ieHp6F9iA?Y-_cv#CHp`jd(y2TN z95|EFbO~_d=cuT;t|zPM@7%Br4GjyVQ-s0nZ>)n%%O4F}e*MOO78i>@mnI$>T3bKP zENo8|VgT$)KtLehXsunL-CB^==yqmeU}(6r1ZlO_h@+9Is;bfhW9zBFD85tQYb4c6c*$5!-?Jq$zW$Qrh5cwxF z*b{x81JW>Wn5L(nUhOo05{kSF+yJ+S*}H z=Ire3jzP)I&Fy~I?EUa|XJ_a7=4Pirz(eU||G*zCSJ=v06=Hq!=D2*;O}k4?Nl6J5 z<@qK%N|ZohXjU&O#>+~NaaTJsR_mee?x!F$xUGK28!parVZH=e%Q;Un3S^lle*XUI zEsn)YbbMAYkGQQet!PNt+{H$7WYSJKrFQsHX&hXU|`^qcZ1bJLFw(; zKE+?{DA0xdldEI)^78YsUcD;6YIeV1=zu=9S`&(iiIEC=KJNsX)NHC?gxID-CXL(G z&5h0N)Ckl#-~F!;5L)4JaZ^IhBoB92NP35Vnp@2AS#^x=uTNZEUE$_!F!%TO9s3j; zzNn98@YCXd#T0Hs3{?3h1F~c;o9)Vbl$T8XpU9425+mSgvtqh)|*5=BV znVI?Vi9OB^uR?}CN(w@o5*nv1&rD8>DxB{89 z-gd7$aVe>;nVH0ky;%;+8K%eUH4JtRj_QU68ale?2nYx)T1}nN6e8l%(%nCPd@U7dYStjk5ELZ7p%3X1h zC=|}_?Ce~DkcNQ}!bb+y5l@|6Sbw$#?&ME5ZNK z$^Q>naEy;d1hI8uHe2xugm)~g$Un0E7wy4{&FT~t_5G@igoKRo<0@Ay7MXk>_lT{7 z)97b&9RQ&eqH;IZLmO2l`_e=&EF?wY?l2xl^Gj!`DRm6i@gdYWu(W-z#Lmusb8*Zz z5Q0$@qZ^@xe@rIi^);>WXm9|>MyB-BlgC28%HV+qRgmWaeu3Jr%vVtb1x=#gFWax^ zJ>S_$X}%>GPS<)04W3n2OEC*BK`Mg zp!q}&A}E0N&S3IGuJn52^R;+=1o|8;Em6N+<9^ra8l%&hlwy|*k)vOclan)@tI{VC zaE}Lg1Ync_P@U%6+&B^#RZadh*(sN6T#zl_jHL0<>$bl$?2Ft8V{Iqr;!4o(3evZ- zlIe?lwXuI6{7LlPYqK#n>!3xE@|l&xzrry&naZUbVa?4u9kD@m(~g@XI$bgTg$kv= zjEs%JV?LZE*59<*F!D(VhiH{*R=!m3ApDSKB4Ret!XhH(1XiK{9ahw}({dJPrI4?N z6XVH4`ro;E&5kL-XXokt5B+`nb3(f8T5VVfl7SlK-lTa92>`Q8^|twg)Zzfj3tSNT zt8ZULbAK!^XYI{aIy~OH(a5IvhhR~vwK&rEMUlaB0r>872$cyKG+btl_`hAjFsLG# z#4<9OFIBA7T$5f5r7M4FBC9Ftp9%?yjLi4WE#WlNLc_tKr13n%F>ZSxTl}_KUi4Y@*hxAQ)jHND-V1*>7|j&lm^uk6sGU?8-v5iY-{B+a?;Z4 zD?j`}8Cf4(i;9Zcg8d^u|KY%DV=OBRWHnHN110l?L86iL^YeR-jQpd!`=iZYY--8z zT(PJm>+P9xO)6Si*k^)zrrX>#H>Y;E+!58-=n{Fb4DrBsak(Nv_Dlgm2J&HlmXOfp z<>hJV^+%Z$%>F@Y{<#)Ymi$5737Jap0S9k+3fvyx)347aJ>iX)+W8bs`+Z56b*4u7-@$x&(j}kp`UvVz zVR%%P{u$QoqH&*$KH{1e?--S^H@jTH!_m<6^0uxc)}XprYjt!%_^;U56<4*pad{dTo%#=xFymf-%pkJk`KBX6cEI2tkqvYjHUhN6nYFX<5Rm$7j`%jx&oNyq@ zL%G$>^|gG7GX0{9KLFT&4-X@wqQ<0DlR3??5s=WQy)e+x3zUl$Ul4JIbauW66|MJt zXG}^f7>zinu#gtOMJC<$^pek|ij_J7D7bX*ZEdTpn+FpZ9gbH%ppyxPS5{UYu7SJe z=H}`U&6KJ8mzFYi1!ELyw>nE_3BCdi1<3w8ze(Jqqnda%`lab(?JwEI6XCU19T&>97%M7HUc1j>{AqFy7E_Re{pl&N zkMvSNo90sDNy1RwMp(NNGID!QmXFqV^#}MD#GJ1pXr$P6?y12NlgxK7bi%>L?(grv z9@?0koD`Rn^VxHQGE!3q4i68z$aW2N_w{vL&V6S1CADlz$Ew}(5o9Rc=A8Wee4U@f z++W0NO-8PF3bWvv?AD%9OU9GywtG76PKT=3n*3c`69FhWBqW5KiYnmz+>t@Ks1p=) zwGM9qI9j>PJTOP#3v0}$&WL-;)N9X4$pNU}nXjb`|3sH*f81>194#`ZrFvMUs82=d zk&yc}hE|I$f-f7+U5!&$<(CCdI7&mx`(0K2Ta2#iDozBw-f82f2Yk;uF*sCe3w?2wrn52Jm=<4oW3lTu1%~@5%5BU%O85FzdKA}9~+3-98_PA zc!RpWb~*BV)c~iuwh=b+`GYU@FA8?(aOa19U6y`4s#q)1fROY^xr6ljqotfirA*ww;5C3GeZPOF z-kfd4rKd{+WUO9ofC!^*=c@fDa>Y!A%AP&dGcgebMI(j7RMt7X+L;-29og@{J^@fj zzRpbH2?9cOW8+qo(4$^|GzF|F)&Kbvpvms92uS_I!$z0;^JO}aRL~H*UmcD#J8Z$` z)aCF`HfT|qblM0|QBh|qw1>b{iHnQFV4ig5yOc0|)>zQl6)P3eX*MtctZ%YAU5v}5 z7JYnd3s#t@o*p^Cz+ZmXh1#w4!mk`1MTLeU*IUkR0^EkA*YgbztQ_q|>w#G68_-37 zVhH~}cjArXw!BK2+E=hDt*x!6D|Ml6omsK5Ihxm%peYUR?-z$CUcCPFO}cVC4A1qj%47~v4w_urSd;F-^xy?J<6pQ}Ff zyX9dKEY2C3c5)VO1s>*I{TBzC=zSxLDO0N4f7jEK5bmHxtI1hS4tIiKsxo;N7pL}q zAgtbOkFn@Uh>QOmT}rj!@+!VpC)BROkS~>`uG8RkT0JGc*k4;Q8e>KBnL)gRyFqPI z{2ongDyx;~tGjM{dv1RJ@GxJck8rj*Ldn7s3Ce^b7%Yu?i%;N}ldG$~k&y@#JccUs zDH;faKOfo7w1If^L5I!Zzn~p-_3*$UB$NP?dULy1nZjd3`ikE<&!FcUIKbg?`Gxz- z-1~OVn`4l}0ZjzD^vPT?4B3pgpFm*)0hsr}|C!_dTnr#~urV=VXBKJHGw?g_kC#r? z0(uA*m4F?f2mL2Q0*a83&|8VI>!jK){wC?GmTVL^|Sn7-O>zC zg!f)|aL6&7EpT=7u-$JwbH~5~DPFG95a-JmCMPnfq4a~#iBGL5Sh!=5m5^5UWAOu@ z>~h_Q4`w9{EHwtE93FhdB;&}>eqxvp-z$mm;*lo@2dXX+IxzpWBO?Fs(RD+SuIrf$ z?e}?1qS+m(Fj0Rv*K6|IkqVA{ifTD*0)BhajNjpTb{XxQ6;nya9vE)dZ$#O(+hWtw zhyhK5_}yeA6?CQ!02_5^*=!D{D3_|B>FO>Q&VG*t7!d~-x4T@k@jUV3>Z%a19dx)T zV0|G!e?C59IsP4fX=$mY&hg-P!l$s88zD9zY9hfB6%`fTUu?w(fnze9{2_vfi%zwI z1P=Uqez=$h)}9?;$7TzBdcz5)%QaP1X_x2bl64^N)gD*YfO&#T7VzK(J!SsKQ0)2Y z=rB~!uX{YUmV&7V3?oPedS+(HhO~f2Gvg#GD=Tx>?ZG`n%GoRhn=!1*wRbcI`JOkQEc~Mr-DPCBu_XR0mb=GsGeE0;E-wJaRB#b!J zC*~>Lc}Cc$J4c3c;ASld#*O^CV0D>uHb0m$!e3EKWNkmPJ z0398@Wdkgn3ymkxxNrEZqX0R%-d|T&u-QmUzc@KLvET49_#==W>P;dw-9>Qf(b7Kg zb|T8Kx~{Hsu3Oy3J+o~dp;sW%J=X9QF)`}H`_buj8!lWATPU0JC3oAk^xZyLUjKuq z7SoQ>qQ50Z9G^2sWVa(%!=@y|dgI1kP@uT95(ji!z`A1#uGz)OMVK_tcHd;*WB#$N z%cUrKImnyqY)AtC%RB)frn8MZ&n)1jo;0p(9Q^2K6Iga@WlZ`)%P2KeMkcns)MkQz zOCVVgHp|XF3DvdH(>oU317Y?JmtOd2335>o^@^Wc>?oS`aLosz=KAmj$|_jY-h@`J z5(avazCKzpqTUGNt3gtRtn##kgij}{Jq~63puD~l5O4}yVcqP5dh-;iRlgRxKSlub z>68a^Y-Z*t!1);Z{nEh>Q1ITK<5sdwJqmlT%X;WyB;T;eeS1Y#NAX zhr3HFh*MWrSC$Qu-uU+qWop%auvV|#)2&3cQWg{;wl{B9wkLAu=H~?-P8g6;P=11y z#cn((pDT*iet#+j!dnvb7ry%+a5hIX!s6m^0Cfu*?z3O2y49fGzhMd?+$eTp6B)-Y5(C9ybM-{Pz)>Mp%1{VM#mycsKz#zw{7 z+^kdRbVcvGyq>9bKq&i`5EdvRZcO29Dn$Lrj~G&5HrUu&m#QTbB!q?86)+5WJ>Djp zqj%aPn-3r7J90y(9~Cj;RcYjsr~F@u~ng;K7M zL1GisdQ?R9LBB!R7PG6ramhIRpl`mSl0(*$Gddj|9eqE@5*O&2VR~ZW=*USF5u}(e z8IzdU>kE&->v>(J<1q!uVVLgp#mZ{g^$rx0na_ydA|i}0c7Hh@{vov7>mZGAU+Mfx z^43xOh+++}gFs>UFzYr7EfC`1hy$H(bV|xV=U0TAi&n23oW=6N!211~KjbFmo( zcyBht-ffvQHbc?KiAmYaVLpwWpMj{lEn^_HVPj+GNT~ue32@7d2clxFiHxF>k^)15 zeb3emSqX$oh=oXGY}8QwdWy#V3S+#Krq=F2@bd66qjO^n;gJ-gS!2E^2x`Ca@3MVs zR<2a0MAoH9|6GBC$G|1hw=Oolk>yA!&@PPv=o@L()q1P5uOveyzlhvjUIkZ&vI()i zx*E08?C$95TEcbl;E-L4q&>s?)m~Gl;mzwB+|60PXa{P z^25{c;E;q3LPF$n`=G`cdIXoefl@J{j%D!}mQ(v4e?fX}B8UknPl=UHI8_**@DmH& z;Z;wu<#?M=Uw9Z7U62^}K*nJ>s5qLAQeb3m12FVN_J-|?7r1l3)s?0T;Vij*qHOZh z5oy*6UvzhN`eq{(8d_L{$2sT(g>Mi3V&vg-p$PyuyV`E+%i_b$c_`y_$s`^kO0OKD zFCZ1Pg=}QCzC0;SJTQ`2Q6b5wIr=4|IlXFP#`{VG-E=2)pyfkLw@)9>vS$|{oY}az zj5~edp8}!Nd0*{!gVoT+P!h-u-#xAlVGTdvgio(e3@;BBf`zm7KwA&%UO=3%n+y{G zIvIvRO-xK+z;t`tj0m*6AVvpj36OmKgHU!((hOGrrg&h^+A zP!KyM2QSD3(_v|X9HCk9O0EuM_lyFYVnHPcF`0qqLJUn2WnYNq&NL(=1Zw1sX zP+i2lDSVVbaH9mSW{@TttYMo?F}DT>bs}bfj_P({htG#Qz@|M_L?tm%^0Q=YLjK0; z%^nps4Trlh*)C5>N#p&5NFgEjw#T=m^BgecviEK=v=eqrYHxLBKmCcSovrd2W2?|Y z$#<1tz1N)0_ZWNWxc4SCdL=E7s!$=@UZPj#a4h>f4#}KP`kAT7G0Uz*O3kN~o3-cO z*WT0}uLQepYAJ9}=zVQ^uv>z|@xmH8?Dn5X#-Gx36r$>9|K+sS@h4PDtg+sEQ8Lqi zn8+c>5@Rn|{^kwmisCjpF6^&4yHfv^dG-X{9k3vuT{3 z?6iVDODf2Un6a<>loA0^m6WP_ZTUVo&NWS;u_v$7Gdh})2pSM$d z#3?=tb#DqpgZ@NH7@sutX6-$@~oPI%_|xr3ZW z^qKT6b@&UX%ML$Nr)!}G(biC&$~ad>;FtSMcwu}bx4PtUeXL)iTNT&^Q5vk&E&rBM5fSsg4y6e)Uf zbcIlp-?HH-4K#w+jeZYNJwN=Bc7QfWEtO~lY79syZ6{5n&0l{_DV|=(^N@X*pZOE= ztmhRker6H=n@8 zNUCHs$!VB46PNb%{gjYaQtINz7**-Lx7`)ok=x2It)27YOZ`!Q2K&7uNZcg^N)sU} z0w^E}5WYawJl~lL23`6_Nu#$7QBeoOoKKonNYpaOeSjIkd3<~%J9k$BnCi<@OQ0ph zy!Pqv-Ty4G+ZNg(=@0M}=!jV}ObrZ9lXhGj9jBe_bFVaq(C~qqAy`~O;_u_b9e_|f z7noF!?LZ+rJ!o8p$!{?J6SO4&%;q|5jjj(R9r@>%%IjmG;9a`r8p}wB-;}vGtB#KC z>51tewUSKf&Jah3`kzQ8IoZ}B*vrbv$j~15p}lxDkA^?HHM{w1B`rCXNU2*4+AH7k zB}Q)ZH|RR`xw%QF4OkqIe}^tU?@z1G=P%KS+C0jYhQuKTJwrL{ z-cE|Ntf~7GCXt($hBs3ni9MC2n(Ky{RiJ9Tt)2!)%t5&_g76r8LfE25HZH(~>oDNW z?|u~e*kJw1boMXHp#e2Kv||{cM1a28fp`cAi%t;2Y#bc9ARHZ!mb5E1g(&R(fmjGb z<+m4m7ZqE;=%CPK`&Y|D3jmGPy~++6z_$Q~3kLA7Pu3h3?bcIOG+(}a30M%RDkv!! zOoc+(SDZv>0|Ns9ZgK#syREIQ2-qMjXUZtJKHsi+<9!bL=2kmX<*=ZyjZn87m9D>7 zqPxx0^gyc+3vG!F0qrwrd8O^`*?}es13N&@jRkznvlj%N`BF*t2PXougZ+;YYHc@+ zyQVgXitP50c%b0VXo_BqU;nI0kt;o+b8RI`DlSQy?P=sz0*hY4TVIHtkf=_BdB$Y& za!15$p@A77Hz0Dx#>Rrijtx+~Ie1HRNf{!l+=piqe)ZPP=K~-bpEE+T;E#RHFaQ^7e#mfr}t4|rsw$*HIM2@CNzczdM zY%0eJ1T;67V@oE#%hSE#n3Ri4QMlxjRxn@peuNi<`9{3?L16_NjNQf{9+>7}z$5{z zNJAsK)Y%Vxh=Ote`5W?8AxnGg$B!T9d$SloCkNP|h}f2a=M5WMHYh4BV__G&)GK3% zLZ0O+j9G<1K(SB|tJF1jI4(N*%Z+F~6%St2Yvs9h7&KI9*yQED>Fv$LiEc7en>d<1F zVb(47MRQ}0P`|!wjT(!N&J1l!8bUJOkKvRNg7;5V363;?a z2LXc)SnQ%-7G>q-6BhN3+v8usGW9xM%6z0xWdcayrHtfTwt^V^zt!ecdBp>U`r)M+ z$YPa3`m-Bi?t5i#-NG&^uv#bfw>n-je*=Kjq0*YTWTVC(WmvF`6?yfF>P#&g$?`K7 z{9TFj{9HQVmytwzGL#^;Udz?>vpYIqTAGx$RNm5_yXbLs@uN0OX!ipm6ZxO|Oq z2^=z5)#l-gctK$i$PFfv=-Od_^>ZX`K2Ck=dwss^W?@t{b>$*s&KXW7L<$(;7MG*Z ztt=l|pnXvreypf>Inn_fIV?b6D23s(B>N}ud2EDS7P!EgcDu4uq{i-BH=Q2gm!bU% zhGnzh;o}*UKFZFCQIV7T0;^=(MAd4RLwOtzoa`CM1hPLPz(V>{& zAlqVRCme0zJAyRv2lJD$GeGk%FYB@kKY83&;LCCvQ2ze@tJbnue6?XP@WN_p0us!8u8IOQ zMm~iETzq_lAO!{n_K3L*+h~_nS6_q47xF-pVszM@E*1Xjchlc5f3mkE)aNXhXuJsIppdE{H$(^!6(9K-W*Cia2UZZIsItzqK|VIjpbHE2mg^GQKPvG&NrT zh(aMq2jmM;x$Q2{s;Lt-eOgLJ`QYrt11c9x7V>|FcD-LaW;R`f1uFT^?(X$C-rh#^ z>#U1D^p(GVKO``GKHn_N%d-V;O4yw6dEM4&Nvzro^~+=7r}+XAw7{eQ#tIsTHBR{0 zdb~s3TpR2m4kzY{l34cnu-9+_7ZN3BG*+?9>v@a|D6;r7=HBuu+x>kjUP{)o2_Y8MpObgwnzVw*8?8ZO11etJh4NB*dChz}mowh_bnM zKabh)<@swM;<=svRxFXmx+l6P8)b2GT2L(I`ZAB%O(r%5+>Wwe{j44LXX1r*4qNUX zCIdC$->`8PSjzdRu+0Am6=Ka%CYogLjB-a4io>B_0u>1rP*;)w(5M4XDa8Hai5@5= zKvYS}%cBA#16EF<%h6H`H!rXoYymzW)YM(c8xW0QK-YnZt{|wXsHlKcBHk5*M)*dr zvqPi)foyRKxEFxfR|qg_Kwx0Cj5FpHMYj5mup;G&324e6a<3pySyz3??*w$L}W8koa!FrTh_ySZ5av@V0+ zW!aAA{NHf;SSA@dqs(iA;NIw;dxE^6-rGIK&G2y%BU8U2V5TFvO zDYw{G)5dn7pFH4zrDg)sWp;aUooKidh<)*_x|smGtsWdOt1kJB zN7bsp^sVU>3+v@?sL7|d-_7e9i0+eZqGx|6OU{#L2YCx~Zu z9OtC9?V1&+*Jt>))IRX4FNd}JLJje6F0S%hHtN+iK;lT|@j#;UCV|g9n!0+JG>rMYx#zo4M?;!Pj%7P&O@a@ z9ul1$d&sh$3Z0`3wP)|~Ft)IOS%E9i7+~FBF_3NGfb$NZ{3v7`8Zei@MrLSb6#;>G zMcSDG1I0(skvd_(`y*i#fK}Q|yQ16hh0x=2f5OX0B>|~(X(7zf48PgcqCxbDeBpLe`o3vC^XJ>5}Bm$19z}5m- zzqpu~#T5PXwKvx0=LI#zoDz1HjOgNdB(kNq9S z?fFVB!}bGIUk0TJMRV~1_S+qL_1-j5nbI|Na^1*-RB@qMFrwY3PD=DDIpd65Ss)c5 z=lXy`!5J9lYG9N{wX1)*ViSry!1u&kY%3}1$vaPFm!H;u4F43l!K&ul3&yDeZ3OMV z5h|q{hZ%RtX=xMLzf|4#1Q(Vw7OpN1A3(lU)70#jz=f8KkIMt?fgTuc-~f51T%sHb zIt`ec=X7&q2qs0@tp+Tu1hT?3G5~Dk78B*RQy|BQ+!;`OFC|b8S z9pd3a_GWb`h3VED01z+HKuPL>tg7G6!!UjQ3f|{ zYXpP0FAYt{J*6{WMwaP9f@4HXAdVTT2_`LWytM$rnaXPG_a%z#|8`D(rHMY#U?>7o zGfKZT-Nx$fcT2g8*Zdx7Jin7LkEY~=9s(4L^S}lLxG#U2uJlH0;2T4RjrNL2;o6s9C2jplBL(w$!`+fQCd7>=#&ctk;l{l>DaUw3@)qaUu35 z;O&fUZgMS*?JEjq1Gq33 z02bgzh@HT>9k1+b{G!zw1+VMeS^HiPP-o=8J9ZjWT4|M`;+h#SCg z1M|DbM$1v!kKoZiK($=k-tGrR_!1tk(R7fZXXPr|q0yzP*7icL@0jJfA;DVBxRM42 zqrrk0a`=NcFAax!$-VhKgTi0nC9(X`I~mi2Yx1~*zdC&PK7Vj{NmQ79$9xBN%{nRu zB0#(MoTjg?4vlz*!I$e#wLAcXFzM7dU!g=<;;9lOvF5#b+CywsKY#GFeV-@69qmyL ze?M5KW2g&hzB0d38`4u>+L)OHQoUH?W$umlt|H$ASXV&@Q*ivdJCMB;piJ68%D+Nr z>`t$#7hO5Xo8{l9`+-L z>F72#*b$`wScUD$eAJ4HTe&?@C4NDk%!AEBz=x}*p-}_2T*1NkD?on1w$}h{hMJrl z4(v`UQ7In;gq~WBQK+@hYKQ)WpG*-uyZN88XR0iL_P`8;5bT%-G!+O;A(m2+TMa%^ zWjFRDFOlX-D2ZQPFh@{tHbOF$L&{YtMcy8dMs%26Tix{yD@A~TAkY|WpSn3LHn?A4 z=z*CILQ9pkwHo)`|DRUJ$cO8mPd$kO1YbDA4P>9J0Sc~l_$ecp+`yC%Ls9C z17!8*ez?^*ntlxB@}GYZwWhF(mYy9r-qqKMTz!_}`OIPbX1mV0MAC5i>Z6g!^BAw? z!)3C+(=l@z8CY&YWEfFmqfWY4UWaGR)?1#VP8EACQQeAa%H6bNEIB$}tfWMLP<>r= zvc^&qG^<>yOjq;7rdRA2fHo-w;CsqDx0>eRi3u#=r~*tX!*r!~E4NrAi9T>f)>e(6=Sjfx@GDbd`*^-TxLhDB|K7LJ)Mp?SP3Yu3 zH1UNOZ=LW@pYlO$Z(l#Z*DqPr24ei#K+&6OwE^sEN#CYS>+q|Ws@RXE+G)p0tafew zB1k#SwiIX>W2ufizf%PtTJ@YZL}}yYmb6_WW2rMo%Vj|Z|8a;o81o$3T2Jz zNbh{^`c@%(-6-}-ZzsnIFwu0oJjb`xM@`W^wLXlxG6%s>rg6mA_6ZAze=(jvCnqOg zDYyU>J;)hmfu}E-8M2IaK+hB>Cs_Ov3k&N8EnS<$&3^D$v*Kt)uP+(dpD|vywPtK2 zaz~{rus{3nM|89feE~*B#zQhp=Pqh)T3J%(MXQV!u=hAA><^7);CtZE{rC~N19+uF zLg@bDgPUSuQQrf1-0Rml0lNPjjXw_i=PueiW}?OJ0Ba zc*q58r$64j0CwLV(BZPp z{f7_m;93Rwe+RuEVgRhqMy94|WLba|0$m#2n}5eWuS7~*oE+>_$SG@TN(R;ZX0kBe`B>v~|7Qo0L227aA;I+)n&F_!9Fu<0>li!w=b^r@s z9xh4&jTWd1N>v{}u4n4!Vupx2O*A{0!nV`Z)RZ`SOcltew>nz|;(3DMDPjL-!t5bN zQ0Kk>_u%wKl=+QUYyfr;ghWL2%+C`7cP@Ch5~p{-xOD?Q4h~lPfJx!kr+-5_Z8?i?n5{=0%ZJUqOZtSlRa z#H1uKb@h3ttAU}R+@hlGL>(6ul^L|g!otG;RoPcZMcqc-j;%Uij*R} zf`W8QNp}qf5>g@{9ZJ7QgES~HG^2DkgLL=6+%x)q_n*7&TKBpZOI*&(ub$_ev-jEi zjH;%ld%Y;|-4vZq{w}8xVR!;fAl!3Z`*DtIbY*$D;}ee-x9=tau|weA7%&PYIK_R5 zX+T^=g#hM|RY)iTbQqgs9;*T%eHRxO&wQ3mNeJkG7>mt*odml4wGs}FUqGFgkx4LQrYmx?JU$EfUj+B2_0``NX4}2y3JD5 zgILdXe>SSa1SIIULCkD`s)EWa1%&VvQxvqc7K9qS;-~dnNm1Atl&~{KW^;)x!CPFG zcCcD=$O~+61`s!hXhpU})PXrfm3mmzJPXdNV+nxSK)p;YV0e0ee;-!L1PoXJ%RQOI z)+OMLGuP-r)(D0Q8YueQca{`@1YFzMNryuti0N(zK|oMS6gM9;9j$Nzm;BIF{~KTf zrlzKD(&Jm$lNwrDF0iw+19s-=B>2psOxPH4z{IpAx?ySF2L|$l5G4Gh45G#%dU?9l zd%sgc9q%25K$P+i2w-Mo^R229KLhcA_p^`Q4DZ~^3h8$UsWw^1aF4<9U(8|Im3jdI z!uoF(rKU_JK z6{qh$eE9I)w4N7fiA8VWolf{D!Cc&1B+(7Ydz14C$hSxbs?Bq;0^ScVNLvi)dd8_#4kCf-ZR@`(I8iWw*4d! zs04I&<|3u-R0D8hS@nQ3+qWUA5?%}#qV$L!!ZAGfeCQsX(I%w(=IS(pdShuY9R7M% z;RSmNoYi(KBO-8`=ZT0|n3;ckQS$T@M?jMqAKssDieW4)Dx#6}EE5r^bw1yj0}qfz zN-7B|w3s%cix)+_kE>*=VGF2$zyJ*Nbs&h15w@fRa|AOK>Quc9JN0BzKWn@^Ad|G4 zu!RRe`KXDz;=pC?bS6V@v0vBaPi~!Y5%xazoSL47H-Bn$KLnuyZavi780?CBdwYo4 z>2ajhkg)&?1@RN4WkH}Y!z*`=kB>7!krOyJMz_5--VBBiI|qkpIG|8eM}k=CeKK!j zM z5FZKlAzPRqLhy(|P=Y83gm;5sWCnz%7>E=n_(7Qt8=D4p7;tZ&VIP7*C;vqU?PgRc z^cmHk8(07{Kww+XF?oIf*}~5pEo2S;*h4tc|0!8)DYuF+7;(|maCd)II9W~yuqW~} zx=x&6<>&wDI+x%QR9Gk&SYcDO?mG$bOMJb7To%;J!TI_8&_)>JC$0w<9Y35MiH(g- z3K%57T-Y6)naPm?#u{HxJpIa2Wj6x*3|fxK%NQ&u)R4wyU0usAQ&E{J#tOB-0~drb z7W(3A-%rV!n`c4tvWB}&4dg#k*K=LQ2#zNE{CPC^8m*zZ{Ns7CS(pK}uYdzqu^t`} z^&Q(}Lh_h~^d|s5#9&x|wD8~sYK{*qQy}&JIfo)sZ4H+SnCCdnpBK?RUsLi6=l;ZCgAkaYLkwDsj`&~agJpBCI%b4=cas0815okv&6fb8{ zekD$c9ZOVAeSedKV*+k-Pv({ir$r(igTnyB}L^Hs>? zP;`RayFJ_|@0q6NL(tA3;39Lj&YA$Onm*bc&j7JzMVWP2WaP7VVq&Y{t3!^t5}c$@ zw6%jOE5*PkZ35!&J0c<{E`cc-x-|js-+zIRrT51Q041s$Y6$U03--r6lS{!i&>>-kt%YFm2Att@*of2>W`69!bk4KM;y1j~q9 zi~)-+4CtAGqnJPwgJ9AF#`b7ov)ozuCP%GQBLpJQsq!bG4me>w!)_XTG95su?JtML z1weBHLLWuzaEYZ~B$x>$=x!ESkBY9;;!z+GwB)Vq?R8vQUKWT^q}00!VkGW`tCW=X zV9JGY96#u6s_xyte=<~j<9v69vikpgs+Iuoe}ReHP9 zCtFtq?AE7bK(o^f3Wko&lTfIFxh%ACJ#VxW>QZ134FzKe({A80v3x`zXn~aq7I|iA z-)QduTW9BV2-+?kBb1lJ#^czQ-^-VzD^%7_y`7F#s046f0Ob&zWfvTmxc(aO))N!@ zl6w=U0>#+LsQF(N7Yl)!je~nH6!Tbzan@gue;V+QAg7UJ} zW(O!6RvC`|3tj&MwqgIuBgd{>zMS=9he@SxHl z`zlrBhcHc4#$ars^}x{4w=EXV9r{Xd@soEYr1(UAOA7~dH8p)(QtuJ{J@AZ+6C3Y4 z8_DM_zp69V)^kX{mp23!n~g_bRy|O~U>4OG`i%uA!OAHm^;|)|=~|!6@Rt~oeTTE+jA&JxkcQ4N%2#zb7HsViQzGpKC7-8w;s>RB zrCv+!D5uOhbw86No6P)KO^GlY1!?ipSj=fmz@ys$e;-=J@aC2dR`~I(`&VUwEJ-| z*Pn&-;2X@DC~2X^r*G$JWz2h_qF*X5jW|XZU`W`IT%iLg85*^YboZEpIe2*L8#@BN z)ER#AtO%*r3R&OMVzt?;G9~A=HIpNj@~ZWV!YZZegi>Cmyh`q|B?RZu2yZwz){VFt zWms77qOs~RZZAov9oMAC9kRKs9=C_Be@0A0rSy+HtFw({UH__Q(`HeVgWlXV;ru^a zA#5R=zt+EJlg8Dxx5^;2Dkp(tB{4T%D&e8slM5tqJ+oxpjK)pqxAY^79hRGT#iHBC zi1vg6pWeW48-AvYb@kb3r%)P!RC39hlKuHZ39O*4NERKtMvtRyf@cfy(O>1g1FJ?D)Zf))xPIKM4GnoB( zHt~ya4y^c@PqT9rCnuH`T)Qi<0aa&YC}*AMdIi`I5kEek(7)Rn(=+a9O{(PVLt2=>z|5i%UgZS;t) zrH$vivC+S4v&r8=D;teWkr^8YB4=vnN5rcvfMb6)S+-yP4qNC$Zd!0#qv&@^FXf@` z!xqFf0k#4OP$UD$BfZdm8(Z)+x#a@m|W zxpVU+_}G&gnesks(BUh2Cs(`jgb{}*N$V$x31Mx`ynMC?J#PPd8Fch@`bJ?D8~XZu z=NP?{ytG2YBR{E1&TludiX*2^S-6&M)Ge)JC&kJ&_UT6`9~+=9Ys`zlZ@~uC*BcXA zSN3QSQz1#ra8I^+xJh3Ire|jQ1U(v@^`@dEcfk>7ghY-%x_-Q;p~R^4B{M(z?eLeD z&URL*(hu+(XEWCfZvLqfy*y$<(UXXsjQzwoCUi zap|!Y>{>m-b(72ksS-Af)g2B-Qb{y^dgd{>wrb^hv?G)M#vP?g9CPU&yqX)m0^*}f zCDu}(N8g_C*DOtb@$o@x%%d&3zIQzi^ADI5lMTWvaK-jy%kIU9iHt}OI7Vnn zrI83-l#1@Ir>LJZdSdkZ+f1iJ^O3rKPwjCw$@mZn<*nDQ2PBL4?F!t)}cwgjhT;XV;+dHQ*p->8&rTtx6VK9y3YDTM_Aq zy;I8}Bjw`S`t_vbsLbhHUiHxhrvruqOD|HG69`i}$x4%2}CKLGf;;o&%d(Oew8iZH+dGHE>q6AG@R zaG1k#M&>Uk=rmkvV+w2@ur~Z)I70m$AqCyquWwX42%aU-y~7MdH(*fD|Me3ArTKvX z*X93y00mfitH2D}ldT>KFbH58?k%tdg@vuF_M)I+e`!@>?dl{1UGkBUk!B1gBRTmM zU?TxgodeJ0Iuj;00E2-{OiYL({LLa6AzwcMACT99 z1Xw{_|FhO&&jwx&C3{L2pAj!FZx4*Z1MG0+>eW>IKYvo@j5VlQ>S0s}^s!Hawr+yJ zaEy*J08nsPbyrZh06Z#@ny?d8fKb79gzD(%*f}~H!^jWh!azAF>M6MYlsfjv zi=?F3>fNzv7#l+vISHJ@t!K8(N3}jzaB^`?cOb`8lamqW&YdHSEzC$l z5n6A1*f^W>U@*+*`a>_P^a8o_p9QqscJd^XH%!3gLr0D)#{y}1ETZpuQJA6Yb?-GYzaO7)<5o;7~U(34z zjgI}L>}AO7VXSXjWzk<|*(p++@1G+UT7);ltsaQCP<1KPd{Bz{JoccxR6=wfMY<~9 z(xu$L*)EpC{f+0?m_eF-XYys;yNA0xyf-Ji)uRe6yA6h%$bgfZ#wUMo0+5Bn7lY{Yv?V$?0!1jjx#RBLuuX5 zoGjMw8p8l($CpsGa96=5 zGX1sG8@X~gpZ#bMH)<`pw`0?@nSJVeCll%Vu0qoEZ$sr;1Dy**<_(o?$F1FDwKATH zfibI zMUU;!>E*DGrf__l)YfiNL(wO?%LX~N_W5&9r`$pwB(WtMxCz<`9@D$I$q+A*zYl-Q zFuc>4Z)8L4?0lQ}_o(kH-?4ZRmjIjo6}H-ZTwnm~Wu0N)X>57DlXJ>^O0Njf4c`%PA&&4J0aQpTxlX-y z{kh}t2T2e6-ZA%f<+C5Icl{pZPN)pY7^A=Ny;f4UzMh;VSFHWUw&z*8sMTvHOd zn!XH`tBKOi2^PkchPqHSNcR?hkXI9oWMiJLKapQlYJz=JJ2A76eDU7D*K@j9SzHwH zx;gu{XtP554UGb4qLvLoH)B}g;6DEOis@C7frNokKQ>yIjXs_6#h1IQ73R|ZT_w>MP}>7lph z3Yx9y?|l01-M7ldj!Y`mbANN_QKBaOsN`xFr$5%})Sh{-ZYNrALR;l#({AIh&Cfgi zqwEKF#ZA&oddSxP&Cf9)XX4dqzAiHPMaFH^w?tqtx;@m=z3k+Ngv8Bk%+Wy29Rz?Z zt%x|qzMkD(Hpv6-^1*$|VcOH~TAr7)=I1R0#`IEe5-RkO%OsxS(c%lJ<02IDQ4Jr{ zrZ>wDw^E36kbCO6;oZhdNsh0XN*S2NkV!QX_synO>u=@pCKne6^-3+7b}=2;?>)Fu zus%n#Z|gEtyk*+no%BKPxlc)nuwM1y^>De}>FNE&f&AG^2y+^dAiA-O;}wk8Z|JeG za)maI+hjZ|^6gp2rLo%#ubA;_w;TU6z9Y20X|TYx$q5h*sH?p3r1n>1pI?r+4`|~@ z-Vv*P9qlNH?w$>3%QCho6S-yWYSU*WDX$TOUVgNFYf0J5&25AoceqKSPYvl1Rj=?> z{iB(wdK1xbyiNjde@hWG0*kXhM4X~V=Si*7CHb*Z&ysuPjjg!$rW%I*s{|#Dy_}C< zNgae=h_xCKtD?3uVsJ0r=H`JpY#aH#>+FNP@!%yXQlBR06H}np^7LD?t zm-#oYPbcp3)h_=I{9t3)Xu(#5SUIC#UkJ<9^caat3wOjpm1WIB`Wb z%aIYCp6~V;l|PTLMv@Uh?48N=uJH!ezOqfKqrbN5$b!n+-XXr&@}tk2FNXG;7dg3c zCJH~_w0RE?j~ys4M|BnPFwSAArffUh$51s+)KXQ*d#|_UA7Ron%dS$*ZLC-Fc81sr zs0Q=)c0rsqJ@63$jOo;U^Z8(#9+RgVbjOj2`{Q4}wwFzA-VqzRwK>>S7LnMInN`$MQ-yU2Rr(>0pOY8< z_SDjdta) z8mGRP1pc5u!}G^P!K5P-^+Wum&S04*`;o6xn%yHk1f^G%@6;E=WB%oP!e0phzC7mE zTsNY*wR74S=N4$?v{&kVL!tL}6m8go{>G~qES<(<|L9Ig zNEvR!2nQB#nT37gMDM9nh$=c)!9|STPmWAp42F^HJFE9nV0a)aQ%%_i+7(``QO#P* zX~1KA-gy5<2Iz)z#EdakfZ0&ghA##kDVb0?o;cCH%JKuJ%uHu)I1_p*MIdFtsxZLgLdf&$_0YxaPRdTG}i`M--!7xuxYh2)% zmXJWQT7^3&8D085&|Kr$RLP89&F)WzJ^f9K#9V{TV@){CBE zBs2Q%&o9VpVpLdwE=ixo=Kz@!$!IhXenh=vTuU_D?;)urM)!g@T4nya4(vF_eN{ci z#7p;HXp%aUexqPpzimTj+ui?1A+w@Evgmf@>T@zG_H*FB``s)=J9jC7cU3h;*YC`{=rmILJr+p?TytrXSlxc^&WgN0m5v!HMDNZ@QV?q ztHevy!lUPV<6zivwPw-oPfqyPHKEihmqI67R>(YqE_Xr*QS+bD+qe7ruodjn7(3hy z&Dm{BIeTyi+O?cUn%^xY?LhnE3NYT zRq6bgRF2*5j%bT^DddN_7d$K*$2*QBRuB7srY}iSx3!Z+byutMvxQ$kBH3GmHtuk1 zly}gRlN|olYpC2{5OeFB3Mub*`0dK#7s9UVa+sdUddX>~VmK5XKWWw;Lo^#Xy1o1I zA?@&P!&45t{Vy_#)+?CwLMs=?cN=+8$4}?oW+<+1biB`@Xf-0|o@3Y6s89uB%R0@8 zKs5iA*bq<`p%npt{t~VK2W6}s`e_zKBw6W#Di`;5+RV;OAuAju_3mBzBhs?p<-Eyp zgIQGd^?yX|?nm^A;ArvV3umTCFA{C3Ui!-&<5qj~79Sr~j1l?B3xEFqCa2*n|L}%? oZw`Uj{=aC${^ufI;F+?FU-3nbtvrKocS0!0C_Tu%um9$M0iMjjssI20 literal 0 HcmV?d00001 From 6c7143fe0449a5b982d7a80a410ca80f5f2f2871 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Tue, 2 Jul 2024 10:59:32 +0200 Subject: [PATCH 55/68] BUG: fixed imports --- README.md | 2 +- aidatlu/{aidatlu.py => aidatlu_run.py} | 0 aidatlu/hardware/__init__.py | 0 aidatlu/hardware/clock_controller.py | 6 +++--- aidatlu/hardware/dac_controller.py | 4 ++-- aidatlu/hardware/dut_controller.py | 4 ++-- aidatlu/hardware/i2c.py | 2 +- aidatlu/hardware/ioexpander_controller.py | 6 +++--- aidatlu/hardware/trigger_controller.py | 7 +++---- aidatlu/logger.py | 1 - aidatlu/main/__init__.py | 0 aidatlu/main/config_parser.py | 2 +- aidatlu/main/data_parser.py | 2 +- aidatlu/main/tlu.py | 24 +++++++++++------------ aidatlu/misc/__init__.py | 0 aidatlu/online_monitor/__init__.py | 0 aidatlu/test/__init__.py | 0 aidatlu/test/hardware_test.py | 22 +++++++++------------ aidatlu/test/software_test.py | 5 +---- aidatlu/test/test.py | 23 +++++++++------------- setup.py | 4 ++-- 21 files changed, 50 insertions(+), 64 deletions(-) rename aidatlu/{aidatlu.py => aidatlu_run.py} (100%) create mode 100644 aidatlu/hardware/__init__.py create mode 100644 aidatlu/main/__init__.py create mode 100644 aidatlu/misc/__init__.py create mode 100644 aidatlu/online_monitor/__init__.py create mode 100644 aidatlu/test/__init__.py diff --git a/README.md b/README.md index acc12ba..58d2c9f 100644 --- a/README.md +++ b/README.md @@ -35,7 +35,7 @@ export LD_LIBRARY_PATH=/lib The default install location is located in /opt/cactus/. Then start the controlhub from ipbus-software/controlhub/scripts. ```bash -controlhub_start +./controlhub_start ``` The contolhub needs to run for the working of the AIDA TLU, so needs to be started again each time the controlhub is stopped. The default IP address of the TLU is: diff --git a/aidatlu/aidatlu.py b/aidatlu/aidatlu_run.py similarity index 100% rename from aidatlu/aidatlu.py rename to aidatlu/aidatlu_run.py diff --git a/aidatlu/hardware/__init__.py b/aidatlu/hardware/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/hardware/clock_controller.py b/aidatlu/hardware/clock_controller.py index e3e7ba3..e53fdc7 100644 --- a/aidatlu/hardware/clock_controller.py +++ b/aidatlu/hardware/clock_controller.py @@ -1,6 +1,6 @@ -from hardware.i2c import I2CCore -from hardware.ioexpander_controller import IOControl -import logger +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.ioexpander_controller import IOControl +from aidatlu import logger """ diff --git a/aidatlu/hardware/dac_controller.py b/aidatlu/hardware/dac_controller.py index 5e55b8d..60691fe 100644 --- a/aidatlu/hardware/dac_controller.py +++ b/aidatlu/hardware/dac_controller.py @@ -1,5 +1,5 @@ -from hardware.i2c import I2CCore -import logger +from aidatlu.hardware.i2c import I2CCore +from aidatlu import logger """ diff --git a/aidatlu/hardware/dut_controller.py b/aidatlu/hardware/dut_controller.py index 9ce2583..21b677f 100644 --- a/aidatlu/hardware/dut_controller.py +++ b/aidatlu/hardware/dut_controller.py @@ -1,5 +1,5 @@ -import logger -from hardware.i2c import I2CCore +from aidatlu import logger +from aidatlu.hardware.i2c import I2CCore class DUTLogic(object): diff --git a/aidatlu/hardware/i2c.py b/aidatlu/hardware/i2c.py index 60611e7..29c3a0e 100644 --- a/aidatlu/hardware/i2c.py +++ b/aidatlu/hardware/i2c.py @@ -1,6 +1,6 @@ import time from math import ceil -import logger +from aidatlu import logger i2c_addr = { "core": 0x21, diff --git a/aidatlu/hardware/ioexpander_controller.py b/aidatlu/hardware/ioexpander_controller.py index fbad55e..81ba0d9 100644 --- a/aidatlu/hardware/ioexpander_controller.py +++ b/aidatlu/hardware/ioexpander_controller.py @@ -1,7 +1,7 @@ -import logger -from hardware.i2c import I2CCore +from aidatlu import logger +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.utils import _set_bit import time -from hardware.utils import _set_bit """ diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index 1a1ff86..ef4f73b 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -1,7 +1,6 @@ -from hardware.i2c import I2CCore -import logger -from hardware.utils import _pack_bits - +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.utils import _pack_bits +from aidatlu import logger class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: diff --git a/aidatlu/logger.py b/aidatlu/logger.py index 60f40f1..b26ea41 100644 --- a/aidatlu/logger.py +++ b/aidatlu/logger.py @@ -1,5 +1,4 @@ import logging - import coloredlogs FORMAT = "%(asctime)s [%(name)-18s] - %(levelname)-7s %(message)s" diff --git a/aidatlu/main/__init__.py b/aidatlu/main/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index f24d7b8..82520a1 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -1,6 +1,6 @@ import yaml import logging -import logger +from aidatlu import logger class TLUConfigure(object): diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 50b3cad..35dad8a 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -1,6 +1,6 @@ import numpy as np import tables as tb -import logger +from aidatlu import logger import logging diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 37860d4..649d4c1 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -1,19 +1,19 @@ import logging import uhal -import logger +import aidatlu.logger as logger import numpy as np import tables as tb from datetime import datetime import zmq -from hardware.i2c import I2CCore -from hardware.clock_controller import ClockControl -from hardware.ioexpander_controller import IOControl -from hardware.dac_controller import DacControl -from hardware.trigger_controller import TriggerLogic -from hardware.dut_controller import DUTLogic -from main.config_parser import TLUConfigure -from main.data_parser import DataParser +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.clock_controller import ClockControl +from aidatlu.hardware.ioexpander_controller import IOControl +from aidatlu.hardware.dac_controller import DacControl +from aidatlu.hardware.trigger_controller import TriggerLogic +from aidatlu.hardware.dut_controller import DUTLogic +from aidatlu.main.config_parser import TLUConfigure +from aidatlu.main.data_parser import DataParser class AidaTLU(object): @@ -489,11 +489,11 @@ def run(self) -> None: if __name__ == "__main__": uhal.setLogLevelTo(uhal.LogLevel.NOTICE) - manager = uhal.ConnectionManager("file://./misc/aida_tlu_connection.xml") + manager = uhal.ConnectionManager("file://../misc/aida_tlu_connection.xml") hw = uhal.HwInterface(manager.getDevice("aida_tlu.controlhub")) - clock_path = "misc/aida_tlu_clk_config.txt" - config_path = "tlu_configuration.yaml" + clock_path = "../misc/aida_tlu_clk_config.txt" + config_path = "../tlu_configuration.yaml" tlu = AidaTLU(hw, config_path, clock_path) diff --git a/aidatlu/misc/__init__.py b/aidatlu/misc/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/online_monitor/__init__.py b/aidatlu/online_monitor/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/test/__init__.py b/aidatlu/test/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index cf82e30..90a5cf8 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -1,16 +1,12 @@ -import sys - -sys.path.insert(1, "..") -sys.path.insert(1, "../hardware") - -from main.tlu import AidaTLU -from hardware.i2c import I2CCore -from hardware.ioexpander_controller import IOControl -from hardware.dac_controller import DacControl -from hardware.clock_controller import ClockControl -from hardware.dut_controller import DUTLogic -from hardware.trigger_controller import TriggerLogic -from main.config_parser import TLUConfigure + +from aidatlu.main.tlu import AidaTLU +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.ioexpander_controller import IOControl +from aidatlu.hardware.dac_controller import DacControl +from aidatlu.hardware.clock_controller import ClockControl +from aidatlu.hardware.dut_controller import DUTLogic +from aidatlu.hardware.trigger_controller import TriggerLogic +from aidatlu.main.config_parser import TLUConfigure import uhal import time diff --git a/aidatlu/test/software_test.py b/aidatlu/test/software_test.py index c010093..2858c9b 100644 --- a/aidatlu/test/software_test.py +++ b/aidatlu/test/software_test.py @@ -1,11 +1,8 @@ -import sys - -sys.path.insert(1, "..") import yaml import numpy as np import tables as tb -from main.data_parser import DataParser +from aidatlu.main.data_parser import DataParser def test_data_parser(): diff --git a/aidatlu/test/test.py b/aidatlu/test/test.py index e2f7f59..65b2c2c 100644 --- a/aidatlu/test/test.py +++ b/aidatlu/test/test.py @@ -1,22 +1,17 @@ -import sys -sys.path.insert(1, "..") -sys.path.insert(1, "../hardware") - -from main.tlu import AidaTLU -from hardware.i2c import I2CCore -from hardware.utils import _set_bit -from hardware.ioexpander_controller import IOControl -from hardware.dac_controller import DacControl -from hardware.clock_controller import ClockControl -from hardware.dut_controller import DUTLogic -from hardware.trigger_controller import TriggerLogic +from aidatlu.main.tlu import AidaTLU +from aidatlu.hardware.i2c import I2CCore +from aidatlu.hardware.utils import _set_bit +from aidatlu.hardware.ioexpander_controller import IOControl +from aidatlu.hardware.dac_controller import DacControl +from aidatlu.hardware.clock_controller import ClockControl +from aidatlu.hardware.dut_controller import DUTLogic +from aidatlu.hardware.trigger_controller import TriggerLogic import time import numpy as np import uhal -import logger -import logging +from aidatlu import logger class Test_IOCControl(object): diff --git a/setup.py b/setup.py index e79e954..3326b76 100644 --- a/setup.py +++ b/setup.py @@ -5,8 +5,8 @@ author_email = 'bespin@physik.uni-bonn.de, s6rapart@uni-bonn.de' # Requirements -install_requires = ['pytest', 'numpy', 'tables', 'logger', 'coloredlogs', 'pyzmq', 'online_monitor', - 'sphinx', 'myst_parser', 'sphinx_mdinclude'] +install_requires = ['pytest', 'numpy', 'tables', 'coloredlogs', 'pyzmq', 'online_monitor', + ] with open('VERSION') as version_file: version = version_file.read().strip() From 0bf74b1406605b1c1281ebdf2cb3f92c37da8c47 Mon Sep 17 00:00:00 2001 From: rpartzsch Date: Wed, 3 Jul 2024 16:44:24 +0200 Subject: [PATCH 56/68] ENH: better configuration display in h5 files --- aidatlu/hardware/trigger_controller.py | 1 + aidatlu/main/config_parser.py | 49 +++++++++++++++++++++++++ aidatlu/main/data_parser.py | 16 +++++++- aidatlu/main/tlu.py | 22 ++++++++++- aidatlu/test/hardware_test.py | 2 - aidatlu/test/interpreted_data.h5 | Bin 911987 -> 75307 bytes aidatlu/test/raw_data_test.h5 | Bin 834868 -> 8994 bytes aidatlu/test/software_test.py | 1 - aidatlu/test/test.py | 1 - 9 files changed, 84 insertions(+), 8 deletions(-) diff --git a/aidatlu/hardware/trigger_controller.py b/aidatlu/hardware/trigger_controller.py index ef4f73b..922efe3 100644 --- a/aidatlu/hardware/trigger_controller.py +++ b/aidatlu/hardware/trigger_controller.py @@ -2,6 +2,7 @@ from aidatlu.hardware.utils import _pack_bits from aidatlu import logger + class TriggerLogic(object): def __init__(self, i2c: I2CCore) -> None: self.log = logger.setup_derived_logger("Trigger Controller") diff --git a/aidatlu/main/config_parser.py b/aidatlu/main/config_parser.py index 82520a1..5a4cb7a 100644 --- a/aidatlu/main/config_parser.py +++ b/aidatlu/main/config_parser.py @@ -31,6 +31,55 @@ def configure(self) -> None: self.tlu.set_enable_record_data(1) self.log.success("TLU configured") + def get_configuration_table(self) -> list: + """Creates the configuration list to save in the data files + + Returns: + list: configuration list + """ + conf = [ + ( + "internal_trigger_rate", + self.conf["internal_trigger"]["internal_trigger_rate"], + ), + ("DUT_1", self.conf["dut_module"]["dut_1"]["mode"]), + ("DUT_2", self.conf["dut_module"]["dut_2"]["mode"]), + ("DUT_3", self.conf["dut_module"]["dut_3"]["mode"]), + ("DUT_4", self.conf["dut_module"]["dut_4"]["mode"]), + ("threshold_1", self.conf["trigger_inputs"]["threshold"]["threshold_1"]), + ("threshold_2", self.conf["trigger_inputs"]["threshold"]["threshold_2"]), + ("threshold_3", self.conf["trigger_inputs"]["threshold"]["threshold_3"]), + ("threshold_4", self.conf["trigger_inputs"]["threshold"]["threshold_4"]), + ("threshold_5", self.conf["trigger_inputs"]["threshold"]["threshold_3"]), + ("threshold_6", self.conf["trigger_inputs"]["threshold"]["threshold_4"]), + ( + "trigger_inputs_logic", + "%s" % (self.conf["trigger_inputs"]["trigger_inputs_logic"]), + ), + ( + "trigger_signal_shape_stretch", + "%s" + % str(self.conf["trigger_inputs"]["trigger_signal_shape"]["stretch"]), + ), + ( + "trigger_signal_shape_delay", + "%s" + % str(self.conf["trigger_inputs"]["trigger_signal_shape"]["delay"]), + ), + ( + "enable_clock_lemo_output", + self.conf["clock_lemo"]["enable_clock_lemo_output"], + ), + ("pmt_control_1", self.conf["pmt_control"]["pmt_1"]), + ("pmt_control_2", self.conf["pmt_control"]["pmt_2"]), + ("pmt_control_3", self.conf["pmt_control"]["pmt_3"]), + ("pmt_control_4", self.conf["pmt_control"]["pmt_4"]), + ("save_data", self.conf["save_data"]), + ("output_data_path", self.conf["output_data_path"]), + ("zmq_connection", self.conf["zmq_connection"]), + ] + return conf + def get_data_handling(self) -> tuple: """Information about data handling. diff --git a/aidatlu/main/data_parser.py b/aidatlu/main/data_parser.py index 35dad8a..5ffa803 100644 --- a/aidatlu/main/data_parser.py +++ b/aidatlu/main/data_parser.py @@ -65,7 +65,7 @@ def read_file(self, filepath: str) -> list: with tb.open_file(filepath, "r") as file: table = file.root.raw_data raw_data = np.array(table[:], dtype=data) - self.config = str(file.root.configuration).split(" ", 2)[2] + self.conf = np.array(file.root.conf[:]) return raw_data def _create_table(self, out_file, name, title, dtype): @@ -135,10 +135,22 @@ def write_data(self, filepath: str, data: np.array) -> None: data (table): raw data """ # filter_data = tb.Filters(complib='blosc', complevel=5) + config = np.dtype( + [ + ("attribute", "S32"), + ("value", "S32"), + ] + ) with tb.open_file(filepath, mode="w", title="TLU_interpreted") as h5_file: data_table = self._create_table( h5_file, name="interpreted_data", title="data", dtype=self.features ) # data_table = h5_file.create_table(h5_file.root, name='interpreted_data', description=features , title='data', filters=filter_data) data_table.append(data) - h5_file.create_group(h5_file.root, "configuration", self.config) + config_table = h5_file.create_table( + h5_file.root, + name="conf", + description=config, + ) + config_table.append(self.conf) + # h5_file.create_group(h5_file.root, "configuration", self.config) diff --git a/aidatlu/main/tlu.py b/aidatlu/main/tlu.py index 649d4c1..8416572 100644 --- a/aidatlu/main/tlu.py +++ b/aidatlu/main/tlu.py @@ -45,6 +45,7 @@ def __init__(self, hw, config_path, clock_config_path) -> None: def configure(self) -> None: """loads the conf.yaml and configures the TLU accordingly.""" self.config_parser.configure() + self.conf_list = self.config_parser.get_configuration_table() self.get_event_fifo_fill_level() self.get_event_fifo_csr() self.get_scalar() @@ -297,6 +298,14 @@ def init_raw_data_table(self) -> None: ("w5", "u4"), ] ) + + config = np.dtype( + [ + ("attribute", "S32"), + ("value", "S32"), + ] + ) + self.filter_data = tb.Filters(complib="blosc", complevel=5) self.h5_file = tb.open_file(self.raw_data_path, mode="w", title="TLU") self.data_table = self.h5_file.create_table( @@ -306,10 +315,17 @@ def init_raw_data_table(self) -> None: title="data", filters=self.filter_data, ) - self.h5_file.create_group( - self.h5_file.root, "configuration", self.config_parser.conf + # self.h5_file.create_group( + # self.h5_file.root, "configuration", self.config_parser.conf + # ) + config_table = self.h5_file.create_table( + self.h5_file.root, + name="conf", + description=config, + filters=self.filter_data, ) self.buffer = [] + config_table.append(self.conf_list) def log_sent_status(self, time: int) -> None: """Logs the status of the TLU run with trigger number, runtime usw. @@ -411,6 +427,8 @@ def run(self) -> None: self.path = self.config_parser.get_output_data_path() if self.path == None: self.path = "tlu_data/" + if __name__ == "__main__": + self.path = "../tlu_data/" self.raw_data_path = self.path + "tlu_raw_run%s_%s.h5" % ( self.run_number, datetime.now().strftime("%Y_%m_%d_%H_%M_%S"), diff --git a/aidatlu/test/hardware_test.py b/aidatlu/test/hardware_test.py index 90a5cf8..d71f087 100644 --- a/aidatlu/test/hardware_test.py +++ b/aidatlu/test/hardware_test.py @@ -1,4 +1,3 @@ - from aidatlu.main.tlu import AidaTLU from aidatlu.hardware.i2c import I2CCore from aidatlu.hardware.ioexpander_controller import IOControl @@ -11,7 +10,6 @@ import uhal import time import numpy as np -import uhal class Test_IOCControl: diff --git a/aidatlu/test/interpreted_data.h5 b/aidatlu/test/interpreted_data.h5 index 0a9dd38de362fcb2542eaa867f9d698b7d33f67b..1932c5877afe2b6b68c726b76c88ea502c35cdc6 100644 GIT binary patch delta 1483 zcmd5+PiWIn7*CpXYrD;@%~m&8UD!tL+`o36_~#&#I_cOHkqW{XF>Bx2VwTkOb)d*p z#G}xVLr>x^o+Yz1h1J7)3kNd8%)pB5?WsYS!Xwt_no4_)?)L>aVe6a54 z#O9a+}73}OxoXhn#1Y{z- z9hBMuU=Sg7&>@t)%Y!080BV6lo=MH67G_~DblEhh$z_Io5wqrN_c)cAU2Uh#HQzD6 zn|c!edWGf-UtfPzx;nS8Krm7$NoF?=hUsrR*%@m-H(&V^L(WkCHF=0(SUr8CVF=PF z&>oSQ>XX3BR%0t!`LgbA@0^E(-2lfy53@{V!^;J`e=I)4@64HR)OcU{7;yKP zyIU8{8+xF{)FHlKDnCOVOfiD5C3oDv_3kwh{MoApF;dc@&4{>6Nj%&wS|3rH$r9pQA2 za2BV=#HMG-@uV6tM+V3sMZRwn7o%h1^q2^1{b>%sAXQ#gkd#)m^j%3otD2;hF!bW2 zN$Dl{8bck0RV<}773-QprY>;NiSuwbO*oAENF3%ZuyFYUO>CH-je3jn8j>USE#yzb)VO?7I`Pad5G??sVP4#lqak+{DG? zhrgMlgQbm?>va+nGlT;Mf(D*Kg@dr6sbrmh7!vBhocPo&R33bYANEE3DG%eTUe*+sP?Xj%l2K7t64x~PojeyC=XbethiPJ?A3EXM!xe(z zgmdKf`~CraPR;mg>imlg{PJmjjr5EAk220?M|yVZmpH#V|Jc^fW^kjyp#L?}FYZ6fz>Nmu%r9|% zb^cifZZsIIzef7S{bw1t(O|Is66aUvpJk9Q27-=i^=r(3x&J7G0c$*>N2q8=>VyaP zKZqOUNVy;sZzLQOGPQGbHbdflzmS~94j|}9--7*JW6@AiSBc>;7*+81c2pqPLGt~} zLXs7{muZefK%k+lB838{N_*&nAlmN=`8|6`?z`Liy8?ahCLp5!>SO+%-v22-NWY8# z<@<}vJ8?7-`Z3@6SATyruKaF%IPBHobp93ppT`F-Hon7r{}unQ;}-I&e!R~gW9Prd z69QN>upt?FX+v{$7sn zpXK0g?(gOJ|5*<1>i%Bt;y=s5z01Gk2>+l*oIe!_nK%7$KJ#Oo_>J681^TPpQLp+N zxu1&jSGl9{;x}?X73Z&VM`OlswhTE{U`bV>*c@jQ~r;0j{ko7dH#p; zy#GUazW<>-|Nl__;=dtJ244R34e^VN0Gf8j=~qdhqF@uc|pE-=7?H4iVZ=Rjvvi;eoi=l1pMdsKP~W| z7WhvK{HF!}(*pl#f&Xn<00m}_kP-g}&-sH7p7_r5L>&$1(9!-4^p|C3e+qK6wEP*u{o9ZWe+@yB`(8qL!wKGKa6XLVVtw7h+1k<0{Ld~Uq(J96*fdcXG5dxo+cf0}k+H`h$b>kCB{htdLjkY;EFn z^b(z2;5|h%YgkAh&h=0K4UkuEVP}G*s1IlSr~d|rd7Ifen%NrJS=c)s=4#Mf z14+c$#LWWMO!vc`P&fjTIPCp%Kk|?M-bWwYul(@u_~(Cje-agim>1cPKrUp>i7Xon z;hQK5DjGTlCKfghE*?Gs;V~j&5>hg9isO_gs7_MToC36fj{YVDEDJm(esH&-JXliNe=w8;-zhYo$WDHD9 z&CD$H%YRx>SoEy;`HPa$vhs?TuPUpmYidDVeM95x zrskH`w)T$BuI`@RzWz4@gG0k_-@P9h9UGqjlT*_(vvczciyxMjKYm(S{k*omvAOkS zd*|!7-M#$-Xae2{D1+N(ViWFzCbr;>9OQce5C; zK7E@M)$i{f8S>!VE;Dt1g+vN;?RYJ?gTkV#T<0{M!$jue*F4DW>RE8-%aV(gUqXzC zQ|9rfU|g|IoJZ-jD8mb$x~Z5~IpJ5yijU*@HPNASon%lddjP7L8H*cooN>lomd2mo zw7pYpXpBm_U>Nbld4M$YW0f{gie*3k=xcaJ<|CTAm(#Ypxv#OVClw1k6S!)AhS`w7 z5-{j9gDgC44!TliE*$aKFHiTP%r?(oVNo2K-uLOJ6$I=gflW7**ooUEu=u?n#y(`6 zxctRvo*^qV^$Nr0?khJ?2t4uZ94iRF)@|Tk`%JS&mhQ?dNS?W0)|`3DL#%5YTv%3% zrzpZWo`Y&;p<5KDbL}Rx3e_1Ri6VAK>+z_Aw;%=Ugr^PlU53iHo&9NI?^<}x&F;>~ zxYuloWOGVMn3aCIljnOuc6*RJI>5Mm#t`0z35 z$D4h18dn}YkJ!Un!NkZ#eS5N;E#r%OM++F;STkS69lvzL+|ql5q@X#kpQlU=)4cT? z0cD_enmRk6U?U(qKZUiW^xy4CRz`Z4eXBnl~O(rXK zPtRMwvwywmB$I=GYoEQt{%4mE7LeGxV-j>Rr?#|M@is%EO)uxm>zLib47WZFd?kKp zNU93Fznt-89Fo%1x;1;%r6M}QepsO7D~BX&&YfM~o0SWCKvINtYM3KbB#V1n#AC{c zUwFnc&EZ5PS^+1vBcp5U8L+m|=}1O1qtD81pR+)MK6ok}RZJ`DjC$dn!R$J_slThZ%;@f*|E( zb$M5-NpXvQk+W_%^=Efelvjf*dzGoe*U&%#?RW}P9KjZO7mcTfCywP4H$|EEua3p; zN8dQxIlI>ei1Y#+_^_pKU*z^4h4eqcGEtcdH2`eS`(wRlpiqIt-SBLQ`u8Dl*9RS zT79Yls4wj2c)CIN>b?|yYHe3hwPD!=+Ib$ zt3z+9&O>K2gSRIk@%`sEZz2H)Ws4{82gxuNMmd`JcbN^El=5@y`Btw8o$s*F%d9Q} z6|Q}Kg;0Y`qx&;b64-LvJ@m0pi!uEx#ia$UQ+M68z}1Il4UHokRE66?tWk=~g!W9a z7d%G?1!B;?yf+vjmj^k==wHZJ*`*fr`VvZh$!C%7FdVVHEZ}&%sr#Gi+5=XQL~TXS zZWOHMDxUVaL0Z}(s0fo-fnzTuC8V`$rP|5{eA)k?9m16)60&9Jk0(v(AA8YlxwH}Q z{_)heCJwp|ih%b$*P3f*)}8m>j|2`_xQgTot&gLt-4QWdAEGFq?nMI%)vii2!~0H` z7V(u|p52%K|aXP%NxI-|H{eC8tXD`7A^9`2c{WNXYUHOSS_!EL=PeUGVeN(hDMo_72` zI9?w<=9yRj1}Ef9d~#YH^F`yU&K^EVc~xB-QmUB`-+)ClY7zH=k!>pNrrwFA&rG5g zx7u}6GiydySYva&WA20Y)7<9mBa}I%J3UDRk~ELwYw5E`xT6MVHz>*zzlBGD^!NPb z;ha*+VI}XUas6_;Cl}UK@7Imesi@mJIQP*pfD0m~=02hM-_V`!#MDpipJd<@lbifX zC##g&YE?BvehOH;P_#@?$)10($)DXr&oqMiq?O{lWT)-m0wKeBiO;OS4qpl=?er^{ zQ9JlQt{Pk?B;^p%v`JlBg=je?r4=Iqqolg7)xAnIY9TqtjG+ku8eEJ%{dfyCqWeBUsrfd@*msWB9Ad=EMcX0l)@r|IcITc+mzl6#< zz!#ZY{;GCj2~Sj9?Mh5e_3$>KY;oDZ7^%bcJh7~qD$ux0gxPkW9eEe$KAK@e z+PMV1ZhNzgd5nUA+3ZHh2Hx?L{OXr&?~h{3djP+XW0&ptgdRo_6)Be&MP%d_txbt& z#N}+!$ggamZJr00?j(I+7jbozb-jDmN44w3pss#&!p`1BF=-Pw&*1d?pmd8uK&++X z;JA!SSkdzj>@tQ{k6wJRyOEss%e)ce(d{C4SunGuy_l4fZx%MkL zhqQs2!`+nUjXksyIwh666pX6su^>KaaS5GJQr6-51SY>tXxM^m`qb4MLD3bXl;b26 zxJ*DQcF+a&fZ6(Lzy3opgSs;cos#d5$v>YEwf4M=LeNVB-dXg5M4P3n%~WUkmCPIi z3M%_oAZ$SuYwx($xy1u4AaFUmb9|EeobbckqUVDrt?S+Z4gn>f=#26?ul)XrZLoKu zYOZTk$Snw!{ic9X25MjWqegrQ(-&=@#0#Fkx|skr^29i0%gUE+?xF!zvUBYV=4E&(f_O6jtE@^{1PwHL|$yj@W^sIRlUParal-mBq zZRO&L#jiX`qw~Ay$Hc7@(i@#`0MD{UgsX+7uKrPVaaXG>Tbf$_cE8WwFd5@U|x z^&sY)$w+m#^n>#{dQtgJQu=gm?epuLB62BNW1B1jQVt-zWbw3!%4PR}*y5VkEuE`- zc=Vh+O4?UED+rFk6I}}EcqW8R3F8C|stHsG{Ui)(W7xcE0tG@lb?Owzga9oqEgc;_ zJu(kwm6MZ`mzP&iP`GqSQ4yZ;Dl4n1lBuezYiPK`@bI{S;3f>uObGIV6Y}{F$Dbm{R3bGA_#(U>q#CmuPrDnEG$BR_v~45@rxHP%3i&C`wkX1%(g@*Fum8oQx=ZiDg2N_yj!Dm4G1$KVV29kcM-Rk&%&=l~YhqvbKZg z?hX!)j!p=!UAuN2hO-Nqi;F8fmv?vfk4{TVPfx#xAj25K$V7184}!Ab>p><2J)D3b z=n-7|$1t+9vvYECp5*60Z-l4o-90dRdwcu(`uh9($@&Kn3=Y8<9vR)*hiBao~92{I+Ts#7}zVHf$ofBT9Ah-a7j0aw=z_=(NAS@;> zE~%+!WNd6=3_+$yDrWG5xgTst3161*vsESpS;O_VfpHavt*sqgT{rje$mr=l2g;t?v<9;)YaA1!)So(-H6~dl5`WCTyrf1wfMo?c*YP~TU%Q@ zT>XxY&dx3baIN3G8T`BkuS7OBH#fK7)yVet&d#wNcun$cclQ7V9pm(A1_nkXtux4t z2~LPP6GCT!!3rmQ))*o^ckUb;8#_A>zp$3JwziIruCDH7V~9u(hQ7W&y!&0 zZGVM7HL6A>6dIaFX>9nTq7xO9l9e-t%tcV)FUk*s6yZy00v&>sYav+64=|zKgD+ka zZ1Bf8aR8uQ*>^JsnEzUheP5LQcYk(K4z>=c?;wl0a+Kc*)f`ZVeM4e6Ol+a-{yCPA z{Q>HM+Wo`T;8T>fUjo-5zr&C+=r19_(LJ>3`D2|kez<-IgPm;aWp(kAs-|Na9A8MO zJ3c*m4^Bg9?_xf@qN7g!km4{FCk!nuy-sqN|`#Vd=mxP`2ojx%UTL z5~(>25;N>FzUgFi4Kgmx;8$EAyxr%N^q>sN6BDT#>0?}Y$+0bj9COL{zX;n3bqBb{ z0bAzEI(vNc^1f@=SBYdql;}Jvh)1y*R`5tnGX|nOQ-LMtNaHctxY@O&Nm}$ZYdTp> ztkBAQ{cZJHwu~^@89~s@Ad|M`TYxS`Q!o^uDPb7UvryPrZb?|cWvJ&M&lv|^RkBHF zHeoy@UeaRP{NhEg=I_|bW;-62)6|zS^**Ks7@2=_OC9rl*?vaKy|tI8af52+6~p~5 zg4y!i_vJG$w*W;Rs>laL7bW=vdr8G%lGaCtT=qKm8mj#|=TQpYZU=FE5^Hi+4du!Uovx$;MYeqW!#*>Rm}cGJ`uxJEY>=c=?HmqZj-Ue?!TB8RY;1C{Je zkcasJT@@M|eTZ$kXk7CN1&xM0uI##2Te=eynsFx26!6c##o>@+q3Jqp15B2`#XF7? zg`6CfCTL@lFF9s1iw(GL<6(CXRE2|!^I6@eb0$I+(={KitIH%W4(9~=t=|Q>n*KTJ z{wh2~Jf2-6bQ-CTT`1c8N8-q;X;X)l@m$wIEpvBaX`Rw6olCH3z@?P!3q?cs!zhI2 z(_hd}p@}~20d8V@q1@f=bhKM;&3$fteK#%=a9ULxV7mK6C3tF5m;r^HB?kMExM;ph z&u59qw~M{(ZLS&z4utreyQ<0pDtf_Z;$+K61-f0Q>JN+8SZ?#hu96GrgP6%6`Gvcu z6c{8y*7&Pq)q25Mc42m6a}pn7QIi$YdAN$1)0RI;JK8z{(2B<$O`WuvDB|Z4Et5te zp%s(u!ljpb<3o+uw3|)(J}6n8I6DB?GF&>?0$#jWFo@ng&wR73p3Qe)_36jLVt(MJ zFPm&Dz0b5ii?K8?^-^7~Oel@oXl}J(eBG6ggbWklwj}n8rA$6RD}U}kEaPoXD{e2E zj~dj;J1TSQqlC;^@HH933g6|kzT-w|P8z3kGQ?M;FT`>aLuT@8jMT2`GceRU!IgPJ zk{9FpoJ}x62fy0jPK<#1i}T^)L~BIJoeQ9X@)j3m}7Lsc|P5;2H7qDC?V%7LDmLbSY}yw|jj-Su*ez9WL8TX|&i#c_* zF%Km^qp|DcZ$smWVo_om z!)v~QoO_J%=_hA062hWB3I@Hw=QV2ApgCXos9KGlpy zGTBx4=DGei%DXWvuj7XKw`IVYw4hMM_T*^}RP{(IVXZAM4)SG*26kfX@v{;d`5*PE znL9;Fm93Y&FL{!MCpJ~TtP(bhOaI!=TFMdRLHd_I4?5~6|E4#DWPjIB!ks_Tss2Sq zU>zQ<{zzlK{Z3=nAv7jDF#RJ32w)QMA2~n(lYsxog#wri{YNeoz+~t@a-jewL;sNr z1uz-t@Zx_IK?>g~sC4Z@2J8~AZzn&u)YdbokiWLWFPx<*?SAy*%^f!rHcN9C`H-U~=c zJ~8MRZkX8(Y@Rr)+sPal>^14{41q*Nn_<5%%=ys?LC!^Y9|Z67$&BACOw`E=DLp4Z zhb0bFgKBqe3@}1OSPGHLOibc2Yh2&zPf1gqBbT=6nF z4sOmt4uw(SdCe=@cGT{A(bW}Psr3{)2~dMx1e z2-io}%&;cWF(nc-Uw->;q(qs>gUVXA(-iEqfAiHM&%(OHuXFc-k^ieeV5Y#segC6e zQ>l2j(P=txaINu$=~m+IvQFO z24RkCX4#I>dDhXFo;eO-GlgR-qkxZZJ`+pVE1PM0xNN#hzLGpx_MHl}e2>PnStb98 zw$B^%y`s}7@Xen(Q{gfl;f<V?-&@tqyiHs-p%w$Rfe1B}}|XI!5rTaoJH!Db(}joJ0xgN(>k%PMF9Xj^Dtw zer&*0H`M6+Dr8CG8z+Sw#a+sqH$wWs?3GXa%B&rtemRSt6sV^vnP(jdpd!h#3RIb0 zV}aCdpi*arr*lr>PU(U4g|3r%Jy~lbvFKxA>5mJ#Rnx=8@-)n&SI>sPwLX zBVr#C_+=Gslk~Ilb(Uw3wrD}<_Sv~(+)S7yWRK7^uCKgDd6`P5BkR`LRH3`r(zf{k zXxr=1T$8Ok{{G`N4*QtxuI_^9Y9&R(zk=bU4~}118uk;t|PInbYI9v>s1o2nm*gi_7zG zNya$>oYQUip3+@>HsEPKL)$s-J|B!$jqXGC4D=n(<746!q|dqm=uSKvRoNoPahuWe z)H-kqIU_(#F$(&2HR-Ca_mB+EF{}oRt<_>)KFvnnh#9)7#kYO%HUt&yUX|vZeuA5V zYx3l*0_me?DpB=Kq^NjRdVcgRl0n>*;LKUFJ4FgufzhAeCN`$WDsC!U1#Z?3b-DD@ z_tf=%st4^`l<`j+<~EshUcTRRek>jREEHZE^S%6Foo%(4`Th=gILo-S+qlPY87-Pf zBUSM$f9FRr{j8dSx6_<94@(z~K=J^Ztg7LSz^v+#*@e@5ccM}xo434|ihD$t0Yu2kt?pFZ zHNz*OU}PSgR=&&-Ho_xmV(t-_29fIYfMuJ&N57G9ibw+A*w=b?jtV+<(HZyi zp0lfJ7+ecY&1maGVK}Sr=<0t9B(JLb-AbPPeBr8n;N#B%innv>7O&o4JW=em73AvA z8UK*t=<T#iG%- zEbjdbk)BsFv$O^~2b9KsDO^%FS_v6#+yvIKPg$ny@C9o4iS5pa=Jra1Ji=UC@~wiY zQ#zq}ttARQsLDOl+stSeRO?X1rGgrF03JJc#{3ovC6|b5?^xCAuIY3B!BJ1@+DA}0 zqCZ32$VKE>sg=h*vw9u${DEuROU&gCUDYDRqENZX>v3VZ@qc8OX`q}jI#`J{Jg z_{|b>JBFyw$zBV8kW)53g>#At@H=^gWIf7zRyw{!%A*$l;QjRchdneJ1@Gwce$ull zmcZI2wHTXCF)$XDfIK9us2}%=ckJ^4w#M~i=d|v>o8bb@9wdJ8bEg!9^z7eK38~+E zR>rO-%Qfg{7E|6{G`o5eq(9>;eaoYH*~B$AxwK(mmy1U@uCa9q#XViv-X*iP9(2u9 z*^EvuuVyddQ)qRpe06w`~85>`| zF`U=bwe*NfY#JV!;ga<38$87>q^KFh1ezxnKAm8qEF3DV{)oZAQ$#IX@r7Cb>6b)& zA=9L_`BV!xe zyJkP^?BSAe3yDf7I0l4v>|mef0^$l+Zu%E>VRGKcYaXAWIxC^98&Ocz)INSn+vg*v zs2*^MDOjM1X&RZBIcbV{LMUKh7l-~hZ7pM-oU#NlU{lq#R7QD%;r`LzplNiO`U> z5OfVr3SlAPlDZ&pMc@VlA!1=B76wek`uO;#+@s1s=*at7S+FI+!$*%01~Rvyt^JUE zgiF%v2caSCBV4{W6A%OqAh$td2pWQ~VYpr2zIzAT5q$jyTL+-P%Y;J~5(5JR6S16t zfro*|&cVUK=?9-K&4eIsm{dc!N1j@Unim1gKk_5E2(yrag2JL=5?Usvrlw|o5Qe$A zxrK#g`bT_Qm}|7Nx4(7=v44QGje`@4hkq0NASe;Ol4>E43?l_bDvU$E6=7T-=Dw_h z%^zMjH8oQD-Q7JH z`}_L`XlNLWXU;G&F*76f9%m79k%Jc#)+QL*aCDss2>x3D2s?KfdD8QPAbnV2t{^ag z9fmN^X#{hQ#t?y-l?}o--nw=BHf+}cQkTGm{o;o)rN-GuUk9kVV-djHr`lTUS9tA@#81bBYJUlbrqo& z*Vd0C48AdhLj?mN@Q)aLKL{1(@8NRM!o)rXOg7Td(Zh+KM!>)TQ~5_kKCD`YT>c@M z4}VJN@W-U8YEDCdd`LP7rGH50!|8azm-hr3%;&=iLcS9a1o^>U{zyFn%yo=Wpdh#k z!NDPLJA`HB=FLDlBnYct3>&PxC_!pmGx+ZP`-dFR=q|tAgaK^kIdO0d+TB{5AVArj z-QQhX{ukeP!|re;{9~>5-}t?a0voR&@yejz#jZIZqdm-`%ntf1w+T^w7ys*0{`bTt zFH39g6eS(=l>m-;J+Dt7w}aK{wqb7HM)o808Q+vV*t^T9Ner0QPX=#n z*k!5iWY8BU|xT)>v%mosy61$9(L9eiS5A4h z`oRgI2j4vE{HmQcL@%gU^i=YuTm*?xFE3TEL) zZ5jaDP($K7a|1)#9`et*Irnv|2LnDIRL_r*v#FEnN;61+(zobu55^w^eIl29#(|%j z`hX;|wVrXRU^MN<94UG~J}|VqC#^Ejeq%=W6d9M|ef@B#{ro2@`#^rK&X?yN5$A&V z+JL@6?#`=>Idv)|gCA>3{Te^7;;B2twvI5iR$J49FRYw-{!WBd$2uB=&*fooi9D0S zc4a=eIhPf+)lkL10`iyD^vSd9G!3g5p$|fKM1Jx3^i39h#L8+ceEbrZ3&Cn~kbM8y z+vTbCrvhaQ$$4rk)+9$4#6Q2t8-Hcc6^;sQ^q02-$#0!k@3ZnL6S%-rrdx~8bDx*j z!^wRqbCl2tkaDcBJ!)1slYnU{6k|_Pe2bMc%tJB>o1AO|QgKiU2fLNW9;7ApEGuMa zkjxCLaru1It*-viZG~n%+K{vcp#pMUp2j_!jVl9}m<&ut2P|c0v1iT|j9y;D1LdDw zUS0$_WG)ypUj@@@%?2kvld3V&6!AXhq_p~Qsh8}jX=Q6I;0XC-OwsA5v+}qJIk7^JiuP4(yjyi zbC_z{36uJgu6oB-gdRD~6+&L8PzNJ`tV9d+m<2wg+=@{s{~n zbpubF$ZR<4X9Fk#%s@a-XrvcM1jYWB#<|L%7uUJa6&7B0dNlDc#F9ADG*g1$lL>Ez z-np7$w?zcKUM$fr6Umgo7DY`r2y+?+Ymw|AR5@xqiNNA@``|ur6lJ`5uT!4Q*t|gH z20@H`7lB9%s6j2|d#XlGn_g_An{bYOi~wJOQ!`53`$1}PyFc5MIcPAGXYs~yrBuAl zsP2WMli--ZQ9X9nnGZ9C3=PFMz7^Dr$z<0FRowDY3S}0fs%6>1llfHtG&Lj~y?v?c zOfWA<@hS?$tXr>?3HUZ1KsA?8`55cbb+vmJR5Ap~!)_jz2Xg$kSZ3)MmhKjYik~)$ zN{pisS+6&AnACC}zDlg8$_gkmck3Nnle^YC z3F;)`KE+*Gsn~M20s*nS7TP1K$rIs`YFz|jMDOFVIO5#oB8uldE5~!T5=enMVNf>5 zIxz*#w@t~DJTCinEflFTr_YDbcGC?Ds!6=1juTcq(TUx}_HFA+t!dy{qZ{!hG9hhw zv4(=Q6KH?!4ga4cHeY-vHq#Jd^Tf(6RTJ)ra@BI#6<>V6MHyKTQG=btSJZkl zU?l}hWZ<0;-$jhCW74lzOc=v(i(1lRs4@y8J#AmQjexyHi#6YM)fF-&qh#D|saN-x zrSxpcN>Xzh3EsY&!;J-9et7G0C$V+=M+4@IxQ5=l=ijMF;2WdVem{KufxmtqP^nT~ z=AjHhBifh}n#Q_hl-TX6YN+DHq@B{65oNq_4Kxv>QPl=`R?jRivlMZBi_W0I{{*d0 zv@H9vT!UV91%MY&QMJAfRGX7$WAYTGJh8RGyV(7{HcOk7uqJ+kI4*d?|AjM3yV7c3nOP&|d4VR`c{lTmX4=T&E3jrhkR7ClvQzUduMQ>sy zFtX#f-JA}@=f;7{0QKp@g^N@^60O;n-d@M5?qTV9zL-t#z8#Y$FWf=|>I|ot_7h4L zCF)N1&u_Q8y0f_x*%IYg37^=Awd~KQ1Jz2ZHs}pGRyW(Ph7@95n!o$XwyKf?m-q?Q z9y?Z0?W=HqQzXez;alSVl2KINS2sav5 zf~gwWnk7_Tj$UMC=5*0eCb(kBgl=cjrwvjsrzyrLW@J4*iC4AmD>YZ8^^gYlvEpR9 zVntoTQjQFGKh`Ee!|C?viDSPI;ZXGm5#>q_%j&mm+cz!Ip)xI@Aj52X^#mcMn7;9* z_SdoLO9mtESx2$@86C6w2YBN?N*$JdKC8s?isq51 z4AHM%?qZR6q~l1R29;GOc(sU+pD)-h$TG3*o%qD&m7b$ujUghVV(ET2^CfsQ$0d6E zUQTgk&9st{XE0MKuY$j&ZkcqA8zjVPrk4Sk;8(3!79Q;o=I?QEU;BnITXQZ7i^ggu-1 zu%lpNpWN)~7xghDI-z!OH0)kc)B7(#_tyCIC$ujus4c^_w3BSA&rX<)(Dgw=&Vl9C zO%~C|!KqGTTdJI~J53q<&@(Ze&Sfu9F z^n51Z6c$su?CKx2Pe53d@*MGmN2lt==mtT2$?Gs zqj4Uhl{9+VjWY=eTKIL%Z2Rt<*MCCG;4RaD1N=91;%mEi2m|idb&5%;Svj>0kFR5q zC|}NLn}v?)-UV47wnlfXXTrKQ70qNLl=Jicqz4X z;K?AV15XN`twTfpw znPkn@wAe?@p_IPm=fbS6#4#qHijppx?mo%DFaE(W8Rl6GlogWA9dx|p0@1V>n8@eVB$ z8*pyue2dTG5|X=%$8kyJig{%0lc5b%Qc1nRVN5&W=JJ(SJhZ-v128s% z`kG=^_qa#W+F?nVL-;^K$<8yba)e*N+^3^^5PYD&8JkhjGyZ{$oli(!QAHy%Iw3u$ zy0-5O3%8_aRw)<~lhQNHncIMH61QsKQcKzJtpI&ssrf*a*pa=j?LpXOvX2 zql9*~oP9)+g~v!mM0FjXedKcj#qP0H0V5t2S%tHy87qzfsg)v%wyvHTge+T`)cl~bJ7g&h;5$`ykX)4(;Z6jxZnIU*NAHH%LhrDirx zDWCfEEfFW5@s3I5O+E0^ZO!u2KHWJ1hno+I7Et*;gBx2*<{f)>eE~)s4U_|JZ37BV@%!HsR#4!y!W+osAeHO-?9|U02 zNBCsV^2Zfi#O@I>dOWmvM2JRGGT6?O4B;3lPo4TnFd`JA7?PBDEd)vULAa6#j_e+d zAxfBWgbg2MVOvi**!uC3qUu#g1WwnCAqs?Ybap->9NpYtcF5NkIi_R`VI6XeFw2+; z;XFX_5C&}WNd42|5w>}Jl8>BU>hAtw@A$?KB0V&A93CEi3)74vqqAQim}WGFAXGmH zLW6(N5ylB)0z$U)Q6U^G7)Mr*FxLc|J-X*$;uDaOb8%fr7d&=IFbauD8JHjhqp6wk zA;SoBjG5mJ9Q|Me#|a36Y~Y8h#t>xd2OURvN7%a4(IXf+cm-SjCBcB1MmPxJ8Pn1; zAJ)|)c8v&{5H#0*H*ADcX@jr!ObF_jfd9r~Ct}^$4Og$Pe{dMFYD8=rVSI&|MqHR_ zgsnNL0UZOQF>KTbUre>IO(QIaj~F$wup)qI$4m%14;P#b0Xt0Cali(hT--2;DyXJ; zWafAo25jMY#n2EjafFQ%t*ipzBV-6}A-L@a+cz4+=8ecJ4u{jaiy#6{IC27lpeSRA z>d>GQHt9sFd&q9XrjD;F5x_Jg2~0yGfJw;u`mve$d6;~JlUu5VZ5%UU8%Oy1G=T|0 zEAYdrA4GsyIKn(64A{(Z!}l&8e6kEVLwAyznwkbCKVduKQve)Vkep_cfX~W(H+F=@ zmHc2^#|a36RA7f{Ed;30U8#zUk%T;{zKI!(9H6{V+LVK^znm z6dVjQjj#prA(8*Izz-(!;S`D{Fd*m|{7?*E&tX0iq4D9zQur!^6%^s}tE!vdkB*xA z1-YBwAell;iDwY%9)gbo9$F6j!IVARfEdIGuqUJ;v!scB6nFu4a28pC9bAC+Hg4@v z1poh!vmCx)qa_OXtjsifY55^+b(QB?-X6D@m5vL403T##BOq zaVkOk*~Qmi`J<{vY73Ja@!N{Ql(Vs#wKQXwEspBKLXJuY&pzu~z#8Fv@r|u|5v7wB zpy5>IZ9iaHvfxgUa@{s>Kak_(^q3AkS;OmkL1vtXTEOPB8*QmjOYtSwhR+m3^2}>` za-^JcUSbS#SHDn1lCpzGJ<{hpq}!&MeW^=^nVv9LDG_|WTOT)@aIu$!drO!KH2L&d zx%ECgH>6IgDNw~z@ubFW{NYoxf>a8un$9kjbr8KGO4x!U5@&9xxH{1)uvzV{9{z5o z_pR2z_Qz@QY-*s|^)8J&Z)9{z@Z*}>;#|qHkR$1{-3JG!4BRwT`7w`y%{O(FCq%L0 zUS0}w>a||KX?5N!QGFQIr*w^6a_0o?ZIGvo=0C)KnRs9_;+}VHdp)gLiAT@c+I5|g ziw=0+Te2WYu@q2ryC&!^8QGASJbknDzWkV6kN_3Ysp1!8r!xkCt?s2%hrG;(#G7W_ zYUf5Hcj;=1F9?f2Fp*Kh5!D$V00LS>+4)Ao)0xDlth`JFw_es>4JJEzbI_xlT=Sk3 zkqd}$xsYeV*LcYdP-49Fk)ciyzg#XReq;diL3)%hGg`BnC5(4%{?@k{q z<2yX9l*hOTZi;YL_0YMRXgald`P<|8`Q@pW$t<+%WR}YgR?=C-0sT>u(GCHcDWZ@% z((}U`)~)ptd=wb8oA2jDzim+5i2=;7F3)l1D57XTg-R-p^GCI1jT&25Lq^*4n>5!7 zB%Q&t6a5jv_{Q^wUqdM=-H7bXSIsxIm;KAS0v>P1tbR!a3lDLhD^Zm1;WKZa`*ypJ z<6f9TH%Hfj zz$e>J{V=h368m|IQlq^F`KN)})!S|}tW&F(zOpvx{Bm<7JpB=IJ! zFP-sJq6*1tPI>K|?q9sjn-O4@lw+=XaPl$W-!fOkk*gx|W#)2VE;dXL3^opCxMQ>& zqP>O@%h8h#q#o1Yy6rtq->+mdPb!SD9GEHVSeZXpEU3}DS8{i(7L=Zw8~OUUt5ay) zGXnqCSxFzOW^(^g6V6XXjr>XuXdB@1di7~ddk zl#Su$H807w>T`uP9q{P=zsp(v=}h_0v*EunhXg;*hX01}13%A(|AzPkKhK8$hWG?O z&xZeo_yj-ChX0261V7J)|AzPkKhMbjhWPx>SrSfE!@J)INlAp;t~#1ixiU5V*u zv~Hf*oRy&B*Eui0G9C13<*SkM^MpKCO4suJYI<<%+5C*xi$_ z>wkkH>wL{Fm{s65Xb{_&&;Imc-pZFHA)rrQrd&-Q(<8uSV|nex7AT|#7f-&a^WTh& zHE|iF5l+m$>E5Vr6vJP2TZ(LLDFA%=WSH{UOxNpbTQ=sI+)Me)!q4t&bKfwUTHzep z<4tV_fzD1P<^>_UR1Mj953OgeCFg|nDm-_$@eAXlI;R`f0Ja}Vu#Sq)C2`I=T2Gf6 zaDA1R;l@tGK+&r07Y%3F`38LMQjOUQpwiXqG$v#jb6h()d^K(L@&h+9H_Mm{wFQIV zwKPAYUtms!P|4F!{3_SF$=!@cg~blu%M_*PF`vUXz`)1W$hW|?vr?6R}o>xd`qoJa;^) zLZ=e$La|}JvZ@vw++Ls;jbh>gslWOVl+y^?YyZuD$~6MH|_>r^mjPrBISOim7nny76ccf_QBhZ6y>YKo>x+G zrs*zW=j7({$&`-eYjehq>7SkV>ox|u`sxjg^$cs+%gk>;%@XWrN@~0YC9_lvWx+?9V5Yqujc!N?r41ZV*?BxsI<-h!TVO z8P?r-wBuH1c5&xNQeKd}>P~2vJ$=o;>zhDuo;9^EhWIr27zXAPkQ;||T9 z?a4?InLPbjuIDrK%#z21y*`FFg7&kli5}ew>l@2H9p`tI(rhQH#_*HN zz!{ud7S(a%>e{jHFMcx(4DKiQ;emC{?Z__EML844@R)&ji=WT%%Y>Fx(MlU-ylCj@ACWMy0WR+M=09y= z7;1clqNVa^wVFPlhh#WjKbtv*z`)CQ*0HE(!3x_U{uwZaV@YxVxb>G;QCQhjV+!8Ejo|rcf~QORL*uft=#124V9Dg^e3K z3?dSNja~CB99)8Vg%x$Hd^`bR#h`NxCE@t#>ET9u{!{e&_2?pv2e;@oOm3!T6n3I2 zM6>|;ZK89AZ)sk0(M*3nYw|R!eV~X>Cw%MiJJ#UTS0C^$fXf-Br`a?Divl7a_RSug z6qiq{ZESAqd^2@`OL9WH@+0_6&!8~Gtd>~S(nqCFc$$?*FOuvPvjxwlcaZnP>}~^) z)Xl)6YrcI-kBs|TR@aqEUJX&k7#b1xFOo881+RLhz>_b9acICbq&;^I?vadSCj_247QyP`gk`Q<}2%zO$-4_gN(mrvfWo!im( z4K1jj;*Kil1>>9B7)n}E_p{}11l)}(ZRnlH*k{|=qhpd^==cy)ejB{TWwZ55f6%2; z!!7qn)VzIilOU7t_0k@D!o>6@A-V~W^b+~%d+@3X>lA~8n)%2aVNLT0$tle%4sPky z?W5Cc0G(PlX_3}H{c+nQhOmsTeR%xSXC31o2#8Oya!X#)Hv)mvJD9pxU6(fURyRG0 zHP-QH%53j?vFqJEC-ddHlFiNkMcrG6MY-<%+e1pHq`(CTC`hR&N{5Kjpma+~ch}G* zq7u>#0wO7`lypkB5=tXTEA?K3>v`V2_u9XGyw5++d;G3r%?-m^TyxJjYb`kM^Yi(F zN`zcJ6|-zoGw$9ENi|`?N1pL$q%wsx+`*Hv$HEhofUkA<%i5WUxV}fg`=&VtZbR?j z_uX{DZpipImCc98bb#@ew3$s#{>YKA#H-jsOhOV?Nh4E-(1@&tLH64ZA8Ue7I~Y#i z2)8b?-_hXC9J=@VkgSx@_o`I0Z#SumD~TT!5DBj%QL|2?HU7XgaYe9()5f*#^C%{r zTjc3AUbmpoND#FyuVQNbyzf{udU}h1{tbeR(#5x&a{|V8 z-ai7vz^l^ko&8_$xPa_9Q$hr;+(}QqK4i;1QCyv?={b?3iA_B5vyk z!U?R9edN9QXlG~wj~v~iE8BKUmoG9XyzV8vsh*Tk1qLUXv;r0hX|9Xvq!kud4Q~nS zS~>;RG>osL)?Q%Las{86gfwG5|bO-MbzdF8OQUS$pV{Lqf` z=7+|S84PW{Z7f|E3gbpWOamIC4MN`y9Y0J;Kv#btbWf*$RnXGCcxHYZ;TTZL=wCUF zt7z8K$HT9o0|L^U+DBwxWm&{F_fg*9dyr_{H<>U&REqh|>6gUQss(W7AD<$Y)oZp- z@etRs8uO0e;~6w2j%RP0)jC>jx1l&D1oF%~LhE`;KaVlap-fm-LxQ3@qEqF5syOqN6QOw$~q zxLE`o{(^*#BfL2nAP|nIU7g?^XLxgIM4-94K}vNVH1`ZifokKq+z9Q)bOgwO3uQQ> zLe?-^{N zFQB2J!*#Aa!ssOtw5Zr=E>p!j|v>h7}h`aDi1>o+2 z=?HolI2RT{J#VS|hOiCF#1ys6%*>uXMdhr$`><^yVd+y$Ed0mg;O+G+0)d5sknsud zULq2KNP-`e8xh1QsEB!5T3TjSZXU{cgq#f4K6OIv5tXk#Hy2~lJ^0>J>!5DJzE z1S7n|gu26wM3DSZB3WSG915Upva<5p^LrHQdL&AQ8bFmmANi|Jv15dAX#08XC zj6#y|)rC+J`A33mM1XTB83?f?T+jeTDWEW4{xUlcYR0IN1KhuA4xnRffO3q_^aWvQmOd)iF!YL6U$d0XuYsOtvK}v0YHL9N z=euOqs$%g=EILo*2h*%Kzxbymfw33dgAH`=7!bnG8pUJC~5=C zdps_Q5MiIbp+~mJ05@FeK%UQXg@vg`6@_KknN-9l8C}1xCiN0vsVfr6M1V5d52peO zdn?XOLKsH5zE3~Y;xww!w6T7-T-Q>@6(IqiB2!qRI0u!82|mU}P~Fu3Vt%xqb!)2* zzhbq@BJoBk5W3$NEE5)MKrPhHDL-z6c{-bNeDO}<@d95Bquz{&DCl-&AaPxbpG9-1 zpSqpl0f-O6@Av81{WhQEt=2U<RDi+^Gvw&icBx|&CI?RX~gP1 z&m({raxP?n>&uyfQ%ISav)%5xUJJ7EN>YeY+)GvPLq-wU*&j7vRk^vEev83m6096B zyh&=N_Ihtm`39G2w@woRC@@v`M0v6|gb~*sWj|r6G%^cOyT{o!MAKbLrW=iH7~wQha8CK@Rc%Fs{VNR-ALGOdf)OS&}V6a z=o8;3vY4!k45B(f@Ie}S(hEyc{`-EWdlB`=_&&f9nu^=ATW5dQe3VGJk`dT<)+KMI!pV?LQQ|92pvNe>a+`&j%2Blc z%BbG*2|?S}`Jk+O;gK4~O7xVcZ-FB@^9sMvi{{BF(-oYhpv=>9*mR$(=UA z^OM>@rgP+SYKx@-m^0#37(7X@OyyCr*}jc&%PfN?xg(~#XZ0X8e4&9`ABf);sMY25 z!?(2}yK%{APueRHu}tPIcJnRIY;|D#t^>GEP1C9#Z(*q@p}5UC`?E{sqor<%#p7O4 zjhjm5O8UBh=fT$fhZ(dkE^Hq%T4;>hug)GnK}%;48i{1$$D}My0%0w?Z|+oOGx%Wo zx?aDRN11tB((WR^4p9$pz4t?ZBSpY*pSEoLsnGja5-wwdV%Zt)_V4V2ym#8jz2-0Y z7C7319~$vVG7sEe%-2<0E=4{URLwMaEWOm1f8;HeSHOdg2cigNYqCoF0~H_KpUd(Z z=&JgW6t7xXnnfP=d*&9t2@kMGKlp7(!190-@iXay_>=8Eq8!Q=x%>=kYGT!s4$R+x zgBHE*S%P)jQd?VT$1n4a7yKkINm%L0=`MW@-I0qdDAH0VyBxz{<}{q` zVgB{?FB_67p8VwhU5vR1l}q>+q7NQK|FIwP-!VY&k3E_Hjsb#y?8*FhEEN1>Pv*a4 zq2M2TGXEV51^?KS`R`aL_{W~if5$?>KlWt)I~MvE#{BbREec*(wl2TIg|YBmqP zV*jLGhB7tdjHH-2^2}btuN%I+laa|{Alj4qK}|$IW&O};0%Y#trJ`5pY@DV{U1?i0 z=9)HI_x&~6sT(1a;MMu+eE}HqZfm!d;*pbtz`aWeLXE&v@7m1O;{)^m`N|m+^tPc-dZM3`Vrq{elWKGaxkt?58 zV_&6H{rkOvt6ShEHrT|NbSLxVIxJ>emue4o-248O>i2#8r!xA3S?WJAzodYG>%#&! z>()&8N{sJeiE}Yd;m1*^@I|fX8iskTMeDi%*S5t;cMbyXqsPy@@{Ef^dMYWWE%mK61&P7DocCiV#}~5nR7W!cnltwl_=0`*DA?;o;*1_% zos`eWQ;%<#`G{tjdsg}%TY9Z*m%vDT+&Pw%{*rh3>z`*h=*PQ2f+H}giTy-w;44fGvL-CYqa7DCB&CTwP8GUrllvIZ z7EB~>i4I3QA2hzHi4n41%eY_rT-@X!<2lYO{=8x?*oN`j>`$#fKfhmy;FI(I`Q-V; zuCt62>Do)B1|z-+62SjqX!WF=#dv@ZQOcvh$4IKNMY5xMLJ>7ywk31-9wzV^KnSI_ zB;2ZqPIRTzLYiz38Q1&xenY!)^i$^PBb7AZ(>`mG(&rm?^-G1GkJvY@-Iz(7D8;~w z6W6Lex8K}50ud75Z~13;YR5+W7+-tXFe@JFLvWghE1IyZ{LN4OjSyICrNEaO{q`t? z*-^76^Be1-eU3oAn;RlG|UD>e#h0(=`{V0Ym)^}^JO&p-2p?EDu zDe5<+`3=uEH%zHMe+*4-LW{s0TuGMaX+_F_akFc$=9p=m&$+9Mb{bu?Xb_1@ zM=C&~FKPV{J2aSp(v6kZ^=#%hT&0n)wh^CDRs{rLAUWY)um`B*#-P$l{)@T ze6GrS*1f_8<#c9JmO$|miWRrotqe^q~8Fx13rIoJ;Q!t7%XQKl% z1LHA@9Qne5#~k|Wf(irX(QhT~uHEITp2p1KpVOoUepRU@+EL7l-;S1plFw$YP3gJj znYez_iMedcx;ru_4D4Nerk3_d=}r8)eqZ)@RynnH?G8as?b!vA=PK3oZtPGPtQ#WX z_ZJ@h8FoXUL*WOB)kRw;Qh|03MgGr~7((qp_HoWB8o8vdnMYC%y7&Xl^uqp`)om=_ z2oeUa>+;5Sz`18`{koXN>&&-kl$SrW%IEiLnGFU^abpt1>mt%CHUY~cGq*6&=)sdm zw&~@iopwKi!pJGpyGi75rbwAKFO`Aaf*PZsXK5>FN{?de`@d5@)Jvb5#j?pL-^aTn zC=y!)I!9LoB*HQmu*6KC1|>1%kL5h=ro+;oc#I?V$MQ@@onsB*zP15 z+Dxs;U&WESr;Ew02i{0lju4ak#AX-PH6Pe!-9aWobbUt3z{hXspIf*<2~6H~_wV8W z7C|RhWJo^3vt3BTC?xCW0TJy(-X)C!eXvmw_WR93i>pj@O2KCHB8~My2mD_q0~!tUBk@$n$O$h!s-#F zlXL6a%7Tc2BQyyJqY&OFO!Hl%oV*spyC;}9W)1~QzcDBQznyRC z!Efu(h_nK}7dclsb0>NDPcKL}-0Z4cl~MG}0GHT>mCA7i-;~u%=^1->8#o3zG`-Vs z2pberj2*SB7y?uCxL3tpJu?Qr35%J#r{@=TPM!$aI7B7pj{jCvw{Qfxggm!JOj9v& z`2AktQgEsnm~>2hy)G0OyL-jJ#kaK|OcN(7z4>T3&m+brCh_3Yq?dh zwG+nz4q#7A%Wc}g9!(#ll+FKofHs$JPPEPIxdS3ut(F$>f-O%|VUh?oRXUQ$$v ztX0)|RRGiI3Qi@JwbO^EbO0DSL{04o=#;9t(5gBmD{in)+*W%M4BTdg(b#$K+|L3X zJqX*%wqs1cq8V(g&t%%J%>;!5%ZD8tIQtwHIJcgHvX-f(Ek)~^Zo&{WW(U8}sDzx7 zQEDbZHUC%DjR#PBq`NKW7aU!_ghy+(i^IruUqRi#$h_S%FgR-Fl7dU)G+3j)+Cf&) z=o$CqNnZZbs*bg;N402pw@a$qN9lx}ZKy%UD4o+H@-kJJ_Q>}i_wx$t#&<8vYIuid z&ivRubbWbV# zhp?xFD_J<`P_VQUXh zpWx`k6jabUGb{a1>mx=E#E~d``3CL-YadY(}7*8RJgqvF!Ah6Gq*r=>IIFFh` z?bGo^^tl&_YJEhRk*H>RRQ{U$97m?6Wn|{$pWBg;$+b5k&^tOh;a**hP>eKyVkF!i zg(TsZ`qL4J&jtt*NMTVwcTY|IseL^B1<(37Tt`Q+`VkezhAAcl#F4Orp8-W7;kU6j z!V$^vb`5^c*@(d6LM?720t@0u9v)r;1mZdpap4@u!sbgK4In5C>mX5{oSlt}|avU4hF^wdA#JfjV9WOxMJ{~5eR!rh)Dp)8q>04O+lPA6YMSuz?z+L+j~ znmSkp`4L4XQE6=|m@Y$6$<~gp-c{(~p7TglP&*xENg~cINh}!EhFlW<(V-;C?H%|8 zc2R2&iNHO8*>f~nI(m8rC~~4K$@98ZCRn%%W7<%UWo5gHs#=9*tEdGFS7AmQZi}s? zqzsn|+!LyjkW8W;N)?Hq_^&2RsF@fT!Ttjjr}XtpM_hs(2>$1_s}N5938zCgnT;AM z@UAEwfen+|uzLc*~==}?u0?=MtV9scKxkqLE0 z0ChzZ0T=bXaa+Y?O|MaEE7us(3&xFSxwD z{WDS0@hl=|HVW+o4T4TOGz9tV880Frb#@Q!8+!6=%KwFwv+w7{uU77X((NPHMWwa4 zc$S%U=j-*N6<^0!+bAw+V*y4drU-H?b;}5Mv4&Jp18Z4!?ZTa~LswzaBQ-QqQzmfN zGA)B&D<0{+k2o0|y}(W$ci%OC!_ugeOuK5G?4dTG(>3Ou3l;qxJRFGOV)j(*>4N}t zmx+77Hja6&*EumKf`>}mi_=5L9a$7D&posi$l?Ru@9vhFj8T|=$s03iiwC9BZ2N@w zoW?5SOoAX3viB-(r;fch&De73ZqYB}7mh$=PxbO0Np`yjJqpH72fkl!3qP}GGs%0L zjk#mQ&uf_kgnd5smeNT-mK3*3aV5O1h$bF4(TGiQbX4*bTZv2g1CY+_^>psT6ZXd; zq;wZrk7z77DEm}JMk;>F)j&5N{u?Sn4A*iSX7Ah9SznwhUJ?Jq?-OYI8AqAr8v*Ik zOa5X&_So~0D#!3$tJWJnm(k5-o~gXb33A(yj!wCU*2zrj0npcq=C%grb9M^W$U<+D zUW$81Ess~SEE+PKcyGNjwGwRh;FowNblbBuw)Pl2FkXFgy4t?&T}g7~=Lc#APGfuU zvshw~GUDCVD@LIxUREFM{=QQk(zZMA;vZzG-+dNt3|JYrl*aQPi`h3#R2^t2noIu7 zC5Sk4DL&4a?6^i>l?`a)Z>c|Gx83en;JT))X@yRabSPuRtcxMCK2^#42%`hE$_lYM ziyLYzYka|MjDIq^(YZKv*V)28Z=7_95KVJ?ye9YGk9v$MxlUKRKwu$=`ShL=c0aLvdpm9%UML+G2|O3i+ZX2NeL|E!XzL7EKl}A^%e3g|?Jl?AY-_j` zS<EWe03NmA_SD(>?PX`ACDh2;CQs{4}6#UCbp}(P0@Gm2U{)S4y zzl;?68!G*aa#GFSga3C%VNDv;ffjYRggVg8njrp#a+Y+NbK*yQqOT&eQ^n?)SiIsC zdShKM^diNbTW6&wOF3ZU+YK?3+^!$U;&-?t=>;OQEfOqun$oWMP$sz#u$lG)>YL^R z`?5AeO&BT|0<^KD`-3=JkM&c2g?}&z@H3*n1=^0Qx4%+d6l7NUej0hBPg#9eG*8kJ z-Q}#@$g_Z7H4j9SwHgRE9s8|zP`qTVGAqp{-ZZ}K{^OvJ_eNU>r8F^^KwBNSvH8B) ziQDByeaf=T8{!DvE?vs7M^q&%c4|fKV1L|!iwduS{*1NM<(mBk3EdpG>vAMt-|0Gk zMN@Q?%K!q?bHWq_ntH1ZOjPE9E*PA1 z*HX1eJ8C4FTS;7g+^st^up{LO7LVR~#9nEvD14_;|50LHXiNjzOu>sKseAj&h1QH>Z=ZhU2WT=I znoY)?Lr-swP14?+=k7*IbmOPGc4n|z6203gxB*Jq_iG+%Sks%2m>xgaOTLZufWq>? zNlhOgF^ljElobU;A6KaFwqlzfZR%Xizit1s{P6VFX>ilo8aDzTPxGQVaAB){TJ_1( z%lFsqt6-#(Y>9?TnMa;rokrY7*<#q{8~7UFfcN~PYK)fW-ff25tWm}5j|qo147>|f zqf>xFZ7Oi1JaFgCJrUR-ka@}3hs8fo^5{TsaTV=~;?=?TxYv5XaY-GQ2j!Em$s-&t_z^GcG2pM-vnL!qi<-SWGM`AQ#%~9lD8UVZ z#$~VQM37Z)+vaDC=SQJj_uI;Yj_+=bBCCYmrzpVh$zXzUT#o+{Ng6F|tLXysLGhdgl9&vcU3GK!y8_t-hQ( zxasKnz9>6Q=&cU$NSma=OTf2NSKbh*2bgT@0uyRP!V}LbOM+jy9Z1z1T{zA-5m9vC zH3=k2s0BBu3}0WRd@S$JI%%p{c<{QF`>O3Kqu0ffcOPY3xVi^{Wa@IiGS$JcV&gXP zz}MNSd-;10fwWor!S%eD!q@1Z!HpLGF^(Af>{`>H$YitU8jxhTegR7(XRkKm23uItT`Z1|C{UcXB+iszx$nD}7i*Vr|D4=8uU z);N}>)2H5yd`q$)-X4D-qeEF$^_ zEo;Z9PdxonXUUC{DOGXi)A=wm4j6R|LO{*&)te&0d25HH&K|x|xrL>@vy=*|KGBER zi~?fjspVjF=jN^ZmJS8&-?@anlN(3pY=R;a@+r*Bv+}OS71eftDK-U7-w1r8ExXMI zpM^NqFUYX|J)DQna_RL5#CMJ90QqBwkLWnY#0q(xGep-PB{sDz5|F~0c|*s>^%IOz%ad*Uw|!O)eC8S-rub07?YnR)1A$ ziiMlHP>y2 z=rb|r3Jed>+%dm;cuO$7?kl>W3&s_x3ZmJsbN+1#cl@X3_mx6U0jqXe-FVz){tpax z@t|#9>WxbxGQS*WaVxJmC$dQSOo9{gOUxqrCKmaX0~;JR4*nT=<)_#*H$;On5m@pr z(Qm%=UbViumrf}VXsDGhg!HN`JvnScz9E&pWd{W60@1A)g+WAf>Y?>VQ3Um;|sBsu}< z&7UW6Ar!O9+&^QLeXQ-~A3VLx>=ECy$tfTUOzM7O;ISGbe-ShDO50U5{x~Gyv+!;F z;Tj&9nbXB-2V@l3kv9~xe&=-BWe*)Dd|^}Lw+x69^AC@uV)9N9@dxb5eak;^n(3Y_ z3zut}Ce+jq&;1gUws!Z7i*M_lIAa7{k919*hIVXUl9e}4-Z~f%4M350|exMkMF)*oM8>A$N>H$JLJD&I0=J?|4MA%O&mA0z$7_ z`4>iZmj0~DW_7u#@*;I^3%G-ZeHD|JDcQY)!z|VgZ~MQAimTX1rj$<4iOHw#GD~@Z zXU|iLKlINZ;&CWHaZB&om$IvEnL1+iDxrKgOZZBt9;l?~p1m$4vni(&`s6U+ruNW) zgegtN#QDvDoX3m8kuk7-%)~Cbj;&$n8BnI_FP5B`PqrZGC-_&WCBkLSGo9-8N}%Yd2Q-D<6RV)`#*NsruA$j=)~mg(zXG2*S*Jj#r2=SvVsi-jzGn|6^Bl0aK@z)Q+bm;W67O>WO#zo zBt88cze<<(twrc*6i}$W%V8KU*>tf+KO<-nOQ};#c%Ejx}$b_W4 z>a9DXasfXtTpz-xJ9!!-@_`22eyE~1u=q4&YWGqL&ETO#Xin!oX%8Bn&N~>;hKmFy zwEqmH`2!~3zVi&h=!cr50W5@s)MaEA{_r;n?~FCVKFD+gVgiW(lc+U?S}17^rLDpB zb#`$D9RoH);^18RFEk0sBq0&S`81lp9Ld}KNCe^zWTIGiQA+@&3JT655C|a%++YEw zFs#6YOB60KF*sXO*1*X4+?PZdlk_N5`DZ@OU!>9+HJS&4*q3l@%WJrEya57{0B?!# z5in3yk+TQ{A_a+{f$rqFJ(&jUFrhJ;m5Y)HA*}4|f{)t`7pgcCqRRgo$}==FI<>XE zk8&pu57A+i8wqP84G@?RQyv||O|c-Vgs>8cK!?2YeKZl&C(m_Jlr4&bhwohsuEE90 z$@vFX!bvqS%6%O*orjO__H6+HMGc%kfD$4~c&-SYbOb`z0QN}2kNO4(EQl!~sDuxM z3A5}^jNm6Ee}P-ns{zM_o)4};JU2kl!?`u6!8PZo@_bScic}`RNcVrclyJ}bMg$gg zDgUx54G@UtMg-y${McfE0MI6FYwzkASYA2zDWOn_QYrsirrah%MY~bRa&Mo48dhGV zqg+aO(g5zkh(x)R7zj*exGqB@5}K5#kUM}fB^yd4g&yfO?(2W>C3JlM$doYLjjaYp zr9wOjU(|Dn5^m~mfItK^A}|Bt3PL?VF#KW&+zXX!M`@!G5SzmefwDj4tQBxd4}6*p zUuQ9)FF8Axj=($@CL3Wz0uq4*xg;c$sBM;z2(;xDn0$wf_Ag4iv9Yj>u>QZ) zWzxU;Ki6f#Swd##jhWT|qe(zrgd2*2-0bG%Y{TwhB^Q#Kbw86Rh<-73?{j~<^RzS= zSQ}IIILDJl^t`j$8(6@N7*wR!UD|Gt$W3BD!Onb90LJfNtYmOKlQ_G@!b?t%+zzLm z?=WujK)a+pFR{$yc})ubIDpA2+?^2DD0w0_MS8LjQ&P zs|I_-odJ9Ht(9o9)gK?--i|0r@59fXimGe@zkZVLG1VL38^ndPF&?AwOzwQ&f zci&S2yb!)c;!!wYZQ|3L=D?<=8J>26=a=OtWuKq0{VU{n2$*NsCvAVVhy0r^CC9EFk>Q3)utpTJeEQ_q-Fb&vd@2!!u$v1& zRQh$^$$_`zGY?*T1~6YAyYp+w#$zieQD@XfGsB|8Q~I5J^n1>+#RW7o@ed$70Q<|& zr>U#L@01^HW?>z%FJ=b53_WY4Uo_(6sBmTWYSX zZ~-e~4d^E7gJP~rAS%$+ghkTr`OB0W&p#ch`bpdN`lxx`w<->$cm>9iN;lOK{aLI{ zX}9H~{hCiE1Pjul7lA0$)#bxR+p_X@hw#$9Q9=#;wssDAoesla5>^U#)DV_P*< zf9jn_pfS(m7#UYq*vT&Rrn;ul^{~E6C22u%v7j91=mPuaQJ@*h@cl@)QL28*IfW;= zPi?7g+D~S$bx$s)>byCbWmi={M$+m-!A;ihk^Wc3!N%D*ClV z2Df<46}dGS3J%I74R=m6Sa8ZCI$u*P6$ZVQ%zO0PHm-JCJy;>`hydVzLT~+&^~fK` zk}dtCQCp+?tD$_t5q+a+4wg8WoqZUt4qHk0^ay$gR=JDj#Q@I-~06a zb2m9~)DY3JR+5WWx^@q|T0zt8f$OVVtECQXUqY4oPM?$AP8eL;)}qN#z({g5kl5v?;UP(PSlNztv|Yowt%aq0rtPxtO^k>nLm@Vyf>9b^kJZa z?ZZI0t9Ku;VF`mz6jd1Hr{Rx=HW@}Nc8h;5etJO?-9Dw;N*Qn7M=0k=CmUmY zWSVttCO}?%6HCIWJKqPvIp^5U)KSNRQ_c`nT3`PIqnRK%us7aO( zU^1W%0CiwQ9hhdB5&yz7cWDEX7pI=Bt!?E}H;(qRI4yi4@&2b=WDB`oS*QH_cqL;mCx8!tYo-A(K zDU#ZJxpZY>uIX(RxEl6*!$VD?j8QybAu~Z4Q+Fu-^s`IG?6A+^%1~rQ2WTh0!dhbJ z`{d?IPMB4cFPYr)A8VZ-{i3;SH9~tQt*ZgS*7fa?ShtO7dE>O=;cui-RKNDA!wuxE zD3w24dKIn>!~zzl)7XlH?>kMr=;So~ef;VnKS#$EmnAx+{-&5286XxI_qUFtk+H=I znb$Epp`^r)A)z8oXp)FXtx#{9cnpL%%W?4+hS1JvI&SS)&V;G4NL2u`q=%zT)m#^PEj>$N* z7)oq^a@Ve_fHlAF3J7TxY685OxA!+@obwh5c8@<{x@r=>>-GY)e;9s9%uCg`b~n2``8NK8LbvUr|PWjf;@FGGGi%_d%#89%PFck z@Rs~Y1xBk9i{y4QaHXXCg|kBcGE|Gg_PN~1ddRx{v#*g{%%%d{-lSP0=^)Ufu$a+& zI+UA88{b_fSUw)MoApG#8XwFfy(w}L`ymjq;xgwDry8#I7NOS@YwWDCzvF`UfP~?q zo`mz&=ImMERQp8TT0b;{`rvrM-0y2w>Z04Ot-<`YA@2#C6DZ+=6?d9)tqAV9eNrl_ zQ>URX_4_OfJBS}!?HimbtC#rpfq8_4DTXsANkMYXrc4Ym3EnA2Nw$1@_O0G4B2Ol~ z`@mF1erQ*LIs3FP^U+hL5u2vkli42@s}GS%^*fc%+1EfEn|PMD<&ou2=b=)s%m^>n zC+su+4bL0M#)H+=qSxoa;i*}GRtADr2d_`uhR~USMJYZ~>SL3yE$oVGE5*_UcHUUi zqB1SzIB6eSxadtV=AYCEsSpLdVrFiw>2i^41dbk|{1UAjE*!#o2VeRTKMt-%noF~i z<9ZMuvQ=*P;{k$XQg-}gYsMDF5+zqJ)eBcRj`8n1{<=!5m8+F5TSNg?(pxS}=37^N z5vMpS&ZqWk59=!V9YS+7!<;j%FZjS2Jnr~b$qwgHq`lhMnLn4;?H?9)GU zq}YmU2!1PhelwY~Pk+XKV*HqLuuJ?P-cAWKdHCo3B&EPR(U-xqo68mymo!PV$)>PK z#5%D!$6gbzzL9DT{$eWiHaHXuNF!Mclpm|+cE%od)lRh8(MJc^e&6$L3Fs#c9`H?g z1#bSTy_`ZiBGIq)v_y;!?N`%*i0wFz^&5BL?m%sD9t<3Hv z_v3_oDd|3;CIVhvmc$qRHYArs@5(FA|M6M>&T+N0)L}2dXcJN#J%0WwNLjXf_F<4# zGAXL}Y);Er?oH;sABP*p)u;0JH-ubU3W1D9ZOtv?m-St9#H^w=rTvGbynNQNSry&0 zWK1e189AW1d1_&OADbrNS&O_PKMI>0Mw=#%_ze1Vcl zT-Cq}iNhjf?BWsf<~tr>R>_~gW$quoNNMTnzkh=qICX_>e5wYGS0yZ1|LBH{?K4eH0M)J_|42+Uq@1uKYgAA_d zpWiwrq61E$kN#q(ekte zcm#Z|AG*JxF51 zRGH&*WD*;gpW9a%wREO`L>0DwYv@}hx-8)a0wUx41QhI(Q!}%9?`r6p)HSVbOR1{6 zA!~a!^`9iB1EPyuyh8W%+>Q_rG)=7Bt6B%v&^biu6t(?NWXzn-h(J!PF-Z$)L3{5O zrIu52Za2Pety=gJIw5}@*)d9Bp5M_ky?!Dgr=9ucZFzOqF*_VvutUaX{(0pRP_=UNi!Gt%zAL34Q%y$6 z-qDYx^d|os@yQMKiM?40A>f52>4L$^&NHrKRK2vjeEB(dbnOk#qvf~pcPeaR$ z;d?c=Pa=2(rInpxQY(I45LbTU9aZ*a86WV<#H4(grMNDw=%31_psv4vMlWD&<{2@& zCh_U>_|7cYqTkpJCKHzzil_PDO08qzmVFkHI{EGBite+bpO)jMpmKQLCEN5Pi*%BJ zF|~k{7NHsy_3oCu-K*cY^af3By#VjFw3TNXr>5Q$Yp=+}frTH3yh4F_RZXou`-(aq zzG2{50Tu;=td6n0E3%}jZRhAl+OE`-(4^equjm&|5LnD$aKS2=yNO7R32E(%?q|i{KroQ=SR`&U@8q};BxDbMkh>MU%!Z|z-|75mN z3=)MPQ3Zn*>99c(-mGR32!u5ffv{;rfIn54f6WQEw})&9j^uIkj{QrWOa%Wu!3O0} z{uSu{LnJeE3fkJ+(c9ZQ;HI7MG|yw*@cgk*WU{9hK0+V7^&6aPlwjbmq2a?LW0U7a zlYbOS=une!kISb{gT%>XA!VrG97{7Mt#DAI`B3^e=;48??EC+ zZ^Fd~pS_T>hL$#JvJJ$Mlz*g20|OL?gms!`R=#0yuFW$8C`%%tED66o3V!jIrGSMIKReZBQBR^Az`={5lM; zbMZp*sDP!2T1p16VKN;yOv2kExE&tsnEb0{QVj_w{2&nk4iQI*qi}xs6IeKjnn`+Y zO$MSCD%4GjYT7)9k>L@~a|_P|S6*5n-^1nyRCY@<`6^AkIR_W{uI{uViPWi2q+}GtbV{&*b({zb0z@kKm;| zk(5R#ryl>kk=*&-uqG|eSBamlyjFk}R4kfwKd)i~yFTub*D7zncZO~J!2RHqeA$&x zO|ef&dypF2scez;1&|WIH~RD8E!pLlznsf#^t7DlqRXeN_L8CnD~>kzh3mk~Ix?#_=N;!M>E<=gTu{nRpxQwos&Kt$ZKUi}itlZ_^4}Hm`{!#pV$~vF{z%)=l#plC(OffK!wB*imS_VLS1&aTRO39%_ef8SQ=Y59*xn?*|I)K8 zdrJct%8Oug3tq#j+nn{f#cQ>nsl0UG$Nv166-Wo?c}AAUKWEXjxtS1Grce@H;@y)y*%uRGM0=$TaSy{zk@W0?nHbuP8L z9wqwwxzE!aN9A6FU5ka1d!ApayAIz!3|kGyer#NK>5-kUC6Xs_MpcxR4wS^`u7BO~ zU7aI!Y|PQOP<!d;SH>12)iW7w7I94mfdVdlgHLH6`nQkz z;%mQ)sNy1L%*wlHxOqJ>=WN?8@WJ-#9ycC$>OsO`UUR$*UwnF)p^!jNL`S{7E;-XZ z*-UW4OBW;LX*fZnXJ5vsU)oC>b$#x!TZ4|1S9Lhqj#DVO?zJ^fNxJ`At%g=~9^smJ zO71k2OnVu-d;SG~bUdaAhk(b9a2EVxK#P+eo8Yzd(GOufxY~^1gVyHd=pk?}dSI{tU z-gK~U;G>TFr&?lpp7gt?Be+ayxL>7n?7`NwMj2SKtI0XBZLitrK`Z=0Hc9^Jw}?Nf zw|1f6q6JVKeh{-Q(=YZoX!USRcF+BZ zTqxU>gX)=Y-3Dfluu?_)H9kx80mU1A6d_mldajZR+kTnfayG84awll9a!AY0_2tR^ z>I?FQRYwsfevxZIJ#_fH(Sz=S9Phn73zhDaUC6SVH2$^m zt1Y)>V936H-~Z{73pIrxu%8O=Hk9H0ENFz$_^el_VKB!!dvAx<;>WIFir34pY2b-j znbyaih>we!vlf6JiA`jLNI zp1Nnx=YXtjW9=HK6eAzM@*!8vjd!#sTU~lx9>r{qA5cuv57W7ieS4sAiJY7N{nPvnX0M0!I9ks>duvT6 zx<7M#{J#q?7cTyZoOi>IqMTgZ|B?IwIHK-9l0RT&ZSx-qD0mhL=kUR*8CdlF`rox^ zg2H!2|B--#9(Z;5Gwk;s{y!wg0qmmrj|3FJ%i;f#fc^z9nP$6SISq;w z>Et#O6~7jfc@q@euas=9eUo&lXN3hX>}BpF{`;A2j@Vk%2U!)sc8K>tmO9Ca z!{lap9FGnDFCeRZqQS4o&k``H(pD-7g3AMLvs6+=NIcz8WV$d_^*qzi*srFkcrrN0 z^tt^NIlyNejviqyT{-b~vwA8$FO_dhqXQo=k6JiOUZQ8ohzR(;j+JPBGrX(fMDRn- z&%qa6UR{!wZlj2m)OcMR~#f~mum=T9y!`urLc18xdX$kFJI0U@z1z*Y*OR79~IJRUC2xm3Voep>(ws!Tt0s>N3mVu4gbC83f|F!MJNXrr+*;=%eQt8DY@q8aJ9Wbd$T+iOqn z!*EhV3&0d!dzLCg{_NwS=r;2jO&LQH*4!03{0mCv78UAwzv)3tW#?Yj13s@_oh&>i z=e;o@7kRXwt|RGLn0$?(qd(JWEpZL;eJi+`{n!wcXGG)97QYUIa_xDde*pV zkAC~+72OQ3bsw@ouOS4L{6zwFX{;0ZZUm{U%ih9=jpr>8dRn&!5)wVm8GCe%`1H#=J|S1q43i2WHOF%i1m^#r} zuBeacKbSDtKEv-?@%n5k@98MX%Hq|=P^xk3h;B;G9vCiPa{g>ulSy)*MB&vJSo7#d zLuBm$-SQ3ZOZp>={|{+r0T$KP@cW?~DJjuKNjC^0DJURaBGMu)U4kGb5|Yv&C0!~~ z0@5Xolt_w_A{~N++_gF9eDS>B>vQjS*W=#9FwUAidw`k!+yB4=KsYG(b+vb7&YPOy zB|N8qu&SmdSA4<9qJoN#A+3jZok9Btc0Mse5!q+uKb1Ty4mot1KS)Q+o=;1y9n86A z@x2#ZD{K4g{xm$dbzdV zYw{^|{YSV2T6*#HLV2Ayx4>__$lsBq1F@4bReTDzFN=S0Tytn_a|tIlmf=e34xWMizGWSrp&1*?aqT4*k4#y`ru2^EM8x zquV>(kdMm;3P8g>ad4eE;i~q%ShG7R@_yDKrbPqCWH&=&G8Jkwa+(35YGByQ?3zK6 z1pX!WlMl-zYPLSEq&h(sp)b*5`M-&+X*Jn zf%uGX`-c=%3J&i5gFO6K)JxZP_kT&cg~q@7^5gs)_=Xa&crL%JO6=&IWz6va(IcPC z8G}GVqsKW@OWW21Bh#yZcgW1SQ0WpSjN!A)EsIDieaURyfjSQy7V&TDTT;;jR>7Ep z%IcvpCja2Dw3mf-typBVf;aAawJr16crq7Dc#jWim znzzlXX#6K@w!sl0Mf>^d%6=oFei-D^ofm{cl&rTO)s9$%)NEbm5-0-%Dz>g4Mz>Cd z#b3U!U&g<6-^nlJ%WnpbD{?lG=UL_Mf}nTxKMB2`EbvOJ8^sTVv3kFulG2KMc;%|` zBfnnW@DE_>nsY?V@)0q)L|&ox-Fc;U&ga(jYMxhFRPkPPZeeBvqVrsm_dUX+lim`M z-L#KM+9Mp^C^()rtzl07W(yP)_ih)Z zdQ*)jw#2k8bgKtsR!Y$6InQsLkc-Xojs{quy9xN%Ke*d|}_c0$@Rtf$|?rTcc*i5JPl0yXu+q~Vrz z8u!ZYBO>5E4lR3r^(e&!i?AbXCT{h6)-f6HM$tILBvtQOJqgJGMf=$2*fpU96Y$Du zKJZ9RZyOq!;E-`B{dsUCDW~3XK`i#;nAW|e)2rgf{r*3`T6+*)RMUR^Jg2UGViKa0 zDY#5SQ!7+a&dx3T#(+A?v%pE%c7tbvqWR0^{g==C%wS6iE4I%fCo`d%65dyI7WH@J zBy`Eb$Vo_X{)>(hvDhMIKBng8XZlGPJZWQd$>y)xZTPVn`n(+>8;XlNGkGH}Z|L(j zfzgx5tf@^Lj02PzSv&F?FCgC`fOCnK|h+vYBk3SQID<~)` zrg{g;B;j@#Kv^I3avQ>kNfgvcHbJ&~rjxt}4c!RMy5EEXXEI3(3u{}bk%YxJaQq{W zL|oi)aTM{0Rx~j&`9*4V?OEv!V(9imp&Ct4m}hJfnY#skqqPajBmGd+h&;06Lnl;= zc6ayoeMCOvpNS*4Vf5z?G7x3t-p_+y_c%#TP}sE4xsFuc{AK4pL?b~lHlYqsYA9wu z6y_PAG(lk@gp!pFQacDK*=hdt6d4)u{RW)t80@tHglslLgC@<TNyk+EfPlq0qEF#ZY|(6o)%w#g8sSJtgG@SX&QUrKn-7 zD-9%(NbNZ6`(a>af$B+F_$+V0aTCrgmkDze;GzKMy#-&(zmpV{l;LeEO(=X-H8m|Q zZ5vyA7dJ?T|D(O$6R90X`d|Nsuupf!wXbwLPHVw z!LtL%&kzx`v=hVe_vrz6et?1w<|wpjVZd_&7iY;3K?xG031&}8P*75Gii27}tD9X# z>nNR7Mzgy9zgK1A{9mau)X~giFZ6}$`}Xgc4>kJ_cWx7q z1?*I8Vt@k~-DC94H-TQOdbY(2LCw^^e=}$tlY8bSNky%cHGBgvYnbc4xo)7j>=9U& zm|Ej1<>2b-Ce$%ca1qP)%8!@>lZn#bLn*&)_spBqxz?#my{M%RM`DU4wWb-e%F)R4 zKAA4uKvaqiw?GXG+I7bgv&{y z6$$5_3FI(OychHYucdlQc&0dXaIFMnUY2CZVHR_4a3*Lb6I>?-vQup-wLz zAL9G(L~_7~Qa^mMB1N@x>grkMTePi|POY35wC(b3$Q@i>IVW0y+UVk^)I>(yvuWQ> z7#hN!+`L0h@cgHu!tuAxjaS#VXFzEcgLhctuIZ)ATrq7QlVGR&;xx+3S6<}6*wxN8 zeia-oJd1D2yjq-fCpvFFg_q`+cwIKNs+*VqOR|;TVQ~yNsik7v514@{zPf_@ct~xT8LOj@#Os<5d;UN)gX)d z{FZs_J7P_&BmeB?-N)+*w3M(hT+*c+&)PfYH3+-oz+BIDTqSz#s5QmN~d#dlkpbpoCI1AhC zTC>xJ;8w(n$=mf$?0y=rU)Emn8DzrQWd)}fiCg37*wj2`t7w^SqT?m-yRNioNY{35 z5L&%kq7MQVNvCciO9tvzCW6GM$5!e0Bos_Iqoc_@zTpiI_f4FFEbNqM4rMUL4u>B{y67^ zUaNP%9*46}i6!$Fn%C%eKrAz1V^Q_KqS=4@v04nRl9BxUJKNhT#pUkqZnDE#*8z#L zhVu^RGvkKP9Ot0adxyB*HgWF>`wFFBEx7ytynPc`UD>nYo9+;kR*yW7so9yTy@5sH zWO?y{{&DTnN|Q-Hpcd+lV}GXJ?!dVql2zl@+<~XubZy*TZ|3Fam&2xO^Pp_(RiXMw zMH{tIvPf0C_xo>>aY4Q|Mnw6X3`aq;Z{0wO>!Y&G?lcd(#tRdBm$yXd<8lV3eYs#WfCh%{y4eDQSmOuL& z{_bCI`EpwF8r~+3hPwAZ-SyfI8VZGuMk+i5BW#eR^>4&kj37>!j`Bx72=MR`yUf4m zg8+U`_#-C;w_su8pExP7wt4VJP6|Iqg#D3|fp@t+VR@pjc-NNP5<20 zZtY*(2T~xnoNDczSF3Rc%|tlw{FlVQ6k1}f*~~F(exJEjm#S#+q**+8g8M}nzW;k7 zK@Ap~pF{(=t) z6QI5IK1=%aKtH4Qt<|cB;|hQNR%br}2F9>WhNX+gVKRtBMP?>)H7tc<=YMC6wO6=y z42}r2-wLGDeZ_J9xAgf0C zN0Yuw`U-4m^EKT%jU?ArgJZuv_w=Rz5OA3x{L*-jLWXwDNr@~#|44BDLgKh=Gt<>4 zAspP2&x}u&XHV4!ztirzw&I(=1R$Ba5Hq#rbN_D+3x8{T(`q*I$CKHr`F-B%=QLc{ zbipF$gXfnI4im#Q#6|3GsD14VTVkodf@6_Q;zr7zIY3nC-7234Xql0#%2dD3Uo0t;7~Ri*WrThwlc$N>U(qfu!(ci37FKhPIh0sgx@nqk{H1gJLh#G#*4Xhw>y#%Gs%LW z&I?Qj(PpXR`xgt=|!+DbiiqZw`-&Z(!1C zNTrrBDpOJDy~DGf+volPls)&?vFFdrkD!I=p8HJFci8Vnldt3a4F9R^d9zRSF~DP- z{)Uq?_05Ou4WBw+6bP-p{zl#%9ItCk5kmWgVtWO|8dbE~cNkeK23gk<-{~m2=0k3v zFm!mn&WC7rYkP1nal1i`fG-pIz-9o$22(r0e5KME3L|NO&Z{B z&X)Vjl(Ox~;I!rx-hv_UQC8<42Xo|7`T(!H@JA;d1u9+m=fi&q%$I%cz)KMYxN9LEnQ zuziK%gs&+6m-u%yA#vXUqj>Wy4#z7>z^COLmft(SLs8g(uBhpdS3LY<_Yj>N+)xUL zO3bV7*%S{?q_%k)*EmYbeN%YB1`W&_Ha5Rf!%4NjqS4-L{~Gr z`-UcIb%N7InRu=@4PfCD+rQcY=%kElreWj@j$?8|0V-Np7T#Z*uoN@Ti^!_Ry;wcC z0JxR>pXYmuE55v&mAr82;rGPm_APXA*;~Fr;Zxsmlqv@M2_KffH zi;8RLxYrGCqcj}bVYtsl)!Q#B8s^y)G|V48tM+7*Q91XtA!YFg)_QE4Qx|qGz;%m^ z`&Dqu+|w^aT*KTk;OjNXnX%=4Tq=4)6Yqe~NYFPp{9}VeOxEh*ld#4WW9$67ouXzU z25DJMr|_l;tjD0MpN#p*3*8VbTAmGIhotTwQqM{>HZ-P9_wj7YzMsDc)S_NbdcVWs zWfjznTh&L;ky7f*?d32BICDqa;^C|cZ{!ZW?3|Y#-kZ@LgAD#IKKq8rJi)1P;%r_{Ejb8 z;IqVyS(P_@*~%sBFA>ErrR$Oe=56X0ANA}TV2kJstV+MF2^j6@K_lj@_|9}wLu+ti z6OdA~-H_M0=UUS@hs7vfTGjq>ab4Q8v}a_K5p{`~4+i_*bFQkL!=vH0vdP}J%_tf@ zvVT}Lwtv@nh^+KSUd0JmB}$Tg{r#6GjYa9+Ijhi)%f4A`SVDXi)06Z)swHO;IdTP|D{mYbZ}JUGR8IzorLo+hJ1C!M;F!fdY=vQg>cYmDSS2lG?D0$QTlR`pS)7mY!f#*?dZdrAmSltZR;S~~5-R&LR!!BG8 zY2aL~e7UNHk#R*d=;`}YtSxjxAXM7OR=$TTki@O5Vc=XwW7&CP=NgitKvP*mr{1>*hOPfADF_WrQ_4|Dp;XYa|5Lva|cJx;jz;j7~w@DwSr|R zzA(p%O|p>pT5oStaJYfojJ||EltO6Z!BgD8%jRAI$-ihcu?v6R`WaW$y+JPzREx&Y zID~FL4p|6E+&{u2<+!1FH!{Bc+6}D-i8+Ox8({A@pS5=aY<4$&nmWI9EN=BUGP!Z? z@Rq4d{M&_%lMA#iK{3g{PKhqHe3kYHO_CwsBD3t89-@#$MT$Wq3_c>*5$0$@RfUEovNc+8)3j$%Fi8@Ap@V#J2R`|~ zB$062^x%M+P!nj#fBcUy@~;x^AY=qn|83F^3k!>gi7Q0%B%xCqp^<(lR0Z-nRKj_y z;3mAQMy}PsIiV=>AD?=CJyeCZb#za{P)Vfh<_t$t{atedZRtDzXd=%rB(imsCQuX! zr=*4p4e|tNXHh6{Mk1j!5{@$r%K(Whbdbrya7jcGDG$!7?ay?Pe}%dt;hIRUrmY>4 zH0kPw32oeN?r`phnNS)D=SHNFs7LUM7hJsIR>NG)zYBoQO34w4C?b>$NzBU4MXIL= zk(k%BpjX7%ZGxhB4F{x>$Sx_b=pTS;Nr)x=pimO-UnELlViL|gm5BmKn8I0_0z3@> z6E>kdba8PJN<-IvBgOZ4c)0j5>=o%KKL?Xkp>&y)l;WS1SNP)x!7HL$7HfiO3dkOo zfDa*Q0*^f5?9y<#?uWvafdlRgIi?si%l$o5MPKhjMPubB< zolj9o|Me*B>`?6gVMTyKp`9JWy+i%Gdo`Y3O#1gM^AvTe>VG!FoBt=3rra^T48C`( zzwXMDB6?n@+&F`x64i3YwZMCfy1RjkMZis>^kE(M^@`k0Ld#e8UNu_~pG)KEUaURD zPM0v}O#cMr4vk8Pf+X0<52W2hwsNpCs6++Xxd|(WZKBx%#u@TKFixfG~YT#mgf0xtiS#Or=N$K6hYKBYJ_cIlwzq%VZ)hQLj_!YaNI zQZx|Wl{#8$n%%J<-?qmnlQ)a2x=M1M@fNEhPTV6$adb|g>aSN2H~a}5ODz5o0s0LV zwc8@KmA97FHl=%BTy=im59;>cd3m&Rcz&BPqQ0ry9(Td*T0i4$cs~G-Hf{@4vX;#s>EaW=9){KZ?pH|bH%M1{Mf?>el4FG$mX0h^w!3%*c}Rt9f|gO z(tlQ;>Xp61K3X6!1P*S5w`1THnHAQ~F3K9$H`PYHvk7~mrB5eR^gB}n6aK2Xq}3}@ z*iV%>Ce=89_Q1QD^uC&fqUB=O%=+kl%hzq7NYX^+HIx0q$+(0I_wcA;sEpVFMCbiJS+V`Su5v7q?kVtGO#JxKXR=Du6RkRbt&(*s$+B2GkMcO)Nq4Nn ziBlAqYDHEi;;@`=R*Bh6VK_%n6V+ZcLC%}rzrsm`0 z3g5j^!9rR01SQ>NISb)eoIt!fCr~80=e+=1`AjKY!l>bIPamI51#wfwW7V4+wo0Ib zj4bkT*aRoDbGN36K)1*i-om}KTgIfg{4T@AM>3XRcRbGEcH2)!w|jIp-bJ+z$@m@p zxzmq+TpH8MV)6Wd2R@BvZn@f3?qwAy&lw0P#@%o%xH90O?6ZaUT?Xs%X+F59x2`?6 z9%THBIqMN`#HBYkxy7lZfJZ+eIm@V7;Gh~HSq)#G&T`z>v}L{}Os0lLHnIL{o&1rA z*=x#;n$PCX!4j3uWVTrL5}uiM#clT|lCh3T>|%o^d+E=bDmtsIe}IWowyV@W&&c>B zW}2+y;7KVT(PGo)4YKr0YCSLHwK;%&nzCiz+Z~VXhuI`$95koV*R4W#%XmIWbF}7S zJ(K(na)mQ&+wq4^%<5S<#B>!k4r(;c;j6qcBC1$L$rRb&04hgq(a+2s%Wj>peX9QQ z#p-gL)ml+9GZmemxs`G@ETkM?pH;!RJnUTkBR6K8ZPZdd9G45f&|$GzX&ARekAeVj z=G~Xe3X9nw&Rb{5X?S^&Ax2hdg!HnNX1vY(&sHTLup)rtn6KO4=ljsxbVX2$fwtsfSJo7^OpQV_PDOgP;MGc+g)Ho&h)7- zZRS=@05+O<<%RahW2a-PWk-{%TN(#P2PNu2SSQMdkXS{bYJ#a8zgvDx$^~VPI!$`I zILEUgV$D+wNGi$1_*Du`#O`1&^h@uUSNN}3YIB#8#Fg6)rIo4S1HJ$an|#lqYs|8= ziP8H0Q;I5?5sssRQf2l(J;qHuHRvpIPpk-(30L-La2I{VEk~Yq6_=ZNQ53rQ+{gJJ z`pprJ38C7*@=DadC7EXc^MAO{zaY$ch%VtjR4-gf<>eRrk^cdBn)HwS4=gUhx3fQR zP=F097XQRS0Sha1v;2XB0+<@~M-B=sEUo^?K|xS($R9ZZIW4{p?4o~-Su0+1J^y4SkwGbz-^k+*r&|%$55Nqc;XU z)~>SnkQU@zS&-#x2Ut&hy$bKXxgkuHAimV)Nn#PXfV0_kei#$;@##f(MfnR9lzVp~7v@i~%)+GK&Z`uvjqtWhWZS~5^cPb+?XV9}*(X0?8QGS_@&%e4K2D_B11nt2*Pq0r0rgWdPC-J+RL z15Qqadw7c-uFn0Zm(YQ4(9y;wCT4Ho4CjeDfm$FhRx7!ZdWmYpIgvf<;;Xj-BlYi} z_cTaDp6@R;9|3OV-5ZSev~ep41K-+;RweX`16rbn{V<7c8g~x`?2(_CMde~Vd1Imn zLkuLg#O(2wL7>G=lHE`2bqJj?(}%}zE~72#lKHlOqjSB|9E%n-BZCf<8ZPpum&SO~ zq^;^-bNwjCO|N&+chvCJrw6@Mfm}^~;HEOS;nAfwUr7b=N*1T=%Y!aPw-zh6L~h0$ zp{Cm(z6A>H@x9}6`Z$qO7Z}yJr9NEn!J~-Y=W1`rzCF@D%+Uj!*DUMhx~Fxe?%ljM zzjKUn=dCokqNrb{+^;uXEt1XM;K<}NV^sZTPrG6=qdvoIFU5P<$v=OLDaf#5Ne;F! zuY$}O!`O>{T_@vsTR6mp#nh=4FAL_xxmc7jRBVNQ0P4*Fl$Mz)p$~Ah`IOBFJiIFLFbLQyDIVCLy*P~ zLV~BP_tPfl``Uw^W2<4CzYdB_ok%*JUggar@CKtxF)GEZdGRu870U4nF(}WGX?e?y zTfFY4uTPq{`f5OZ*)cQb(#rR{*L;ms%Ze{zeEErYF{#Ke(1Uh>v*B?W_~xiO3r}%j z&@hhP@U)t#Wbsu}d4Bm8SYocaZPU%gC5Z&ftD%2=>DepE9RP337v$` zQztsZ^cNpw`RaYK=(n9xd?toj!T0yVzG_8m*u0ag9ck_}{ zFYGHvJMU-JnmNV~-Ae2XV7&UIF{0WGynp3wxI~S`!1sWbN40-!%CEIoxTt3NH#*6A zUdbDNg<$TKL(#;{J+GCNQParGE-F2%qPB7DC^c^Y#yPUf?*RM@Bly&+4z4brcNSdp zQ$*0!TBhGz5RRa>^ecEbKC=nX$P_;AVxF^b@#-Zts20UAIoEH!HPCRMJ#I%gG^17y zI1Ft_xIbQGWD$_Dco0@m*R#Ay1DIqzqTY;7$mxc~fYebo?V!xjFPl5mlG@?Xg|ru0 zG>nTU49(w>4jqU|s{&;;Jr>w%wc#wPtsC%a(mkqiwH;hhj*RAT4|=w*F$gf#tzQV- zkM8eVyZP;xsD1G3rqAf)*P2;X?&#gK_k1=6&T~qu+J?t|n4YEO(lWAt46L zm%Y|Y3bA#xMiaOfIUc5E%Cg!uaAAQtrpi{qBqE^|n5>jBxe?kUuc~M2?H5|m!SNi2 zh=&gd=@=ysep+JXyQZdT>|Wh?$|cz2HI*5IRn8qnIQU`~HIG-ggXDtSv%aq|Q%ZS0y_P;AjfMoy99#wCD( zL;9d~>je8{ZQI9*>A5voV=NMl`%Xm^)O?%oaiD6Lm|;r7 zz&osdXpEj!UbSZI%PJd>)O~CB#w|MEkZh30(=&)md^2ij`M@u&p`&*VomPwY=EqPW z&PS_Km^Mt{YT$q~tBgXxEZfbBFHEMdW-~SJrnk{s#x9`|Utmz21*;ewDlfj%_0FxM zQE;gSKP#>IxUjKH$Eas~-{E1&4=iwzn_n|14u|GiXy){9O5VG;1Pb1@2|-HFs~&6J zIq=H`#hoO6DTQxMe4b@25bE6v`E-0K_nKdEnM|pi_t)E$Tp%c?vQ@3MlEvx4l%^W-ef(J$4|2hI#mpJ8Y%cgOt0BiM%jJe%016$P+1+8A!r+qd3pi^wH zPoz0ZO|KM?oI8vTdas(XUw`pJ%9V-bim_k*YwUOOAo;c14kn3p=&7+!*vL-`I&NOw zs@iQDZkWv#*Uus%2JTw8dS|}-x`(HF*Tl;8VZ;w~S~lSu#k+U{a?T#v<@I3gkjvxQ zPs%G*1Gp~jJy-(HWL$k0)R#RabVB+BbIV!*saUfh20^Vc$x{KHN5>s^*G?#dLf*Gf za|-K}wX`4E0gr(AkHkV(eL`dFcJ|Nnnb`QI6r7w2h{zgRc*GA+&4L9SQowvuCoHDs z(+y3J{EE(XdDWzsHKJPPGy=L#o=ITlhP?4H?i+~~OhvNCiN_uT^P6PO8JD?~^voU| zhnxC=DjcdtcKRoBHW$L3k|*U&{G*HC%+qK%1tcL#Nhc>NNFiNZqhb)TB!VH40XuP0 z(@apPbhu<>qEMOe^5rbVQAi!onNAYMZ9+5&PmQ0cBnO~M@{B|h4nhCyr|CVU|K{k} z1ci%eB$06n?_K^7LKJwQ4O@Va8geL<#6+Z$h%^)%2l6_5JE5Y z4a~fJp^(xa_b*>J_JW|Cv? z{3KBMzI1N8{S^2sOf-df#ebE4qs`2|5gk)3h>=UiY!udTA>paZZiETI1FqLI$a`vA z>o1V9I&v3!8E%ZZ4o7EieKN2MHt)%XD%stu5bx971X)O zbS_yq>)q6BD)&)aoV8BUnZ*K4h4f{=t2-`^^?pQ?=T*T-Z*icf8HsQ7IWn7nx}~}U zaP^(>2^Sv@2O7nKKKO5cv0 zZ!I{*yT~Fbb=-KS_SC`Ydz@RJ#g$7O;`Aq`AlfLOndXwT45d;09hHLF5XRpZez2Y9 z9Tzt(gxQbLg#od!!~;6}x(?O1ZVObmepE+DtVCM4HAn?K$-`vwd#wZ}t$swfG2Qy6 zJjRRu+Q%bAQzN3<*gDhX^26DfYi?oZL1Thc$-K6nk#5Y52gCd&x8DYF3JHER4Do1d z^*WLp@dAXy>2a7l7$5fw8h*32*cd5jk#DIlYTVK|)<1litf>ab0+X60ok&(+cFw13 z#2a7c5KDR9ygEU)(`WL0-Mcyku)2gE%yQ;0m{s%CdsI5?#`fd4`%&p?yC1Nf=0-AI z2EvifzvAcVmw!7bb2CHP)PqSp=UQJ!zZ4R=f`Kbn zQn3=eF-p7`<>nrEzTOA@o{u$-U+}&QF_vd2_iiuX&e%8lTyEi?A>*LuBuUB%$UMsI zQb-?vdbb?7t$9UX_SNzNKLyt3FHyhCu&8q|Xn;t6FX0>ap5N~LgmDl2WktY7>Ux4~ z%?we!f7l9z{w*+)?j`r=S#m!W*U)3;+2$W1Rn$e`SQn+0s)V8 z3;W?nT%mpL_sM=&770?m)?+j9b}MtE1HZ<|M;gS{a_U6pq`Tr)uG){A#-F@cZVW9q zjC`Kw-VUA~)sGe4K`Zs+SG0ABJC1!D3#z4-Pg?@Zyl?Qp^7*i(9#$QXiq$C#VkR-;o0xb(nImJAEF>0y^m*Ii zmVS_^wxVyQ=jLsjY`I#_vEXLcQTm^>QMfmn!3j^y+iLpt+e~tC)@_&{Y$UDd1uPaR~avtLGcze1=8IVlVT=~ zo}0#;3d3#s&2d+yP38Fm>c+u+YFzzljM-EaFYA4Mh}-EYTZ-hF>ysPrED zo1oPydLdoH_R#s0RO+wd?hz<92Ionq?`=OkOKmT6z1?Ue{TD&zDf($>;n|!_WcnM0vMR`M^Fk{+uHvKO2OPbtknAhC2|Qq zLJ1Ge#DjH1@|wkqEb3oWBwe;#c!bn6JwQ$`5zWEtPXGI+Up-$RVHI5ev`?IMJY;{0 z8?!dNTfhyztXivi*sb8N6o=4VBm17uhBF#5scK;9HZ!fD&yg4f#v*^zUe$?CU?X}h zl}0xvnt5OmYjP~gZ^0n!>CG><4ameVG|aAIuzq+@AlMLmvrX(ZSHus`k`B+=o`BX_ z{g=RN=)C3oi3@b1DL>+EE~3BLykz<{VKSvk^SVUd>Y~{NP#>PLvlVq~)*v%3{M6{> zuO3>S?GZ(J6Go2C>RvHQ@Xf*Ky=-sIXhF2HFlJQH(d5m{_8rjq z;uld@P2y(tdioE#>lHU1VU5cZsjkqM;+pB+>iSp&NE5fSn$A5RUL`2(zW&mjvgnsj zq!-FH7j1niR;~RW2ME#}jtWTIOnrH!a&r0(b%~FA{`p@Uyf`XPq_tiz*RO$a&H_`M z1Ztte6z%=0NiU;I;ZNF2r%f*Q+1wC5(E92QZaqXtC#EX^{19yJDvK2HRo9>%H$t*r1E&*&!RQW~|&zR*t3ngT4 zCH}s1B|UMsF52bcBvmMRfcG3oX9#*PQB~Z?PeCWsKzNaj&27h!B~M;Hxa|w?(2=?& zh?6xQ(N4LOToox*HSm=*$54~!bGT9+C9B6lY|uRgAK+opAWBeKf|ZfbW^m(O$U)-w zocPyShji@kE!(QgCHUMQgo{c_nqkyTjay0U)U!{(55ZY%A1j2j zRTyN4vIz;kG}s>#;jVYHO}fXefyBfz9$ZR8d#%-ku&wO4c1HuZz_;J8To&lC7A@L6 zVgsbNRO%fQm!y;lvMsJ%vALRiSj9zAEl?r1B{pu<;KT*CtG?{qZ{`?Dd;ZORRX)Y- zNjIgBz4Qlfy;hFOKEsE5;Mn#>X2FIRZ=K`8or`{RF$qt3G|-60&A3$Re=cn4qd;}( zxlg!*r6>APvWz!6^TTwb5bcA>V})>oA72G{DnO`o>1RLm(}qF}-bd;?@mVU$mW3qT zt2%Df%8eO)&9R^>ddBEM<-3D^g@7(eNvE}t@`N?pX?Fj2dG-lZQ9nn4@T5dwj3nK2 zCgnZ_m7&|h6@+ZLs$S029Qsez2UiS!ZJ&8;#)ZJ_w|+e^P#z zSlRvCkrL3>4bLtb7@nr$6I6Uy{C?ugAdgqS)`=n|ho(eo2jMH?;29butUxwgL_$ zv^ULsGIGo6*O~a`HO%Z@7FTsZeE37rJFTFqtp}W7QVWGe0gP{m?u2i}Z@Vamrg7 znU9mR$C4%>;5DzHjACgxyFj6N8e0cP#=w_FESigk)(IJ_gJuG@^0a zxVVGJ`mc-V*CPr>r|7R*_D_7hBp_;R`-w)6dzDol<-Z>Z?sy+zUa9GyBO+1C+|mko zxl~I|8#Q#!<;PoX?~--GAdp(QmRJ2{_lR2JwwM3=Pt&tlO5WjVne#L@4z59&Sq0$r z$wTbl;kWV2O-u&(Kvbk>+eKIj7kBL&($ev7i_R`uZ0ll!kH5ed}u=I_c zd6_jTNBk|pCCI6%tC>|t`{0wLg*mc4sFud#K0@t4-{=6N1vw?}!spD}0_ zRwVC;+*rB{ihp9u(>&Y1#2gfNLDMQ3o5n!hos7vo{P#Vl2JLv2c2G!V?OQZNW!|}X zNyKjCfRjhcylHB2^EbA%M%x7J2?vqM?=PwQJZ}QT+lJ4u&fqSKuAPEW==f+Ae% z8-DXgN@@my*#mcs0{4ZrwxlehaBdqe&T4g!iGlHN3k(ley2izj%)&wG`fsYx6BNv)MM>$z=)r0c{%qTvALp-|`sDTf#$tx49R6Vy4PO?iIK`CJ z%mYH|ao9MnrM|+V5qK2Zj=HQ3?uSPf_RcPE_;&>U#sZbGDzC=~7)poD$h!kuiO)sn+f@~dYgNL*U~3`!zhH;87^ z)Rfc|37LeVN!W5@W&Hp;nxmk__dn`wp2xrqL&YMByg0a3@qQ?*1Y{&Oq3B@9CZw@x z8CmZklSGQSk!J2z_*onJw>zP?9?^#O^bUT9?(KgFYr;pF1sM~I^qyrVX*ML7v7`?7kxM{f@5J7Ig||s!XpVpIti7NAxObZ=rgDijUa=T^_e4LR-8GxE34oX@BCnt4LL1LVTZf=wRk}TN-HI(rB1$apZnIi_Gq0B`6ZDnU*U|@yE z3{XP}CXv-t zCX7o!_Vf(=;)@A94uSg>3MLU}J4CS%!>;^T$HeV}f_VvNib*@9bPC5FIT>)KOQtx} zC7-cLH+O`=dilT;0)9wp0t%iHXo6=1O#V3|0Dmt2IwJsY!pwmqw+Tf8`?rc;m7ihD zK6qka;Gr@BZUBzV1?Z1+gxMQ6*q z|AuuM7%Bz+p30g><6~O+`J6qt^Ly6YOkzcX{KjrNXEDwBU{I`c+A!x&Oi#tWpuog% z+SLEf%2^`(ZjEiG^Pn(saT9o#B1AJ6GZy{DBk#4NVeMY`Xsqmz;_+_UdMt*~MidPI zcLZX-Da*Aq^32P;coU=`lcXPbWmzi^dw`Ev=!^6U;AZw{;RDjjn0Vr@#QvbZKb0CS zTa-A9a?du?Ao4a3a4~G>z$rQ!*8ZYnQINm7B}E@jZhE=?5!)j(!wm72RM2ji=kT)cc8<=jmK3Xa>5}erv4`>GVAd zAI(P(HhNgV_cx3LU+U51)Yx!Xcd@+k8BX(~_$D;m(89E(i=3B9K?19MCCj6E)osTB zS+BBL%T3dyuUKEl(fp)3U+zZIVEI9;u-YT2pD$doM5u^Ps(!9_ug+s)VUdlr6D;| zb&uB5nd*uj^z@B-COWB+p2>eJ#>|YiU1sm6RXX4m{82b1tr-@&WSfxl%Ao9Rw6iv! zU%8DteJ5`0`MBF)Y@)q60w3r+8#j;RIVs92@QiL$R2<-IkS)L#AX60s>8p8??kVhb z=mvUBapsSDKLy_idLC8MR-{-_NyhuJ1*l4ut7{XLALKo+&w9O$Zt<8p?aLiDv%HGw zuiWWBr!v8CeCo+nuX}+l$tGsET0ZxbY-o5n+^2J*QMp#u74Po_B#4u4&bwX>TwS0< zVTJW(EeVG3VsL-B%NP^#cIOrWKA8QL?8>^3y%MB-5Jf4n&UW;Yc(6~7Hs*zDmq*g* z4Svv8++AQ=CVs-&HhsG+Gr1I}iZsKv;&~W_w7kpV;(R^$%6-3dtJwdjp(eaw(^AZ$ z2zw#V{W1FsqCvr}PT+hFJk!7a85R*(IkSBW)f>IdT99Tj*L&P`a314Rkb1eZ6}VS> z$-9n8wKaDf1Y3OmHE+oNqyI8dPP0%DCMnMXEi|~_ZmLeRIIxf`x{4OEMg5LauSc#j z^d@amS8p&sIo>;9n|dvpBKYILHqkVs~VUlCtkwe+ngHQ@S35t-YwEj|4x*O+Q9|aV70TtaVQ=dwNs#N+q zn;hrZSrgqNe(q*rDBcMOi1zuxy9mC1u1e_KSn?L@;AYbNSS~PFJiJTcJ#YU}Nlgrs z@tG?4IoS8@6H8OvsYDCuBD*sP(tEwt=V1~X+^1by;D&h}{2I@VWw&bVPG6wFPL05{ znZ26ir(%zdRgs>RUDA~13G&$;Z(R(v+`nSs*keQP8ELFF?47~KFo@455RRkxmf|9| z`_Mu`7Rp29;g^OXx~D1nO9tYKMv(#%%PDsv{|h5K`_n6iI`f_X586wyZ8X&XKg=BY z8)nuaFq58v@sA`BKqJl{Ngy!!@9jGO60r%O7vzsb6lDJQmZ5)%*aYyi+8>E1nEmf9 zL;n)72~hvNW$0fl^nb%lq1jK+t%J}@VPqwOtgg-u!*}WbeA|Q^Q+)uhi@`~D@$s%f zWL!s;bDfFq=8+rU-FV&A;9Hxp_^i{PrBi>(0b^nI7Kxq`8-2(tm2W8vRP7|ntoFut znN`80?u_I(V;5tIg~I)!Z^tvHiP?SD2U@NitWO77{m?#u!K~*SH#u;LB~ovz{nWbp zO}2_5(S1(6R#>$!xV10g9_Z-*+A?M}L~$?kopJ53`||RHq>VQFlg*zE?f%WX1zq5x z=XjY>^Z4f< zEgm%T*V%1R=#O2u0{Q6T-!kEjs5vnS4A1}+*4|5p0NNqcWPU1 zG~b5~k^A(uyo)@T)PpE$uf6Ssy3mv4^&ek=;=vJi*)zMmTC6cIw+bzS)SosMAIJ!L zYL;pYTB&z-K(SQR`5Q&c{q7GTf3mYwJ|CSRmg=z3z6jQ!g?1&h=LK z!MalTdxgI0qhmCGYSma$_oo0m{adTOm*B_<*{%lFW0!l=;d{>v_WI?Y)T+A(`7srM zd-?5rjIV@z?3TK$bjh`X2P~ET4|RV56;=E9|D!`mN=xG<2#Rz|Nq320fYRNdbf<`j zbSov&0wPL-ARtJWlt?2I(w+ZnYKUPGWk~&Le$AxRZNU5Nx+Ni*01P zy=lR)Q>0$ybg7ws9w7PXAsMxqti0qqdTsN1-OVx#J(yl9G+fz@o!rtr){wDn#*J z*i)}sKM+aqRA6p8w90tq<>*LBmIBJMNnWb?tS{AAEjLPKEOz20G&8SZs_6GDi5q7q zS=xb#4t#=92KLVCBrH$DEW!?iNbvrD&w21eyC zU&^68tTxf`zI#k2bEoJu0mk^u3_DA$3}KjvY)m$L?X`VpZb~zY?A=blY)UY1)&ge_ z48(@WX=AzQ30<{3qY`I!LgTOFT5#PRO=Z{U@5l!Od6wk&@j_&R6NTa~NTfWbXJ_qw z#!b$N*S0n*-t8g}-e`|<7dB?~4i@|_4NN8j8_PSZ&m{%|*qYMZ57#>h!4=$z*L0tr zV-oE)h*bywtQb^(Ofhp~yj(3~A~eY~LKT>ikITq=PTa7OY9T$y%&dKDyF8TfK}XDT zvOO7p;0g)Ay%Iq$bF0`VrO#mJ&pYnUwUW{xgAdXNk0gC@Vy%{XfP@A6H}2K%Kl-%u z#zlR9w_1rD{tA*|Ol)Eg@ltyzScHPEm zPJsg`CjF*<5#`A)RLz^K$N7n4p!J!|f&OOKO3kfzN~8QlAjYq~htQ=j>f@bLHHR8j z4aHy`8nWPrgl+T07mA;abc4|=44h9*YWqJ|4Ps~PeE3D_ZH_DQD*onU@yo&7$+ph` zY2)P2&IykMwqO}S4DrJ3(EZSQt2DFS*0X2CenmAq;GU^#c*ioVuV#~0)_&*{5R~#J zw`u|l_qv>}t!rT8E?`%8``ql6QqavTVdA*KRy^%ubafb)v-zIj9JYVMEFKij9h3RL z{NiR-HydT%)J2# z2Cj(Q4`){IXYrXV9YfN-k1lS()*Rc94ZC>qKv~TyuCBRlmW=Pdej_d`@4c$|l{I?) zkw1hoo*_dc&Y%U&@3EV2NY&Z)!-Ab#ZfHcFsIrlhWrHa6Xw(~G`b^M77NyB%9J%P< z&@wr*e`FE$wwqtoDrOg|o|#$s?K8mJ#&6@O3qlb&KUNRuczilXDAcudzaQUFmB0Pq z^QmQEo#qC+uv{~dm`?Z9{O@fdsXOVtfU=`+;i|+ z-v#fUfvjoT)}G`8GNv0zm|}Nz^}`}JZy4%C(%ws^G0pAAzym>Bbjo8yU88#;xn1M7 zS;NztY$A%cjbImi!pH6(v+ICfMCZ|)rfD_{XSd+drw#qLc*>fb$|n~tKGX7HpwXNH zM4?#Ihf>mdWAB>LrP9WDWcx_icqFd9o!CFVoifB347z7X#UvCS>bazT>BJV7($I4D z$mt>kY;rnQAby65=|<)_smC zjT_F5-FT2vBAgPAIpjq!N%p)Q=%0FWTc}kFdl>=a=3&}A!Y42Njn+i6HP4Of8E>;| z|0&~!NBPC@pR`GMps1D%6fO*${QB4WodMf`{88Y%4G598_4W@8t#AC5PM+sZ!kli{ zhI45jI=+vNpm>y)j^W(xeaBy1D%+O6F^TtqVoLnmSCBn=JiU#IuE%NvQ?gdTUMYu8zCo)_Po>n4%JNeqNk z8bZ}%0}Pymt3#tVR87KCqdRakwY2W*=(r&2$-lx$FQ}Zv^+CoLjvtg!`UeC&eIE1b zZ7zh9Fl!Q3h)5FO`J*t4;1nZQO5n=x;a5sCP~flKy$n*zs+xw5(b0499S%F#9AyM!)h?n1I!*mS3$lbMn`lsLqWu5cwxIvFsAfm``tr_}T!NK`MW}I3 zEa{!0jxG+5u^o>=t)it~`3NxeJAIhVJpYD&FUA;|Q1|RF>i{CMfXcw02Nm&^TX)_7 z$*H$7i}V;wcf$Kw2?^C-(HGvZxzHzRM9^rnUNCT)2bS^9^8LtrBA(v2ZH|`u#web$ zR+lFDoiH*k=(C(n6go&vSSKx;r`O!>ZD_p87V&MAE}caCOnByPvenwI>bGs+I+thK zMTWVvwMqW^{z^1f+(&+H{uNoBDQ`-C{nTOs@N7b#XSyin*}zZP9&D_URwYVzyyW?> zXlj9*s?sehzW_W*d5qPa=pC6%`o?g5*>kw1JSl(rJ38ul64}ZHnphAPJFjH5kylfm zT+)eO{wD6x#Gl?SIbUL1%`@ktP>zp)a8^DPAI~uX?^%_Y{e3Pz#bo;UQgT_|?GGD9 zJOi8}K;ToUj|M~EtF+Rt*ao_^b-(@Lt0+$D2w#hR^;QLi8t}1SI;s5Q_1NcIWM=AX zv>h4BLa$6ooY|>!uP%3Cq1^{x2>tC!?`S+qoXZcoCyM&jRcChj$!*>KjnJg`vd3rR zKykE@EBuK?Q1gd(Cf}%JSly_MMl#oXbLC?tm{UGo4+K>&yDaR7u#J%I!g zMK|PlanX2n?|TZ%S%x)8N}&*pV}&o(@OAcUtfbaFANtUlKgq0-CFl_{>tG!R>t9`d zQTv&{2&p@5n-FKOdS7}&&C~zl=r?Q@#&L~w0IYd_QFmUG5_1kJPV~p8UHXm6D;&Ik zg#nXs%)*2EOV>e#!Roo36(;&o}7hjj2jfSSt|$ATYR%&rX;+}!k& zuE%yEOqvSr7 zYX=q(2CHQ&+?R*!c)nU&mrlrk#(iInQK?E*Ud2z-nYO(@vJD!A-(C3?EOwPD(DFCB zY>iED9_e9Qw+(>(hq0wN|H-)Lg(jrIAy(YeyaLLka$vl30GS7Z1Q&k_E}FS zuxPE#M_VEOrof|FihJd4tY1Po1+~C}o{F-d)E~F#bfCYB+xX~@YK8zW`DvXAciDGe zH@U=scjFHu?~BD|K4J%zMMXMscf@5rS|!JF5>HfKvR`oeg8jZ$bH~Br8ztK^keC}Y zP9lhAQLUKL46CGBd~%;)sC+>smFU}7;mkPi7(lnMI3vHp*`vldxI(qWQ*_MX8ns#> zi7qYsx}9YDQ8t)Ci_}#0z*MQvSWu$Y@o2oAxDms&`zXJUN!3cM{rFg08KqbG+ojkqS zJm&(DXb22tO1tx8L#>SN(uLvxjijwiaSi_5n=$M*T@%A;2hRhuYpcsUYX6r;^FKjN zv913PFwN0_m}VowG=IX7^nU|_;NQq$7^6^w$qdYA$9FJSZ^rYSTMet*B4^l+TyP1i~r;lH+0j+rnPXdZ#?BB}Ye@V+UvZeQU=nSOYdt0DrF(dvbhy-|DT{dRm zc_~+FsoQvPQ^{+(=dsGQrs_zgX>%gFX`dZnZ+HW{UZe1%@E2<_Q)~%8`gDY23^aXVEdpnF_?NoTuZ;Ii z)9;xkKASL$bM+TLT$#F5GwUhRjou2rXIBpva;S}jQoPmGd5OhhlUS`H@w9u9nElyJ ziO1t5z*AM@X-`@5wrT16{CeGi814A(`SKbrygEuzt?Y{vULd_@m0}}R?}hNNl%v3U ztav5$aa7i0hRXrDY z>|gc!CT(Foo}MB1cMGMSl})w__rhAvir>|FiwLvH0Z+xYWUMFRZ;q;?roUbK%>VX| z2I)!M-F6TDU4aM~$qu~lipp%Dq9fvE569! z^BwCCK5aHiU@;D;DM8D7-V>Z;i#@;W6gu+=OqfV_arX*7t4bm@7u-^sXzF8nr|P8e zr`S{;E3x>6InaC`Wg9&zdFx>Jls?SX?>OyUo&0=-vXBzAEjPUrL?k|o@{dN|y4Q?)oM%==d4o~2P`cz)GoZ84>ZW*4>%w#WaP@d{YMM>s zWJhnc7y;(uVLP=^m3V+jiED*k;5g6GaBNhYC-QdIOTk+%>Y#dc+4zVUztx}W8@UQ zqwv`b%5_Eq0oNr?xwLp*n7xxUzPk80)sf@_X|<Jt<07nj)nYiRq^#ZEvvwfj7EW;borbf3H1bxSdn;fl;gn$8ESAKn3$ zR#aUe@B5A@NA*(l*51wSD$QS=Oz{Q+MBmv{Z(Myc>HJcB54Z}-yk3yu5chYdSrT~8 zz*G(7>3J(iZa(ZKf0n}yV-W)zvX2HWK-0bu#q7%i?(UY2m>G3ePC`}yqz zv-7byD<^ZbXzx;I6K#NIo3-u8L+h)j`fmX|Q7cmuO5z>cuJ+s5F~9XiH*rS;ueG_l z_Ysl9FBtvJrL0>#?isVZHt6Oh&cUvLY4W+%(QW>gO5gm_y6^J}uya+aO3-Z)UsTmI zG?_E#)m-!hS4`=Ixbm~eSLyYp&p}a@T5NIC;3hE}x0Gw-n=1~e-6z>K+R2!}!;o~veqOM!B{(LGvqkDq7Bdt2D3EIV`f50h4K<(BP!} zZwW@P)H4AG?|`_UTz4Lsd^;i}5s=dA-~qSqm2^-2+2wy=^eVILgp@HleTabHARu#! z(Y$!-m&QZz=3Uc1{uQ;v^%mphMst-Z1=ql|yxLwb-!%JQwQT}2KqIr`OzZQPV@mcd zX4RB(ZSSWT0^+&-Yj_HIqib^NP(AsfWsuA*IeVM&rnKLS_TibubwUPiF>OQBsG5#0 zR!i`*qaLof;BwT_H;lM#xrn3=zTohrx~?UBQVKp%8GW~i#F~C|LcsaJ z_XRC8N9615ys_zDC<0M6J&%Z=qzc9_;(lS^5?%sVR2;lQN-NRvE(Y-0_FWXwG1+n> z3E1WuWwDlcoXPea^iclVy=YTLOmVYgmE;$->+t2m@D|epf$O8kv(85{Q z7iF!u7k$bqa7Y=Lom`KIX;n0BqLN=1ak+xfx8=Q}+tmv$vWaCC%|*6J)O1CQv9&+s zh}4ba-WUag^>yF5-JH*{*e;x6g{!~kl2KVy_H$>H+WxOfyH-Iq`0`cF$l5ixVPg5p zeS?C54J>9}EjyR!eJY-nwL=mnap2~eGLO&rBr$(qM9ZeI_}=`V>$+dpw5@dW^6@n4 zyYs-4v?o#Nt4tg^#6g=U56tRM&0H6+I*ZgG(onKFVnry?Xn(g^pQP%`GCY`_~Sd4si7H%NZJ< z+K^P8nk{WTW0tlk>=bv%`8SOTxRs^H0UmM4>xKCexZdTS_enl*eRxmWj zADZ4ivx!Lof=Zhv=XMTGv56VAT)l!KQr{Gpe<$G;)%S`@DIfl|4{-VY_5w2|NdmH` zPcLvZ4d9Djc9n2L9io!T7l~rhwlDjH zf7!u|t64!)>R*$CT_lDmQeilViB|g2KUmVp80sWV;QXbMkV#(pizE>uJ8(hNu0K>$HlWa9H+cgJbqJ9bVsJk?_D2yze>;?o zqM-vQsYK+I*I+{8e|x#1m79Z;R}hLRweA{1B_-VM!|g!^)KNkl2BD;m&O^A`BV=?T zdqS-3@YT41saZhqQ-2gKLMWl25|I?b45xDoHxj&=R0^e(@OFPa+;FA8Hts1D3RR69 zbPfCgweT9vUo9olRgPHO8ye0vq_a>?x$xT`Me|>3N`z5DJtYDt5j;tHiGtD}g`z?r zCbc141+Ijm&44mWc!eIW4=%&`rx6Ig^|*FT4m!L4hEV?1QBomfQe8ts%f|LEkUTeY zBQ-b>NuH}G&#|OG3gy>;Lit0GhlnSGko%gBWOBhl!X^e+(<r)Y6j~E>>dA) zV$#XknGh1mzev*4J0&$W&Hr35iG|cmWn{voP;Zc37Tkez#UuhKksct(WRalFq7n!x zk&%IAR!!=q!nCnh8qh~j1sjSR*pz-K!;@{9N@RsQc71&ApBdf5>ii;qvp zbqVGO{7q3n3bt-Sp{SIU9(;P4qZt}CTmH>U@P~N`4Jhb6$3U3BsXIRW6GIH_h(MHY z>I^;!_>p&D0#j#rh}7f&k($K7mLTo_^KR@vsWAW3=h4y5FwQQso-gq3-~8s0=K{@l zMpGF7+iwmtHE&0qFY*6crsljMi>Cb?-L_l`=e4`Pv_E|&kX685r=ic(mhky4b?g9? z&V&qvfc^s$a*iPW+xKL<9JERMb>^8$eYi8qEE?q?rHf%&j-iWsn2{OCuiwoTxRP34 zkmP)qY^a3jRe%5nVB-{}MxlE@-R(8pIjBOD!uq-@|3;GO9XeWgvFQcd5Rf`}_%-Gm zw-O1lr#4&m?uBW;r%ryRB~-zMnaK_vc}?Kt8X7|aP3W^8P7F?22m2abf<1cOcy&(> zGg)?)O9Wov0~wD?4(_zxpEcJa)vuo-10F^9<>IJMx=TtjT+6=l6<7u0Jz({88J>Tm zYkJ`9JI+&1BKOH571gIvRQKt^-3Gv**XP^HdSalvGqdr+L=Y?l#9Jo`m66+*aPWG1 zMu~%F+2|~cAa_{4i8b%gDgAQ#_|XqJ@nw|t#GIeLBBLyjwS3wBP`u!bXXp=^RQ~0X z5hDrHrnKjr@3X5j_!pDDfc;VIzB2BcS@ib(b8hA61-kU|$u?wug((_t`c$Xl?tp2b z57QSvm6(fcXk*{yqoUgOIF5_4HZ_J$yl-sBn||;pX16cr?WH%i>#VMwE~Fk^Ww%V` z8(Xr{C``!%W&)GI=#=FA&A5xW2HC2A5*A2Sg$mBvQ2FEL*_$zRwdrs+Kson7)GS;8$UbR_-?*6OryZjc^ z4h92JPuVE}dYLDGbm{3`?dZCsQV+hNXmK2yFnQ)4+O1Qq8#fXsz`on*hp_AxCQ-`d zr$Z9Mk`Yb}4kK#9#aE_WEUeG)GC(@juK%oeoT+<2vp`;s>%K$*=eA(^(cnWg(}OWm z7AGLX7veA2QE`%>AMQKQp^cL*wR1$oWzI3$!KiA?s2>d|1L@pQTFInb6fJ@U>PcNx zx=*T_Cy!?LwVu-Kp7cBg3#e->lbKWZ+4U2YeZqJh9ov+u@8!!_+BDdDE+*do1a>au zRdo9BN9?6NOmfoPryyg%8o3)n>1&-(5a5M139d`Bdh!H+L3P!6HYtk@AYlBzqTyYwLLPEOu<%bi_5J-dcd=yJzemg?$9 zO>l`Gh+x?gEl^?!X9%PO-pR4NCSI+20@msZ<36!3v4%Vx#bI4pb{IUPyiQEP|B2|r zlc+~AuR}rX*IyTo4sWFd`bPF&v1oOgIY_l)P^r`ZL=zRK8{nV{NJpPzcrd+4TQvMO z@*?8qRR8k0&}!x(!y$+1pDDBnNASxsST2O(GPcx*Ld8YqIDN&CQpb0;58VEUUdc0a zNGJh`X_6)lJhr(LgO>>%c26v>eu)cYZa6KZ`k~Yu-l3xjm?yk>RSNQ1r+Tuu0@nRnzvfld)x_9dtd+lh~%^%Q7%d^O?t@ZQ8*%$&!ttzy_nvyT=iylNWw#cQ<~N zZ{vN{kZ8)xy)>l`S~f|oPP`2s9flJ{yI=?n(OU3|VA1zp)#;?A=Z_3irZY}6;bt59 z^N5qsZkGDz%14o~j$7@dq{*+%MLrk)2b}4NLS;{#@%^V@O;7j*zD-p2|GqUmj|Jy{ zC360+bj|-!5Cl&Q|0@*))ip43=U=EGfGv0bN=IR3@bSOWQ9wfrlW6{hjsg);*5#gTunbf@wc(yqVtGTB7wzgWDEGsdr?+aXNfVnoIydqb`M%d(h3zGC+jE5LsKY+@%O zjthSS=XT`D{Gm*^^mutsAg{9=pv?G$8~^H znj%inpWeb*Q1`njQuAFl>t(b<`t8#7E8lo)d^_sFvTgf4=lqt@_1%j`#1uXD{{2!nlUz-Ri4*^t~EX1+_^8iu|EY#34VdB5XE#WxaH2Zk0BS zBi&G+elTSM-)!}RN#Txxz1{g_xxG5iC>~Tffl}yb}x7IZL0H;17$4B1|(fFxv*u4Beo71tS zbb8w)*J2E-x{UEw*axtD;6#?3#KF`<(xW81NiS`e$eVQ${ZLHDMoC}c%hfsHojTQW z?XhL5Ja6xn*Ya6JFL(^P@NAfNNN$rAt3*0!gRHL-d)Sl$2OGIXAFna(Ra1)n)J%(*T%t2g&iXZPw|utfra^v4_2JaJwFbQ- zOCR}#ThxJXHk~Y{*9{h}B?s*gAKv7sMViT%y7i>GL250foe$d8)&7L*?w3 zD<^u(JM}VXx+MzcMG7hvRor_%13DF%t0rFv9z2hICNgv7=t8jfQrD9Kjm#v=?P5J4ATXri`+DS_VDveEbY5|)?A6j_gqgj5&aOIbQkvA>9FCJMW&;8P*LBHUj zQ%F4aB*mt;B+a1ag1R-AI{5SP0R7j{gQ=?y!JAic6?+A<9rwSmXC_lb4s@}GHz|Pm z8b{pUGJ1i`Vj>A_O5*AJQE9I~d8*r$aQ`G$Q`mn8#-_s!9}48+v#xCgC+KR{5c!Hz zlyQDlS#FE|NSf=M55E0qAD2_8p>;{ze>!WH*fq&mE28f7c@&4!iI_UA{tRG{9_fI9 zce@wG?1z5P%bQ!eX6A1Y(5u}sHuG!PJ;nlfbnHC*6$6Jn!V(s?apRl&1k_SZ->)bt zo4-u_MrPv&g5xtwnIs%NerQ?whY=ObCvAnW$DH&X5ixLU4D20if>-n&@O7{!Sv}TW zhv@sn-=F(z25c-uL(d>Rc;{(+v^HiLkWxpO@>qrQJx z`^*wpy}{u!!4|Z%d0^xW!=MiEpV(5f3JUwS2fxl+e)MSo;FGz`n2b!a`EF_TKW>|r z4T!57p}QlNSb;^|_I+vr3NrJ@PcZSwZ{2r^?7<=BUg>K$lq z>;G--@T8;;S2m&eL+i>0c4{e+xcno#J+#(X_lnQJc9-&Ab>Ht(raK>MJ4FnmYZ`mE zFzLDY-Te~!C$IaZg0<4l{x>6(8d;=Nv1Mx+gVQ}D?w@v!w4T3KFtzrKc@L`U8b)aD z7&+yWGTJ;hkj={iP_$>~_amNdHnV$$J13a%9t%T&fTFNQayKu}{Jj+u3A*{8~V zCQc!p^beDV7lz(|qKcTR4=JkOIEaCM*(B(^;jW+qTKuEtnUx8kj$g zNPfd6g-u9BXJ!dtV^Q*y#!te$QpX$`oct1s>)S zH1fU3Gyy>o$*KA6Ki7Da)t^VC6@48T|8-{Q81SNdhE1H;qK&A-tZD|rn)KO zlmJF<%Ia7b)KJoXHvjmOLEL9r$Z2FbG;Lv5+Bj||IK2%l64CKwW>wXE>zqWRVzKuP zowN8L{Y2*K-< zIA1j(-zZ(13NBteCGE(a>vkn-;Ewh+LGr5x%gn$I-)M3RjYzHU$_<%EAz_JwC_KSC ztsSHIEb6+xLGOFOGR&zkvHIApp&M2;xU{jodxTDK(=4I9mxhT=*gK&feCG-sCI>vF zRaX_fvvwY-Vw1l{e;J33w?@dUUx8N80lcE$Q1K@h^-u1}8z$p|IwR)$Ok-C&R?w-~ z+#?bTzk=(6BJugv9h1u}nnpII9~%bu@NRI}1Qm1)EE2jz3_e$C_>uznHU zwy;Gkp`n==N1=Ax-qsFE)xtnzG}6-@3l)U%aG-P&8ALXTpvl*1>3B8gVUy6_{iP`b z37dp323s1=vnH{StjX3k|8vPCIU<=nN0eP%-F^M5=Plh3OVU8KB&3p1E_ry2gl%G6 zU}XF+Z#U$EP$&tdl7>(!375G6Ke9HU0Q7jXAxcT4o*dDNa&Zg6j_&h^bJKwxTzvMRILGpQa41Q z-@dKUfFk-wEcrm^;ki%}$|Rw{0g*_;YVrVtLk0y!rDo?q`}R4BL{KC;q>)o76skA_ zg$b)~O5XdUFwes!4PmZn1`0qj`KcTVC9A6In*Ww_BSOiaNc+t>kRi0)s4InevfI@xGfQm_Y@BdpddG2dJ zmxylf!*51ILxb8$3=C?R_4-$;jOdi{+2Jz|4wNSI@WK`N{1L(kz2pMO2u{Hp-h`hL zf(ygb0x((OpOj5;NjW4`;rx_8}1LyuY;a@snrKF{ZZuXsGxGcp?C9 zi47<$n4>kdhId5qtThDeoqX26hOygxmF!`S_saL)BaGgPbwXUX znqHEt#2on@Am3-gxbFH9MdgZJ_pRC(owbaeM;75PdtUbPJ`H|5>JMfY^ooAC8}Xwt z9KZXjNcZOot{hHM0QdNVZUtYy22LelpcGi7j}wJUun?Yo@f5VvmoF@& z_vyvExq^09v%1znLv}uI!5J`okgmckr*5dkpvhcaCgSfMgqNf|By_DAVC||q2seYy zeVR;*>(zeQWK_wX>aDnMw1>L<9*T9~!t%xW zB^noDO1SkaaI_)d7m$d2n8!dA<3|MQ)x<@4*;FHNKAkq$bQa$uA1_3w_ygpatB3s( z9E?M69~K7?Q3U4cs(&Eyy86LcKR;PT@bN{k$lX>!LFBsgc~G75=*9gLRublj#6Jlw zT@-UKs*a~5KuB72>(#6XyX&?y6-$DTUeph2Z(&uY2a7jz{W1_9R0G~@t_~tPE(RLU z=t5#B!!^kaI|CF~(RAOh7t+M=|DgmAXpbV>^*8$8y0N|upr+2gp2-41?b z`!iwy*}k+M8(vz!m&Gyx%A4-<+h<~IyzvtpPLnUa%RTV60I_gG<<5+u;`4_!IY#u? zI+rj_b#5+@J4@0(($7huRReQ99qc&6-D5>wZ}0XJ*tVIRWVKpL=k1cr7rro_^6dm~ z1yRYjTNAy*dKmF;;2uhsGwq5NSAGqtn-^KH;OdYBubw68i!YI)8}1*9+0zPyNr)Y$ ze7IRqLF$YzLg_?72&8xUq)!(-&Fa=0_YFZ1b6`1+sMS>*<71J%#AYT=V_@ty>Gu5h zkB~@`fpMdF)>gKoGJ8frCD)}Y$xzN((IFt7@~QMgncenkv%lUewR*;Prrul?9mDvf>LV0xIw2`D?41Ig>y9zQX!pROu`BZZmI$Al#2oz5{V0|~?F z_q@9p;~FTf$?5vKgvMK{fCj&i2-jt$)+M%9JkTwbY4Cu^hn8gyy=@F5X|Bxo1Km8r75gXjHZ77|(X5Y&u*>ZI6CFS+2akV>K!}u4e zg%%24HVMS#T(6A;ekPm5VM*mvJO!#a3Y$MCa{M~RE@@$7$5zNYUse-q0rt{;%k9F? z7_IIS#oxkC$s79lM%$Mu+OoCVrTzeFWb6%= z!A0eZ|C>odl8KPD|2xb)XK4R(C$sh6^+5mg3uhu{Sij+`ga5~l^DpFo3xW#ZM~Mg8 zI{(W5fE%=0czOS4btHiOhyTh!K}BWNzj9D8JTm&P92D&BL#xcca8N)=Mg6ZF6xOFA;Q<+F*!yQ7oqSsx;ie5j^I6&GaSq z(igLlkz?7wKl8UJhiD8F zIKSUGbfH_WQ6^ zs-JJN(B=t)xiq&t)+}90?b7VhF@=DgRk__@uXP@#!achY<_>92CAu)ocvZJT2kVu* zI*dKw|NHw(mr+)NJ2iu{woA2ZO8pBOSYa{Bb|&Gn&k2Ufz-iQsaOE<+_`oM^YU3`a ztbMIIKElafD>cWOeIk)SZ19p&mhw|+80SYjfx2HpR4ETGG->5J)YybKHN|jgJRAn1 zl7~`;Rb%GQL;`<@=9P!<>xfs=#r?r_Bz%~{@tLCp2xbfSS<{Q@5;SR{vQ;Qwu-SE5 zu=PAqm5W%!{hm{Z4!Qz$w}LXa6J$d$xBMEFcDnzto8MwwD;h=fVKcKS{sHz3X(o~_ z3dZb}@C_0Tl*D?g4@(U)Z+FY)TyWKmn@I$|La7qywZRI)t(kIog0eIkj-e|QlwX!x ziGHrVy@rngsH$D*Si_uXRsy)%>{uQ&`u}-7^x*4E=Wk-4%Hwbl<-p4{EIDPc;KRY2!!aQJ@&2-BKr2igqZ}(i^GaCw@J+t*P|-_iReov4|bi-5aVUbgy@A?Xc=&7VSvT+ZEog%To7!N&ZNcN_hUhkb!{ zR{Vzz^59#Ic|9Inoigue_fX|Cf(K08$~(;U*Aze-WOo%o|wc1AD!j+IG#84 zt@(3abFT>ML+A8xK=*^0hkU*&7VbSw-r}zdc42U6-wZa z`c@LpN=mT~%DbI?y(S5tJ(h=%vfBQJqSyjoKtKNMX`a__v*M?cAbC1Te7)FaZQ>DM^?K0C)ap4nJ!w;P=`mN)o?d}##}}{G#}hrPfp{9-9opJJ z)NfBY;v0t*?OH)4;}0>79z9y+Ic3cK#_um4c|-{Nfrtga8r_}BxYt|N8oMi)Ano8q zU0XRi8wL*JhbguVZy+o)eGBjQCxfW^Kno(n3!K;LgY*cUtnf?MYD+)gX6pg(v&`vF zDw)1Ez4^BGR0dh@A(Q+)Nb!~ zsW->`bj59M1b6uL1J;si%GyIF;$PdFe5f2(81J0bJXSyAZJY@YqXv&nvapF<8gDi) z$yjde9i$ZEN^0r%?zzOokvvBi{D}q{PpP~DFwJj@-*bt5H-bxd#n{r;Kd zn@7(I1YAAeZr}qJK4r~kk$9BWfiKdtD*G1>iOEEbtX#YrKrg;meV2MjY2Ocu%L34h z#^BmeBY#`PF}d}+XKv{iez1%gj?cp59aYxO-)OaAU(qo5lSNxO`SczJ4ue_b#unff zQ`UHy&^)z?MW|&}I3}my+=1ca|GHq|j6(fR(8oS7aukq|R!BuFp!RKe$=#QMQ&7&U zrEUL;!;fpvBMLR`!GngpE4eM&1?}hp(geEhU;6)i;$JASd{suWjn#lJ$>H z{!~9OkCNA`9sY52=JC7^9G&7^Gxc~@Qr)mDcGo8$K79>~innQeNA6Bie)%lJ2XI}b z!`Lr}%s;(5;737`vf=Xm^&lxuXIoSA%D1}=$ENl#Pni)I*2J7ndsHn;cqNo!gRAaA>FXJrM5*gRN>bzNKDp1cCvbT!eFheHvB~Lf z$N^Qypc+o;2akQ9=5?;DZtUR;>1XGbK`kdUpPr$aO%f=2ze+&P-Li9lci%Q*ZHG+C z#L^{wl!(;YedfZgV)`NQBRM1FjG0?R)~jH7od!L|@8|yQlwn*J&4X-{=GlW)z^zwN z-7?Slx^tH?sr~ylE-{n(9k-Xo3kOF;mknIJfOBrQx|5Ps;F2cy!0B0H*XuG3JWbEo zky}h14Kur}^Q*j~??-F`a;lnR2dDT`8{oC;RLkQo_g_01Q zK{5&Xkimc3*iFo>Y|atoxmXf$al`D%NOGtXeTRTKWd3R-A){`o`lA3Mk3?|f zUwtGZkc1{BWWKdeK_E0dyzq7k1qCUFC{zyIa^Y_SDj?4_kO+5u*VEGn=Uf3f zIQTcE6&E)6!1qFssGcRrd6IN#p48uPoSR3_L%Jk;NzcH;RCub-W)|G1+DAaX$TNW6Hd8Gjj z#R@NrPFW+axG}^PcZhl$HnoQ~51XD4lqop{gZnA|kDBEF_J;TW>DB))#%4~Q8Gk)L zu=oGF^#bfj2B2#nPQLS?neo~B4Js{K&Awiy3!M14;AohIE}>%i#FkJv|AuOO|+4 z%OI(1X}0R=yM9u7Di`Y2pHqq-@7XYi&sFNF_dh9c&3FOUbA-GeB-|QcGZpwg`pR{1 zYg$07J(=Xv^JJkyANeXlz@Iw7LH4+9;?nDW7kZIZhd8BT0+zj&$&d~EH&*$|!@vO} z!cpfZb-T_NTaQjpxoe3lhSX*rrg?ltG)glLG8JHE(B0JvjYfHqMH^NoK74<0t!T=b zoC+_9Tg4b#qkskE{JM%U!0i#vYTvszC+{hd_;qm4v0HZPJqd0JaH!J$=yFQ+ z+R#1ZN%W7YtM!pAyd~AFH%-_TNK1QZ>H*)vr9a*4bn&ft^qn2OQ4gk8-cV?2IO~jO zPymkx?|0y30M-r}wDKEC2~YU5)(Y@GwP+NoA~dtj{e9vWB}fl^$sX{Fpv)ugzR-ZR za(~CMg7;`{LZ_CABQbhS@Cg2^9Q){=RHH{st zIuJ2zUrwU0Q+}ap{Rw5V5&7sun_*%(qu9p^zn1&CGQ!};

  • '0'); - -- ModuleWare code(v1.12) for instance 'I13' of 'gnd' - shutter_i <= '0'; - -- ModuleWare code(v1.12) for instance 'I14' of 'gnd' - shutter_cnt_i <= (OTHERS => '0'); - -- ModuleWare code(v1.12) for instance 'I17' of 'gnd' - dout1 <= '0'; - -- ModuleWare code(v1.12) for instance 'I18' of 'gnd' - dout <= '0'; - -- ModuleWare code(v1.12) for instance 'I19' of 'merge' - --gpio_hdr <= dout1 & dout & s_shutter & T0_o; - -- ModuleWare code(v1.12) for instance 'I8' of 'sor' - overall_veto <= buffer_full_o OR veto_o; - -- ModuleWare code(v1.12) for instance 'I16' of 'sor' - s_triggerLogic_reset <= logic_reset OR T0_o; - - i2c_reset <= '1'; - clk_gen_rst <= '1'; - gpio <= strobe_8x_logic; - sysclk_50_o_p <= '0'; - sysclk_50_o_n <= '0'; - --busy_o <= std_logic_vector(to_unsigned(0, busy_o'length)); - --busy_o <= '000000'; - --sysclk_40_o_p <= sysclk; - ------------------------------------------- - infra: entity work.enclustra_ax3_pm3_infra - port map( - sysclk => clk_encl_buf, - clk_ipb_o => clk_ipb, - rst_ipb_o => rst_ipb, - rst_125_o => phy_rst_e, - clk_200_o => clk_200, - nuke => nuke, - soft_rst => soft_rst, - leds => inf_leds, - rgmii_txd => rgmii_txd, - rgmii_tx_ctl => rgmii_tx_ctl, - rgmii_txc => rgmii_txc, - rgmii_rxd => rgmii_rxd, - rgmii_rx_ctl => rgmii_rx_ctl, - rgmii_rxc => rgmii_rxc, - mac_addr => mac_addr, - ip_addr => ip_addr, - ipb_in => ipb_in, - ipb_out => ipb_out - ); - - --leds <= not ('0' & userled & inf_leds); -- Check this. - phy_rstn <= not phy_rst_e; - --- mac_addr <= X"020ddba1151" & dip_sw; -- Careful here, arbitrary addresses do not always work --- ip_addr <= X"c0a8c81" & dip_sw; -- 192.168.200.16+n - mac_addr <= X"020ddba1151d"; -- Careful here, arbitrary addresses do not always work - ip_addr <= X"c0a8c81d"; -- 192.168.200.29 - ------------------------------------------- - I1 : entity work.ipbus_ctrlreg_v - port map( - clk => clk_ipb, - reset => rst_ipb, - ipbus_in => ipbww(N_SLV_CTRL_REG), - ipbus_out => ipbrr(N_SLV_CTRL_REG), - d => stat, - q => ctrl - ); - stat(0) <= std_logic_vector(FW_VERSION);-- <-Let's use this as firmware revision number - soft_rst <= ctrl(0)(0); - nuke <= ctrl(0)(1); - ------------------------------------------- - I2 : entity work.ipbus_fabric_sel - generic map( - NSLV => N_SLAVES, - SEL_WIDTH => IPBUS_SEL_WIDTH) - port map( - ipb_in => ipb_out, - ipb_out => ipb_in, - sel => ipbus_sel_ipbus_example(ipb_out.ipb_addr), - ipb_to_slaves => ipbww, - ipb_from_slaves => ipbrr - ); - ------------------------------------------- - I3 : i2c_master - PORT MAP ( - i2c_scl_i => i2c_scl_b, - i2c_sda_i => i2c_sda_b, - ipbus_clk_i => clk_ipb, - ipbus_i => ipbww(N_SLV_I2C_0), - ipbus_reset_i => rst_ipb, - i2c_scl_enb_o => s_i2c_scl_enb, - i2c_sda_enb_o => s_i2c_sda_enb, - ipbus_o => ipbrr(N_SLV_I2C_0) - ); - ----------------------------------------------- - I4 : logic_clocks - GENERIC MAP ( - g_USE_EXTERNAL_CLK => 0 - ) - PORT MAP ( - ipbus_clk_i => clk_ipb, - ipbus_i => ipbww(N_SLV_LGCCLK), - ipbus_reset_i => rst_ipb, - Reset_i => logic_clocks_reset, - clk_logic_xtal_i => sysclk_40, -- Not sure this is correct - clk_8x_logic_o => clk_8x_logic, - clk_4x_logic_o => clk_4x_logic, - ipbus_o => ipbrr(N_SLV_LGCCLK), - strobe_8x_logic_o => strobe_8x_logic, - strobe_4x_logic_o => strobe_4x_logic, - DUT_clk_o => open, - logic_clocks_locked_o => leds(3), - logic_reset_o => logic_reset - ); - - - ------------------------------------------- --- I6 : eventFormatter --- GENERIC MAP ( --- g_EVENT_DATA_WIDTH => g_EVENT_DATA_WIDTH, --- g_IPBUS_WIDTH => g_IPBUS_WIDTH, --- g_COUNTER_TRIG_WIDTH => g_IPBUS_WIDTH, --- g_COUNTER_WIDTH => 12, --- g_EVTTYPE_WIDTH => 4, --! Width of the event type word --- --g_NUM_INPUT_TYPES : positive := 4; -- Number of different input types (trigger, shutter, edge...) --- g_NUM_EDGE_INPUTS => g_NUM_EDGE_INPUTS, --! Number of edge inputs --- g_NUM_TRIG_INPUTS => g_NUM_TRIG_INPUTS --! Number of trigger inputs --- ) --- PORT MAP ( --- clk_4x_logic_i => clk_4x_logic, --- ipbus_clk_i => clk_ipb, --- logic_strobe_i => strobe_4x_logic, --- logic_reset_i => logic_reset, --- rst_fifo_i => rst_fifo_o, --- buffer_full_i => buffer_full_o, --- trigger_i => overall_trigger, --- trigger_times_i => postVetoTrigger_times, --- trigger_inputs_fired_i => postVetotrigger, --- trigger_cnt_i => trigger_count, --- shutter_i => shutter_i, --- shutter_cnt_i => shutter_cnt_i, --- spill_i => spill_i, --- spill_cnt_i => spill_cnt_i, --- edge_rise_i => s_edge_rising, --- edge_fall_i => s_edge_falling, --- edge_rise_time_i => s_edge_rise_times, --- edge_fall_time_i => s_edge_fall_times, --- ipbus_i => ipbww(N_SLV_EVFMT), --- ipbus_o => ipbrr(N_SLV_EVFMT), --- data_strobe_o => data_strobe, --- event_data_o => event_data, --- reset_timestamp_i => T0_o, --- reset_timestamp_o => OPEN --- ); - ------------------------------------------- - I7 : eventBuffer - GENERIC MAP ( - g_EVENT_DATA_WIDTH => 32, - g_IPBUS_WIDTH => g_IPBUS_WIDTH, - g_READ_COUNTER_WIDTH => 13 - - ) - PORT MAP ( - clk_4x_logic_i => clk_4x_logic, - --clk_4x_logic_i => sysclk_40, - data_strobe_i => TriggerNumberStrobe(0), - event_data_i => TrigNArray(0), - ipbus_clk_i => clk_ipb, - ipbus_i => ipbww(N_SLV_EVBUF), - ipbus_reset_i => rst_ipb, - strobe_4x_logic_i => strobe_4x_logic, - rst_fifo_o => rst_fifo_o, - buffer_full_o => buffer_full_o, - ipbus_o => ipbrr(N_SLV_EVBUF), - logic_reset_i => logic_reset - ); - - ------------------------------------------- --- I9 : DUTInterfaces --- GENERIC MAP ( --- g_NUM_DUTS => g_NUM_DUTS, --- g_IPBUS_WIDTH => g_IPBUS_WIDTH --- ) --- PORT MAP ( --- clk_4x_logic_i => clk_4x_logic, --- strobe_4x_logic_i => strobe_4x_logic, --- trigger_counter_i => trigger_count, --- trigger_i => overall_trigger, --- reset_or_clk_to_dut_i => T0_o, --- shutter_to_dut_i => s_shutter, --- ipbus_clk_i => clk_ipb, --- ipbus_i => ipbww(N_SLV_DUT), --- ipbus_reset_i => rst_ipb, --- ipbus_o => ipbrr(N_SLV_DUT), --- busy_from_dut => busy_i, --- busy_to_dut => open, --- clk_from_dut => dut_clk_i, --- clk_to_dut => dut_clk_o, --- --reset_or_clk_to_dut_n_o => reset_or_clk_n_o, --- --reset_or_clk_to_dut_p_o => reset_or_clk_p_o, --- reset_to_dut => spare_o, --- trigger_to_dut => triggers_o, --- --shutter_to_dut_n_o => shutter_to_dut_n_o, --- --shutter_to_dut_p_o => shutter_to_dut_p_o, --- shutter_to_dut => cont_o, --- veto_o => veto_o --- ); - - - --------------TEST AREA------------ --- test0: entity work.test_inToOut --- port map( --- clk_in => clk_200, --- busy_in=> busy_i, --- control_in=> cont_i, --- trig_in=> triggers_i, --- clkDut_in=> dut_clk_i, --- spare_in=> spare_i, --- busy_out=> busy_o, --- control_out=> cont_o, --- trig_out=> triggers_o, --- clkDut_out=> dut_clk_o, --- spare_out=> spare_o --- ); - --- dutout0: entity work.DUTs_outputs --- port map( --- clk_in => encl_clock50, --- d_clk_o => dut_clk_o, --- d_trg_o => triggers_o, --- d_busy_o => busy_o, --- d_cont_o => cont_o, --- d_spare_o => spare_o --- ); - --- clk50_o_fromEnclustra : clk_wiz_0 --- port map ( --- -- Clock in ports --- clk_in1 => clk_encl_buf, --sysclk_40, --- -- Clock out ports --- clk_out1 => encl_clock50, --- -- Status and control signals --- reset => '0', --- locked => open --- ); - - ----------------------------------------------- - OutBlocks: - for iDUT in 0 to g_NUM_DUTS-1 generate - begin - - --- generate an instance of the Dummy DUT behind connector 0 - DUT_Instance: Dummy_DUT - Port map ( - --CLK => clk_4x_logic,--160 Mhz clock - CLK => sysclk_40, - RST => cont_i(iDUT),-- coming from HDMI pin - Trigger => triggers_i(iDUT), --coming from HDMI pin - stretchBusy => stretchFlags(iDUT), - Busy => busy_o(iDUT), --going out on HDMI pin - DUTClk => dut_clk_o(iDUT), --going out on HDMI pin - TriggerNumber => TrigNArray(iDUT), - TriggerNumberStrobe => TriggerNumberStrobe(iDUT), - FSM_Error => open - ); - - - - end generate; - - - - ------------------------------------------- - - ------------------------------------------- - IBUFGDS_inst: IBUFGDS - generic map ( - IBUF_LOW_PWR=> false - ) - port map ( - O => sysclk_40, - I => sysclk_40_i_p, - IB => sysclk_40_i_n - ); - ------------------------------------------- - IBUFG_inst: IBUFG - port map ( - O => clk_encl_buf, - I => clk_enclustra--sysclk - ); - - - - - - -end rtl; diff --git a/EUDETdummy/scripts/EUDETdummy.py b/EUDETdummy/scripts/EUDETdummy.py deleted file mode 100644 index ff9d2d9..0000000 --- a/EUDETdummy/scripts/EUDETdummy.py +++ /dev/null @@ -1,588 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal; -import pprint; -#from FmcTluI2c import * -import time -from I2CuHal import I2CCore -from si5345 import si5345 # Library for clock chip -from AD5665R import AD5665R # Library for DAC -from PCA9539PW import PCA9539PW # Library for serial line expander - -class EUDETdummy: - """docstring for TLU""" - def __init__(self, dev_name, man_file): - self.dev_name = dev_name - self.manager= uhal.ConnectionManager(man_file) - self.hw = self.manager.getDevice(self.dev_name) - self.nDUTs= 4 #Number of DUT connectors - self.nChannels= 6 #Number of trigger inputs - self.VrefInt= 2.5 #Internal DAC voltage reference - self.VrefExt= 1.3 #External DAC voltage reference - self.intRefOn= False #Internal reference is OFF by default - - self.fwVersion = self.hw.getNode("version").read() - self.hw.dispatch() - print "EUDUMMY FIRMWARE VERSION= " , hex(self.fwVersion) - - # Instantiate a I2C core to configure components - self.TLU_I2C= I2CCore(self.hw, 10, 5, "i2c_master", None) - #self.TLU_I2C.state() - - enableCore= True #Only need to run this once, after power-up - self.enableCore() - - # Instantiate clock chip - self.zeClock=si5345(self.TLU_I2C, 0x68) - res= self.zeClock.getDeviceVersion() - self.zeClock.checkDesignID() - - # Instantiate DACs and configure them to use reference based on TLU setting - self.zeDAC1=AD5665R(self.TLU_I2C, 0x13) - self.zeDAC2=AD5665R(self.TLU_I2C, 0x1F) - self.zeDAC1.setIntRef(self.intRefOn) - self.zeDAC2.setIntRef(self.intRefOn) - - # Instantiate the serial line expanders and configure them to default values - self.IC6=PCA9539PW(self.TLU_I2C, 0x74) - self.IC6.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.IC6.setIOReg(0, 0x00)# 0= output, 1= input - self.IC6.setOutputs(0, 0x88)# If output, set to XX - - self.IC6.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.IC6.setIOReg(1, 0x00)# 0= output, 1= input - self.IC6.setOutputs(1, 0x88)# If output, set to XX - - self.IC7=PCA9539PW(self.TLU_I2C, 0x75) - self.IC7.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.IC7.setIOReg(0, 0x00)# 0= output, 1= input - self.IC7.setOutputs(0, 0x0F)# If output, set to XX - - self.IC7.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.IC7.setIOReg(1, 0x00)# 0= output, 1= input - self.IC7.setOutputs(1, 0x50)# If output, set to XX - - -################################################################################################################################## -################################################################################################################################## - def DUTOutputs(self, dutN, enable=False, verbose=False): - ## Set the status of the transceivers for a specific HDMI connector. When enable= False the transceivers are disabled and the - ## connector cannot send signals from FPGA to the outside world. When enable= True then signals from the FPGA will be sent out to the HDMI. - ## NOTE: the other direction is always enabled, i.e. signals from the DUTs are always sent to the FPGA. - ## NOTE: CLK direction must be defined separately using DUTClkSrc - - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print "\tERROR: DUTOutputs. The DUT number must be comprised between 0 and ", self.nDUTs-1 - return -1 - bank= dutN//2 # DUT0 and DUT1 are on bank 0. DUT2 and DUT3 on bank 1 - nibble= dutN%2 # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1 - print " Setting DUT:", dutN, "to", enable - if verbose: - print "\tBank", bank, "Nibble", nibble - res= self.IC6.getIOReg(bank) - oldStatus= res[0] - mask= 0xF << 4*nibble - newStatus= oldStatus & (~mask) - if (not enable): # we want to write 0 to activate the outputs so check opposite of "enable" - newStatus |= mask - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC6.setIOReg(bank, newStatus) - return newStatus - - def DUTClkSrc(self, dutN, clkSrc=0, verbose= False): - ## Allows to choose the source of the clock signal sent to the DUTs over HDMI - ## clkSrc= 0: clock disabled - ## clkSrc= 1: clock from Si5345 - ## clkSrc=2: clock from FPGA - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print "\tERROR: DUTClkSrc. The DUT number must be comprised between 0 and ", self.nDUTs-1 - return -1 - if (clkSrc < 0) | (clkSrc> 2): - print "\tERROR: DUTClkSrc. clkSrc can only be 0 (disabled), 1 (Si5345) or 2 (FPGA)" - return -1 - bank=0 - maskLow= 1 << (1* dutN) #CLK FROM FPGA - maskHigh= 1<< (1* dutN +4) #CLK FROM Si5345 - mask= maskLow | maskHigh - res= self.IC7.getIOReg(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask #set both bits to zero - outStat= "" - if clkSrc==0: - newStatus = newStatus | mask - outStat= "disabled" - elif clkSrc==1: - newStatus = newStatus | maskLow - outStat= "Si5435" - elif clkSrc==2: - newStatus= newStatus | maskHigh - outStat= "FPGA" - print " Setting DUT:", dutN, "clock source to", outStat - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC7.setIOReg(bank, newStatus) - return newStatus - - def enableClkLEMO(self, enable= False, verbose= False): - ## Enable or disable the output clock to the differential LEMO output - bank=1 - mask= 0x10 - res= self.IC7.getIOReg(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask - outStat= "enabled" - if (not enable): #A 0 activates the output. A 1 disables it. - newStatus= newStatus | mask - outStat= "disabled" - print " Clk LEMO", outStat - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC7.setIOReg(bank, newStatus) - return newStatus - - def enableCore(self): - ## At power up the Enclustra I2C lines are disabled (tristate buffer is off). - ## This function enables the lines. It is only required once. - mystop=True - print " Enabling I2C bus (expect 127):" - myslave= 0x21 - mycmd= [0x01, 0x7F] - nwords= 1 - self.TLU_I2C.write(myslave, mycmd, mystop) - - mystop=False - mycmd= [0x01] - self.TLU_I2C.write(myslave, mycmd, mystop) - res= self.TLU_I2C.read( myslave, nwords) - print "\tPost RegDir: ", res - - def getAllChannelsCounts(self): - chCounts=[] - for ch in range (0,self.nChannels): - chCounts.append(int(self.getChCount(ch))) - return chCounts - - def getChStatus(self): - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print "\tInput status= " , hex(inputStatus) - return inputStatus - - def getChCount(self, channel): - regString= "triggerInputs.ThrCount"+ str(channel)+"R" - count = self.hw.getNode(regString).read() - self.hw.dispatch() - print "\tCh", channel, "Count:" , count - return count - - def getClockStatus(self): - clockStatus = self.hw.getNode("logic_clocks.LogicClocksCSR").read() - self.hw.dispatch() - print " CLOCK STATUS [expected 1]" - print "\t", hex(clockStatus) - if ( clockStatus == 0 ): - "ERROR: Clocks in EUDUMMY FPGA are not locked." - return clockStatus - - def getDUTmask(self): - DUTMaskR = self.hw.getNode("DUTInterfaces.DutMaskR").read() - self.hw.dispatch() - print "\tDUTMask read back as:" , hex(DUTMaskR) - return DUTMaskR - - def getExternalVeto(self): - extVeto= self.hw.getNode("triggerLogic.ExternalTriggerVetoR").read() - self.hw.dispatch() - print "\tEXTERNAL Veto read back as:", hex(extVeto) - return extVeto - - def getFifoData(self, nWords): - #fifoData= self.hw.getNode("eventBuffer.EventFifoData").read() - fifoData= self.hw.getNode("eventBuffer.EventFifoData").readBlock (nWords); - self.hw.dispatch() - #print "\tFIFO Data:", hex(fifoData) - return fifoData - - def getFifoLevel(self): - FifoFill= self.hw.getNode("eventBuffer.EventFifoFillLevel").read() - self.hw.dispatch() - print "\tFIFO level read back as:", hex(FifoFill) - return FifoFill - - def getFifoCSR(self): - FifoCSR= self.hw.getNode("eventBuffer.EventFifoCSR").read() - self.hw.dispatch() - print "\tFIFO CSR read back as:", hex(FifoCSR) - return FifoCSR - - def getInternalTrg(self): - trigIntervalR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read() - self.hw.dispatch() - print "\tTrigger frequency read back as:", trigIntervalR, "Hz" - return trigIntervalR - - def getMode(self): - DUTInterfaceModeR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeR").read() - self.hw.dispatch() - print "\tDUT mode read back as:" , hex(DUTInterfaceModeR) - return DUTInterfaceModeR - - def getModeModifier(self): - DUTInterfaceModeModifierR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierR").read() - self.hw.dispatch() - print "\tDUT mode modifier read back as:" , hex(DUTInterfaceModeModifierR) - return DUTInterfaceModeModifierR - - def getSN(self): - epromcontent=self.readEEPROM(0xfa, 6) - print " EUDET dummy serial number (EEPROM):" - result="\t" - for iaddr in epromcontent: - result+="%02x "%(iaddr) - print result - return epromcontent - - def getPostVetoTrg(self): - triggerN = self.hw.getNode("triggerLogic.PostVetoTriggersR").read() - self.hw.dispatch() - print "\tPOST VETO TRIGGER NUMBER:", (triggerN) - return triggerN - - def getPulseDelay(self): - pulseDelayR = self.hw.getNode("triggerLogic.PulseDelayR").read() - self.hw.dispatch() - print "\tPulse delay read back as:", hex(pulseDelayR) - return pulseDelayR - - def getPulseStretch(self): - pulseStretchR = self.hw.getNode("triggerLogic.PulseStretchR").read() - self.hw.dispatch() - print "\tPulse stretch read back as:", hex(pulseStretchR) - return pulseStretchR - - def getRecordDataStatus(self): - RecordStatus= self.hw.getNode("Event_Formatter.Enable_Record_Data").read() - self.hw.dispatch() - print "\tData recording:", RecordStatus - return RecordStatus - - def getTriggerVetoStatus(self): - trgVetoStatus= self.hw.getNode("triggerLogic.TriggerVetoR").read() - self.hw.dispatch() - print "\tTrigger veto status read back as:", trgVetoStatus - return trgVetoStatus - - def getTrgPattern(self): - triggerPattern_low = self.hw.getNode("triggerLogic.TriggerPattern_lowR").read() - triggerPattern_high = self.hw.getNode("triggerLogic.TriggerPattern_highR").read() - self.hw.dispatch() - print "\tTrigger pattern read back as: 0x%08X 0x%08X" %(triggerPattern_high, triggerPattern_low) - return triggerPattern_low, triggerPattern_high - - def getVetoDUT(self): - IgnoreDUTBusyR = self.hw.getNode("DUTInterfaces.IgnoreDUTBusyR").read() - self.hw.dispatch() - print "\tIgnoreDUTBusy read back as:" , hex(IgnoreDUTBusyR) - return IgnoreDUTBusyR - - def getVetoShutters(self): - IgnoreShutterVeto = self.hw.getNode("DUTInterfaces.IgnoreShutterVetoR").read() - self.hw.dispatch() - print "\tIgnoreShutterVeto read back as:" , IgnoreShutterVeto - return IgnoreShutterVeto - - def pulseT0(self): - cmd = int("0x1",16) - self.hw.getNode("Shutter.PulseT0").write(cmd) - self.hw.dispatch() - print "\tPulsing T0" - - def readEEPROM(self, startadd, bytes): - mystop= 1 - time.sleep(0.1) - myaddr= [startadd]#0xfa - self.TLU_I2C.write( 0x50, [startadd], mystop) - res= self.TLU_I2C.read( 0x50, bytes) - return res - - def resetClock(self): - # Set the RST pin from the PLL to 1 - print " Clocks reset" - cmd = int("0x1",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def resetClocks(self): - #Reset clock PLL - self.resetClock() - #Get clock status after reset - self.getClockStatus() - #Restore clock PLL - self.restoreClock() - #Get clock status after restore - self.getClockStatus() - #Get serdes status - self.getChStatus() - - def resetCounters(self): - cmd = int("0x2", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - cmd = int("0x0", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - #print "Trigger Reset: 0x%X" % restatus - print "\tTrigger counters reset" - - def resetSerdes(self): - cmd = int("0x3",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during reset = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after reset = " , hex(inputStatus) - - cmd = int("0x4",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during calibration = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after calibration = " , hex(inputStatus) - - def restoreClock(self): - # Set the RST pin from the PLL to 0 - print " Clocks restore" - cmd = int("0x0",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def setChStatus(self, cmd): - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print " INPUT STATUS SET TO= " , hex(inputStatus) - - def setClockStatus(self, cmd): - # Only use this for testing. The clock source is actually selected in the Si5345. - self.hw.getNode("logic_clocks.LogicClocksCSR").write(cmd) - self.hw.dispatch() - - def setDUTmask(self, DUTMask): - print " DUT MASK ENABLING: Mask= " , hex(DUTMask) - self.hw.getNode("DUTInterfaces.DutMaskW").write(DUTMask) - self.hw.dispatch() - self.getDUTmask() - - def setFifoCSR(self, cmd): - self.hw.getNode("eventBuffer.EventFifoCSR").write(cmd) - self.hw.dispatch() - self.getFifoCSR() - - def setInternalTrg(self, triggerInterval): - print " TRIGGERS INTERNAL:" - if triggerInterval == 0: - internalTriggerFreq = 0 - print "\tdisabled" - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\t Setting:", internalTriggerFreq, "Hz" - self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(int(internalTriggerFreq)) - self.hw.dispatch() - self.getInternalTrg() - - def setMode(self, mode): - print " DUT MODE SET TO: ", hex(mode) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeW").write(mode) - self.hw.dispatch() - self.getMode() - - def setModeModifier(self, modifier): - print " DUT MODE MODIFIER:", hex(modifier) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierW").write(modifier) - self.hw.dispatch() - self.getModeModifier() - - def setPulseDelay(self, pulseDelay): - print " TRIGGER DELAY SET TO", hex(pulseDelay), "[Units= 160MHz clock, 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.PulseDelayW").write(pulseDelay) - self.hw.dispatch() - self.getPulseDelay() - - def setPulseStretch(self, pulseStretch): - print " INPUT COINCIDENCE WINDOW SET TO", hex(pulseStretch) ,"[Units= 160MHz clock cycles, 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.PulseStretchW").write(pulseStretch) - self.hw.dispatch() - self.getPulseStretch() - - def setRecordDataStatus(self, status=False): - print " Data recording set:" - self.hw.getNode("Event_Formatter.Enable_Record_Data").write(status) - self.hw.dispatch() - self.getRecordDataStatus() - - def setTriggerVetoStatus(self, status=False): - self.hw.getNode("triggerLogic.TriggerVetoW").write(status) - self.hw.dispatch() - self.getTriggerVetoStatus() - - def setTrgPattern(self, triggerPatternH, triggerPatternL): - triggerPatternL &= 0xffffffff - triggerPatternH &= 0xffffffff - print " TRIGGER PATTERN (for external triggers) SET TO 0x%08X 0x%08X. Two 32-bit words." %(triggerPatternH, triggerPatternL) - self.hw.getNode("triggerLogic.TriggerPattern_lowW").write(triggerPatternL) - self.hw.getNode("triggerLogic.TriggerPattern_highW").write(triggerPatternH) - self.hw.dispatch() - self.getTrgPattern() - - def setVetoDUT(self, ignoreDUTBusy): - print " VETO IGNORE BY DUT BUSY MASK SET TO" , hex(ignoreDUTBusy) - self.hw.getNode("DUTInterfaces.IgnoreDUTBusyW").write(ignoreDUTBusy) - self.hw.dispatch() - self.getVetoDUT() - - def setVetoShutters(self, newState): - if newState: - print " IgnoreShutterVetoW SET TO LISTEN FOR VETO FROM SHUTTER" - cmd= int("0x0",16) - else: - print " IgnoreShutterVetoW SET TO IGNORE VETO FROM SHUTTER" - cmd= int("0x1",16) - self.hw.getNode("DUTInterfaces.IgnoreShutterVetoW").write(cmd) - self.hw.dispatch() - self.getVetoShutters() - - def writeThreshold(self, DACtarget, Vtarget, channel): - #Writes the threshold. The DAC voltage differs from the threshold voltage because - #the range is shifted to be symmetrical around 0V. - - #Check if the DACs are using the internal reference - if (self.intRefOn): - Vref= self.VrefInt - else: - Vref= self.VrefExt - - #Calculate offset voltage (because of the following shifter) - Vdac= ( Vtarget + Vref ) / 2 - print" THRESHOLD setting:" - if channel==7: - print "\tCH: ALL" - else: - print "\tCH:", channel - print "\tTarget V:", Vtarget - dacValue = 0xFFFF * (Vdac / Vref) - DACtarget.writeDAC(int(dacValue), channel, True) - - def parseFifoData(self, fifoData, nEvents, verbose): - #for index in range(0, len(fifoData)-1, 6): - outList= [] - for index in range(0, (nEvents)*6, 6): - word0= (fifoData[index] << 32) + fifoData[index + 1] - word1= (fifoData[index + 2] << 32) + fifoData[index + 3] - word2= (fifoData[index + 4] << 32) + fifoData[index + 5] - evType= (fifoData[index] & 0xF0000000) >> 28 - inTrig= (fifoData[index] & 0x0FFF0000) >> 16 - tStamp= ((fifoData[index] & 0x0000FFFF) << 32) + fifoData[index + 1] - fineTs= fifoData[index + 2] - evNum= fifoData[index + 3] - fineTsList=[-1]*12 - fineTsList[3]= (fineTs & 0x000000FF) - fineTsList[2]= (fineTs & 0x0000FF00) >> 8 - fineTsList[1]= (fineTs & 0x00FF0000) >> 16 - fineTsList[0]= (fineTs & 0xFF000000) >> 24 - fineTsList[7]= (fifoData[index + 4] & 0x000000FF) - fineTsList[6]= (fifoData[index + 4] & 0x0000FF00) >> 8 - fineTsList[5]= (fifoData[index + 4] & 0x00FF0000) >> 16 - fineTsList[4]= (fifoData[index + 4] & 0xFF000000) >> 24 - fineTsList[11]= (fifoData[index + 5] & 0x000000FF) - fineTsList[10]= (fifoData[index + 5] & 0x0000FF00) >> 8 - fineTsList[9]= (fifoData[index + 5] & 0x00FF0000) >> 16 - fineTsList[8]= (fifoData[index + 5] & 0xFF000000) >> 24 - if verbose: - print "====== EVENT", evNum, "=================================================" - print "[", hex(word0), "]", "\t TYPE", hex(evType), "\t TRIGGER", hex(inTrig), "\t TIMESTAMP", (tStamp) - print "[",hex(word1), "]", "\tEV NUM", evNum, "\tFINETS[0,3]", hex(fineTs) - print "[",hex(word2), "]", "\tFINETS[4,11]", hex(word2) - print fineTsList - fineTsList.insert(0, tStamp) - fineTsList.insert(0, evNum) - #print fineTsList - outList.insert(len(outList), fineTsList) - printdata= False - if (printdata): - print "=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=" - print "EN#\tCOARSE_TS\tFINE_TS0...FINE_TS11" - pprint.pprint(outList) - return outList - - - - -################################################################################################################################## -################################################################################################################################## - - def initialize(self): - print "\nEUDUMMY INITIALIZING..." - - # We need to pass it listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage - - #READ CONTENT OF EPROM VIA I2C - self.getSN() - - - # - # #SET DACs - targetV= -0.12 - DACchannel= 7 - self.writeThreshold(self.zeDAC1, targetV, DACchannel, ) - self.writeThreshold(self.zeDAC2, targetV, DACchannel, ) - - # - # #ENABLE/DISABLE HDMI OUTPUTS - #self.DUTOutputs(0, True, False) - #self.DUTOutputs(1, True, False) - #self.DUTOutputs(2, True, False) - #self.DUTOutputs(3, True, False) - - ## ENABLE/DISABLE LEMO CLOCK OUTPUT - #self.enableClkLEMO(True, False) - - # - # #Check clock status - self.getClockStatus() - - resetClocks = 0 - resetSerdes = 0 - resetCounters= 0 - if resetClocks: - self.resetClocks() - self.getClockStatus() - if resetSerdes: - self.resetSerdes() - if resetCounters: - self.resetCounters() - - - - print "EUDUMMY INITIALIZED" - -################################################################################################################################## -################################################################################################################################## - def start(self, logtimestamps=False): - print "EUDUMMY STARTING..." - - print " EUDUMMY RUNNING" - -################################################################################################################################## -################################################################################################################################## - def stop(self): - print "EUDUMMY STOPPING..." - - print " EUDUMMY STOPPED" diff --git a/EUDETdummy/scripts/EUDETdummyaddrmap.xml b/EUDETdummy/scripts/EUDETdummyaddrmap.xml deleted file mode 100644 index 1d04eef..0000000 --- a/EUDETdummy/scripts/EUDETdummyaddrmap.xml +++ /dev/null @@ -1,96 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/EUDETdummy/scripts/EUDETdummyconnection.xml b/EUDETdummy/scripts/EUDETdummyconnection.xml deleted file mode 100644 index 6084306..0000000 --- a/EUDETdummy/scripts/EUDETdummyconnection.xml +++ /dev/null @@ -1,6 +0,0 @@ - - - - - diff --git a/EUDETdummy/scripts/EUDummy_testscript.py b/EUDETdummy/scripts/EUDummy_testscript.py deleted file mode 100644 index 5c7d7e3..0000000 --- a/EUDETdummy/scripts/EUDummy_testscript.py +++ /dev/null @@ -1,155 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import time -#import miniTLU -from si5345 import si5345 -from AD5665R import AD5665R -from PCA9539PW import PCA9539PW -from E24AA025E48T import E24AA025E48T - -manager = uhal.ConnectionManager("file://./EUDETdummyconnection.xml") -hw = manager.getDevice("eudummy") - -# hw.getNode("A").write(255) -reg = hw.getNode("version").read() -hw.dispatch() -print "CHECK REG= ", hex(reg) - - -# #First I2C core -print ("Instantiating master I2C core:") -master_I2C= I2CCore(hw, 10, 5, "i2c_master", None) -master_I2C.state() - - - - -# -# ####################################### -enableCore= True #Only need to run this once, after power-up -if (enableCore): - mystop=True - print " Write RegDir to set I/O[7] to output:" - myslave= 0x21 - mycmd= [0x01, 0x7F] - nwords= 1 - master_I2C.write(myslave, mycmd, mystop) - - - mystop=False - mycmd= [0x01] - master_I2C.write(myslave, mycmd, mystop) - res= master_I2C.read( myslave, nwords) - print "\tPost RegDir: ", res -# ####################################### -# -# time.sleep(0.1) -# #Read the EPROM -# mystop=False -# nwords=6 -# myslave= 0x53 #DUNE EPROM 0x53 (Possibly) -# myaddr= [0xfa]#0xfa -# master_I2C.write( myslave, myaddr, mystop) -# #res= master_I2C.read( 0x50, 6) -# res= master_I2C.read( myslave, nwords) -# print " PCB EPROM: " -# result="\t " -# for iaddr in res: -# result+="%02x "%(iaddr) -# print result -# ####################################### - - -#CLOCK CONFIGURATION BEGIN -zeClock=si5345(master_I2C, 0x68) -res= zeClock.getDeviceVersion() -zeClock.checkDesignID() -#zeClock.setPage(0, True) -#zeClock.getPage(True) -clkRegList= zeClock.parse_clk("./../../bitFiles/TLU_CLK_Config.txt") -zeClock.writeConfiguration(clkRegList)###### -zeClock.writeRegister(0x0536, [0x0B]) #Configures manual switch of inputs -zeClock.writeRegister(0x0949, [0x0F]) #Enable all inputs -zeClock.writeRegister(0x052A, [0x05]) #Configures source of input -iopower= zeClock.readRegister(0x0949, 1) -print " Clock IO power: 0x%X" % iopower[0] -lol= zeClock.readRegister(0x000E, 1) -print " Clock LOL: 0x%X" % lol[0] -los= zeClock.readRegister(0x000D, 1) -print " Clock LOS: 0x%X" % los[0] -#CLOCK CONFIGURATION END - -#DAC CONFIGURATION BEGIN -zeDAC1=AD5665R(master_I2C, 0x13) -zeDAC1.setIntRef(intRef= False, verbose= True) -zeDAC1.writeDAC(0x0, 7, verbose= True)#7626 - -zeDAC2=AD5665R(master_I2C, 0x1F) -zeDAC2.setIntRef(intRef= False, verbose= True) -zeDAC2.writeDAC(0x2fff, 3, verbose= True) -#DAC CONFIGURATION END - -#EEPROM BEGIN -zeEEPROM= E24AA025E48T(master_I2C, 0x50) -res=zeEEPROM.readEEPROM(0xfa, 6) -result=" EEPROM ID:\n\t" -for iaddr in res: - result+="%02x "%(iaddr) -print result -#EEPROM END - -# #I2C EXPANDER CONFIGURATION BEGIN -IC6=PCA9539PW(master_I2C, 0x74) -#BANK 0 -IC6.setInvertReg(0, 0x00)# 0= normal -IC6.setIOReg(0, 0xF7)# 0= output <<<<<<<<<<<<<<<<<<< -IC6.setOutputs(0, 0xFF) -res= IC6.getInputs(0) -print "IC6 read back bank 0: 0x%X" % res[0] -# -#BANK 1 -IC6.setInvertReg(1, 0x00)# 0= normal -IC6.setIOReg(1, 0xFF)# 0= output <<<<<<<<<<<<<<<<<<< -IC6.setOutputs(1, 0xFF) -res= IC6.getInputs(1) -print "IC6 read back bank 1: 0x%X" % res[0] - -# # # -IC7=PCA9539PW(master_I2C, 0x75) -#BANK 0 -IC7.setInvertReg(0, 0xFF)# 0= normal -IC7.setIOReg(0, 0xFA)# 0= output <<<<<<<<<<<<<<<<<<< -IC7.setOutputs(0, 0xFF) -res= IC7.getInputs(0) -print "IC7 read back bank 0: 0x%X" % res[0] -# -#BANK 1 -IC7.setInvertReg(1, 0x00)# 0= normal -IC7.setIOReg(1, 0x0F)# 0= output <<<<<<<<<<<<<<<<<<< -IC7.setOutputs(1, 0xFF) -res= IC7.getInputs(1) -print "IC7 read back bank 1: 0x%X" % res[0] -# #I2C EXPANDER CONFIGURATION END - - -# #Reset counters -#cmd = int("0x0", 16) #write 0x2 to reset -#hw.getNode("triggerInputs.SerdesRstW").write(cmd) -#restatus= hw.getNode("triggerInputs.SerdesRstR").read() -#hw.dispatch() -#print "Trigger Reset: 0x%X" % restatus -## #Read trigger inputs -#myreg= [-1, -1, -1, -1, -1, -1] -#for inputN in range(0, 6): -# regString= "triggerInputs.ThrCount%dR" % inputN -# myreg[inputN]= hw.getNode(regString).read() -# hw.dispatch() -# print regString, myreg[inputN] - -## Read ev formatter -#cmd = int("0x0", 16) # -##hw.getNode("Event_Formatter.Enable_Record_Data").write(cmd) -#efstatus= hw.getNode("Event_Formatter.CurrentTimestampLR").read() -#hw.dispatch() -#print "Event Formatter Record: 0x%X" % efstatus diff --git a/EUDETdummy/scripts/initTLU.py b/EUDETdummy/scripts/initTLU.py deleted file mode 100644 index eb1ae65..0000000 --- a/EUDETdummy/scripts/initTLU.py +++ /dev/null @@ -1,184 +0,0 @@ -# -# Function to initialize TLU -# -# David Cussans, October 2015 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys -import time - -def startTLU( uhalDevice , pychipsBoard , writeTimestamps): - - print "RESETTING FIFO" - pychipsBoard.write("EventFifoCSR",0x2) - eventFifoFillLevel = pychipsBoard.read("EventFifoFillLevel") - print "FIFO FILL LEVEL AFTER RESET= " , eventFifoFillLevel - - - if writeTimestamps: - print "ENABLING DATA RECORDING" - pychipsBoard.write("Enable_Record_Data",1) - else: - print "Disabling data recording" - pychipsBoard.write("Enable_Record_Data",0) - - print "Pulsing T0" - pychipsBoard.write("PulseT0",1) - - print "Turning off software trigger veto" - pychipsBoard.write("TriggerVetoW",0) - - print "TLU is running" - - -def stopTLU( uhalDevice , pychipsBoard ): - - print "Turning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - print "TLU triggers are stopped" - -def initTLU( uhalDevice , pychipsBoard , listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage ): - - print "SETTING UP AIDA TLU" - - fwVersion = uhalDevice.getNode("version").read() - uhalDevice.dispatch() - print "\tVersion (uHAL)= " , hex(fwVersion) - - print "\tTurning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - # Check the bus for I2C devices - pychipsBoardi2c = FmcTluI2c(pychipsBoard) - - print "\tScanning I2C bus:" - scanResults = pychipsBoardi2c.i2c_scan() - #print scanResults - print '\t', ', '.join(scanResults), '\n' - - boardId = pychipsBoardi2c.get_serial_number() - print "\tFMC-TLU serial number= " , boardId - - resetClocks = 0 - resetSerdes = 0 - -# set DACs to -200mV - print "\tSETTING ALL DAC THRESHOLDS TO" , thresholdVoltage , "V" - pychipsBoardi2c.set_threshold_voltage(7, thresholdVoltage) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "\tCLOCK STATUS (should be 3 if all clocks locked)= " , hex(clockStatus) - assert ( clockStatus == 3 ) , "Clocks in TLU FPGA are not locked. No point in continuing. Re-prgramme or power cycle board" - - if resetClocks: - print "Resetting clocks" - pychipsBoard.write("LogicRst", 1 ) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status = " , hex(inputStatus) - - if resetSerdes: - pychipsBoard.write("SerdesRstW", 0x00000003 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000004 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during calibration = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after calibration = " , hex(inputStatus) - - - inputStatus = pychipsBoard.read("SerdesRstR") - print "\tINPUT STATUS= " , hex(inputStatus) - - count0 = pychipsBoard.read("ThrCount0R") - print "\t Count 0= " , count0 - - count1 = pychipsBoard.read("ThrCount1R") - print "\t Count 1= " , count1 - - count2 = pychipsBoard.read("ThrCount2R") - print "\t Count 2= " , count2 - - count3 = pychipsBoard.read("ThrCount3R") - print "\t Count 3= " , count3 - -# Stop internal triggers until setup complete - pychipsBoard.write("InternalTriggerIntervalW",0) - - print "\tSETTING INPUT COINCIDENCE WINDOW TO",pulseStretch,"[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseStretchW",int(pulseStretch)) - pulseStretchR = pychipsBoard.read("PulseStretchR") - print "\t Pulse stretch read back as:", hex(pulseStretchR) - # assert (int(pulseStretch) == pulseStretchR) , "Pulse stretch read-back doesn't equal written value" - - print "\tSETTING INPUT TRIGGER DELAY TO",pulseDelay , "[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseDelayW",int(pulseDelay)) - pulseDelayR = pychipsBoard.read("PulseDelayR") - print "\t Pulse delay read back as:", hex(pulseDelayR) - - print "\tSETTING TRIGGER PATTERN (for external triggers) TO 0x%08X. Two 16-bit patterns packed into 32 bit word " %(triggerPattern) - pychipsBoard.write("TriggerPatternW",int(triggerPattern)) - triggerPatternR = pychipsBoard.read("TriggerPatternR") - print "\t Trigger pattern read back as: 0x%08X " % (triggerPatternR) - - print "\tENABLING DUT(s): Mask= " , hex(DUTMask) - pychipsBoard.write("DUTMaskW",int(DUTMask)) - DUTMaskR = pychipsBoard.read("DUTMaskR") - print "\t DUTMask read back as:" , hex(DUTMaskR) - - print "\tSETTING ALL DUTs IN AIDA MODE" - pychipsBoard.write("DUTInterfaceModeW", 0xFF) - DUTInterfaceModeR = pychipsBoard.read("DUTInterfaceModeR") - print "\t DUT mode read back as:" , DUTInterfaceModeR - - print "\tSET DUT MODE MODIFIER" - pychipsBoard.write("DUTInterfaceModeModifierW", 0xFF) - DUTInterfaceModeModifierR = pychipsBoard.read("DUTInterfaceModeModifierR") - print "\t DUT mode modifier read back as:" , DUTInterfaceModeModifierR - - if listenForTelescopeShutter: - print "\tSET IgnoreShutterVetoW TO LISTEN FOR VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",0) - else: - print "\tSET IgnoreShutterVetoW TO IGNORE VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",1) - IgnoreShutterVeto = pychipsBoard.read("IgnoreShutterVetoR") - print "\t IgnoreShutterVeto read back as:" , IgnoreShutterVeto - - print "\tSETTING IGNORE VETO BY DUT BUSY MASK TO" , hex(ignoreDUTBusy) - pychipsBoard.write("IgnoreDUTBusyW",int(ignoreDUTBusy)) - IgnoreDUTBusy = pychipsBoard.read("IgnoreDUTBusyR") - print "\t IgnoreDUTBusy read back as:" , hex(IgnoreDUTBusy) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - - - print "\tSETTING INTERNAL TRIGGER INTERVAL TO" , triggerInterval , "(zero= no internal triggers)" - if triggerInterval == 0: - internalTriggerFreq = 0 - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\tINTERNAL TRIGGER FREQUENCY= " , internalTriggerFreq , " kHz" - pychipsBoard.write("InternalTriggerIntervalW",triggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns - trigIntervalR = pychipsBoard.read("InternalTriggerIntervalR") - print "\t Trigger interval read back as:", trigIntervalR - print "AIDA TLU SETUP COMPLETED" diff --git a/EUDETdummy/scripts/startDUMMY.sh b/EUDETdummy/scripts/startDUMMY.sh deleted file mode 100644 index 03f6c55..0000000 --- a/EUDETdummy/scripts/startDUMMY.sh +++ /dev/null @@ -1,24 +0,0 @@ -#!/bin/bash - -echo "==========================" -CURRENT_DIR=${0%/*} -echo "CURRENT DIRECTORY: " $CURRENT_DIR - -echo "============" -echo "SETTING PATHS" -export PYTHONPATH=$CURRENT_DIR/../../../../Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -export PYTHONPATH=~/Python_Scripts/PyChips_1_5_0_preexport PYTHONPATH=../../packages:$PYTHONPATH2A/src:$PYTHONPATH -echo "PYTHON PATH= " $PYTHONPATH -export LD_LIBRARY_PATH=/opt/cactus/lib:$LD_LIBRARY_PATH -echo "LD_LIBRARY_PATH= " $LD_LIBRARY_PATH -export PATH=/usr/bin/:/opt/cactus/bin:$PATH -echo "PATH= " $PATH - -cd $CURRENT_DIR - -echo "============" -echo "STARTING PYTHON SCRIPT FOR TLU" -#python $CURRENT_DIR/startTLU_v8.py $@ - -python startEUDETdummy.py $@ -#python testTLU_script.py diff --git a/EUDETdummy/scripts/startEUDETdummy.py b/EUDETdummy/scripts/startEUDETdummy.py deleted file mode 100644 index e253e82..0000000 --- a/EUDETdummy/scripts/startEUDETdummy.py +++ /dev/null @@ -1,78 +0,0 @@ -# -*- coding: utf-8 -*- -# miniTLU test script - -#from PyChipsUser import * -#from FmcTluI2c import * -import uhal -import sys -import time -# from ROOT import TFile, TTree -# from ROOT import gROOT -from datetime import datetime - -from EUDETdummy import EUDETdummy -# Use to have interactive shell -import cmd - -class MyPrompt(cmd.Cmd): - - - def do_startRun(self, args): - """Starts the TLU run""" - print "COMMAND RECEIVED: STARTING TLU RUN" - startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - #print self.hw - - def do_stopRun(self, args): - """Stops the TLU run""" - print "COMMAND RECEIVED: STOP TLU RUN" - #stopTLU( uhalDevice = hw, pychipsBoard = board ) - - def do_quit(self, args): - """Quits the program.""" - print "COMMAND RECEIVED: QUITTING SCRIPT." - #raise SystemExit - return True - -# # Override methods in Cmd object ## -# def preloop(self): -# """Initialization before prompting user for commands. -# Despite the claims in the Cmd documentaion, Cmd.preloop() is not a stub. -# """ -# cmd.Cmd.preloop(self) # # sets up command completion -# self._hist = [] # # No history yet -# self._locals = {} # # Initialize execution namespace for user -# self._globals = {} -# print "\nINITIALIZING" -# now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -# default_filename = './rootfiles/tluData_' + now + '.root' -# print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" -# self.manager = uhal.ConnectionManager("file://./connection.xml") -# self.hw = self.manager.getDevice("minitlu") -# self.device_id = self.hw.id() -# -# # Point to TLU in Pychips -# self.bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# -# # Assume DIP-switch controlled address. Switches at 2 -# self.board = ChipsBusUdp(self.bAddrTab,"192.168.200.32",50001) - - -################################################# -if __name__ == "__main__": - EUDummy= EUDETdummy("eudummy", "file://./EUDETdummyconnection.xml") - EUDummy.initialize() - - logdata= True - EUDummy.start(logdata) - time.sleep(0.2) - nwords= int( EUDummy.getFifoLevel() ) - print nwords - myarray= EUDummy.getFifoData(nwords) - for iel in myarray: - print iel >> 16 - - EUDummy.stop() - # prompt = MyPrompt() - # prompt.prompt = '>> ' - # prompt.cmdloop("Welcome to miniTLU test console.\nType HELP for a list of commands.") diff --git a/EUDETdummy/scripts/testTLU_script.py b/EUDETdummy/scripts/testTLU_script.py deleted file mode 100644 index 9d8b334..0000000 --- a/EUDETdummy/scripts/testTLU_script.py +++ /dev/null @@ -1,79 +0,0 @@ -# miniTLU test script - -from FmcTluI2c import * -import uhal -import sys -import time -from I2CuHal import I2CCore -from miniTLU import MiniTLU -from datetime import datetime - -if __name__ == "__main__": - print "\tTEST TLU SCRIPT" - miniTLU= MiniTLU("minitlu", "file://./connection.xml") - #(self, target, wclk, i2cclk, name="i2c", delay=None) - TLU_I2C= I2CCore(miniTLU.hw, 10, 5, "i2c_master", None) - TLU_I2C.state() - - - #READ CONTENT OF EEPROM ON 24AA02E48 (0xFA - 0XFF) - mystop= 1 - time.sleep(0.1) - myaddr= [0xfa] - TLU_I2C.write( 0x50, myaddr, mystop) - res=TLU_I2C.read( 0x50, 6) - print "Checkin EEPROM:" - result="\t" - for iaddr in res: - result+="%02x "%(iaddr) - print result - - #SCAN I2C ADDRESSES - #WRITE PROM - #WRITE DAC - - - #Convert required threshold voltage to DAC code - #def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - print("Writing DAC setting:") - Vref= 1.300 - desiredVoltage= 3.3 - channel= 0 - i2cSlaveAddrDac = 0x1F - vrefOn= 0 - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - dacCode= 0x391d - print "\tVreq:", desiredVoltage - print "\tDAC code:" , dacCode - print "\tCH:", channel - print "\tIntRef:", vrefOn - - #Set DAC value - #def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - ##return -1 - if dacCode<0 or dacCode>0xFFFF: - print "set_dac ERROR: value",dacCode ,"not in range 0-0xFFFF" - ##return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - - # print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - # dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # # if we want to enable internal voltage reference: - - if vrefOn: - # enter vref-on mode: - print "\tTurning internal reference ON" - #dac.write([0x38,0x00,0x01]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x01], 0) - else: - print "\tTurning internal reference OFF" - #dac.write([0x38,0x00,0x00]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x00], 0) - # Now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print "\tWriting byte sequence:", sequence - TLU_I2C.write( i2cSlaveAddrDac, sequence, 0) diff --git a/README.md b/README.md index c70d7f2..53749a6 100644 --- a/README.md +++ b/README.md @@ -1,8 +1,11 @@ -# aidatlu +# AIDA-TLU [![Code style: black](https://img.shields.io/badge/code%20style-black-000000.svg)](https://github.com/psf/black) -Repository for controlling the AIDA-2020 TLU with python using uHAL bindings from [IPbus](https://ipbus.web.cern.ch/). - +Repository for controlling the AIDA-2020 Trigger Logic Unit (TLU) with python using uHAL bindings from [IPbus](https://ipbus.web.cern.ch/). +The python control software is based upon [EUDAQ2](https://github.com/eudaq/eudaq/tree/master/user/tlu). +The software is a lightweight version written in python with a strong focus on readability and user-friendliness. +Most user cases can be set with a .yaml configuration file and started by executing a single python script. +For a more in depth look at the hardware components please take a look at the official [AIDA-2020 TLU project](https://ohwr.org/project/fmc-mtlu). # Installation ## IPbus You need to install [IPbus](https://ipbus.web.cern.ch/doc/user/html/software/install/compile.html) and its python bindings to the desired interpreter. @@ -13,11 +16,40 @@ make -j $((`nproc`-1)) # Pass current PATH to su shell to build against current environment python sudo env PATH=$PATH make install prefix= ``` -## Python package +One needs then to import the library path. +```bash +export LD_LIBRARY_PATH=/opt/cactus/lib +``` +## Python packages Install the python package as usual ``` pip install -e . ``` # Usage -TODO \ No newline at end of file +There are multiple ways to use the control software of the AIDA 2020 TLU. +If one executes tlu.py in the main directory, the TLU is initialized, configured and starts a run automatically. +```bash + python tlu.py +``` +The TLU is configured with the standard tlu_configuration file. To stop the run use ctrl+c. + + +While configuring the TLU outputs are powered on and off. +This leads to problems in AIDA mode where the clock is powered off shortly during configuring. +To avoid configuration at the start of runs in AIDA mode the best way is to use the aidatlu.py script. +This is started and controlled with the terminal input: +```bash + python -i aidatlu.py +``` +This initializes the main tlu.py script. One is now able to control the TLU through the python terminal interface, +with the following commands: +```bash + tlu.configure + tlu.run + tlu.help +``` +Runs are stopped with the keyboard interrupt ctr+c. +For more commands take a look at the python script aidatlu.py. + +All configurations are done by the use of a yaml file (tlu_configuration.yaml). \ No newline at end of file diff --git a/TLU_v1c/scripts/500ns_23ns.txt b/TLU_v1c/scripts/500ns_23ns.txt deleted file mode 100644 index 7d050b4..0000000 --- a/TLU_v1c/scripts/500ns_23ns.txt +++ /dev/null @@ -1,8048 +0,0 @@ -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000250000000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000250000000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265649999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000250000000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000507824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000250000000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000250000000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437400000000 -0.0000000507825000000 -0.0000000515650000000 -0.0000004437400000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507824999999 -0.0000000515650000000 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000507824999999 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000000 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000507825000000 -0.0000000515650000001 -0.0000004437399999999 -0.0000000515650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000250000000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000004437399999999 -0.0000000507825000000 -0.0000000507824999999 -0.0000000515650000001 -0.0000000515650000000 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000250000000001 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257824999999 -0.0000000257825000000 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000507825000000 -0.0000004437399999999 -0.0000000515650000001 -0.0000000515650000001 -0.0000004437399999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000265650000001 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000015250000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000250000000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257824999999 -0.0000000265649999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000257825000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000265650000000 -0.0000000265650000000 -0.0000000265650000001 -0.0000000257825000000 -0.0000000257825000001 -0.0000000257824999999 -0.0000000257824999999 -0.0000000265650000000 -0.0000000265649999999 -0.0000000257825000000 diff --git a/TLU_v1c/scripts/500ns_24ns.txt b/TLU_v1c/scripts/500ns_24ns.txt deleted file mode 100644 index c6f9734..0000000 --- a/TLU_v1c/scripts/500ns_24ns.txt +++ /dev/null @@ -1,665 +0,0 @@ -0.00000002656500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000309000 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000309000 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000309000 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000309000 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000309000 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 -0.00000000152500 -0.00000000230750 diff --git a/TLU_v1c/scripts/AIDA_testScript.py b/TLU_v1c/scripts/AIDA_testScript.py deleted file mode 100644 index 4b8f505..0000000 --- a/TLU_v1c/scripts/AIDA_testScript.py +++ /dev/null @@ -1,183 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal -from I2CuHal import I2CCore -import time -#import miniTLU -from si5345 import si5345 -from AD5665R import AD5665R -from PCA9539PW import PCA9539PW -from E24AA025E48T import E24AA025E48T - -manager = uhal.ConnectionManager("file://./TLUconnection.xml") -hw = manager.getDevice("tlu") - -# hw.getNode("A").write(255) -reg = hw.getNode("version").read() -hw.dispatch() -print "CHECK REG= ", hex(reg) - - -# #First I2C core -print ("Instantiating master I2C core:") -master_I2C= I2CCore(hw, 10, 5, "i2c_master", None) -master_I2C.state() - - - - -# -# ####################################### -enableCore= True #Only need to run this once, after power-up -if (enableCore): - mystop=True - print " Write RegDir to set I/O[7] to output:" - myslave= 0x21 - mycmd= [0x01, 0x7F] - nwords= 1 - master_I2C.write(myslave, mycmd, mystop) - - - mystop=False - mycmd= [0x01] - master_I2C.write(myslave, mycmd, mystop) - res= master_I2C.read( myslave, nwords) - print "\tPost RegDir: ", res -# ####################################### -# -# time.sleep(0.1) -# #Read the EPROM -# mystop=False -# nwords=6 -# myslave= 0x53 #DUNE EPROM 0x53 (Possibly) -# myaddr= [0xfa]#0xfa -# master_I2C.write( myslave, myaddr, mystop) -# #res= master_I2C.read( 0x50, 6) -# res= master_I2C.read( myslave, nwords) -# print " PCB EPROM: " -# result="\t " -# for iaddr in res: -# result+="%02x "%(iaddr) -# print result -# ####################################### - - -#Second I2C core -#print ("Instantiating SFP I2C core:") -#clock_I2C= I2CCore(hw, 10, 5, "i2c_sfp", None) -#clock_I2C.state() - -# #Third I2C core -# print ("Instantiating clock I2C core:") -# clock_I2C= I2CCore(hw, 10, 5, "i2c_clk", None) -# clock_I2C.state() - - -# #time.sleep(0.01) -# #Read the EPROM -# mystop=False -# nwords=2 -# myslave= 0x68 #DUNE CLOCK CHIP 0x68 -# myaddr= [0x02 ]#0xfa -# clock_I2C.write( myslave, myaddr, mystop) -# #time.sleep(0.1) -# res= clock_I2C.read( myslave, nwords) -# print " CLOCK EPROM: " -# result="\t " -# for iaddr in res: -# result+="%02x "%(iaddr) -# print result - -# - -#CLOCK CONFIGURATION BEGIN -zeClock=si5345(master_I2C, 0x68) -res= zeClock.getDeviceVersion() -zeClock.checkDesignID() -#zeClock.setPage(0, True) -#zeClock.getPage(True) -clkRegList= zeClock.parse_clk("./../../bitFiles/TLU_CLK_Config.txt") -zeClock.writeConfiguration(clkRegList)###### -zeClock.writeRegister(0x0536, [0x0B]) #Configures manual switch of inputs -zeClock.writeRegister(0x0949, [0x0F]) #Enable all inputs -zeClock.writeRegister(0x052A, [0x05]) #Configures source of input -iopower= zeClock.readRegister(0x0949, 1) -print " Clock IO power: 0x%X" % iopower[0] -lol= zeClock.readRegister(0x000E, 1) -print " Clock LOL: 0x%X" % lol[0] -los= zeClock.readRegister(0x000D, 1) -print " Clock LOS: 0x%X" % los[0] -#CLOCK CONFIGURATION END - -#DAC CONFIGURATION BEGIN -zeDAC1=AD5665R(master_I2C, 0x13) -zeDAC1.setIntRef(intRef= False, verbose= True) -zeDAC1.writeDAC(0x0, 7, verbose= True)#7626 - -zeDAC2=AD5665R(master_I2C, 0x1F) -zeDAC2.setIntRef(intRef= False, verbose= True) -zeDAC2.writeDAC(0x2fff, 3, verbose= True) -#DAC CONFIGURATION END - -#EEPROM BEGIN -zeEEPROM= E24AA025E48T(master_I2C, 0x50) -res=zeEEPROM.readEEPROM(0xfa, 6) -result=" EEPROM ID:\n\t" -for iaddr in res: - result+="%02x "%(iaddr) -print result -#EEPROM END - -# #I2C EXPANDER CONFIGURATION BEGIN -IC6=PCA9539PW(master_I2C, 0x74) -#BANK 0 -IC6.setInvertReg(0, 0x00)# 0= normal -IC6.setIOReg(0, 0xFF)# 0= output <<<<<<<<<<<<<<<<<<< -IC6.setOutputs(0, 0xFF) -res= IC6.getInputs(0) -print "IC6 read back bank 0: 0x%X" % res[0] -# -#BANK 1 -IC6.setInvertReg(1, 0x00)# 0= normal -IC6.setIOReg(1, 0xFF)# 0= output <<<<<<<<<<<<<<<<<<< -IC6.setOutputs(1, 0xFF) -res= IC6.getInputs(1) -print "IC6 read back bank 1: 0x%X" % res[0] - -# # # -IC7=PCA9539PW(master_I2C, 0x75) -#BANK 0 -IC7.setInvertReg(0, 0xFF)# 0= normal -IC7.setIOReg(0, 0xFA)# 0= output <<<<<<<<<<<<<<<<<<< -IC7.setOutputs(0, 0xFF) -res= IC7.getInputs(0) -print "IC7 read back bank 0: 0x%X" % res[0] -# -#BANK 1 -IC7.setInvertReg(1, 0x00)# 0= normal -IC7.setIOReg(1, 0x0F)# 0= output <<<<<<<<<<<<<<<<<<< -IC7.setOutputs(1, 0xFF) -res= IC7.getInputs(1) -print "IC7 read back bank 1: 0x%X" % res[0] -# #I2C EXPANDER CONFIGURATION END - - -# #Reset counters -#cmd = int("0x0", 16) #write 0x2 to reset -#hw.getNode("triggerInputs.SerdesRstW").write(cmd) -#restatus= hw.getNode("triggerInputs.SerdesRstR").read() -#hw.dispatch() -#print "Trigger Reset: 0x%X" % restatus -## #Read trigger inputs -#myreg= [-1, -1, -1, -1, -1, -1] -#for inputN in range(0, 6): -# regString= "triggerInputs.ThrCount%dR" % inputN -# myreg[inputN]= hw.getNode(regString).read() -# hw.dispatch() -# print regString, myreg[inputN] - -## Read ev formatter -#cmd = int("0x0", 16) # -##hw.getNode("Event_Formatter.Enable_Record_Data").write(cmd) -#efstatus= hw.getNode("Event_Formatter.CurrentTimestampLR").read() -#hw.dispatch() -#print "Event Formatter Record: 0x%X" % efstatus diff --git a/TLU_v1c/scripts/TLU.py b/TLU_v1c/scripts/TLU.py deleted file mode 100644 index c340cfe..0000000 --- a/TLU_v1c/scripts/TLU.py +++ /dev/null @@ -1,749 +0,0 @@ -# -*- coding: utf-8 -*- -import uhal; -import pprint; -#from FmcTluI2c import * -from I2CuHal import I2CCore -from si5345 import si5345 # Library for clock chip -from AD5665R import AD5665R # Library for DAC -from PCA9539PW import PCA9539PW # Library for serial line expander -import time - -class TLU: - """docstring for TLU""" - def __init__(self, dev_name, man_file): - self.dev_name = dev_name - self.manager= uhal.ConnectionManager(man_file) - self.hw = self.manager.getDevice(self.dev_name) - self.nDUTs= 4 #Number of DUT connectors - self.nChannels= 6 #Number of trigger inputs - self.VrefInt= 2.5 #Internal DAC voltage reference - self.VrefExt= 1.3 #External DAC voltage reference - self.intRefOn= False #Internal reference is OFF by default - - self.fwVersion = self.hw.getNode("version").read() - self.hw.dispatch() - print "TLU FIRMWARE VERSION= " , hex(self.fwVersion) - - # Instantiate a I2C core to configure components - self.TLU_I2C= I2CCore(self.hw, 10, 5, "i2c_master", None) - #self.TLU_I2C.state() - - enableCore= True #Only need to run this once, after power-up - self.enableCore() - - # Instantiate clock chip - self.zeClock=si5345(self.TLU_I2C, 0x68) - res= self.zeClock.getDeviceVersion() - self.zeClock.checkDesignID() - - # Instantiate DACs and configure them to use reference based on TLU setting - self.zeDAC1=AD5665R(self.TLU_I2C, 0x13) - self.zeDAC2=AD5665R(self.TLU_I2C, 0x1F) - self.zeDAC1.setIntRef(self.intRefOn) - self.zeDAC2.setIntRef(self.intRefOn) - - # Instantiate the serial line expanders and configure them to default values - self.IC6=PCA9539PW(self.TLU_I2C, 0x74) - self.IC6.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.IC6.setIOReg(0, 0xFF)# 0= output, 1= input - self.IC6.setOutputs(0, 0xFF)# If output, set to 1 - self.IC6.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.IC6.setIOReg(1, 0xFF)# 0= output, 1= input - self.IC6.setOutputs(1, 0xFF)# If output, set to 1 - - self.IC7=PCA9539PW(self.TLU_I2C, 0x75) - self.IC7.setInvertReg(0, 0x00)# 0= normal, 1= inverted - self.IC7.setIOReg(0, 0xFF)# 0= output, 1= input - self.IC7.setOutputs(0, 0xFF)# If output, set to 1 - self.IC7.setInvertReg(1, 0x00)# 0= normal, 1= inverted - self.IC7.setIOReg(1, 0xFF)# 0= output, 1= input - self.IC7.setOutputs(1, 0xFF)# If output, set to 1 - - -################################################################################################################################## -################################################################################################################################## - def DUTOutputs(self, dutN, enable=False, verbose=False): - ## Set the status of the transceivers for a specific HDMI connector. When enable= False the transceivers are disabled and the - ## connector cannot send signals from FPGA to the outside world. When enable= True then signals from the FPGA will be sent out to the HDMI. - ## NOTE: the other direction is always enabled, i.e. signals from the DUTs are always sent to the FPGA. - ## NOTE: CLK direction must be defined separately using DUTClkSrc - - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print "\tERROR: DUTOutputs. The DUT number must be comprised between 0 and ", self.nDUTs-1 - return -1 - bank= dutN//2 # DUT0 and DUT1 are on bank 0. DUT2 and DUT3 on bank 1 - nibble= dutN%2 # DUT0 and DUT2 are on nibble 0. DUT1 and DUT3 are on nibble 1 - print " Setting DUT:", dutN, "to", enable - if verbose: - print "\tBank", bank, "Nibble", nibble - res= self.IC6.getIOReg(bank) - oldStatus= res[0] - mask= 0xF << 4*nibble - newStatus= oldStatus & (~mask) - if (not enable): # we want to write 0 to activate the outputs so check opposite of "enable" - newStatus |= mask - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC6.setIOReg(bank, newStatus) - return newStatus - - def DUTClkSrc(self, dutN, clkSrc=0, verbose= False): - ## Allows to choose the source of the clock signal sent to the DUTs over HDMI - ## clkSrc= 0: clock disabled - ## clkSrc= 1: clock from Si5345 - ## clkSrc=2: clock from FPGA - if (dutN < 0) | (dutN> (self.nDUTs-1)): - print "\tERROR: DUTClkSrc. The DUT number must be comprised between 0 and ", self.nDUTs-1 - return -1 - if (clkSrc < 0) | (clkSrc> 2): - print "\tERROR: DUTClkSrc. clkSrc can only be 0 (disabled), 1 (Si5345) or 2 (FPGA)" - return -1 - bank=0 - maskLow= 1 << (1* dutN) #CLK FROM FPGA - maskHigh= 1<< (1* dutN +4) #CLK FROM Si5345 - mask= maskLow | maskHigh - res= self.IC7.getIOReg(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask #set both bits to zero - outStat= "" - if clkSrc==0: - newStatus = newStatus | mask - outStat= "disabled" - elif clkSrc==1: - newStatus = newStatus | maskLow - outStat= "Si5435" - elif clkSrc==2: - newStatus= newStatus | maskHigh - outStat= "FPGA" - print " Setting DUT:", dutN, "clock source to", outStat - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC7.setIOReg(bank, newStatus) - return newStatus - - def enableClkLEMO(self, enable= False, verbose= False): - ## Enable or disable the output clock to the differential LEMO output - bank=1 - mask= 0x10 - res= self.IC7.getIOReg(bank) - oldStatus= res[0] - newStatus= oldStatus & ~mask - outStat= "enabled" - if (not enable): #A 0 activates the output. A 1 disables it. - newStatus= newStatus | mask - outStat= "disabled" - print " Clk LEMO", outStat - if verbose: - print "\tOldStatus= ", "{0:#0{1}x}".format(oldStatus,4), "Mask=" , hex(mask), "newStatus=", "{0:#0{1}x}".format(newStatus,4) - self.IC7.setIOReg(bank, newStatus) - return newStatus - - def enableCore(self): - ## At power up the Enclustra I2C lines are disabled (tristate buffer is off). - ## This function enables the lines. It is only required once. - mystop=True - print " Enabling I2C bus (expect 127):" - myslave= 0x21 - mycmd= [0x01, 0x7F] - nwords= 1 - self.TLU_I2C.write(myslave, mycmd, mystop) - - mystop=False - mycmd= [0x01] - self.TLU_I2C.write(myslave, mycmd, mystop) - res= self.TLU_I2C.read( myslave, nwords) - print "\tPost RegDir: ", res - - def getAllChannelsCounts(self): - chCounts=[] - for ch in range (0,self.nChannels): - chCounts.append(int(self.getChCount(ch))) - return chCounts - - def getChStatus(self): - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print "\tInput status= " , hex(inputStatus) - return inputStatus - - def getChCount(self, channel): - regString= "triggerInputs.ThrCount"+ str(channel)+"R" - count = self.hw.getNode(regString).read() - self.hw.dispatch() - print "\tCh", channel, "Count:" , count - return count - - def getClockStatus(self): - clockStatus = self.hw.getNode("logic_clocks.LogicClocksCSR").read() - self.hw.dispatch() - print " CLOCK STATUS [expected 1]" - print "\t", hex(clockStatus) - if ( clockStatus == 0 ): - "ERROR: Clocks in TLU FPGA are not locked." - return clockStatus - - def getDUTmask(self): - DUTMaskR = self.hw.getNode("DUTInterfaces.DutMaskR").read() - self.hw.dispatch() - print "\tDUTMask read back as:" , hex(DUTMaskR) - return DUTMaskR - - def getExternalVeto(self): - extVeto= self.hw.getNode("triggerLogic.ExternalTriggerVetoR").read() - self.hw.dispatch() - print "\tEXTERNAL Veto read back as:", hex(extVeto) - return extVeto - - def getFifoData(self, nWords): - #fifoData= self.hw.getNode("eventBuffer.EventFifoData").read() - fifoData= self.hw.getNode("eventBuffer.EventFifoData").readBlock (nWords); - self.hw.dispatch() - #print "\tFIFO Data:", hex(fifoData) - return fifoData - - def getFifoLevel(self): - FifoFill= self.hw.getNode("eventBuffer.EventFifoFillLevel").read() - self.hw.dispatch() - print "\tFIFO level read back as:", hex(FifoFill) - return FifoFill - - def getFifoCSR(self): - FifoCSR= self.hw.getNode("eventBuffer.EventFifoCSR").read() - self.hw.dispatch() - print "\tFIFO CSR read back as:", hex(FifoCSR) - return FifoCSR - - def getInternalTrg(self): - trigIntervalR = self.hw.getNode("triggerLogic.InternalTriggerIntervalR").read() - self.hw.dispatch() - print "\tTrigger frequency read back as:", trigIntervalR, "Hz" - return trigIntervalR - - def getMode(self): - DUTInterfaceModeR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeR").read() - self.hw.dispatch() - print "\tDUT mode read back as:" , hex(DUTInterfaceModeR) - return DUTInterfaceModeR - - def getModeModifier(self): - DUTInterfaceModeModifierR = self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierR").read() - self.hw.dispatch() - print "\tDUT mode modifier read back as:" , hex(DUTInterfaceModeModifierR) - return DUTInterfaceModeModifierR - - def getSN(self): - epromcontent=self.readEEPROM(0xfa, 6) - print " FMC-TLU serial number (EEPROM):" - result="\t" - for iaddr in epromcontent: - result+="%02x "%(iaddr) - print result - return epromcontent - - def getPostVetoTrg(self): - triggerN = self.hw.getNode("triggerLogic.PostVetoTriggersR").read() - self.hw.dispatch() - print "\tPOST VETO TRIGGER NUMBER:", (triggerN) - return triggerN - - def getPulseDelay(self): - pulseDelayR = self.hw.getNode("triggerLogic.PulseDelayR").read() - self.hw.dispatch() - print "\tPulse delay read back as:", hex(pulseDelayR) - return pulseDelayR - - def getPulseStretch(self): - pulseStretchR = self.hw.getNode("triggerLogic.PulseStretchR").read() - self.hw.dispatch() - print "\tPulse stretch read back as:", hex(pulseStretchR) - return pulseStretchR - - def getRecordDataStatus(self): - RecordStatus= self.hw.getNode("Event_Formatter.Enable_Record_Data").read() - self.hw.dispatch() - print "\tData recording:", RecordStatus - return RecordStatus - - def getTriggerVetoStatus(self): - trgVetoStatus= self.hw.getNode("triggerLogic.TriggerVetoR").read() - self.hw.dispatch() - print "\tTrigger veto status read back as:", trgVetoStatus - return trgVetoStatus - - def getTrgPattern(self): - triggerPattern_low = self.hw.getNode("triggerLogic.TriggerPattern_lowR").read() - triggerPattern_high = self.hw.getNode("triggerLogic.TriggerPattern_highR").read() - self.hw.dispatch() - print "\tTrigger pattern read back as: 0x%08X 0x%08X" %(triggerPattern_high, triggerPattern_low) - return triggerPattern_low, triggerPattern_high - - def getVetoDUT(self): - IgnoreDUTBusyR = self.hw.getNode("DUTInterfaces.IgnoreDUTBusyR").read() - self.hw.dispatch() - print "\tIgnoreDUTBusy read back as:" , hex(IgnoreDUTBusyR) - return IgnoreDUTBusyR - - def getVetoShutters(self): - IgnoreShutterVeto = self.hw.getNode("DUTInterfaces.IgnoreShutterVetoR").read() - self.hw.dispatch() - print "\tIgnoreShutterVeto read back as:" , IgnoreShutterVeto - return IgnoreShutterVeto - - def pulseT0(self): - cmd = int("0x1",16) - self.hw.getNode("Shutter.PulseT0").write(cmd) - self.hw.dispatch() - print "\tPulsing T0" - - def readEEPROM(self, startadd, bytes): - mystop= 1 - time.sleep(0.1) - myaddr= [startadd]#0xfa - self.TLU_I2C.write( 0x50, [startadd], mystop) - res= self.TLU_I2C.read( 0x50, bytes) - return res - - def resetClock(self): - # Set the RST pin from the PLL to 1 - print " Clocks reset" - cmd = int("0x1",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def resetClocks(self): - #Reset clock PLL - self.resetClock() - #Get clock status after reset - self.getClockStatus() - #Restore clock PLL - self.restoreClock() - #Get clock status after restore - self.getClockStatus() - #Get serdes status - self.getChStatus() - - def resetCounters(self): - cmd = int("0x2", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - cmd = int("0x0", 16) #write 0x2 to reset - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - restatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - #print "Trigger Reset: 0x%X" % restatus - print "\tTrigger counters reset" - - def resetSerdes(self): - cmd = int("0x3",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during reset = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after reset = " , hex(inputStatus) - - cmd = int("0x4",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status during calibration = " , hex(inputStatus) - - cmd = int("0x0",16) - self.setChStatus(cmd) - inputStatus= self.getChStatus() - print "\t Input status after calibration = " , hex(inputStatus) - - def restoreClock(self): - # Set the RST pin from the PLL to 0 - print " Clocks restore" - cmd = int("0x0",16) - self.hw.getNode("logic_clocks.LogicRst").write(cmd) - self.hw.dispatch() - - def setChStatus(self, cmd): - self.hw.getNode("triggerInputs.SerdesRstW").write(cmd) - inputStatus= self.hw.getNode("triggerInputs.SerdesRstR").read() - self.hw.dispatch() - print " INPUT STATUS SET TO= " , hex(inputStatus) - - def setClockStatus(self, cmd): - # Only use this for testing. The clock source is actually selected in the Si5345. - self.hw.getNode("logic_clocks.LogicClocksCSR").write(cmd) - self.hw.dispatch() - - def setDUTmask(self, DUTMask): - print " DUT MASK ENABLING: Mask= " , hex(DUTMask) - self.hw.getNode("DUTInterfaces.DutMaskW").write(DUTMask) - self.hw.dispatch() - self.getDUTmask() - - def setFifoCSR(self, cmd): - self.hw.getNode("eventBuffer.EventFifoCSR").write(cmd) - self.hw.dispatch() - self.getFifoCSR() - - def setInternalTrg(self, triggerInterval): - print " TRIGGERS INTERNAL:" - if triggerInterval == 0: - internalTriggerFreq = 0 - print "\tdisabled" - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\t Setting:", internalTriggerFreq, "Hz" - self.hw.getNode("triggerLogic.InternalTriggerIntervalW").write(int(internalTriggerFreq)) - self.hw.dispatch() - self.getInternalTrg() - - def setMode(self, mode): - print " DUT MODE SET TO: ", hex(mode) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeW").write(mode) - self.hw.dispatch() - self.getMode() - - def setModeModifier(self, modifier): - print " DUT MODE MODIFIER:", hex(modifier) - self.hw.getNode("DUTInterfaces.DUTInterfaceModeModifierW").write(modifier) - self.hw.dispatch() - self.getModeModifier() - - def setPulseDelay(self, pulseDelay): - print " TRIGGER DELAY SET TO", hex(pulseDelay), "[Units= 160MHz clock, 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.PulseDelayW").write(pulseDelay) - self.hw.dispatch() - self.getPulseDelay() - - def setPulseStretch(self, pulseStretch): - print " INPUT COINCIDENCE WINDOW SET TO", hex(pulseStretch) ,"[Units= 160MHz clock cycles, 5-bit values (one per input) packed in to 32-bit word]" - self.hw.getNode("triggerLogic.PulseStretchW").write(pulseStretch) - self.hw.dispatch() - self.getPulseStretch() - - def setRecordDataStatus(self, status=False): - print " Data recording set:" - self.hw.getNode("Event_Formatter.Enable_Record_Data").write(status) - self.hw.dispatch() - self.getRecordDataStatus() - - def setTriggerVetoStatus(self, status=False): - self.hw.getNode("triggerLogic.TriggerVetoW").write(status) - self.hw.dispatch() - self.getTriggerVetoStatus() - - def setTrgPattern(self, triggerPatternH, triggerPatternL): - triggerPatternL &= 0xffffffff - triggerPatternH &= 0xffffffff - print " TRIGGER PATTERN (for external triggers) SET TO 0x%08X 0x%08X. Two 32-bit words." %(triggerPatternH, triggerPatternL) - self.hw.getNode("triggerLogic.TriggerPattern_lowW").write(triggerPatternL) - self.hw.getNode("triggerLogic.TriggerPattern_highW").write(triggerPatternH) - self.hw.dispatch() - self.getTrgPattern() - - def setVetoDUT(self, ignoreDUTBusy): - print " VETO IGNORE BY DUT BUSY MASK SET TO" , hex(ignoreDUTBusy) - self.hw.getNode("DUTInterfaces.IgnoreDUTBusyW").write(ignoreDUTBusy) - self.hw.dispatch() - self.getVetoDUT() - - def setVetoShutters(self, newState): - if newState: - print " IgnoreShutterVetoW SET TO LISTEN FOR VETO FROM SHUTTER" - cmd= int("0x0",16) - else: - print " IgnoreShutterVetoW SET TO IGNORE VETO FROM SHUTTER" - cmd= int("0x1",16) - self.hw.getNode("DUTInterfaces.IgnoreShutterVetoW").write(cmd) - self.hw.dispatch() - self.getVetoShutters() - - def writeThreshold(self, DACtarget, Vtarget, channel): - #Writes the threshold. The DAC voltage differs from the threshold voltage because - #the range is shifted to be symmetrical around 0V. - - #Check if the DACs are using the internal reference - if (self.intRefOn): - Vref= self.VrefInt - else: - Vref= self.VrefExt - - #Calculate offset voltage (because of the following shifter) - Vdac= ( Vtarget + Vref ) / 2 - print" THRESHOLD setting:" - if channel==7: - print "\tCH: ALL" - else: - print "\tCH:", channel - print "\tTarget V:", Vtarget - dacValue = 0xFFFF * (Vdac / Vref) - DACtarget.writeDAC(int(dacValue), channel, True) - - def parseFifoData(self, fifoData, nEvents, verbose): - #for index in range(0, len(fifoData)-1, 6): - outList= [] - for index in range(0, (nEvents)*6, 6): - word0= (fifoData[index] << 32) + fifoData[index + 1] - word1= (fifoData[index + 2] << 32) + fifoData[index + 3] - word2= (fifoData[index + 4] << 32) + fifoData[index + 5] - evType= (fifoData[index] & 0xF0000000) >> 28 - inTrig= (fifoData[index] & 0x0FFF0000) >> 16 - tStamp= ((fifoData[index] & 0x0000FFFF) << 32) + fifoData[index + 1] - fineTs= fifoData[index + 2] - evNum= fifoData[index + 3] - fineTsList=[-1]*12 - fineTsList[3]= (fineTs & 0x000000FF) - fineTsList[2]= (fineTs & 0x0000FF00) >> 8 - fineTsList[1]= (fineTs & 0x00FF0000) >> 16 - fineTsList[0]= (fineTs & 0xFF000000) >> 24 - fineTsList[7]= (fifoData[index + 4] & 0x000000FF) - fineTsList[6]= (fifoData[index + 4] & 0x0000FF00) >> 8 - fineTsList[5]= (fifoData[index + 4] & 0x00FF0000) >> 16 - fineTsList[4]= (fifoData[index + 4] & 0xFF000000) >> 24 - fineTsList[11]= (fifoData[index + 5] & 0x000000FF) - fineTsList[10]= (fifoData[index + 5] & 0x0000FF00) >> 8 - fineTsList[9]= (fifoData[index + 5] & 0x00FF0000) >> 16 - fineTsList[8]= (fifoData[index + 5] & 0xFF000000) >> 24 - if verbose: - print "====== EVENT", evNum, "=================================================" - print "[", hex(word0), "]", "\t TYPE", hex(evType), "\t TRIGGER", hex(inTrig), "\t TIMESTAMP", (tStamp) - print "[",hex(word1), "]", "\tEV NUM", evNum, "\tFINETS[0,3]", hex(fineTs) - print "[",hex(word2), "]", "\tFINETS[4,11]", hex(word2) - print fineTsList - fineTsList.insert(0, tStamp) - fineTsList.insert(0, evNum) - #print fineTsList - outList.insert(len(outList), fineTsList) - printdata= False - if (printdata): - print "=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=-=" - print "EN#\tCOARSE_TS\tFINE_TS0...FINE_TS11" - pprint.pprint(outList) - return outList - - def plotFifoData(self, outList): - import matplotlib.pyplot as plt - import numpy as np - import matplotlib.mlab as mlab - - coarseColumn= [row[1] for row in outList] - fineColumn= [row[2] for row in outList] - timeStamp= [sum(x) for x in zip(coarseColumn, fineColumn)] - correctTs= [-1]*len(coarseColumn) - coarseVal= 0.000000025 #coarse time value (40 Mhz, 25 ns) - fineVal= 0.00000000078125 #fine time value (1280 MHz, 0.78125 ns) - for iTs in range(0, len(coarseColumn)): - correctTs[iTs]= coarseColumn[iTs]*coarseVal + fineColumn[iTs]*fineVal - #if iTs: - #print correctTs[iTs]-correctTs[iTs-1], "\t ", correctTs[iTs], "\t", coarseColumn[iTs], "\t", fineColumn[iTs] - - xdiff = np.diff(correctTs) - np.all(xdiff[0] == xdiff) - P= 1000000000 #display in ns - nsDeltas = [x * P for x in xdiff] - #centerRange= np.mean(nsDeltas) - centerRange= 476 - windowsns= 30 - minRange= centerRange-windowsns - maxRange= centerRange+windowsns - - #Divide figure in two axes - plt.subplot(311) - - #Create first histogram - plt.hist(nsDeltas, 60, range=[minRange, maxRange], facecolor='blue', align='mid', alpha= 0.75) - #plt.hist(nsDeltas, 100, normed=True, facecolor='blue', align='mid', alpha=0.75) - #plt.xlim((min(nsDeltas), max(nsDeltas))) - plt.xlabel('Time (ns)') - plt.ylabel('Entries') - plt.title('Histogram DeltaTime') - plt.grid(True) - - #Superimpose Gauss to first plot - mean = np.mean(nsDeltas) - variance = np.var(nsDeltas) - sigma = np.sqrt(variance) - x = np.linspace(min(nsDeltas), max(nsDeltas), 100) - plt.plot(x, mlab.normpdf(x, mean, sigma)) - - MSBTs= [-1]*len(fineColumn) - LSBTs= [-1]*len(fineColumn) - for iTs in range(0, len(fineColumn)): - MSBTs[iTs]= fineColumn[iTs] & 0b11000 - LSBTs[iTs]= fineColumn[iTs] & 0b00111 - #if iTs: - #print correctTs[iTs]-correctTs[iTs-1], "\t ", correctTs[iTs], "\t", coarseColumn[iTs], "\t", fineColumn[iTs] - - #Second plot - plt.subplot(312) - plt.xlabel('Clock sample') - plt.ylabel('Entries') - plt.title('Histogram Fine Time Stamp (2 MSB)') - plt.grid(True) - plt.hist(MSBTs, 100, normed=False, facecolor='blue', align='mid', alpha=0.75) - - #Third plot - plt.subplot(313) - plt.xlabel('Clock sample') - plt.ylabel('Entries') - plt.title('Histogram Fine Time Stamp (3 LSB)') - plt.grid(True) - plt.hist(LSBTs, 100, normed=False, facecolor='blue', align='mid', alpha=0.75) - - #Display plot - plt.show() - - - def saveFifoData(self, outList): - import csv - with open("output.csv", "wb") as f: - writer = csv.writer(f) - writer.writerows(outList) - - -################################################################################################################################## -################################################################################################################################## - - def initialize(self): - print "\nTLU INITIALIZING..." - - # We need to pass it listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage - - #READ CONTENT OF EPROM VIA I2C - self.getSN() - - print " Turning on software trigger veto" - cmd = int("0x1",16) - self.setTriggerVetoStatus(cmd) - - # - # #SET DACs - targetV= -0.12 - DACchannel= 7 - self.writeThreshold(self.zeDAC1, targetV, DACchannel, ) - self.writeThreshold(self.zeDAC2, targetV, DACchannel, ) - - # - # #ENABLE/DISABLE HDMI OUTPUTS - self.DUTOutputs(0, True, False) - self.DUTOutputs(1, True, False) - self.DUTOutputs(2, True, False) - self.DUTOutputs(3, True, False) - - ## ENABLE/DISABLE LEMO CLOCK OUTPUT - self.enableClkLEMO(True, False) - - # - # #Check clock status - self.getClockStatus() - - resetClocks = 0 - resetSerdes = 0 - resetCounters= 0 - if resetClocks: - self.resetClocks() - self.getClockStatus() - if resetSerdes: - self.resetSerdes() - if resetCounters: - self.resetCounters() - - # # Get inputs status and counters - self.getChStatus() - self.getAllChannelsCounts() - # - # # Stop internal triggers until setup complete - cmd = int("0x0",16) - self.setInternalTrg(cmd) - # - # # Set pulse stretch - pulseStretch= 0x00000000 - self.setPulseStretch(pulseStretch) - # - # # Set pulse delay - pulseDelay= 0x00 - self.setPulseDelay(pulseDelay) - - # # Set trigger pattern - #triggerPattern_low= 0xFFFEFFFE - #triggerPattern_high= 0xFFFFFFFF - triggerPattern_low= 0x00010102 - triggerPattern_low= 0x00000002 - triggerPattern_high= 0x00000000 - self.setTrgPattern(triggerPattern_high, triggerPattern_low) - - # # Set DUTs - DUTMask= 0xF - self.setDUTmask(DUTMask) - # - # # # Set mode - DUTMode= 0xFFFFFFFF - self.setMode(DUTMode) - - # # # Set modifier - modifier = int("0xFF",16) - self.setModeModifier(modifier) - # - # # Set veto shutter - setVetoShutters=0 - self.setVetoShutters(setVetoShutters) - - # # Set veto by DUT - ignoreDUTBusy=0x0 - self.setVetoDUT(ignoreDUTBusy) - self.getExternalVeto() - # - # # Set trigger interval (use 0 to disable internal triggers) - triggerInterval= 0000 - self.setInternalTrg(triggerInterval) - - print "TLU INITIALIZED" - -################################################################################################################################## -################################################################################################################################## - def start(self, logtimestamps=False): - print "TLU STARTING..." - - print " FIFO RESET:" - FIFOcmd= 0x2 - self.setFifoCSR(FIFOcmd) - - eventFifoFillLevel= self.getFifoLevel() - cmd = int("0x000",16) - self.setInternalTrg(cmd) - - if logtimestamps: - self.setRecordDataStatus(True) - else: - self.setRecordDataStatus(False) - - # Pulse T0 - self.pulseT0() - - print " Turning off software trigger veto" - cmd = int("0x0",16) - self.setTriggerVetoStatus(cmd) - - print "TLU RUNNING" - -################################################################################################################################## -################################################################################################################################## - def stop(self): - print "TLU STOPPING..." - - self.getPostVetoTrg() - eventFifoFillLevel= self.getFifoLevel() - print " Turning on software trigger veto" - cmd = int("0x1",16) - self.setTriggerVetoStatus(cmd) - - nFifoWords= int(eventFifoFillLevel) - fifoData= self.getFifoData(nFifoWords) - - outList= self.parseFifoData(fifoData, nFifoWords/6, False) - self.saveFifoData(outList) - self.plotFifoData(outList) - #outFile = open('./test.txt', 'w') - #for iData in range (0, 30): - # outFile.write("%s\n" % fifoData[iData]) - # print hex(fifoData[iData]) - print "TLU STOPPED" diff --git a/TLU_v1c/scripts/TLUaddrmap.xml b/TLU_v1c/scripts/TLUaddrmap.xml deleted file mode 100644 index 65fb534..0000000 --- a/TLU_v1c/scripts/TLUaddrmap.xml +++ /dev/null @@ -1,105 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/TLU_v1c/scripts/TLUconnection.xml b/TLU_v1c/scripts/TLUconnection.xml deleted file mode 100644 index fca67f5..0000000 --- a/TLU_v1c/scripts/TLUconnection.xml +++ /dev/null @@ -1,6 +0,0 @@ - - - - - diff --git a/TLU_v1c/scripts/initTLU.py b/TLU_v1c/scripts/initTLU.py deleted file mode 100644 index eb1ae65..0000000 --- a/TLU_v1c/scripts/initTLU.py +++ /dev/null @@ -1,184 +0,0 @@ -# -# Function to initialize TLU -# -# David Cussans, October 2015 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys -import time - -def startTLU( uhalDevice , pychipsBoard , writeTimestamps): - - print "RESETTING FIFO" - pychipsBoard.write("EventFifoCSR",0x2) - eventFifoFillLevel = pychipsBoard.read("EventFifoFillLevel") - print "FIFO FILL LEVEL AFTER RESET= " , eventFifoFillLevel - - - if writeTimestamps: - print "ENABLING DATA RECORDING" - pychipsBoard.write("Enable_Record_Data",1) - else: - print "Disabling data recording" - pychipsBoard.write("Enable_Record_Data",0) - - print "Pulsing T0" - pychipsBoard.write("PulseT0",1) - - print "Turning off software trigger veto" - pychipsBoard.write("TriggerVetoW",0) - - print "TLU is running" - - -def stopTLU( uhalDevice , pychipsBoard ): - - print "Turning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - print "TLU triggers are stopped" - -def initTLU( uhalDevice , pychipsBoard , listenForTelescopeShutter , pulseDelay , pulseStretch , triggerPattern , DUTMask , ignoreDUTBusy , triggerInterval , thresholdVoltage ): - - print "SETTING UP AIDA TLU" - - fwVersion = uhalDevice.getNode("version").read() - uhalDevice.dispatch() - print "\tVersion (uHAL)= " , hex(fwVersion) - - print "\tTurning on software trigger veto" - pychipsBoard.write("TriggerVetoW",1) - - # Check the bus for I2C devices - pychipsBoardi2c = FmcTluI2c(pychipsBoard) - - print "\tScanning I2C bus:" - scanResults = pychipsBoardi2c.i2c_scan() - #print scanResults - print '\t', ', '.join(scanResults), '\n' - - boardId = pychipsBoardi2c.get_serial_number() - print "\tFMC-TLU serial number= " , boardId - - resetClocks = 0 - resetSerdes = 0 - -# set DACs to -200mV - print "\tSETTING ALL DAC THRESHOLDS TO" , thresholdVoltage , "V" - pychipsBoardi2c.set_threshold_voltage(7, thresholdVoltage) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "\tCLOCK STATUS (should be 3 if all clocks locked)= " , hex(clockStatus) - assert ( clockStatus == 3 ) , "Clocks in TLU FPGA are not locked. No point in continuing. Re-prgramme or power cycle board" - - if resetClocks: - print "Resetting clocks" - pychipsBoard.write("LogicRst", 1 ) - - clockStatus = pychipsBoard.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status = " , hex(inputStatus) - - if resetSerdes: - pychipsBoard.write("SerdesRstW", 0x00000003 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after reset = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000004 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status during calibration = " , hex(inputStatus) - - pychipsBoard.write("SerdesRstW", 0x00000000 ) - inputStatus = pychipsBoard.read("SerdesRstR") - print "Input status after calibration = " , hex(inputStatus) - - - inputStatus = pychipsBoard.read("SerdesRstR") - print "\tINPUT STATUS= " , hex(inputStatus) - - count0 = pychipsBoard.read("ThrCount0R") - print "\t Count 0= " , count0 - - count1 = pychipsBoard.read("ThrCount1R") - print "\t Count 1= " , count1 - - count2 = pychipsBoard.read("ThrCount2R") - print "\t Count 2= " , count2 - - count3 = pychipsBoard.read("ThrCount3R") - print "\t Count 3= " , count3 - -# Stop internal triggers until setup complete - pychipsBoard.write("InternalTriggerIntervalW",0) - - print "\tSETTING INPUT COINCIDENCE WINDOW TO",pulseStretch,"[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseStretchW",int(pulseStretch)) - pulseStretchR = pychipsBoard.read("PulseStretchR") - print "\t Pulse stretch read back as:", hex(pulseStretchR) - # assert (int(pulseStretch) == pulseStretchR) , "Pulse stretch read-back doesn't equal written value" - - print "\tSETTING INPUT TRIGGER DELAY TO",pulseDelay , "[Units= 160MHz clock cycles, Four 5-bit values (one per input) packed in to 32-bit word]" - pychipsBoard.write("PulseDelayW",int(pulseDelay)) - pulseDelayR = pychipsBoard.read("PulseDelayR") - print "\t Pulse delay read back as:", hex(pulseDelayR) - - print "\tSETTING TRIGGER PATTERN (for external triggers) TO 0x%08X. Two 16-bit patterns packed into 32 bit word " %(triggerPattern) - pychipsBoard.write("TriggerPatternW",int(triggerPattern)) - triggerPatternR = pychipsBoard.read("TriggerPatternR") - print "\t Trigger pattern read back as: 0x%08X " % (triggerPatternR) - - print "\tENABLING DUT(s): Mask= " , hex(DUTMask) - pychipsBoard.write("DUTMaskW",int(DUTMask)) - DUTMaskR = pychipsBoard.read("DUTMaskR") - print "\t DUTMask read back as:" , hex(DUTMaskR) - - print "\tSETTING ALL DUTs IN AIDA MODE" - pychipsBoard.write("DUTInterfaceModeW", 0xFF) - DUTInterfaceModeR = pychipsBoard.read("DUTInterfaceModeR") - print "\t DUT mode read back as:" , DUTInterfaceModeR - - print "\tSET DUT MODE MODIFIER" - pychipsBoard.write("DUTInterfaceModeModifierW", 0xFF) - DUTInterfaceModeModifierR = pychipsBoard.read("DUTInterfaceModeModifierR") - print "\t DUT mode modifier read back as:" , DUTInterfaceModeModifierR - - if listenForTelescopeShutter: - print "\tSET IgnoreShutterVetoW TO LISTEN FOR VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",0) - else: - print "\tSET IgnoreShutterVetoW TO IGNORE VETO FROM SHUTTER" - pychipsBoard.write("IgnoreShutterVetoW",1) - IgnoreShutterVeto = pychipsBoard.read("IgnoreShutterVetoR") - print "\t IgnoreShutterVeto read back as:" , IgnoreShutterVeto - - print "\tSETTING IGNORE VETO BY DUT BUSY MASK TO" , hex(ignoreDUTBusy) - pychipsBoard.write("IgnoreDUTBusyW",int(ignoreDUTBusy)) - IgnoreDUTBusy = pychipsBoard.read("IgnoreDUTBusyR") - print "\t IgnoreDUTBusy read back as:" , hex(IgnoreDUTBusy) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - - - print "\tSETTING INTERNAL TRIGGER INTERVAL TO" , triggerInterval , "(zero= no internal triggers)" - if triggerInterval == 0: - internalTriggerFreq = 0 - else: - internalTriggerFreq = 160000.0/triggerInterval - print "\tINTERNAL TRIGGER FREQUENCY= " , internalTriggerFreq , " kHz" - pychipsBoard.write("InternalTriggerIntervalW",triggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns - trigIntervalR = pychipsBoard.read("InternalTriggerIntervalR") - print "\t Trigger interval read back as:", trigIntervalR - print "AIDA TLU SETUP COMPLETED" diff --git a/TLU_v1c/scripts/output_check.csv b/TLU_v1c/scripts/output_check.csv deleted file mode 100644 index 7932e23..0000000 --- a/TLU_v1c/scripts/output_check.csv +++ /dev/null @@ -1,2727 +0,0 @@ -1,6027,12,8,8,8,16,16,0,0,0,0,0,0 -2,6047,11,8,8,8,16,16,0,0,0,0,0,0 -3,6067,10,8,8,8,16,16,0,0,0,0,0,0 -4,6087,8,8,8,8,16,16,0,0,0,0,0,0 -5,6106,6,0,0,0,8,8,0,0,0,0,0,0 -7,6126,6,0,0,0,8,8,0,0,0,0,0,0 -9,6146,4,0,0,0,8,8,0,0,0,0,0,0 -10,6166,3,0,0,0,8,8,0,0,0,0,0,0 -11,6186,2,0,0,0,8,8,0,0,0,0,0,0 -12,6206,0,0,0,0,8,8,0,0,0,0,0,0 -13,6226,31,24,24,24,0,0,0,0,0,0,0,0 -15,6246,30,24,24,24,0,0,0,0,0,0,0,0 -17,6266,28,24,24,24,0,0,0,0,0,0,0,0 -18,6286,27,24,24,24,0,0,0,0,0,0,0,0 -19,6306,26,24,24,24,0,0,0,0,0,0,0,0 -20,6326,24,24,24,24,0,0,0,0,0,0,0,0 -21,6346,23,16,16,16,24,24,0,0,0,0,0,0 -23,6366,22,16,16,16,24,24,0,0,0,0,0,0 -25,6386,20,16,16,16,24,24,0,0,0,0,0,0 -26,6406,20,16,16,16,24,24,0,0,0,0,0,0 -27,6426,18,16,16,16,24,24,0,0,0,0,0,0 -28,6446,17,16,16,16,24,24,0,0,0,0,0,0 -29,6466,16,16,16,16,24,24,0,0,0,0,0,0 -30,6486,14,8,8,8,16,16,0,0,0,0,0,0 -32,6506,13,8,8,8,16,16,0,0,0,0,0,0 -34,6526,12,8,8,8,16,16,0,0,0,0,0,0 -35,6546,10,8,8,8,16,16,0,0,0,0,0,0 -36,6566,9,8,8,8,16,16,0,0,0,0,0,0 -37,6586,8,8,8,8,16,16,0,0,0,0,0,0 -38,6605,6,0,0,0,8,8,0,0,0,0,0,0 -40,6625,5,0,0,0,8,8,0,0,0,0,0,0 -41,6645,4,0,0,0,8,8,0,0,0,0,0,0 -42,6665,2,0,0,0,8,8,0,0,0,0,0,0 -43,6685,1,0,0,0,8,8,0,0,0,0,0,0 -44,6705,0,0,0,0,8,8,0,0,0,0,0,0 -45,6725,30,24,24,24,0,0,0,0,0,0,0,0 -47,6745,29,24,24,24,0,0,0,0,0,0,0,0 -48,6765,28,24,24,24,0,0,0,0,0,0,0,0 -49,6785,26,24,24,24,0,0,0,0,0,0,0,0 -50,6805,24,24,24,24,0,0,0,0,0,0,0,0 -51,6825,23,16,16,16,24,24,0,0,0,0,0,0 -53,6845,22,16,16,16,24,24,0,0,0,0,0,0 -55,6865,21,16,16,16,24,24,0,0,0,0,0,0 -56,6885,20,16,16,16,24,24,0,0,0,0,0,0 -57,6905,18,16,16,16,24,24,0,0,0,0,0,0 -58,6925,17,16,16,16,24,24,0,0,0,0,0,0 -59,6945,16,16,16,16,24,24,0,0,0,0,0,0 -60,6965,14,8,8,8,16,16,0,0,0,0,0,0 -62,6985,13,8,8,8,16,16,0,0,0,0,0,0 -64,7005,12,8,8,8,16,16,0,0,0,0,0,0 -65,7025,10,8,8,8,16,16,0,0,0,0,0,0 -66,7045,9,8,8,8,16,16,0,0,0,0,0,0 -67,7065,8,8,8,8,16,16,0,0,0,0,0,0 -68,7084,6,0,0,0,8,8,0,0,0,0,0,0 -70,7104,4,0,0,0,8,8,0,0,0,0,0,0 -71,7124,4,0,0,0,8,8,0,0,0,0,0,0 -72,7144,2,0,0,0,8,8,0,0,0,0,0,0 -73,7164,0,0,0,0,8,8,0,0,0,0,0,0 -74,7184,31,24,24,24,0,0,0,0,0,0,0,0 -76,7204,30,24,24,24,0,0,0,0,0,0,0,0 -78,7224,28,24,24,24,0,0,0,0,0,0,0,0 -79,7244,27,24,24,24,0,0,0,0,0,0,0,0 -80,7264,26,24,24,24,0,0,0,0,0,0,0,0 -81,7284,24,24,24,24,0,0,0,0,0,0,0,0 -82,7304,23,16,16,16,24,24,0,0,0,0,0,0 -84,7324,22,16,16,16,24,24,0,0,0,0,0,0 -86,7344,20,16,16,16,24,24,0,0,0,0,0,0 -87,7364,20,16,16,16,24,24,0,0,0,0,0,0 -88,7384,18,16,16,16,24,24,0,0,0,0,0,0 -89,7404,16,16,16,16,24,24,0,0,0,0,0,0 -90,7424,16,16,16,16,24,24,0,0,0,0,0,0 -91,7444,14,8,8,8,16,16,0,0,0,0,0,0 -93,7464,13,8,8,8,16,16,0,0,0,0,0,0 -95,7484,12,8,8,8,16,16,0,0,0,0,0,0 -96,7504,10,8,8,8,16,16,0,0,0,0,0,0 -97,7524,9,8,8,8,16,16,0,0,0,0,0,0 -98,7544,8,8,8,8,16,16,0,0,0,0,0,0 -99,7563,6,0,0,0,8,8,0,0,0,0,0,0 -101,7583,5,0,0,0,8,8,0,0,0,0,0,0 -103,7603,4,0,0,0,8,8,0,0,0,0,0,0 -104,7623,2,0,0,0,8,8,0,0,0,0,0,0 -105,7643,1,0,0,0,8,8,0,0,0,0,0,0 -106,7663,0,0,0,0,8,8,0,0,0,0,0,0 -107,7683,30,24,24,24,0,0,0,0,0,0,0,0 -109,7703,29,24,24,24,0,0,0,0,0,0,0,0 -111,7723,28,24,24,24,0,0,0,0,0,0,0,0 -112,7743,27,24,24,24,0,0,0,0,0,0,0,0 -113,7763,26,24,24,24,0,0,0,0,0,0,0,0 -114,7783,24,24,24,24,0,0,0,0,0,0,0,0 -115,7803,23,16,16,16,24,24,0,0,0,0,0,0 -117,7823,22,16,16,16,24,24,0,0,0,0,0,0 -119,7843,20,16,16,16,24,24,0,0,0,0,0,0 -120,7863,19,16,16,16,24,24,0,0,0,0,0,0 -121,7883,18,16,16,16,24,24,0,0,0,0,0,0 -122,7903,16,16,16,16,24,24,0,0,0,0,0,0 -123,7923,15,8,8,8,16,16,0,0,0,0,0,0 -125,7943,14,8,8,8,16,16,0,0,0,0,0,0 -127,7963,12,8,8,8,16,16,0,0,0,0,0,0 -128,7983,11,8,8,8,16,16,0,0,0,0,0,0 -129,8003,10,8,8,8,16,16,0,0,0,0,0,0 -130,8023,8,8,8,8,16,16,0,0,0,0,0,0 -131,8042,7,0,0,0,8,8,0,0,0,0,0,0 -133,8062,6,0,0,0,8,8,0,0,0,0,0,0 -135,8082,4,0,0,0,8,8,0,0,0,0,0,0 -136,8102,3,0,0,0,8,8,0,0,0,0,0,0 -137,8122,2,0,0,0,8,8,0,0,0,0,0,0 -138,8142,0,0,0,0,8,8,0,0,0,0,0,0 -139,8162,31,24,24,24,0,0,0,0,0,0,0,0 -141,8182,30,24,24,24,0,0,0,0,0,0,0,0 -143,8202,28,24,24,24,0,0,0,0,0,0,0,0 -144,8222,27,24,24,24,0,0,0,0,0,0,0,0 -145,8242,26,24,24,24,0,0,0,0,0,0,0,0 -146,8262,24,24,24,24,0,0,0,0,0,0,0,0 -147,8282,23,16,16,16,24,24,0,0,0,0,0,0 -149,8302,22,16,16,16,24,24,0,0,0,0,0,0 -151,8322,20,16,16,16,24,24,0,0,0,0,0,0 -152,8342,19,16,16,16,24,24,0,0,0,0,0,0 -153,8362,18,16,16,16,24,24,0,0,0,0,0,0 -154,8382,16,16,16,16,24,24,0,0,0,0,0,0 -155,8402,15,8,8,8,16,16,0,0,0,0,0,0 -157,8422,14,8,8,8,16,16,0,0,0,0,0,0 -159,8442,12,8,8,8,16,16,0,0,0,0,0,0 -160,8462,11,8,8,8,16,16,0,0,0,0,0,0 -161,8482,10,8,8,8,16,16,0,0,0,0,0,0 -162,8502,8,8,8,8,16,16,0,0,0,0,0,0 -163,8521,7,0,0,0,8,8,0,0,0,0,0,0 -165,8541,6,0,0,0,8,8,0,0,0,0,0,0 -167,8561,4,0,0,0,8,8,0,0,0,0,0,0 -168,8581,3,0,0,0,8,8,0,0,0,0,0,0 -169,8601,2,0,0,0,8,8,0,0,0,0,0,0 -170,8621,0,0,0,0,8,8,0,0,0,0,0,0 -171,8641,31,24,24,24,0,0,0,0,0,0,0,0 -173,8661,30,24,24,24,0,0,0,0,0,0,0,0 -175,8681,28,24,24,24,0,0,0,0,0,0,0,0 -176,8701,27,24,24,24,0,0,0,0,0,0,0,0 -177,8721,26,24,24,24,0,0,0,0,0,0,0,0 -178,8741,24,24,24,24,0,0,0,0,0,0,0,0 -179,8761,23,16,16,16,24,24,0,0,0,0,0,0 -181,8781,22,16,16,16,24,24,0,0,0,0,0,0 -183,8801,20,16,16,16,24,24,0,0,0,0,0,0 -184,8821,19,16,16,16,24,24,0,0,0,0,0,0 -185,8841,18,16,16,16,24,24,0,0,0,0,0,0 -186,8861,16,16,16,16,24,24,0,0,0,0,0,0 -187,8881,15,8,8,8,16,16,0,0,0,0,0,0 -189,8901,14,8,8,8,16,16,0,0,0,0,0,0 -191,8921,12,8,8,8,16,16,0,0,0,0,0,0 -192,8941,11,8,8,8,16,16,0,0,0,0,0,0 -193,8961,10,8,8,8,16,16,0,0,0,0,0,0 -194,8981,8,8,8,8,16,16,0,0,0,0,0,0 -195,9000,7,0,0,0,8,8,0,0,0,0,0,0 -197,9020,6,0,0,0,8,8,0,0,0,0,0,0 -199,9040,4,0,0,0,8,8,0,0,0,0,0,0 -200,9060,3,0,0,0,8,8,0,0,0,0,0,0 -201,9080,2,0,0,0,8,8,0,0,0,0,0,0 -202,9100,0,0,0,0,8,8,0,0,0,0,0,0 -203,9120,31,24,24,24,0,0,0,0,0,0,0,0 -205,9140,30,24,24,24,0,0,0,0,0,0,0,0 -207,9160,28,24,24,24,0,0,0,0,0,0,0,0 -208,9180,27,24,24,24,0,0,0,0,0,0,0,0 -209,9200,26,24,24,24,0,0,0,0,0,0,0,0 -210,9220,24,24,24,24,0,0,0,0,0,0,0,0 -211,9240,23,16,16,16,24,24,0,0,0,0,0,0 -213,9260,22,16,16,16,24,24,0,0,0,0,0,0 -215,9280,20,16,16,16,24,24,0,0,0,0,0,0 -216,9300,19,16,16,16,24,24,0,0,0,0,0,0 -217,9320,18,16,16,16,24,24,0,0,0,0,0,0 -218,9340,16,16,16,16,24,24,0,0,0,0,0,0 -219,9360,15,8,8,8,16,16,0,0,0,0,0,0 -221,9380,14,8,8,8,16,16,0,0,0,0,0,0 -223,9400,12,8,8,8,16,16,0,0,0,0,0,0 -224,9420,11,8,8,8,16,16,0,0,0,0,0,0 -225,9440,10,8,8,8,16,16,0,0,0,0,0,0 -226,9460,8,8,8,8,16,16,0,0,0,0,0,0 -227,9479,7,0,0,0,8,8,0,0,0,0,0,0 -229,9499,6,0,0,0,8,8,0,0,0,0,0,0 -231,9519,4,0,0,0,8,8,0,0,0,0,0,0 -232,9539,3,0,0,0,8,8,0,0,0,0,0,0 -233,9559,2,0,0,0,8,8,0,0,0,0,0,0 -234,9579,0,0,0,0,8,8,0,0,0,0,0,0 -235,9599,31,24,24,24,0,0,0,0,0,0,0,0 -237,9619,30,24,24,24,0,0,0,0,0,0,0,0 -239,9639,28,24,24,24,0,0,0,0,0,0,0,0 -240,9659,27,24,24,24,0,0,0,0,0,0,0,0 -241,9679,26,24,24,24,0,0,0,0,0,0,0,0 -242,9699,24,24,24,24,0,0,0,0,0,0,0,0 -243,9719,23,16,16,16,24,24,0,0,0,0,0,0 -245,9739,22,16,16,16,24,24,0,0,0,0,0,0 -247,9759,21,16,16,16,24,24,0,0,0,0,0,0 -248,9779,20,16,16,16,24,24,0,0,0,0,0,0 -249,9799,18,16,16,16,24,24,0,0,0,0,0,0 -250,9819,17,16,16,16,24,24,0,0,0,0,0,0 -251,9839,16,16,16,16,24,24,0,0,0,0,0,0 -252,9859,14,8,8,8,16,16,0,0,0,0,0,0 -254,9879,13,8,8,8,16,16,0,0,0,0,0,0 -255,9899,12,8,8,8,16,16,0,0,0,0,0,0 -256,9919,10,8,8,8,16,16,0,0,0,0,0,0 -257,9939,9,8,8,8,16,16,0,0,0,0,0,0 -258,9959,8,8,8,8,16,16,0,0,0,0,0,0 -259,9978,6,0,0,0,8,8,0,0,0,0,0,0 -261,9998,5,0,0,0,8,8,0,0,0,0,0,0 -263,10018,4,0,0,0,8,8,0,0,0,0,0,0 -264,10038,2,0,0,0,8,8,0,0,0,0,0,0 -265,10058,1,0,0,0,8,8,0,0,0,0,0,0 -266,10078,0,0,0,0,8,8,0,0,0,0,0,0 -267,10098,30,24,24,24,0,0,0,0,0,0,0,0 -269,10118,29,24,24,24,0,0,0,0,0,0,0,0 -271,10138,28,24,24,24,0,0,0,0,0,0,0,0 -272,10158,26,24,24,24,0,0,0,0,0,0,0,0 -273,10178,25,24,24,24,0,0,0,0,0,0,0,0 -274,10198,24,24,24,24,0,0,0,0,0,0,0,0 -275,10218,22,16,16,16,24,24,0,0,0,0,0,0 -277,10238,21,16,16,16,24,24,0,0,0,0,0,0 -279,10258,20,16,16,16,24,24,0,0,0,0,0,0 -280,10278,18,16,16,16,24,24,0,0,0,0,0,0 -281,10298,17,16,16,16,24,24,0,0,0,0,0,0 -282,10318,16,16,16,16,24,24,0,0,0,0,0,0 -283,10338,14,8,8,8,16,16,0,0,0,0,0,0 -285,10358,13,8,8,8,16,16,0,0,0,0,0,0 -287,10378,12,8,8,8,16,16,0,0,0,0,0,0 -288,10398,10,8,8,8,16,16,0,0,0,0,0,0 -289,10418,10,8,8,8,16,16,0,0,0,0,0,0 -290,10438,8,8,8,8,16,16,0,0,0,0,0,0 -291,10457,6,0,0,0,8,8,0,0,0,0,0,0 -293,10477,6,0,0,0,8,8,0,0,0,0,0,0 -295,10497,4,0,0,0,8,8,0,0,0,0,0,0 -296,10517,2,0,0,0,8,8,0,0,0,0,0,0 -297,10537,1,0,0,0,8,8,0,0,0,0,0,0 -298,10557,0,0,0,0,8,8,0,0,0,0,0,0 -299,10577,30,24,24,24,0,0,0,0,0,0,0,0 -301,10597,29,24,24,24,0,0,0,0,0,0,0,0 -303,10617,28,24,24,24,0,0,0,0,0,0,0,0 -304,10637,26,24,24,24,0,0,0,0,0,0,0,0 -305,10657,25,24,24,24,0,0,0,0,0,0,0,0 -306,10677,24,24,24,24,0,0,0,0,0,0,0,0 -307,10697,22,16,16,16,24,24,0,0,0,0,0,0 -309,10717,22,16,16,16,24,24,0,0,0,0,0,0 -311,10737,20,16,16,16,24,24,0,0,0,0,0,0 -312,10757,19,16,16,16,24,24,0,0,0,0,0,0 -313,10777,18,16,16,16,24,24,0,0,0,0,0,0 -314,10797,16,16,16,16,24,24,0,0,0,0,0,0 -315,10817,15,8,8,8,16,16,0,0,0,0,0,0 -317,10837,14,8,8,8,16,16,0,0,0,0,0,0 -319,10857,12,8,8,8,16,16,0,0,0,0,0,0 -320,10877,11,8,8,8,16,16,0,0,0,0,0,0 -321,10897,10,8,8,8,16,16,0,0,0,0,0,0 -322,10917,8,8,8,8,16,16,0,0,0,0,0,0 -323,10936,7,0,0,0,8,8,0,0,0,0,0,0 -325,10956,6,0,0,0,8,8,0,0,0,0,0,0 -327,10976,4,0,0,0,8,8,0,0,0,0,0,0 -328,10996,3,0,0,0,8,8,0,0,0,0,0,0 -329,11016,2,0,0,0,8,8,0,0,0,0,0,0 -330,11036,0,0,0,0,8,8,0,0,0,0,0,0 -331,11056,0,0,0,0,8,8,0,0,0,0,0,0 -332,11076,30,24,24,24,0,0,0,0,0,0,0,0 -334,11096,29,24,24,24,0,0,0,0,0,0,0,0 -335,11116,28,24,24,24,0,0,0,0,0,0,0,0 -336,11136,26,24,24,24,0,0,0,0,0,0,0,0 -337,11156,25,24,24,24,0,0,0,0,0,0,0,0 -338,11176,24,24,24,24,0,0,0,0,0,0,0,0 -339,11196,22,16,16,16,24,24,0,0,0,0,0,0 -341,11216,21,16,16,16,24,24,0,0,0,0,0,0 -343,11236,20,16,16,16,24,24,0,0,0,0,0,0 -344,11256,18,16,16,16,24,24,0,0,0,0,0,0 -345,11276,17,16,16,16,24,24,0,0,0,0,0,0 -346,11296,16,16,16,16,24,24,0,0,0,0,0,0 -347,11316,14,8,8,8,16,16,0,0,0,0,0,0 -349,11336,14,8,8,8,16,16,0,0,0,0,0,0 -351,11356,12,8,8,8,16,16,0,0,0,0,0,0 -352,11376,10,8,8,8,16,16,0,0,0,0,0,0 -353,11396,9,8,8,8,16,16,0,0,0,0,0,0 -354,11416,8,8,8,8,16,16,0,0,0,0,0,0 -355,11435,7,0,0,0,8,8,0,0,0,0,0,0 -357,11455,6,0,0,0,8,8,0,0,0,0,0,0 -359,11475,4,0,0,0,8,8,0,0,0,0,0,0 -360,11495,3,0,0,0,8,8,0,0,0,0,0,0 -361,11515,2,0,0,0,8,8,0,0,0,0,0,0 -362,11535,0,0,0,0,8,8,0,0,0,0,0,0 -363,11555,30,24,24,24,0,0,0,0,0,0,0,0 -365,11575,30,24,24,24,0,0,0,0,0,0,0,0 -367,11595,28,24,24,24,0,0,0,0,0,0,0,0 -368,11615,26,24,24,24,0,0,0,0,0,0,0,0 -369,11635,25,24,24,24,0,0,0,0,0,0,0,0 -370,11655,24,24,24,24,0,0,0,0,0,0,0,0 -371,11675,22,16,16,16,24,24,0,0,0,0,0,0 -373,11695,22,16,16,16,24,24,0,0,0,0,0,0 -375,11715,20,16,16,16,24,24,0,0,0,0,0,0 -376,11735,19,16,16,16,24,24,0,0,0,0,0,0 -377,11755,18,16,16,16,24,24,0,0,0,0,0,0 -378,11775,16,16,16,16,24,24,0,0,0,0,0,0 -379,11795,15,8,8,8,16,16,0,0,0,0,0,0 -381,11815,14,8,8,8,16,16,0,0,0,0,0,0 -383,11835,12,8,8,8,16,16,0,0,0,0,0,0 -384,11855,11,8,8,8,16,16,0,0,0,0,0,0 -385,11875,10,8,8,8,16,16,0,0,0,0,0,0 -386,11895,8,8,8,8,16,16,0,0,0,0,0,0 -387,11914,7,0,0,0,8,8,0,0,0,0,0,0 -389,11934,6,0,0,0,8,8,0,0,0,0,0,0 -391,11954,5,0,0,0,8,8,0,0,0,0,0,0 -392,11974,4,0,0,0,8,8,0,0,0,0,0,0 -393,11994,2,0,0,0,8,8,0,0,0,0,0,0 -394,12014,1,0,0,0,8,8,0,0,0,0,0,0 -395,12034,0,0,0,0,8,8,0,0,0,0,0,0 -396,12054,30,24,24,24,0,0,0,0,0,0,0,0 -398,12074,29,24,24,24,0,0,0,0,0,0,0,0 -399,12094,28,24,24,24,0,0,0,0,0,0,0,0 -400,12114,26,24,24,24,0,0,0,0,0,0,0,0 -401,12134,25,24,24,24,0,0,0,0,0,0,0,0 -402,12154,24,24,24,24,0,0,0,0,0,0,0,0 -403,12174,22,16,16,16,24,24,0,0,0,0,0,0 -405,12194,21,16,16,16,24,24,0,0,0,0,0,0 -406,12214,20,16,16,16,24,24,0,0,0,0,0,0 -407,12234,18,16,16,16,24,24,0,0,0,0,0,0 -408,12254,17,16,16,16,24,24,0,0,0,0,0,0 -409,12274,16,16,16,16,24,24,0,0,0,0,0,0 -410,12294,14,8,8,8,16,16,0,0,0,0,0,0 -412,12314,13,8,8,8,16,16,0,0,0,0,0,0 -414,12334,12,8,8,8,16,16,0,0,0,0,0,0 -415,12354,10,8,8,8,16,16,0,0,0,0,0,0 -416,12374,9,8,8,8,16,16,0,0,0,0,0,0 -417,12394,8,8,8,8,16,16,0,0,0,0,0,0 -418,12413,6,0,0,0,8,8,0,0,0,0,0,0 -420,12433,5,0,0,0,8,8,0,0,0,0,0,0 -422,12453,4,0,0,0,8,8,0,0,0,0,0,0 -423,12473,2,0,0,0,8,8,0,0,0,0,0,0 -424,12493,1,0,0,0,8,8,0,0,0,0,0,0 -425,12513,0,0,0,0,8,8,0,0,0,0,0,0 -426,12533,30,24,24,24,0,0,0,0,0,0,0,0 -428,12553,29,24,24,24,0,0,0,0,0,0,0,0 -429,12573,28,24,24,24,0,0,0,0,0,0,0,0 -430,12593,26,24,24,24,0,0,0,0,0,0,0,0 -431,12613,25,24,24,24,0,0,0,0,0,0,0,0 -432,12633,24,24,24,24,0,0,0,0,0,0,0,0 -433,12653,22,16,16,16,24,24,0,0,0,0,0,0 -435,12673,21,16,16,16,24,24,0,0,0,0,0,0 -437,12693,20,16,16,16,24,24,0,0,0,0,0,0 -438,12713,18,16,16,16,24,24,0,0,0,0,0,0 -439,12733,17,16,16,16,24,24,0,0,0,0,0,0 -440,12753,16,16,16,16,24,24,0,0,0,0,0,0 -441,12773,14,8,8,8,16,16,0,0,0,0,0,0 -443,12793,13,8,8,8,16,16,0,0,0,0,0,0 -445,12813,12,8,8,8,16,16,0,0,0,0,0,0 -446,12833,10,8,8,8,16,16,0,0,0,0,0,0 -447,12853,9,8,8,8,16,16,0,0,0,0,0,0 -448,12873,8,8,8,8,16,16,0,0,0,0,0,0 -449,12892,6,0,0,0,8,8,0,0,0,0,0,0 -451,12912,5,0,0,0,8,8,0,0,0,0,0,0 -452,12932,4,0,0,0,8,8,0,0,0,0,0,0 -453,12952,2,0,0,0,8,8,0,0,0,0,0,0 -454,12972,1,0,0,0,8,8,0,0,0,0,0,0 -455,12992,0,0,0,0,8,8,0,0,0,0,0,0 -456,13012,30,24,24,24,0,0,0,0,0,0,0,0 -458,13032,29,24,24,24,0,0,0,0,0,0,0,0 -460,13052,28,24,24,24,0,0,0,0,0,0,0,0 -461,13072,26,24,24,24,0,0,0,0,0,0,0,0 -462,13092,26,24,24,24,0,0,0,0,0,0,0,0 -463,13112,24,24,24,24,0,0,0,0,0,0,0,0 -464,13132,23,16,16,16,24,24,0,0,0,0,0,0 -466,13152,22,16,16,16,24,24,0,0,0,0,0,0 -468,13172,20,16,16,16,24,24,0,0,0,0,0,0 -469,13192,19,16,16,16,24,24,0,0,0,0,0,0 -470,13212,18,16,16,16,24,24,0,0,0,0,0,0 -471,13232,16,16,16,16,24,24,0,0,0,0,0,0 -472,13252,15,8,8,8,16,16,0,0,0,0,0,0 -474,13272,14,8,8,8,16,16,0,0,0,0,0,0 -476,13292,12,8,8,8,16,16,0,0,0,0,0,0 -477,13312,11,8,8,8,16,16,0,0,0,0,0,0 -478,13332,10,8,8,8,16,16,0,0,0,0,0,0 -479,13352,8,8,8,8,16,16,0,0,0,0,0,0 -480,13371,7,0,0,0,8,8,0,0,0,0,0,0 -482,13391,6,0,0,0,8,8,0,0,0,0,0,0 -484,13411,4,0,0,0,8,8,0,0,0,0,0,0 -485,13431,3,0,0,0,8,8,0,0,0,0,0,0 -486,13451,2,0,0,0,8,8,0,0,0,0,0,0 -487,13471,0,0,0,0,8,8,0,0,0,0,0,0 -488,13491,31,24,24,24,0,0,0,0,0,0,0,0 -490,13511,30,24,24,24,0,0,0,0,0,0,0,0 -492,13531,28,24,24,24,0,0,0,0,0,0,0,0 -493,13551,26,24,24,24,0,0,0,0,0,0,0,0 -494,13571,25,24,24,24,0,0,0,0,0,0,0,0 -495,13591,24,24,24,24,0,0,0,0,0,0,0,0 -496,13611,22,16,16,16,24,24,0,0,0,0,0,0 -498,13631,21,16,16,16,24,24,0,0,0,0,0,0 -500,13651,20,16,16,16,24,24,0,0,0,0,0,0 -501,13671,18,16,16,16,24,24,0,0,0,0,0,0 -502,13691,18,16,16,16,24,24,0,0,0,0,0,0 -503,13711,16,16,16,16,24,24,0,0,0,0,0,0 -504,13731,15,8,8,8,16,16,0,0,0,0,0,0 -506,13751,14,8,8,8,16,16,0,0,0,0,0,0 -508,13771,12,8,8,8,16,16,0,0,0,0,0,0 -509,13791,11,8,8,8,16,16,0,0,0,0,0,0 -510,13811,10,8,8,8,16,16,0,0,0,0,0,0 -511,13831,8,8,8,8,16,16,0,0,0,0,0,0 -512,13850,7,0,0,0,8,8,0,0,0,0,0,0 -514,13870,6,0,0,0,8,8,0,0,0,0,0,0 -516,13890,4,0,0,0,8,8,0,0,0,0,0,0 -517,13910,3,0,0,0,8,8,0,0,0,0,0,0 -518,13930,2,0,0,0,8,8,0,0,0,0,0,0 -519,13950,1,0,0,0,8,8,0,0,0,0,0,0 -520,13970,0,0,0,0,8,8,0,0,0,0,0,0 -521,13990,30,24,24,24,0,0,0,0,0,0,0,0 -523,14010,28,24,24,24,0,0,0,0,0,0,0,0 -524,14030,28,24,24,24,0,0,0,0,0,0,0,0 -525,14050,26,24,24,24,0,0,0,0,0,0,0,0 -526,14070,25,24,24,24,0,0,0,0,0,0,0,0 -527,14090,24,24,24,24,0,0,0,0,0,0,0,0 -528,14110,22,16,16,16,24,24,0,0,0,0,0,0 -530,14130,21,16,16,16,24,24,0,0,0,0,0,0 -532,14150,20,16,16,16,24,24,0,0,0,0,0,0 -533,14170,18,16,16,16,24,24,0,0,0,0,0,0 -534,14190,17,16,16,16,24,24,0,0,0,0,0,0 -535,14210,16,16,16,16,24,24,0,0,0,0,0,0 -536,14230,14,8,8,8,16,16,0,0,0,0,0,0 -538,14250,13,8,8,8,16,16,0,0,0,0,0,0 -540,14270,12,8,8,8,16,16,0,0,0,0,0,0 -541,14290,10,8,8,8,16,16,0,0,0,0,0,0 -542,14310,9,8,8,8,16,16,0,0,0,0,0,0 -543,14330,8,8,8,8,16,16,0,0,0,0,0,0 -544,14349,6,0,0,0,8,8,0,0,0,0,0,0 -546,14369,5,0,0,0,8,8,0,0,0,0,0,0 -548,14389,4,0,0,0,8,8,0,0,0,0,0,0 -549,14409,2,0,0,0,8,8,0,0,0,0,0,0 -550,14429,1,0,0,0,8,8,0,0,0,0,0,0 -551,14449,0,0,0,0,8,8,0,0,0,0,0,0 -552,14469,30,24,24,24,0,0,0,0,0,0,0,0 -554,14489,29,24,24,24,0,0,0,0,0,0,0,0 -556,14509,28,24,24,24,0,0,0,0,0,0,0,0 -557,14529,27,24,24,24,0,0,0,0,0,0,0,0 -558,14549,26,24,24,24,0,0,0,0,0,0,0,0 -559,14569,24,24,24,24,0,0,0,0,0,0,0,0 -560,14589,23,16,16,16,24,24,0,0,0,0,0,0 -562,14609,22,16,16,16,24,24,0,0,0,0,0,0 -564,14629,20,16,16,16,24,24,0,0,0,0,0,0 -565,14649,19,16,16,16,24,24,0,0,0,0,0,0 -566,14669,18,16,16,16,24,24,0,0,0,0,0,0 -567,14689,16,16,16,16,24,24,0,0,0,0,0,0 -568,14709,15,8,8,8,16,16,0,0,0,0,0,0 -570,14729,14,8,8,8,16,16,0,0,0,0,0,0 -572,14749,12,8,8,8,16,16,0,0,0,0,0,0 -573,14769,11,8,8,8,16,16,0,0,0,0,0,0 -574,14789,10,8,8,8,16,16,0,0,0,0,0,0 -575,14809,8,8,8,8,16,16,0,0,0,0,0,0 -576,14828,7,0,0,0,8,8,0,0,0,0,0,0 -578,14848,6,0,0,0,8,8,0,0,0,0,0,0 -580,14868,4,0,0,0,8,8,0,0,0,0,0,0 -581,14888,3,0,0,0,8,8,0,0,0,0,0,0 -582,14908,2,0,0,0,8,8,0,0,0,0,0,0 -583,14928,0,0,0,0,8,8,0,0,0,0,0,0 -584,14948,31,24,24,24,0,0,0,0,0,0,0,0 -586,14968,30,24,24,24,0,0,0,0,0,0,0,0 -588,14988,28,24,24,24,0,0,0,0,0,0,0,0 -589,15008,27,24,24,24,0,0,0,0,0,0,0,0 -590,15028,26,24,24,24,0,0,0,0,0,0,0,0 -591,15048,24,24,24,24,0,0,0,0,0,0,0,0 -592,15068,23,16,16,16,24,24,0,0,0,0,0,0 -594,15088,22,16,16,16,24,24,0,0,0,0,0,0 -596,15108,20,16,16,16,24,24,0,0,0,0,0,0 -597,15128,19,16,16,16,24,24,0,0,0,0,0,0 -598,15148,18,16,16,16,24,24,0,0,0,0,0,0 -599,15168,16,16,16,16,24,24,0,0,0,0,0,0 -600,15188,15,8,8,8,16,16,0,0,0,0,0,0 -602,15208,14,8,8,8,16,16,0,0,0,0,0,0 -604,15228,12,8,8,8,16,16,0,0,0,0,0,0 -605,15248,11,8,8,8,16,16,0,0,0,0,0,0 -606,15268,10,8,8,8,16,16,0,0,0,0,0,0 -607,15288,8,8,8,8,16,16,0,0,0,0,0,0 -608,15308,8,8,8,8,16,16,0,0,0,0,0,0 -609,15327,6,0,0,0,8,8,0,0,0,0,0,0 -611,15347,5,0,0,0,8,8,0,0,0,0,0,0 -612,15367,4,0,0,0,8,8,0,0,0,0,0,0 -613,15387,2,0,0,0,8,8,0,0,0,0,0,0 -614,15407,1,0,0,0,8,8,0,0,0,0,0,0 -615,15427,0,0,0,0,8,8,0,0,0,0,0,0 -616,15447,30,24,24,24,0,0,0,0,0,0,0,0 -618,15467,29,24,24,24,0,0,0,0,0,0,0,0 -620,15487,28,24,24,24,0,0,0,0,0,0,0,0 -621,15507,26,24,24,24,0,0,0,0,0,0,0,0 -622,15527,25,24,24,24,0,0,0,0,0,0,0,0 -623,15547,24,24,24,24,0,0,0,0,0,0,0,0 -624,15567,22,16,16,16,24,24,0,0,0,0,0,0 -626,15587,21,16,16,16,24,24,0,0,0,0,0,0 -628,15607,20,16,16,16,24,24,0,0,0,0,0,0 -629,15627,18,16,16,16,24,24,0,0,0,0,0,0 -630,15647,17,16,16,16,24,24,0,0,0,0,0,0 -631,15667,16,16,16,16,24,24,0,0,0,0,0,0 -632,15687,14,8,8,8,16,16,0,0,0,0,0,0 -634,15707,13,8,8,8,16,16,0,0,0,0,0,0 -636,15727,12,8,8,8,16,16,0,0,0,0,0,0 -637,15747,11,8,8,8,16,16,0,0,0,0,0,0 -638,15767,10,8,8,8,16,16,0,0,0,0,0,0 -639,15787,8,8,8,8,16,16,0,0,0,0,0,0 -640,15806,7,0,0,0,8,8,0,0,0,0,0,0 -642,15826,6,0,0,0,8,8,0,0,0,0,0,0 -644,15846,4,0,0,0,8,8,0,0,0,0,0,0 -645,15866,3,0,0,0,8,8,0,0,0,0,0,0 -646,15886,2,0,0,0,8,8,0,0,0,0,0,0 -647,15906,0,0,0,0,8,8,0,0,0,0,0,0 -648,15926,31,24,24,24,0,0,0,0,0,0,0,0 -650,15946,30,24,24,24,0,0,0,0,0,0,0,0 -652,15966,28,24,24,24,0,0,0,0,0,0,0,0 -653,15986,27,24,24,24,0,0,0,0,0,0,0,0 -654,16006,26,24,24,24,0,0,0,0,0,0,0,0 -655,16026,24,24,24,24,0,0,0,0,0,0,0,0 -656,16046,23,16,16,16,24,24,0,0,0,0,0,0 -658,16066,22,16,16,16,24,24,0,0,0,0,0,0 -660,16086,20,16,16,16,24,24,0,0,0,0,0,0 -661,16106,19,16,16,16,24,24,0,0,0,0,0,0 -662,16126,18,16,16,16,24,24,0,0,0,0,0,0 -663,16146,16,16,16,16,24,24,0,0,0,0,0,0 -664,16166,15,8,8,8,16,16,0,0,0,0,0,0 -666,16186,14,8,8,8,16,16,0,0,0,0,0,0 -668,16206,13,8,8,8,16,16,0,0,0,0,0,0 -670,16226,12,8,8,8,16,16,0,0,0,0,0,0 -671,16246,10,8,8,8,16,16,0,0,0,0,0,0 -672,16266,9,8,8,8,16,16,0,0,0,0,0,0 -673,16286,8,8,8,8,16,16,0,0,0,0,0,0 -674,16305,6,0,0,0,8,8,0,0,0,0,0,0 -676,16325,5,0,0,0,8,8,0,0,0,0,0,0 -678,16345,4,0,0,0,8,8,0,0,0,0,0,0 -679,16365,2,0,0,0,8,8,0,0,0,0,0,0 -680,16385,1,0,0,0,8,8,0,0,0,0,0,0 -681,16405,0,0,0,0,8,8,0,0,0,0,0,0 -682,16425,30,24,24,24,0,0,0,0,0,0,0,0 -684,16445,29,24,24,24,0,0,0,0,0,0,0,0 -686,16465,28,24,24,24,0,0,0,0,0,0,0,0 -687,16485,26,24,24,24,0,0,0,0,0,0,0,0 -688,16505,25,24,24,24,0,0,0,0,0,0,0,0 -689,16525,24,24,24,24,0,0,0,0,0,0,0,0 -690,16545,22,16,16,16,24,24,0,0,0,0,0,0 -692,16565,21,16,16,16,24,24,0,0,0,0,0,0 -694,16585,20,16,16,16,24,24,0,0,0,0,0,0 -695,16605,18,16,16,16,24,24,0,0,0,0,0,0 -696,16625,17,16,16,16,24,24,0,0,0,0,0,0 -697,16645,16,16,16,16,24,24,0,0,0,0,0,0 -698,16665,14,8,8,8,16,16,0,0,0,0,0,0 -700,16685,13,8,8,8,16,16,0,0,0,0,0,0 -702,16705,12,8,8,8,16,16,0,0,0,0,0,0 -703,16725,10,8,8,8,16,16,0,0,0,0,0,0 -704,16745,9,8,8,8,16,16,0,0,0,0,0,0 -705,16765,8,8,8,8,16,16,0,0,0,0,0,0 -706,16784,6,0,0,0,8,8,0,0,0,0,0,0 -708,16804,5,0,0,0,8,8,0,0,0,0,0,0 -710,16824,4,0,0,0,8,8,0,0,0,0,0,0 -711,16844,2,0,0,0,8,8,0,0,0,0,0,0 -712,16864,1,0,0,0,8,8,0,0,0,0,0,0 -713,16884,0,0,0,0,8,8,0,0,0,0,0,0 -714,16904,30,24,24,24,0,0,0,0,0,0,0,0 -716,16924,29,24,24,24,0,0,0,0,0,0,0,0 -718,16944,28,24,24,24,0,0,0,0,0,0,0,0 -719,16964,26,24,24,24,0,0,0,0,0,0,0,0 -720,16984,25,24,24,24,0,0,0,0,0,0,0,0 -721,17004,24,24,24,24,0,0,0,0,0,0,0,0 -722,17024,22,16,16,16,24,24,0,0,0,0,0,0 -724,17044,21,16,16,16,24,24,0,0,0,0,0,0 -726,17064,20,16,16,16,24,24,0,0,0,0,0,0 -727,17084,18,16,16,16,24,24,0,0,0,0,0,0 -728,17104,18,16,16,16,24,24,0,0,0,0,0,0 -729,17124,16,16,16,16,24,24,0,0,0,0,0,0 -730,17144,15,8,8,8,16,16,0,0,0,0,0,0 -732,17164,14,8,8,8,16,16,0,0,0,0,0,0 -734,17184,12,8,8,8,16,16,0,0,0,0,0,0 -735,17204,11,8,8,8,16,16,0,0,0,0,0,0 -736,17224,10,8,8,8,16,16,0,0,0,0,0,0 -737,17244,8,8,8,8,16,16,0,0,0,0,0,0 -738,17263,7,0,0,0,8,8,0,0,0,0,0,0 -740,17283,6,0,0,0,8,8,0,0,0,0,0,0 -742,17303,4,0,0,0,8,8,0,0,0,0,0,0 -743,17323,4,0,0,0,8,8,0,0,0,0,0,0 -744,17343,2,0,0,0,8,8,0,0,0,0,0,0 -745,17363,1,0,0,0,8,8,0,0,0,0,0,0 -746,17383,0,0,0,0,8,8,0,0,0,0,0,0 -747,17403,30,24,24,24,0,0,0,0,0,0,0,0 -749,17423,29,24,24,24,0,0,0,0,0,0,0,0 -750,17443,28,24,24,24,0,0,0,0,0,0,0,0 -751,17463,26,24,24,24,0,0,0,0,0,0,0,0 -752,17483,25,24,24,24,0,0,0,0,0,0,0,0 -753,17503,24,24,24,24,0,0,0,0,0,0,0,0 -754,17523,22,16,16,16,24,24,0,0,0,0,0,0 -756,17543,21,16,16,16,24,24,0,0,0,0,0,0 -758,17563,20,16,16,16,24,24,0,0,0,0,0,0 -759,17583,18,16,16,16,24,24,0,0,0,0,0,0 -760,17603,18,16,16,16,24,24,0,0,0,0,0,0 -761,17623,16,16,16,16,24,24,0,0,0,0,0,0 -762,17643,15,8,8,8,16,16,0,0,0,0,0,0 -764,17663,14,8,8,8,16,16,0,0,0,0,0,0 -766,17683,12,8,8,8,16,16,0,0,0,0,0,0 -767,17703,11,8,8,8,16,16,0,0,0,0,0,0 -768,17723,10,8,8,8,16,16,0,0,0,0,0,0 -769,17743,8,8,8,8,16,16,0,0,0,0,0,0 -770,17762,7,0,0,0,8,8,0,0,0,0,0,0 -772,17782,6,0,0,0,8,8,0,0,0,0,0,0 -774,17802,5,0,0,0,8,8,0,0,0,0,0,0 -776,17822,4,0,0,0,8,8,0,0,0,0,0,0 -777,17842,2,0,0,0,8,8,0,0,0,0,0,0 -778,17862,1,0,0,0,8,8,0,0,0,0,0,0 -779,17882,0,0,0,0,8,8,0,0,0,0,0,0 -780,17902,30,24,24,24,0,0,0,0,0,0,0,0 -782,17922,30,24,24,24,0,0,0,0,0,0,0,0 -784,17942,28,24,24,24,0,0,0,0,0,0,0,0 -785,17962,27,24,24,24,0,0,0,0,0,0,0,0 -786,17982,26,24,24,24,0,0,0,0,0,0,0,0 -787,18002,24,24,24,24,0,0,0,0,0,0,0,0 -788,18022,23,16,16,16,24,24,0,0,0,0,0,0 -790,18042,22,16,16,16,24,24,0,0,0,0,0,0 -792,18062,20,16,16,16,24,24,0,0,0,0,0,0 -793,18082,19,16,16,16,24,24,0,0,0,0,0,0 -794,18102,18,16,16,16,24,24,0,0,0,0,0,0 -795,18122,16,16,16,16,24,24,0,0,0,0,0,0 -796,18142,16,16,16,16,24,24,0,0,0,0,0,0 -797,18162,14,8,8,8,16,16,0,0,0,0,0,0 -799,18182,13,8,8,8,16,16,0,0,0,0,0,0 -800,18202,12,8,8,8,16,16,0,0,0,0,0,0 -801,18222,10,8,8,8,16,16,0,0,0,0,0,0 -802,18242,9,8,8,8,16,16,0,0,0,0,0,0 -803,18262,8,8,8,8,16,16,0,0,0,0,0,0 -804,18281,6,0,0,0,8,8,0,0,0,0,0,0 -806,18301,5,0,0,0,8,8,0,0,0,0,0,0 -807,18321,4,0,0,0,8,8,0,0,0,0,0,0 -808,18341,2,0,0,0,8,8,0,0,0,0,0,0 -809,18361,1,0,0,0,8,8,0,0,0,0,0,0 -810,18381,0,0,0,0,8,8,0,0,0,0,0,0 -811,18401,30,24,24,24,0,0,0,0,0,0,0,0 -813,18421,29,24,24,24,0,0,0,0,0,0,0,0 -815,18441,28,24,24,24,0,0,0,0,0,0,0,0 -816,18461,26,24,24,24,0,0,0,0,0,0,0,0 -817,18481,25,24,24,24,0,0,0,0,0,0,0,0 -818,18501,24,24,24,24,0,0,0,0,0,0,0,0 -819,18521,22,16,16,16,24,24,0,0,0,0,0,0 -821,18541,21,16,16,16,24,24,0,0,0,0,0,0 -823,18561,20,16,16,16,24,24,0,0,0,0,0,0 -824,18581,19,16,16,16,24,24,0,0,0,0,0,0 -825,18601,17,16,16,16,24,24,0,0,0,0,0,0 -826,18621,16,16,16,16,24,24,0,0,0,0,0,0 -827,18641,15,8,8,8,16,16,0,0,0,0,0,0 -829,18661,14,8,8,8,16,16,0,0,0,0,0,0 -831,18681,12,8,8,8,16,16,0,0,0,0,0,0 -832,18701,11,8,8,8,16,16,0,0,0,0,0,0 -833,18721,10,8,8,8,16,16,0,0,0,0,0,0 -834,18741,8,8,8,8,16,16,0,0,0,0,0,0 -835,18760,7,0,0,0,8,8,0,0,0,0,0,0 -837,18780,6,0,0,0,8,8,0,0,0,0,0,0 -839,18800,4,0,0,0,8,8,0,0,0,0,0,0 -840,18820,3,0,0,0,8,8,0,0,0,0,0,0 -841,18840,2,0,0,0,8,8,0,0,0,0,0,0 -842,18860,0,0,0,0,8,8,0,0,0,0,0,0 -843,18880,31,24,24,24,0,0,0,0,0,0,0,0 -845,18900,30,24,24,24,0,0,0,0,0,0,0,0 -847,18920,28,24,24,24,0,0,0,0,0,0,0,0 -848,18940,27,24,24,24,0,0,0,0,0,0,0,0 -849,18960,26,24,24,24,0,0,0,0,0,0,0,0 -850,18980,24,24,24,24,0,0,0,0,0,0,0,0 -851,19000,24,24,24,24,0,0,0,0,0,0,0,0 -852,19020,22,16,16,16,24,24,0,0,0,0,0,0 -854,19040,21,16,16,16,24,24,0,0,0,0,0,0 -855,19060,20,16,16,16,24,24,0,0,0,0,0,0 -856,19080,18,16,16,16,24,24,0,0,0,0,0,0 -857,19100,17,16,16,16,24,24,0,0,0,0,0,0 -858,19120,16,16,16,16,24,24,0,0,0,0,0,0 -859,19140,14,8,8,8,16,16,0,0,0,0,0,0 -861,19160,13,8,8,8,16,16,0,0,0,0,0,0 -863,19180,12,8,8,8,16,16,0,0,0,0,0,0 -864,19200,10,8,8,8,16,16,0,0,0,0,0,0 -865,19220,9,8,8,8,16,16,0,0,0,0,0,0 -866,19240,8,8,8,8,16,16,0,0,0,0,0,0 -867,19259,6,0,0,0,8,8,0,0,0,0,0,0 -869,19279,5,0,0,0,8,8,0,0,0,0,0,0 -871,19299,4,0,0,0,8,8,0,0,0,0,0,0 -872,19319,2,0,0,0,8,8,0,0,0,0,0,0 -873,19339,1,0,0,0,8,8,0,0,0,0,0,0 -874,19359,0,0,0,0,8,8,0,0,0,0,0,0 -875,19379,30,24,24,24,0,0,0,0,0,0,0,0 -877,19399,29,24,24,24,0,0,0,0,0,0,0,0 -879,19419,28,24,24,24,0,0,0,0,0,0,0,0 -880,19439,26,24,24,24,0,0,0,0,0,0,0,0 -881,19459,25,24,24,24,0,0,0,0,0,0,0,0 -882,19479,24,24,24,24,0,0,0,0,0,0,0,0 -883,19499,22,16,16,16,24,24,0,0,0,0,0,0 -885,19519,21,16,16,16,24,24,0,0,0,0,0,0 -887,19539,20,16,16,16,24,24,0,0,0,0,0,0 -888,19559,18,16,16,16,24,24,0,0,0,0,0,0 -889,19579,17,16,16,16,24,24,0,0,0,0,0,0 -890,19599,16,16,16,16,24,24,0,0,0,0,0,0 -891,19619,14,8,8,8,16,16,0,0,0,0,0,0 -893,19639,13,8,8,8,16,16,0,0,0,0,0,0 -895,19659,12,8,8,8,16,16,0,0,0,0,0,0 -896,19679,10,8,8,8,16,16,0,0,0,0,0,0 -897,19699,9,8,8,8,16,16,0,0,0,0,0,0 -898,19719,8,8,8,8,16,16,0,0,0,0,0,0 -899,19738,7,0,0,0,8,8,0,0,0,0,0,0 -901,19758,6,0,0,0,8,8,0,0,0,0,0,0 -903,19778,4,0,0,0,8,8,0,0,0,0,0,0 -904,19798,3,0,0,0,8,8,0,0,0,0,0,0 -905,19818,2,0,0,0,8,8,0,0,0,0,0,0 -906,19838,0,0,0,0,8,8,0,0,0,0,0,0 -907,19858,31,24,24,24,0,0,0,0,0,0,0,0 -909,19878,30,24,24,24,0,0,0,0,0,0,0,0 -911,19898,28,24,24,24,0,0,0,0,0,0,0,0 -912,19918,27,24,24,24,0,0,0,0,0,0,0,0 -913,19938,26,24,24,24,0,0,0,0,0,0,0,0 -914,19958,25,24,24,24,0,0,0,0,0,0,0,0 -915,19978,24,24,24,24,0,0,0,0,0,0,0,0 -916,19998,22,16,16,16,24,24,0,0,0,0,0,0 -918,20018,21,16,16,16,24,24,0,0,0,0,0,0 -920,20038,20,16,16,16,24,24,0,0,0,0,0,0 -921,20058,18,16,16,16,24,24,0,0,0,0,0,0 -922,20078,17,16,16,16,24,24,0,0,0,0,0,0 -923,20098,16,16,16,16,24,24,0,0,0,0,0,0 -924,20118,14,8,8,8,16,16,0,0,0,0,0,0 -926,20138,13,8,8,8,16,16,0,0,0,0,0,0 -928,20158,12,8,8,8,16,16,0,0,0,0,0,0 -929,20178,10,8,8,8,16,16,0,0,0,0,0,0 -930,20198,9,8,8,8,16,16,0,0,0,0,0,0 -931,20218,8,8,8,8,16,16,0,0,0,0,0,0 -932,20237,6,0,0,0,8,8,0,0,0,0,0,0 -934,20257,5,0,0,0,8,8,0,0,0,0,0,0 -936,20277,4,0,0,0,8,8,0,0,0,0,0,0 -937,20297,3,0,0,0,8,8,0,0,0,0,0,0 -938,20317,2,0,0,0,8,8,0,0,0,0,0,0 -939,20337,0,0,0,0,8,8,0,0,0,0,0,0 -940,20357,31,24,24,24,0,0,0,0,0,0,0,0 -942,20377,30,24,24,24,0,0,0,0,0,0,0,0 -944,20397,29,24,24,24,0,0,0,0,0,0,0,0 -945,20417,28,24,24,24,0,0,0,0,0,0,0,0 -946,20437,26,24,24,24,0,0,0,0,0,0,0,0 -947,20457,24,24,24,24,0,0,0,0,0,0,0,0 -948,20477,24,24,24,24,0,0,0,0,0,0,0,0 -949,20497,22,16,16,16,24,24,0,0,0,0,0,0 -951,20517,21,16,16,16,24,24,0,0,0,0,0,0 -952,20537,20,16,16,16,24,24,0,0,0,0,0,0 -953,20557,18,16,16,16,24,24,0,0,0,0,0,0 -954,20577,17,16,16,16,24,24,0,0,0,0,0,0 -955,20597,16,16,16,16,24,24,0,0,0,0,0,0 -956,20617,14,8,8,8,16,16,0,0,0,0,0,0 -958,20637,13,8,8,8,16,16,0,0,0,0,0,0 -960,20657,12,8,8,8,16,16,0,0,0,0,0,0 -961,20677,10,8,8,8,16,16,0,0,0,0,0,0 -962,20697,9,8,8,8,16,16,0,0,0,0,0,0 -963,20717,8,8,8,8,16,16,0,0,0,0,0,0 -964,20736,6,0,0,0,8,8,0,0,0,0,0,0 -966,20756,6,0,0,0,8,8,0,0,0,0,0,0 -968,20776,4,0,0,0,8,8,0,0,0,0,0,0 -969,20796,3,0,0,0,8,8,0,0,0,0,0,0 -970,20816,2,0,0,0,8,8,0,0,0,0,0,0 -971,20836,0,0,0,0,8,8,0,0,0,0,0,0 -972,20856,31,24,24,24,0,0,0,0,0,0,0,0 -974,20876,30,24,24,24,0,0,0,0,0,0,0,0 -976,20896,28,24,24,24,0,0,0,0,0,0,0,0 -977,20916,27,24,24,24,0,0,0,0,0,0,0,0 -978,20936,26,24,24,24,0,0,0,0,0,0,0,0 -979,20956,24,24,24,24,0,0,0,0,0,0,0,0 -980,20976,24,24,24,24,0,0,0,0,0,0,0,0 -981,20996,22,16,16,16,24,24,0,0,0,0,0,0 -983,21016,21,16,16,16,24,24,0,0,0,0,0,0 -985,21036,20,16,16,16,24,24,0,0,0,0,0,0 -986,21056,18,16,16,16,24,24,0,0,0,0,0,0 -987,21076,17,16,16,16,24,24,0,0,0,0,0,0 -988,21096,16,16,16,16,24,24,0,0,0,0,0,0 -989,21116,14,8,8,8,16,16,0,0,0,0,0,0 -991,21136,14,8,8,8,16,16,0,0,0,0,0,0 -993,21156,12,8,8,8,16,16,0,0,0,0,0,0 -994,21176,11,8,8,8,16,16,0,0,0,0,0,0 -995,21196,10,8,8,8,16,16,0,0,0,0,0,0 -996,21216,8,8,8,8,16,16,0,0,0,0,0,0 -997,21235,7,0,0,0,8,8,0,0,0,0,0,0 -999,21255,6,0,0,0,8,8,0,0,0,0,0,0 -1001,21275,4,0,0,0,8,8,0,0,0,0,0,0 -1002,21295,3,0,0,0,8,8,0,0,0,0,0,0 -1003,21315,2,0,0,0,8,8,0,0,0,0,0,0 -1004,21335,0,0,0,0,8,8,0,0,0,0,0,0 -1005,21355,31,24,24,24,0,0,0,0,0,0,0,0 -1007,21375,30,24,24,24,0,0,0,0,0,0,0,0 -1009,21395,28,24,24,24,0,0,0,0,0,0,0,0 -1010,21415,27,24,24,24,0,0,0,0,0,0,0,0 -1011,21435,26,24,24,24,0,0,0,0,0,0,0,0 -1012,21455,24,24,24,24,0,0,0,0,0,0,0,0 -1013,21475,23,16,16,16,24,24,0,0,0,0,0,0 -1015,21495,22,16,16,16,24,24,0,0,0,0,0,0 -1017,21515,20,16,16,16,24,24,0,0,0,0,0,0 -1018,21535,19,16,16,16,24,24,0,0,0,0,0,0 -1019,21555,18,16,16,16,24,24,0,0,0,0,0,0 -1020,21575,16,16,16,16,24,24,0,0,0,0,0,0 -1021,21595,16,16,16,16,24,24,0,0,0,0,0,0 -1022,21615,14,8,8,8,16,16,0,0,0,0,0,0 -1024,21635,13,8,8,8,16,16,0,0,0,0,0,0 -1025,21655,12,8,8,8,16,16,0,0,0,0,0,0 -1026,21675,10,8,8,8,16,16,0,0,0,0,0,0 -1027,21695,9,8,8,8,16,16,0,0,0,0,0,0 -1028,21715,8,8,8,8,16,16,0,0,0,0,0,0 -1029,21734,6,0,0,0,8,8,0,0,0,0,0,0 -1031,21754,5,0,0,0,8,8,0,0,0,0,0,0 -1033,21774,4,0,0,0,8,8,0,0,0,0,0,0 -1034,21794,2,0,0,0,8,8,0,0,0,0,0,0 -1035,21814,1,0,0,0,8,8,0,0,0,0,0,0 -1036,21834,0,0,0,0,8,8,0,0,0,0,0,0 -1037,21854,30,24,24,24,0,0,0,0,0,0,0,0 -1039,21874,29,24,24,24,0,0,0,0,0,0,0,0 -1041,21894,28,24,24,24,0,0,0,0,0,0,0,0 -1042,21914,26,24,24,24,0,0,0,0,0,0,0,0 -1043,21934,26,24,24,24,0,0,0,0,0,0,0,0 -1044,21954,24,24,24,24,0,0,0,0,0,0,0,0 -1045,21974,23,16,16,16,24,24,0,0,0,0,0,0 -1047,21994,22,16,16,16,24,24,0,0,0,0,0,0 -1049,22014,20,16,16,16,24,24,0,0,0,0,0,0 -1050,22034,19,16,16,16,24,24,0,0,0,0,0,0 -1051,22054,18,16,16,16,24,24,0,0,0,0,0,0 -1052,22074,17,16,16,16,24,24,0,0,0,0,0,0 -1053,22094,16,16,16,16,24,24,0,0,0,0,0,0 -1054,22114,14,8,8,8,16,16,0,0,0,0,0,0 -1056,22134,13,8,8,8,16,16,0,0,0,0,0,0 -1058,22154,12,8,8,8,16,16,0,0,0,0,0,0 -1059,22174,10,8,8,8,16,16,0,0,0,0,0,0 -1060,22194,9,8,8,8,16,16,0,0,0,0,0,0 -1061,22214,8,8,8,8,16,16,0,0,0,0,0,0 -1062,22233,6,0,0,0,8,8,0,0,0,0,0,0 -1064,22253,6,0,0,0,8,8,0,0,0,0,0,0 -1066,22273,4,0,0,0,8,8,0,0,0,0,0,0 -1067,22293,3,0,0,0,8,8,0,0,0,0,0,0 -1068,22313,2,0,0,0,8,8,0,0,0,0,0,0 -1069,22333,0,0,0,0,8,8,0,0,0,0,0,0 -1070,22353,31,24,24,24,0,0,0,0,0,0,0,0 -1072,22373,30,24,24,24,0,0,0,0,0,0,0,0 -1074,22393,28,24,24,24,0,0,0,0,0,0,0,0 -1075,22413,27,24,24,24,0,0,0,0,0,0,0,0 -1076,22433,26,24,24,24,0,0,0,0,0,0,0,0 -1077,22453,24,24,24,24,0,0,0,0,0,0,0,0 -1078,22473,23,16,16,16,24,24,0,0,0,0,0,0 -1080,22493,22,16,16,16,24,24,0,0,0,0,0,0 -1082,22513,20,16,16,16,24,24,0,0,0,0,0,0 -1083,22533,19,16,16,16,24,24,0,0,0,0,0,0 -1084,22553,18,16,16,16,24,24,0,0,0,0,0,0 -1085,22573,16,16,16,16,24,24,0,0,0,0,0,0 -1086,22593,15,8,8,8,16,16,0,0,0,0,0,0 -1088,22613,14,8,8,8,16,16,0,0,0,0,0,0 -1090,22633,12,8,8,8,16,16,0,0,0,0,0,0 -1091,22653,11,8,8,8,16,16,0,0,0,0,0,0 -1092,22673,10,8,8,8,16,16,0,0,0,0,0,0 -1093,22693,8,8,8,8,16,16,0,0,0,0,0,0 -1094,22712,6,0,0,0,8,8,0,0,0,0,0,0 -1096,22732,6,0,0,0,8,8,0,0,0,0,0,0 -1098,22752,4,0,0,0,8,8,0,0,0,0,0,0 -1099,22772,2,0,0,0,8,8,0,0,0,0,0,0 -1100,22792,1,0,0,0,8,8,0,0,0,0,0,0 -1101,22812,0,0,0,0,8,8,0,0,0,0,0,0 -1102,22832,30,24,24,24,0,0,0,0,0,0,0,0 -1104,22852,29,24,24,24,0,0,0,0,0,0,0,0 -1106,22872,28,24,24,24,0,0,0,0,0,0,0,0 -1107,22892,27,24,24,24,0,0,0,0,0,0,0,0 -1108,22912,26,24,24,24,0,0,0,0,0,0,0,0 -1109,22932,24,24,24,24,0,0,0,0,0,0,0,0 -1110,22952,23,16,16,16,24,24,0,0,0,0,0,0 -1112,22972,22,16,16,16,24,24,0,0,0,0,0,0 -1114,22992,20,16,16,16,24,24,0,0,0,0,0,0 -1115,23012,19,16,16,16,24,24,0,0,0,0,0,0 -1116,23032,18,16,16,16,24,24,0,0,0,0,0,0 -1117,23052,16,16,16,16,24,24,0,0,0,0,0,0 -1118,23072,16,16,16,16,24,24,0,0,0,0,0,0 -1119,23092,14,8,8,8,16,16,0,0,0,0,0,0 -1121,23112,13,8,8,8,16,16,0,0,0,0,0,0 -1122,23132,12,8,8,8,16,16,0,0,0,0,0,0 -1123,23152,10,8,8,8,16,16,0,0,0,0,0,0 -1124,23172,9,8,8,8,16,16,0,0,0,0,0,0 -1125,23192,8,8,8,8,16,16,0,0,0,0,0,0 -1126,23211,6,0,0,0,8,8,0,0,0,0,0,0 -1128,23231,5,0,0,0,8,8,0,0,0,0,0,0 -1130,23251,4,0,0,0,8,8,0,0,0,0,0,0 -1131,23271,2,0,0,0,8,8,0,0,0,0,0,0 -1132,23291,2,0,0,0,8,8,0,0,0,0,0,0 -1133,23311,0,0,0,0,8,8,0,0,0,0,0,0 -1134,23331,31,24,24,24,0,0,0,0,0,0,0,0 -1136,23351,30,24,24,24,0,0,0,0,0,0,0,0 -1138,23371,28,24,24,24,0,0,0,0,0,0,0,0 -1139,23391,27,24,24,24,0,0,0,0,0,0,0,0 -1140,23411,26,24,24,24,0,0,0,0,0,0,0,0 -1141,23431,24,24,24,24,0,0,0,0,0,0,0,0 -1142,23451,23,16,16,16,24,24,0,0,0,0,0,0 -1144,23471,22,16,16,16,24,24,0,0,0,0,0,0 -1146,23491,20,16,16,16,24,24,0,0,0,0,0,0 -1147,23511,19,16,16,16,24,24,0,0,0,0,0,0 -1148,23531,18,16,16,16,24,24,0,0,0,0,0,0 -1149,23551,16,16,16,16,24,24,0,0,0,0,0,0 -1150,23571,15,8,8,8,16,16,0,0,0,0,0,0 -1152,23591,14,8,8,8,16,16,0,0,0,0,0,0 -1154,23611,12,8,8,8,16,16,0,0,0,0,0,0 -1155,23631,11,8,8,8,16,16,0,0,0,0,0,0 -1156,23651,10,8,8,8,16,16,0,0,0,0,0,0 -1157,23671,8,8,8,8,16,16,0,0,0,0,0,0 -1158,23690,7,0,0,0,8,8,0,0,0,0,0,0 -1160,23710,6,0,0,0,8,8,0,0,0,0,0,0 -1162,23730,4,0,0,0,8,8,0,0,0,0,0,0 -1163,23750,3,0,0,0,8,8,0,0,0,0,0,0 -1164,23770,2,0,0,0,8,8,0,0,0,0,0,0 -1165,23790,1,0,0,0,8,8,0,0,0,0,0,0 -1166,23810,0,0,0,0,8,8,0,0,0,0,0,0 -1167,23830,30,24,24,24,0,0,0,0,0,0,0,0 -1169,23850,29,24,24,24,0,0,0,0,0,0,0,0 -1170,23870,28,24,24,24,0,0,0,0,0,0,0,0 -1171,23890,26,24,24,24,0,0,0,0,0,0,0,0 -1172,23910,25,24,24,24,0,0,0,0,0,0,0,0 -1173,23930,24,24,24,24,0,0,0,0,0,0,0,0 -1174,23950,22,16,16,16,24,24,0,0,0,0,0,0 -1176,23970,21,16,16,16,24,24,0,0,0,0,0,0 -1178,23990,20,16,16,16,24,24,0,0,0,0,0,0 -1179,24010,18,16,16,16,24,24,0,0,0,0,0,0 -1180,24030,17,16,16,16,24,24,0,0,0,0,0,0 -1181,24050,16,16,16,16,24,24,0,0,0,0,0,0 -1182,24070,14,8,8,8,16,16,0,0,0,0,0,0 -1184,24090,13,8,8,8,16,16,0,0,0,0,0,0 -1186,24110,12,8,8,8,16,16,0,0,0,0,0,0 -1187,24130,10,8,8,8,16,16,0,0,0,0,0,0 -1188,24150,9,8,8,8,16,16,0,0,0,0,0,0 -1189,24170,8,8,8,8,16,16,0,0,0,0,0,0 -1190,24189,6,0,0,0,8,8,0,0,0,0,0,0 -1192,24209,5,0,0,0,8,8,0,0,0,0,0,0 -1194,24229,4,0,0,0,8,8,0,0,0,0,0,0 -1195,24249,2,0,0,0,8,8,0,0,0,0,0,0 -1196,24269,1,0,0,0,8,8,0,0,0,0,0,0 -1197,24289,0,0,0,0,8,8,0,0,0,0,0,0 -1198,24309,30,24,24,24,0,0,0,0,0,0,0,0 -1200,24329,29,24,24,24,0,0,0,0,0,0,0,0 -1202,24349,28,24,24,24,0,0,0,0,0,0,0,0 -1203,24369,26,24,24,24,0,0,0,0,0,0,0,0 -1204,24389,25,24,24,24,0,0,0,0,0,0,0,0 -1205,24409,24,24,24,24,0,0,0,0,0,0,0,0 -1206,24429,22,16,16,16,24,24,0,0,0,0,0,0 -1208,24449,21,16,16,16,24,24,0,0,0,0,0,0 -1210,24469,20,16,16,16,24,24,0,0,0,0,0,0 -1211,24489,18,16,16,16,24,24,0,0,0,0,0,0 -1212,24509,17,16,16,16,24,24,0,0,0,0,0,0 -1213,24529,16,16,16,16,24,24,0,0,0,0,0,0 -1214,24549,14,8,8,8,16,16,0,0,0,0,0,0 -1216,24569,13,8,8,8,16,16,0,0,0,0,0,0 -1218,24589,12,8,8,8,16,16,0,0,0,0,0,0 -1219,24609,10,8,8,8,16,16,0,0,0,0,0,0 -1220,24629,9,8,8,8,16,16,0,0,0,0,0,0 -1221,24649,8,8,8,8,16,16,0,0,0,0,0,0 -1222,24668,6,0,0,0,8,8,0,0,0,0,0,0 -1224,24688,5,0,0,0,8,8,0,0,0,0,0,0 -1226,24708,4,0,0,0,8,8,0,0,0,0,0,0 -1227,24728,2,0,0,0,8,8,0,0,0,0,0,0 -1228,24748,1,0,0,0,8,8,0,0,0,0,0,0 -1229,24768,0,0,0,0,8,8,0,0,0,0,0,0 -1230,24788,31,24,24,24,0,0,0,0,0,0,0,0 -1232,24808,30,24,24,24,0,0,0,0,0,0,0,0 -1234,24828,28,24,24,24,0,0,0,0,0,0,0,0 -1235,24848,27,24,24,24,0,0,0,0,0,0,0,0 -1236,24868,26,24,24,24,0,0,0,0,0,0,0,0 -1237,24888,24,24,24,24,0,0,0,0,0,0,0,0 -1238,24908,23,16,16,16,24,24,0,0,0,0,0,0 -1240,24928,22,16,16,16,24,24,0,0,0,0,0,0 -1242,24948,20,16,16,16,24,24,0,0,0,0,0,0 -1243,24968,19,16,16,16,24,24,0,0,0,0,0,0 -1244,24988,18,16,16,16,24,24,0,0,0,0,0,0 -1245,25008,16,16,16,16,24,24,0,0,0,0,0,0 -1246,25028,15,8,8,8,16,16,0,0,0,0,0,0 -1248,25048,14,8,8,8,16,16,0,0,0,0,0,0 -1250,25068,12,8,8,8,16,16,0,0,0,0,0,0 -1251,25088,11,8,8,8,16,16,0,0,0,0,0,0 -1252,25108,10,8,8,8,16,16,0,0,0,0,0,0 -1253,25128,9,8,8,8,16,16,0,0,0,0,0,0 -1254,25148,8,8,8,8,16,16,0,0,0,0,0,0 -1255,25167,6,0,0,0,8,8,0,0,0,0,0,0 -1257,25187,5,0,0,0,8,8,0,0,0,0,0,0 -1259,25207,4,0,0,0,8,8,0,0,0,0,0,0 -1260,25227,2,0,0,0,8,8,0,0,0,0,0,0 -1261,25247,1,0,0,0,8,8,0,0,0,0,0,0 -1262,25267,0,0,0,0,8,8,0,0,0,0,0,0 -1263,25287,31,24,24,24,0,0,0,0,0,0,0,0 -1265,25307,30,24,24,24,0,0,0,0,0,0,0,0 -1267,25327,28,24,24,24,0,0,0,0,0,0,0,0 -1268,25347,27,24,24,24,0,0,0,0,0,0,0,0 -1269,25367,26,24,24,24,0,0,0,0,0,0,0,0 -1270,25387,24,24,24,24,0,0,0,0,0,0,0,0 -1271,25407,23,16,16,16,24,24,0,0,0,0,0,0 -1273,25427,22,16,16,16,24,24,0,0,0,0,0,0 -1275,25447,20,16,16,16,24,24,0,0,0,0,0,0 -1276,25467,19,16,16,16,24,24,0,0,0,0,0,0 -1277,25487,18,16,16,16,24,24,0,0,0,0,0,0 -1278,25507,16,16,16,16,24,24,0,0,0,0,0,0 -1279,25527,15,8,8,8,16,16,0,0,0,0,0,0 -1281,25547,14,8,8,8,16,16,0,0,0,0,0,0 -1283,25567,12,8,8,8,16,16,0,0,0,0,0,0 -1284,25587,11,8,8,8,16,16,0,0,0,0,0,0 -1285,25607,10,8,8,8,16,16,0,0,0,0,0,0 -1286,25627,9,8,8,8,16,16,0,0,0,0,0,0 -1287,25647,8,8,8,8,16,16,0,0,0,0,0,0 -1288,25666,6,0,0,0,8,8,0,0,0,0,0,0 -1290,25686,5,0,0,0,8,8,0,0,0,0,0,0 -1292,25706,4,0,0,0,8,8,0,0,0,0,0,0 -1293,25726,2,0,0,0,8,8,0,0,0,0,0,0 -1294,25746,1,0,0,0,8,8,0,0,0,0,0,0 -1295,25766,0,0,0,0,8,8,0,0,0,0,0,0 -1296,25786,30,24,24,24,0,0,0,0,0,0,0,0 -1298,25806,29,24,24,24,0,0,0,0,0,0,0,0 -1300,25826,28,24,24,24,0,0,0,0,0,0,0,0 -1301,25846,27,24,24,24,0,0,0,0,0,0,0,0 -1302,25866,26,24,24,24,0,0,0,0,0,0,0,0 -1303,25886,24,24,24,24,0,0,0,0,0,0,0,0 -1304,25906,23,16,16,16,24,24,0,0,0,0,0,0 -1306,25926,22,16,16,16,24,24,0,0,0,0,0,0 -1308,25946,20,16,16,16,24,24,0,0,0,0,0,0 -1309,25966,19,16,16,16,24,24,0,0,0,0,0,0 -1310,25986,18,16,16,16,24,24,0,0,0,0,0,0 -1311,26006,16,16,16,16,24,24,0,0,0,0,0,0 -1312,26026,16,16,16,16,24,24,0,0,0,0,0,0 -1313,26046,14,8,8,8,16,16,0,0,0,0,0,0 -1315,26066,13,8,8,8,16,16,0,0,0,0,0,0 -1316,26086,12,8,8,8,16,16,0,0,0,0,0,0 -1317,26106,10,8,8,8,16,16,0,0,0,0,0,0 -1318,26126,9,8,8,8,16,16,0,0,0,0,0,0 -1319,26146,8,8,8,8,16,16,0,0,0,0,0,0 -1320,26165,6,0,0,0,8,8,0,0,0,0,0,0 -1322,26185,5,0,0,0,8,8,0,0,0,0,0,0 -1323,26205,4,0,0,0,8,8,0,0,0,0,0,0 -1324,26225,2,0,0,0,8,8,0,0,0,0,0,0 -1325,26245,1,0,0,0,8,8,0,0,0,0,0,0 -1326,26265,0,0,0,0,8,8,0,0,0,0,0,0 -1327,26285,30,24,24,24,0,0,0,0,0,0,0,0 -1329,26305,29,24,24,24,0,0,0,0,0,0,0,0 -1330,26325,28,24,24,24,0,0,0,0,0,0,0,0 -1331,26345,26,24,24,24,0,0,0,0,0,0,0,0 -1332,26365,25,24,24,24,0,0,0,0,0,0,0,0 -1333,26385,24,24,24,24,0,0,0,0,0,0,0,0 -1334,26405,22,16,16,16,24,24,0,0,0,0,0,0 -1336,26425,21,16,16,16,24,24,0,0,0,0,0,0 -1337,26445,20,16,16,16,24,24,0,0,0,0,0,0 -1338,26465,18,16,16,16,24,24,0,0,0,0,0,0 -1339,26485,17,16,16,16,24,24,0,0,0,0,0,0 -1340,26505,16,16,16,16,24,24,0,0,0,0,0,0 -1341,26525,14,8,8,8,16,16,0,0,0,0,0,0 -1343,26545,13,8,8,8,16,16,0,0,0,0,0,0 -1344,26565,12,8,8,8,16,16,0,0,0,0,0,0 -1345,26585,10,8,8,8,16,16,0,0,0,0,0,0 -1346,26605,9,8,8,8,16,16,0,0,0,0,0,0 -1347,26625,8,8,8,8,16,16,0,0,0,0,0,0 -1348,26644,6,0,0,0,8,8,0,0,0,0,0,0 -1350,26664,5,0,0,0,8,8,0,0,0,0,0,0 -1351,26684,4,0,0,0,8,8,0,0,0,0,0,0 -1352,26704,2,0,0,0,8,8,0,0,0,0,0,0 -1353,26724,1,0,0,0,8,8,0,0,0,0,0,0 -1354,26744,0,0,0,0,8,8,0,0,0,0,0,0 -1355,26764,30,24,24,24,0,0,0,0,0,0,0,0 -1357,26784,29,24,24,24,0,0,0,0,0,0,0,0 -1359,26804,28,24,24,24,0,0,0,0,0,0,0,0 -1360,26824,26,24,24,24,0,0,0,0,0,0,0,0 -1361,26844,25,24,24,24,0,0,0,0,0,0,0,0 -1362,26864,24,24,24,24,0,0,0,0,0,0,0,0 -1363,26884,22,16,16,16,24,24,0,0,0,0,0,0 -1365,26904,21,16,16,16,24,24,0,0,0,0,0,0 -1367,26924,20,16,16,16,24,24,0,0,0,0,0,0 -1368,26944,18,16,16,16,24,24,0,0,0,0,0,0 -1369,26964,17,16,16,16,24,24,0,0,0,0,0,0 -1370,26984,16,16,16,16,24,24,0,0,0,0,0,0 -1371,27004,15,8,8,8,16,16,0,0,0,0,0,0 -1373,27024,14,8,8,8,16,16,0,0,0,0,0,0 -1375,27044,12,8,8,8,16,16,0,0,0,0,0,0 -1376,27064,11,8,8,8,16,16,0,0,0,0,0,0 -1377,27084,10,8,8,8,16,16,0,0,0,0,0,0 -1378,27104,8,8,8,8,16,16,0,0,0,0,0,0 -1379,27123,7,0,0,0,8,8,0,0,0,0,0,0 -1381,27143,6,0,0,0,8,8,0,0,0,0,0,0 -1383,27163,4,0,0,0,8,8,0,0,0,0,0,0 -1384,27183,3,0,0,0,8,8,0,0,0,0,0,0 -1385,27203,2,0,0,0,8,8,0,0,0,0,0,0 -1386,27223,0,0,0,0,8,8,0,0,0,0,0,0 -1387,27243,0,0,0,0,8,8,0,0,0,0,0,0 -1388,27263,30,24,24,24,0,0,0,0,0,0,0,0 -1390,27283,29,24,24,24,0,0,0,0,0,0,0,0 -1391,27303,28,24,24,24,0,0,0,0,0,0,0,0 -1392,27323,26,24,24,24,0,0,0,0,0,0,0,0 -1393,27343,25,24,24,24,0,0,0,0,0,0,0,0 -1394,27363,24,24,24,24,0,0,0,0,0,0,0,0 -1395,27383,22,16,16,16,24,24,0,0,0,0,0,0 -1397,27403,21,16,16,16,24,24,0,0,0,0,0,0 -1399,27423,20,16,16,16,24,24,0,0,0,0,0,0 -1400,27443,18,16,16,16,24,24,0,0,0,0,0,0 -1401,27463,17,16,16,16,24,24,0,0,0,0,0,0 -1402,27483,16,16,16,16,24,24,0,0,0,0,0,0 -1403,27503,14,8,8,8,16,16,0,0,0,0,0,0 -1405,27523,13,8,8,8,16,16,0,0,0,0,0,0 -1407,27543,12,8,8,8,16,16,0,0,0,0,0,0 -1408,27563,11,8,8,8,16,16,0,0,0,0,0,0 -1409,27583,10,8,8,8,16,16,0,0,0,0,0,0 -1410,27603,8,8,8,8,16,16,0,0,0,0,0,0 -1411,27622,7,0,0,0,8,8,0,0,0,0,0,0 -1413,27642,6,0,0,0,8,8,0,0,0,0,0,0 -1415,27662,4,0,0,0,8,8,0,0,0,0,0,0 -1416,27682,3,0,0,0,8,8,0,0,0,0,0,0 -1417,27702,2,0,0,0,8,8,0,0,0,0,0,0 -1418,27722,0,0,0,0,8,8,0,0,0,0,0,0 -1419,27742,31,24,24,24,0,0,0,0,0,0,0,0 -1421,27762,30,24,24,24,0,0,0,0,0,0,0,0 -1423,27782,28,24,24,24,0,0,0,0,0,0,0,0 -1424,27802,27,24,24,24,0,0,0,0,0,0,0,0 -1425,27822,26,24,24,24,0,0,0,0,0,0,0,0 -1426,27842,24,24,24,24,0,0,0,0,0,0,0,0 -1427,27862,23,16,16,16,24,24,0,0,0,0,0,0 -1429,27882,22,16,16,16,24,24,0,0,0,0,0,0 -1431,27902,20,16,16,16,24,24,0,0,0,0,0,0 -1432,27922,19,16,16,16,24,24,0,0,0,0,0,0 -1433,27942,18,16,16,16,24,24,0,0,0,0,0,0 -1434,27962,16,16,16,16,24,24,0,0,0,0,0,0 -1435,27982,15,8,8,8,16,16,0,0,0,0,0,0 -1437,28002,14,8,8,8,16,16,0,0,0,0,0,0 -1439,28022,12,8,8,8,16,16,0,0,0,0,0,0 -1440,28042,11,8,8,8,16,16,0,0,0,0,0,0 -1441,28062,10,8,8,8,16,16,0,0,0,0,0,0 -1442,28082,8,8,8,8,16,16,0,0,0,0,0,0 -1443,28101,7,0,0,0,8,8,0,0,0,0,0,0 -1445,28121,6,0,0,0,8,8,0,0,0,0,0,0 -1447,28141,5,0,0,0,8,8,0,0,0,0,0,0 -1448,28161,4,0,0,0,8,8,0,0,0,0,0,0 -1449,28181,2,0,0,0,8,8,0,0,0,0,0,0 -1450,28201,1,0,0,0,8,8,0,0,0,0,0,0 -1451,28221,0,0,0,0,8,8,0,0,0,0,0,0 -1452,28241,30,24,24,24,0,0,0,0,0,0,0,0 -1454,28261,29,24,24,24,0,0,0,0,0,0,0,0 -1455,28281,28,24,24,24,0,0,0,0,0,0,0,0 -1456,28301,26,24,24,24,0,0,0,0,0,0,0,0 -1457,28321,25,24,24,24,0,0,0,0,0,0,0,0 -1458,28341,24,24,24,24,0,0,0,0,0,0,0,0 -1459,28361,22,16,16,16,24,24,0,0,0,0,0,0 -1461,28381,21,16,16,16,24,24,0,0,0,0,0,0 -1462,28401,20,16,16,16,24,24,0,0,0,0,0,0 -1463,28421,18,16,16,16,24,24,0,0,0,0,0,0 -1464,28441,17,16,16,16,24,24,0,0,0,0,0,0 -1465,28461,16,16,16,16,24,24,0,0,0,0,0,0 -1466,28481,14,8,8,8,16,16,0,0,0,0,0,0 -1468,28501,13,8,8,8,16,16,0,0,0,0,0,0 -1470,28521,12,8,8,8,16,16,0,0,0,0,0,0 -1471,28541,10,8,8,8,16,16,0,0,0,0,0,0 -1472,28561,9,8,8,8,16,16,0,0,0,0,0,0 -1473,28581,8,8,8,8,16,16,0,0,0,0,0,0 -1474,28600,7,0,0,0,8,8,0,0,0,0,0,0 -1476,28620,6,0,0,0,8,8,0,0,0,0,0,0 -1478,28640,4,0,0,0,8,8,0,0,0,0,0,0 -1479,28660,3,0,0,0,8,8,0,0,0,0,0,0 -1480,28680,2,0,0,0,8,8,0,0,0,0,0,0 -1481,28700,0,0,0,0,8,8,0,0,0,0,0,0 -1482,28720,31,24,24,24,0,0,0,0,0,0,0,0 -1484,28740,30,24,24,24,0,0,0,0,0,0,0,0 -1486,28760,28,24,24,24,0,0,0,0,0,0,0,0 -1487,28780,26,24,24,24,0,0,0,0,0,0,0,0 -1488,28800,25,24,24,24,0,0,0,0,0,0,0,0 -1489,28820,24,24,24,24,0,0,0,0,0,0,0,0 -1490,28840,23,16,16,16,24,24,0,0,0,0,0,0 -1492,28860,22,16,16,16,24,24,0,0,0,0,0,0 -1494,28880,20,16,16,16,24,24,0,0,0,0,0,0 -1495,28900,19,16,16,16,24,24,0,0,0,0,0,0 -1496,28920,18,16,16,16,24,24,0,0,0,0,0,0 -1497,28940,16,16,16,16,24,24,0,0,0,0,0,0 -1498,28960,15,8,8,8,16,16,0,0,0,0,0,0 -1500,28980,14,8,8,8,16,16,0,0,0,0,0,0 -1502,29000,13,8,8,8,16,16,0,0,0,0,0,0 -1503,29020,12,8,8,8,16,16,0,0,0,0,0,0 -1504,29040,10,8,8,8,16,16,0,0,0,0,0,0 -1505,29060,9,8,8,8,16,16,0,0,0,0,0,0 -1506,29080,8,8,8,8,16,16,0,0,0,0,0,0 -1507,29099,6,0,0,0,8,8,0,0,0,0,0,0 -1509,29119,5,0,0,0,8,8,0,0,0,0,0,0 -1511,29139,4,0,0,0,8,8,0,0,0,0,0,0 -1512,29159,2,0,0,0,8,8,0,0,0,0,0,0 -1513,29179,1,0,0,0,8,8,0,0,0,0,0,0 -1514,29199,0,0,0,0,8,8,0,0,0,0,0,0 -1515,29219,30,24,24,24,0,0,0,0,0,0,0,0 -1517,29239,29,24,24,24,0,0,0,0,0,0,0,0 -1518,29259,28,24,24,24,0,0,0,0,0,0,0,0 -1519,29279,26,24,24,24,0,0,0,0,0,0,0,0 -1520,29299,24,24,24,24,0,0,0,0,0,0,0,0 -1521,29319,24,24,24,24,0,0,0,0,0,0,0,0 -1522,29339,22,16,16,16,24,24,0,0,0,0,0,0 -1524,29359,21,16,16,16,24,24,0,0,0,0,0,0 -1525,29379,20,16,16,16,24,24,0,0,0,0,0,0 -1526,29399,18,16,16,16,24,24,0,0,0,0,0,0 -1527,29419,17,16,16,16,24,24,0,0,0,0,0,0 -1528,29439,16,16,16,16,24,24,0,0,0,0,0,0 -1529,29459,14,8,8,8,16,16,0,0,0,0,0,0 -1531,29479,13,8,8,8,16,16,0,0,0,0,0,0 -1533,29499,12,8,8,8,16,16,0,0,0,0,0,0 -1534,29519,10,8,8,8,16,16,0,0,0,0,0,0 -1535,29539,10,8,8,8,16,16,0,0,0,0,0,0 -1536,29559,8,8,8,8,16,16,0,0,0,0,0,0 -1537,29578,7,0,0,0,8,8,0,0,0,0,0,0 -1539,29598,6,0,0,0,8,8,0,0,0,0,0,0 -1541,29618,4,0,0,0,8,8,0,0,0,0,0,0 -1542,29638,3,0,0,0,8,8,0,0,0,0,0,0 -1543,29658,2,0,0,0,8,8,0,0,0,0,0,0 -1544,29678,0,0,0,0,8,8,0,0,0,0,0,0 -1545,29698,31,24,24,24,0,0,0,0,0,0,0,0 -1547,29718,30,24,24,24,0,0,0,0,0,0,0,0 -1549,29738,28,24,24,24,0,0,0,0,0,0,0,0 -1550,29758,28,24,24,24,0,0,0,0,0,0,0,0 -1551,29778,26,24,24,24,0,0,0,0,0,0,0,0 -1552,29798,25,24,24,24,0,0,0,0,0,0,0,0 -1553,29818,24,24,24,24,0,0,0,0,0,0,0,0 -1554,29838,22,16,16,16,24,24,0,0,0,0,0,0 -1556,29858,20,16,16,16,24,24,0,0,0,0,0,0 -1557,29878,19,16,16,16,24,24,0,0,0,0,0,0 -1558,29898,18,16,16,16,24,24,0,0,0,0,0,0 -1559,29918,16,16,16,16,24,24,0,0,0,0,0,0 -1560,29938,15,8,8,8,16,16,0,0,0,0,0,0 -1562,29958,14,8,8,8,16,16,0,0,0,0,0,0 -1564,29978,13,8,8,8,16,16,0,0,0,0,0,0 -1565,29998,11,8,8,8,16,16,0,0,0,0,0,0 -1566,30018,10,8,8,8,16,16,0,0,0,0,0,0 -1567,30038,8,8,8,8,16,16,0,0,0,0,0,0 -1568,30058,8,8,8,8,16,16,0,0,0,0,0,0 -1569,30077,6,0,0,0,8,8,0,0,0,0,0,0 -1571,30097,4,0,0,0,8,8,0,0,0,0,0,0 -1572,30117,3,0,0,0,8,8,0,0,0,0,0,0 -1573,30137,2,0,0,0,8,8,0,0,0,0,0,0 -1574,30157,0,0,0,0,8,8,0,0,0,0,0,0 -1575,30177,31,24,24,24,0,0,0,0,0,0,0,0 -1577,30197,30,24,24,24,0,0,0,0,0,0,0,0 -1579,30217,28,24,24,24,0,0,0,0,0,0,0,0 -1580,30237,27,24,24,24,0,0,0,0,0,0,0,0 -1581,30257,26,24,24,24,0,0,0,0,0,0,0,0 -1582,30277,24,24,24,24,0,0,0,0,0,0,0,0 -1583,30297,24,24,24,24,0,0,0,0,0,0,0,0 -1584,30317,22,16,16,16,24,24,0,0,0,0,0,0 -1586,30337,21,16,16,16,24,24,0,0,0,0,0,0 -1588,30357,20,16,16,16,24,24,0,0,0,0,0,0 -1589,30377,18,16,16,16,24,24,0,0,0,0,0,0 -1590,30397,17,16,16,16,24,24,0,0,0,0,0,0 -1591,30417,16,16,16,16,24,24,0,0,0,0,0,0 -1592,30437,14,8,8,8,16,16,0,0,0,0,0,0 -1594,30457,13,8,8,8,16,16,0,0,0,0,0,0 -1596,30477,12,8,8,8,16,16,0,0,0,0,0,0 -1597,30497,10,8,8,8,16,16,0,0,0,0,0,0 -1598,30517,9,8,8,8,16,16,0,0,0,0,0,0 -1599,30537,8,8,8,8,16,16,0,0,0,0,0,0 -1600,30556,6,0,0,0,8,8,0,0,0,0,0,0 -1602,30576,5,0,0,0,8,8,0,0,0,0,0,0 -1604,30596,4,0,0,0,8,8,0,0,0,0,0,0 -1605,30616,2,0,0,0,8,8,0,0,0,0,0,0 -1606,30636,1,0,0,0,8,8,0,0,0,0,0,0 -1607,30656,0,0,0,0,8,8,0,0,0,0,0,0 -1608,30676,30,24,24,24,0,0,0,0,0,0,0,0 -1610,30696,29,24,24,24,0,0,0,0,0,0,0,0 -1612,30716,28,24,24,24,0,0,0,0,0,0,0,0 -1613,30736,26,24,24,24,0,0,0,0,0,0,0,0 -1614,30756,25,24,24,24,0,0,0,0,0,0,0,0 -1615,30776,24,24,24,24,0,0,0,0,0,0,0,0 -1616,30796,22,16,16,16,24,24,0,0,0,0,0,0 -1618,30816,21,16,16,16,24,24,0,0,0,0,0,0 -1620,30836,20,16,16,16,24,24,0,0,0,0,0,0 -1621,30856,18,16,16,16,24,24,0,0,0,0,0,0 -1622,30876,17,16,16,16,24,24,0,0,0,0,0,0 -1623,30896,16,16,16,16,24,24,0,0,0,0,0,0 -1624,30916,14,8,8,8,16,16,0,0,0,0,0,0 -1626,30936,13,8,8,8,16,16,0,0,0,0,0,0 -1628,30956,12,8,8,8,16,16,0,0,0,0,0,0 -1629,30976,10,8,8,8,16,16,0,0,0,0,0,0 -1630,30996,9,8,8,8,16,16,0,0,0,0,0,0 -1631,31016,8,8,8,8,16,16,0,0,0,0,0,0 -1632,31035,6,0,0,0,8,8,0,0,0,0,0,0 -1634,31055,5,0,0,0,8,8,0,0,0,0,0,0 -1635,31075,4,0,0,0,8,8,0,0,0,0,0,0 -1636,31095,2,0,0,0,8,8,0,0,0,0,0,0 -1637,31115,1,0,0,0,8,8,0,0,0,0,0,0 -1638,31135,0,0,0,0,8,8,0,0,0,0,0,0 -1639,31155,30,24,24,24,0,0,0,0,0,0,0,0 -1641,31175,29,24,24,24,0,0,0,0,0,0,0,0 -1643,31195,28,24,24,24,0,0,0,0,0,0,0,0 -1644,31215,26,24,24,24,0,0,0,0,0,0,0,0 -1645,31235,25,24,24,24,0,0,0,0,0,0,0,0 -1646,31255,24,24,24,24,0,0,0,0,0,0,0,0 -1647,31275,22,16,16,16,24,24,0,0,0,0,0,0 -1649,31295,21,16,16,16,24,24,0,0,0,0,0,0 -1651,31315,20,16,16,16,24,24,0,0,0,0,0,0 -1652,31335,18,16,16,16,24,24,0,0,0,0,0,0 -1653,31355,17,16,16,16,24,24,0,0,0,0,0,0 -1654,31375,16,16,16,16,24,24,0,0,0,0,0,0 -1655,31395,14,8,8,8,16,16,0,0,0,0,0,0 -1657,31415,13,8,8,8,16,16,0,0,0,0,0,0 -1659,31435,12,8,8,8,16,16,0,0,0,0,0,0 -1660,31455,10,8,8,8,16,16,0,0,0,0,0,0 -1661,31475,9,8,8,8,16,16,0,0,0,0,0,0 -1662,31495,8,8,8,8,16,16,0,0,0,0,0,0 -1663,31514,6,0,0,0,8,8,0,0,0,0,0,0 -1665,31534,5,0,0,0,8,8,0,0,0,0,0,0 -1667,31554,4,0,0,0,8,8,0,0,0,0,0,0 -1668,31574,2,0,0,0,8,8,0,0,0,0,0,0 -1669,31594,1,0,0,0,8,8,0,0,0,0,0,0 -1670,31614,0,0,0,0,8,8,0,0,0,0,0,0 -1671,31634,30,24,24,24,0,0,0,0,0,0,0,0 -1673,31654,29,24,24,24,0,0,0,0,0,0,0,0 -1675,31674,28,24,24,24,0,0,0,0,0,0,0,0 -1676,31694,26,24,24,24,0,0,0,0,0,0,0,0 -1677,31714,25,24,24,24,0,0,0,0,0,0,0,0 -1678,31734,24,24,24,24,0,0,0,0,0,0,0,0 -1679,31754,22,16,16,16,24,24,0,0,0,0,0,0 -1681,31774,21,16,16,16,24,24,0,0,0,0,0,0 -1683,31794,20,16,16,16,24,24,0,0,0,0,0,0 -1684,31814,18,16,16,16,24,24,0,0,0,0,0,0 -1685,31834,17,16,16,16,24,24,0,0,0,0,0,0 -1686,31854,16,16,16,16,24,24,0,0,0,0,0,0 -1687,31874,14,8,8,8,16,16,0,0,0,0,0,0 -1689,31894,13,8,8,8,16,16,0,0,0,0,0,0 -1691,31914,12,8,8,8,16,16,0,0,0,0,0,0 -1692,31934,10,8,8,8,16,16,0,0,0,0,0,0 -1693,31954,9,8,8,8,16,16,0,0,0,0,0,0 -1694,31974,8,8,8,8,16,16,0,0,0,0,0,0 -1695,31993,6,0,0,0,8,8,0,0,0,0,0,0 -1697,32013,5,0,0,0,8,8,0,0,0,0,0,0 -1699,32033,4,0,0,0,8,8,0,0,0,0,0,0 -1700,32053,2,0,0,0,8,8,0,0,0,0,0,0 -1701,32073,1,0,0,0,8,8,0,0,0,0,0,0 -1702,32093,0,0,0,0,8,8,0,0,0,0,0,0 -1703,32113,30,24,24,24,0,0,0,0,0,0,0,0 -1705,32133,29,24,24,24,0,0,0,0,0,0,0,0 -1707,32153,28,24,24,24,0,0,0,0,0,0,0,0 -1708,32173,26,24,24,24,0,0,0,0,0,0,0,0 -1709,32193,25,24,24,24,0,0,0,0,0,0,0,0 -1710,32213,24,24,24,24,0,0,0,0,0,0,0,0 -1711,32233,22,16,16,16,24,24,0,0,0,0,0,0 -1713,32253,21,16,16,16,24,24,0,0,0,0,0,0 -1715,32273,20,16,16,16,24,24,0,0,0,0,0,0 -1716,32293,18,16,16,16,24,24,0,0,0,0,0,0 -1717,32313,17,16,16,16,24,24,0,0,0,0,0,0 -1718,32333,16,16,16,16,24,24,0,0,0,0,0,0 -1719,32353,14,8,8,8,16,16,0,0,0,0,0,0 -1721,32373,13,8,8,8,16,16,0,0,0,0,0,0 -1722,32393,12,8,8,8,16,16,0,0,0,0,0,0 -1723,32413,10,8,8,8,16,16,0,0,0,0,0,0 -1724,32433,9,8,8,8,16,16,0,0,0,0,0,0 -1725,32453,8,8,8,8,16,16,0,0,0,0,0,0 -1726,32472,6,0,0,0,8,8,0,0,0,0,0,0 -1728,32492,5,0,0,0,8,8,0,0,0,0,0,0 -1730,32512,4,0,0,0,8,8,0,0,0,0,0,0 -1731,32532,2,0,0,0,8,8,0,0,0,0,0,0 -1732,32552,1,0,0,0,8,8,0,0,0,0,0,0 -1733,32572,0,0,0,0,8,8,0,0,0,0,0,0 -1734,32592,30,24,24,24,0,0,0,0,0,0,0,0 -1736,32612,29,24,24,24,0,0,0,0,0,0,0,0 -1738,32632,28,24,24,24,0,0,0,0,0,0,0,0 -1739,32652,26,24,24,24,0,0,0,0,0,0,0,0 -1740,32672,25,24,24,24,0,0,0,0,0,0,0,0 -1741,32692,24,24,24,24,0,0,0,0,0,0,0,0 -1742,32712,22,16,16,16,24,24,0,0,0,0,0,0 -1744,32732,22,16,16,16,24,24,0,0,0,0,0,0 -1746,32752,20,16,16,16,24,24,0,0,0,0,0,0 -1747,32772,18,16,16,16,24,24,0,0,0,0,0,0 -1748,32792,18,16,16,16,24,24,0,0,0,0,0,0 -1749,32812,16,16,16,16,24,24,0,0,0,0,0,0 -1750,32832,14,8,8,8,16,16,0,0,0,0,0,0 -1752,32852,14,8,8,8,16,16,0,0,0,0,0,0 -1754,32872,12,8,8,8,16,16,0,0,0,0,0,0 -1755,32892,10,8,8,8,16,16,0,0,0,0,0,0 -1756,32912,9,8,8,8,16,16,0,0,0,0,0,0 -1757,32932,8,8,8,8,16,16,0,0,0,0,0,0 -1758,32951,6,0,0,0,8,8,0,0,0,0,0,0 -1760,32971,5,0,0,0,8,8,0,0,0,0,0,0 -1762,32991,4,0,0,0,8,8,0,0,0,0,0,0 -1763,33011,2,0,0,0,8,8,0,0,0,0,0,0 -1764,33031,1,0,0,0,8,8,0,0,0,0,0,0 -1765,33051,0,0,0,0,8,8,0,0,0,0,0,0 -1766,33071,31,24,24,24,0,0,0,0,0,0,0,0 -1768,33091,30,24,24,24,0,0,0,0,0,0,0,0 -1770,33111,28,24,24,24,0,0,0,0,0,0,0,0 -1771,33131,27,24,24,24,0,0,0,0,0,0,0,0 -1772,33151,26,24,24,24,0,0,0,0,0,0,0,0 -1773,33171,24,24,24,24,0,0,0,0,0,0,0,0 -1774,33191,23,16,16,16,24,24,0,0,0,0,0,0 -1776,33211,22,16,16,16,24,24,0,0,0,0,0,0 -1778,33231,20,16,16,16,24,24,0,0,0,0,0,0 -1779,33251,19,16,16,16,24,24,0,0,0,0,0,0 -1780,33271,18,16,16,16,24,24,0,0,0,0,0,0 -1781,33291,16,16,16,16,24,24,0,0,0,0,0,0 -1782,33311,15,8,8,8,16,16,0,0,0,0,0,0 -1784,33331,14,8,8,8,16,16,0,0,0,0,0,0 -1786,33351,12,8,8,8,16,16,0,0,0,0,0,0 -1787,33371,11,8,8,8,16,16,0,0,0,0,0,0 -1788,33391,10,8,8,8,16,16,0,0,0,0,0,0 -1789,33411,8,8,8,8,16,16,0,0,0,0,0,0 -1790,33431,8,8,8,8,16,16,0,0,0,0,0,0 -1791,33450,6,0,0,0,8,8,0,0,0,0,0,0 -1793,33470,4,0,0,0,8,8,0,0,0,0,0,0 -1794,33490,4,0,0,0,8,8,0,0,0,0,0,0 -1795,33510,2,0,0,0,8,8,0,0,0,0,0,0 -1796,33530,0,0,0,0,8,8,0,0,0,0,0,0 -1797,33550,0,0,0,0,8,8,0,0,0,0,0,0 -1798,33570,30,24,24,24,0,0,0,0,0,0,0,0 -1800,33590,29,24,24,24,0,0,0,0,0,0,0,0 -1801,33610,28,24,24,24,0,0,0,0,0,0,0,0 -1802,33630,26,24,24,24,0,0,0,0,0,0,0,0 -1803,33650,24,24,24,24,0,0,0,0,0,0,0,0 -1804,33670,24,24,24,24,0,0,0,0,0,0,0,0 -1805,33690,22,16,16,16,24,24,0,0,0,0,0,0 -1807,33710,21,16,16,16,24,24,0,0,0,0,0,0 -1808,33730,19,16,16,16,24,24,0,0,0,0,0,0 -1809,33750,18,16,16,16,24,24,0,0,0,0,0,0 -1810,33770,16,16,16,16,24,24,0,0,0,0,0,0 -1811,33790,15,8,8,8,16,16,0,0,0,0,0,0 -1813,33810,14,8,8,8,16,16,0,0,0,0,0,0 -1815,33830,13,8,8,8,16,16,0,0,0,0,0,0 -1816,33850,12,8,8,8,16,16,0,0,0,0,0,0 -1817,33870,10,8,8,8,16,16,0,0,0,0,0,0 -1818,33890,9,8,8,8,16,16,0,0,0,0,0,0 -1819,33910,8,8,8,8,16,16,0,0,0,0,0,0 -1820,33929,6,0,0,0,8,8,0,0,0,0,0,0 -1822,33949,5,0,0,0,8,8,0,0,0,0,0,0 -1824,33969,4,0,0,0,8,8,0,0,0,0,0,0 -1825,33989,2,0,0,0,8,8,0,0,0,0,0,0 -1826,34009,1,0,0,0,8,8,0,0,0,0,0,0 -1827,34029,0,0,0,0,8,8,0,0,0,0,0,0 -1828,34049,30,24,24,24,0,0,0,0,0,0,0,0 -1830,34069,29,24,24,24,0,0,0,0,0,0,0,0 -1832,34089,28,24,24,24,0,0,0,0,0,0,0,0 -1833,34109,26,24,24,24,0,0,0,0,0,0,0,0 -1834,34129,25,24,24,24,0,0,0,0,0,0,0,0 -1835,34149,24,24,24,24,0,0,0,0,0,0,0,0 -1836,34169,22,16,16,16,24,24,0,0,0,0,0,0 -1838,34189,21,16,16,16,24,24,0,0,0,0,0,0 -1840,34209,20,16,16,16,24,24,0,0,0,0,0,0 -1841,34229,18,16,16,16,24,24,0,0,0,0,0,0 -1842,34249,17,16,16,16,24,24,0,0,0,0,0,0 -1843,34269,16,16,16,16,24,24,0,0,0,0,0,0 -1844,34289,14,8,8,8,16,16,0,0,0,0,0,0 -1846,34309,13,8,8,8,16,16,0,0,0,0,0,0 -1848,34329,12,8,8,8,16,16,0,0,0,0,0,0 -1849,34349,10,8,8,8,16,16,0,0,0,0,0,0 -1850,34369,9,8,8,8,16,16,0,0,0,0,0,0 -1851,34389,8,8,8,8,16,16,0,0,0,0,0,0 -1852,34408,6,0,0,0,8,8,0,0,0,0,0,0 -1854,34428,5,0,0,0,8,8,0,0,0,0,0,0 -1855,34448,4,0,0,0,8,8,0,0,0,0,0,0 -1856,34468,2,0,0,0,8,8,0,0,0,0,0,0 -1857,34488,1,0,0,0,8,8,0,0,0,0,0,0 -1858,34508,0,0,0,0,8,8,0,0,0,0,0,0 -1859,34528,30,24,24,24,0,0,0,0,0,0,0,0 -1861,34548,29,24,24,24,0,0,0,0,0,0,0,0 -1863,34568,28,24,24,24,0,0,0,0,0,0,0,0 -1864,34588,26,24,24,24,0,0,0,0,0,0,0,0 -1865,34608,25,24,24,24,0,0,0,0,0,0,0,0 -1866,34628,24,24,24,24,0,0,0,0,0,0,0,0 -1867,34648,22,16,16,16,24,24,0,0,0,0,0,0 -1869,34668,21,16,16,16,24,24,0,0,0,0,0,0 -1871,34688,20,16,16,16,24,24,0,0,0,0,0,0 -1872,34708,18,16,16,16,24,24,0,0,0,0,0,0 -1873,34728,17,16,16,16,24,24,0,0,0,0,0,0 -1874,34748,16,16,16,16,24,24,0,0,0,0,0,0 -1875,34768,14,8,8,8,16,16,0,0,0,0,0,0 -1877,34788,13,8,8,8,16,16,0,0,0,0,0,0 -1879,34808,12,8,8,8,16,16,0,0,0,0,0,0 -1880,34828,10,8,8,8,16,16,0,0,0,0,0,0 -1881,34848,9,8,8,8,16,16,0,0,0,0,0,0 -1882,34868,8,8,8,8,16,16,0,0,0,0,0,0 -1883,34887,6,0,0,0,8,8,0,0,0,0,0,0 -1885,34907,5,0,0,0,8,8,0,0,0,0,0,0 -1887,34927,4,0,0,0,8,8,0,0,0,0,0,0 -1888,34947,3,0,0,0,8,8,0,0,0,0,0,0 -1889,34967,2,0,0,0,8,8,0,0,0,0,0,0 -1890,34987,0,0,0,0,8,8,0,0,0,0,0,0 -1891,35007,31,24,24,24,0,0,0,0,0,0,0,0 -1893,35027,30,24,24,24,0,0,0,0,0,0,0,0 -1895,35047,28,24,24,24,0,0,0,0,0,0,0,0 -1896,35067,28,24,24,24,0,0,0,0,0,0,0,0 -1897,35087,26,24,24,24,0,0,0,0,0,0,0,0 -1898,35107,25,24,24,24,0,0,0,0,0,0,0,0 -1899,35127,24,24,24,24,0,0,0,0,0,0,0,0 -1900,35147,22,16,16,16,24,24,0,0,0,0,0,0 -1902,35167,21,16,16,16,24,24,0,0,0,0,0,0 -1904,35187,20,16,16,16,24,24,0,0,0,0,0,0 -1905,35207,18,16,16,16,24,24,0,0,0,0,0,0 -1906,35227,17,16,16,16,24,24,0,0,0,0,0,0 -1907,35247,16,16,16,16,24,24,0,0,0,0,0,0 -1908,35267,14,8,8,8,16,16,0,0,0,0,0,0 -1910,35287,12,8,8,8,16,16,0,0,0,0,0,0 -1911,35307,11,8,8,8,16,16,0,0,0,0,0,0 -1912,35327,10,8,8,8,16,16,0,0,0,0,0,0 -1913,35347,8,8,8,8,16,16,0,0,0,0,0,0 -1914,35366,7,0,0,0,8,8,0,0,0,0,0,0 -1916,35386,6,0,0,0,8,8,0,0,0,0,0,0 -1918,35406,4,0,0,0,8,8,0,0,0,0,0,0 -1919,35426,3,0,0,0,8,8,0,0,0,0,0,0 -1920,35446,2,0,0,0,8,8,0,0,0,0,0,0 -1921,35466,0,0,0,0,8,8,0,0,0,0,0,0 -1922,35486,31,24,24,24,0,0,0,0,0,0,0,0 -1924,35506,30,24,24,24,0,0,0,0,0,0,0,0 -1926,35526,28,24,24,24,0,0,0,0,0,0,0,0 -1927,35546,27,24,24,24,0,0,0,0,0,0,0,0 -1928,35566,26,24,24,24,0,0,0,0,0,0,0,0 -1929,35586,24,24,24,24,0,0,0,0,0,0,0,0 -1930,35606,23,16,16,16,24,24,0,0,0,0,0,0 -1932,35626,22,16,16,16,24,24,0,0,0,0,0,0 -1934,35646,20,16,16,16,24,24,0,0,0,0,0,0 -1935,35666,18,16,16,16,24,24,0,0,0,0,0,0 -1936,35686,17,16,16,16,24,24,0,0,0,0,0,0 -1937,35706,16,16,16,16,24,24,0,0,0,0,0,0 -1938,35726,14,8,8,8,16,16,0,0,0,0,0,0 -1940,35746,13,8,8,8,16,16,0,0,0,0,0,0 -1942,35766,12,8,8,8,16,16,0,0,0,0,0,0 -1943,35786,11,8,8,8,16,16,0,0,0,0,0,0 -1944,35806,10,8,8,8,16,16,0,0,0,0,0,0 -1945,35826,8,8,8,8,16,16,0,0,0,0,0,0 -1946,35845,6,0,0,0,8,8,0,0,0,0,0,0 -1948,35865,6,0,0,0,8,8,0,0,0,0,0,0 -1950,35885,4,0,0,0,8,8,0,0,0,0,0,0 -1951,35905,3,0,0,0,8,8,0,0,0,0,0,0 -1952,35925,2,0,0,0,8,8,0,0,0,0,0,0 -1953,35945,0,0,0,0,8,8,0,0,0,0,0,0 -1954,35965,30,24,24,24,0,0,0,0,0,0,0,0 -1956,35985,30,24,24,24,0,0,0,0,0,0,0,0 -1958,36005,28,24,24,24,0,0,0,0,0,0,0,0 -1959,36025,26,24,24,24,0,0,0,0,0,0,0,0 -1960,36045,26,24,24,24,0,0,0,0,0,0,0,0 -1961,36065,24,24,24,24,0,0,0,0,0,0,0,0 -1962,36085,23,16,16,16,24,24,0,0,0,0,0,0 -1964,36105,22,16,16,16,24,24,0,0,0,0,0,0 -1966,36125,20,16,16,16,24,24,0,0,0,0,0,0 -1967,36145,19,16,16,16,24,24,0,0,0,0,0,0 -1968,36165,18,16,16,16,24,24,0,0,0,0,0,0 -1969,36185,16,16,16,16,24,24,0,0,0,0,0,0 -1970,36205,15,8,8,8,16,16,0,0,0,0,0,0 -1972,36225,14,8,8,8,16,16,0,0,0,0,0,0 -1974,36245,13,8,8,8,16,16,0,0,0,0,0,0 -1975,36265,12,8,8,8,16,16,0,0,0,0,0,0 -1976,36285,10,8,8,8,16,16,0,0,0,0,0,0 -1977,36305,9,8,8,8,16,16,0,0,0,0,0,0 -1978,36325,8,8,8,8,16,16,0,0,0,0,0,0 -1979,36344,6,0,0,0,8,8,0,0,0,0,0,0 -1981,36364,5,0,0,0,8,8,0,0,0,0,0,0 -1983,36384,4,0,0,0,8,8,0,0,0,0,0,0 -1984,36404,2,0,0,0,8,8,0,0,0,0,0,0 -1985,36424,1,0,0,0,8,8,0,0,0,0,0,0 -1986,36444,0,0,0,0,8,8,0,0,0,0,0,0 -1987,36464,30,24,24,24,0,0,0,0,0,0,0,0 -1989,36484,30,24,24,24,0,0,0,0,0,0,0,0 -1991,36504,28,24,24,24,0,0,0,0,0,0,0,0 -1992,36524,27,24,24,24,0,0,0,0,0,0,0,0 -1993,36544,26,24,24,24,0,0,0,0,0,0,0,0 -1994,36564,24,24,24,24,0,0,0,0,0,0,0,0 -1995,36584,23,16,16,16,24,24,0,0,0,0,0,0 -1997,36604,22,16,16,16,24,24,0,0,0,0,0,0 -1999,36624,20,16,16,16,24,24,0,0,0,0,0,0 -2000,36644,19,16,16,16,24,24,0,0,0,0,0,0 -2001,36664,18,16,16,16,24,24,0,0,0,0,0,0 -2002,36684,16,16,16,16,24,24,0,0,0,0,0,0 -2003,36704,15,8,8,8,16,16,0,0,0,0,0,0 -2005,36724,14,8,8,8,16,16,0,0,0,0,0,0 -2007,36744,12,8,8,8,16,16,0,0,0,0,0,0 -2008,36764,11,8,8,8,16,16,0,0,0,0,0,0 -2009,36784,10,8,8,8,16,16,0,0,0,0,0,0 -2010,36804,8,8,8,8,16,16,0,0,0,0,0,0 -2011,36823,7,0,0,0,8,8,0,0,0,0,0,0 -2013,36843,6,0,0,0,8,8,0,0,0,0,0,0 -2015,36863,4,0,0,0,8,8,0,0,0,0,0,0 -2016,36883,3,0,0,0,8,8,0,0,0,0,0,0 -2017,36903,2,0,0,0,8,8,0,0,0,0,0,0 -2018,36923,0,0,0,0,8,8,0,0,0,0,0,0 -2019,36943,31,24,24,24,0,0,0,0,0,0,0,0 -2021,36963,30,24,24,24,0,0,0,0,0,0,0,0 -2023,36983,28,24,24,24,0,0,0,0,0,0,0,0 -2024,37003,27,24,24,24,0,0,0,0,0,0,0,0 -2025,37023,26,24,24,24,0,0,0,0,0,0,0,0 -2026,37043,24,24,24,24,0,0,0,0,0,0,0,0 -2027,37063,22,16,16,16,24,24,0,0,0,0,0,0 -2029,37083,21,16,16,16,24,24,0,0,0,0,0,0 -2031,37103,20,16,16,16,24,24,0,0,0,0,0,0 -2032,37123,18,16,16,16,24,24,0,0,0,0,0,0 -2033,37143,17,16,16,16,24,24,0,0,0,0,0,0 -2034,37163,16,16,16,16,24,24,0,0,0,0,0,0 -2035,37183,14,8,8,8,16,16,0,0,0,0,0,0 -2037,37203,13,8,8,8,16,16,0,0,0,0,0,0 -2039,37223,12,8,8,8,16,16,0,0,0,0,0,0 -2040,37243,10,8,8,8,16,16,0,0,0,0,0,0 -2041,37263,9,8,8,8,16,16,0,0,0,0,0,0 -2042,37283,8,8,8,8,16,16,0,0,0,0,0,0 -2043,37302,6,0,0,0,8,8,0,0,0,0,0,0 -2045,37322,5,0,0,0,8,8,0,0,0,0,0,0 -2047,37342,4,0,0,0,8,8,0,0,0,0,0,0 -2048,37362,2,0,0,0,8,8,0,0,0,0,0,0 -2049,37382,1,0,0,0,8,8,0,0,0,0,0,0 -2050,37402,0,0,0,0,8,8,0,0,0,0,0,0 -2051,37422,30,24,24,24,0,0,0,0,0,0,0,0 -2053,37442,29,24,24,24,0,0,0,0,0,0,0,0 -2054,37462,28,24,24,24,0,0,0,0,0,0,0,0 -2055,37482,26,24,24,24,0,0,0,0,0,0,0,0 -2056,37502,24,24,24,24,0,0,0,0,0,0,0,0 -2057,37522,24,24,24,24,0,0,0,0,0,0,0,0 -2058,37542,22,16,16,16,24,24,0,0,0,0,0,0 -2060,37562,20,16,16,16,24,24,0,0,0,0,0,0 -2061,37582,19,16,16,16,24,24,0,0,0,0,0,0 -2062,37602,18,16,16,16,24,24,0,0,0,0,0,0 -2063,37622,16,16,16,16,24,24,0,0,0,0,0,0 -2064,37642,15,8,8,8,16,16,0,0,0,0,0,0 -2066,37662,14,8,8,8,16,16,0,0,0,0,0,0 -2068,37682,12,8,8,8,16,16,0,0,0,0,0,0 -2069,37702,11,8,8,8,16,16,0,0,0,0,0,0 -2070,37722,10,8,8,8,16,16,0,0,0,0,0,0 -2071,37742,8,8,8,8,16,16,0,0,0,0,0,0 -2072,37761,7,0,0,0,8,8,0,0,0,0,0,0 -2074,37781,6,0,0,0,8,8,0,0,0,0,0,0 -2076,37801,4,0,0,0,8,8,0,0,0,0,0,0 -2077,37821,3,0,0,0,8,8,0,0,0,0,0,0 -2078,37841,2,0,0,0,8,8,0,0,0,0,0,0 -2079,37861,0,0,0,0,8,8,0,0,0,0,0,0 -2080,37881,30,24,24,24,0,0,0,0,0,0,0,0 -2082,37901,30,24,24,24,0,0,0,0,0,0,0,0 -2084,37921,28,24,24,24,0,0,0,0,0,0,0,0 -2085,37941,27,24,24,24,0,0,0,0,0,0,0,0 -2086,37961,26,24,24,24,0,0,0,0,0,0,0,0 -2087,37981,24,24,24,24,0,0,0,0,0,0,0,0 -2088,38001,23,16,16,16,24,24,0,0,0,0,0,0 -2090,38021,22,16,16,16,24,24,0,0,0,0,0,0 -2092,38041,20,16,16,16,24,24,0,0,0,0,0,0 -2093,38061,19,16,16,16,24,24,0,0,0,0,0,0 -2094,38081,18,16,16,16,24,24,0,0,0,0,0,0 -2095,38101,16,16,16,16,24,24,0,0,0,0,0,0 -2096,38121,15,8,8,8,16,16,0,0,0,0,0,0 -2098,38141,14,8,8,8,16,16,0,0,0,0,0,0 -2100,38161,12,8,8,8,16,16,0,0,0,0,0,0 -2101,38181,10,8,8,8,16,16,0,0,0,0,0,0 -2102,38201,10,8,8,8,16,16,0,0,0,0,0,0 -2103,38221,8,8,8,8,16,16,0,0,0,0,0,0 -2104,38240,6,0,0,0,8,8,0,0,0,0,0,0 -2106,38260,5,0,0,0,8,8,0,0,0,0,0,0 -2108,38280,4,0,0,0,8,8,0,0,0,0,0,0 -2109,38300,2,0,0,0,8,8,0,0,0,0,0,0 -2110,38320,2,0,0,0,8,8,0,0,0,0,0,0 -2111,38340,0,0,0,0,8,8,0,0,0,0,0,0 -2112,38360,31,24,24,24,0,0,0,0,0,0,0,0 -2114,38380,30,24,24,24,0,0,0,0,0,0,0,0 -2116,38400,28,24,24,24,0,0,0,0,0,0,0,0 -2117,38420,27,24,24,24,0,0,0,0,0,0,0,0 -2118,38440,26,24,24,24,0,0,0,0,0,0,0,0 -2119,38460,24,24,24,24,0,0,0,0,0,0,0,0 -2120,38480,23,16,16,16,24,24,0,0,0,0,0,0 -2122,38500,22,16,16,16,24,24,0,0,0,0,0,0 -2124,38520,20,16,16,16,24,24,0,0,0,0,0,0 -2125,38540,19,16,16,16,24,24,0,0,0,0,0,0 -2126,38560,18,16,16,16,24,24,0,0,0,0,0,0 -2127,38580,17,16,16,16,24,24,0,0,0,0,0,0 -2128,38600,16,16,16,16,24,24,0,0,0,0,0,0 -2129,38620,14,8,8,8,16,16,0,0,0,0,0,0 -2131,38640,12,8,8,8,16,16,0,0,0,0,0,0 -2132,38660,12,8,8,8,16,16,0,0,0,0,0,0 -2133,38680,10,8,8,8,16,16,0,0,0,0,0,0 -2134,38700,9,8,8,8,16,16,0,0,0,0,0,0 -2135,38720,8,8,8,8,16,16,0,0,0,0,0,0 -2136,38739,6,0,0,0,8,8,0,0,0,0,0,0 -2138,38759,5,0,0,0,8,8,0,0,0,0,0,0 -2140,38779,4,0,0,0,8,8,0,0,0,0,0,0 -2141,38799,2,0,0,0,8,8,0,0,0,0,0,0 -2142,38819,1,0,0,0,8,8,0,0,0,0,0,0 -2143,38839,0,0,0,0,8,8,0,0,0,0,0,0 -2144,38859,30,24,24,24,0,0,0,0,0,0,0,0 -2146,38879,30,24,24,24,0,0,0,0,0,0,0,0 -2148,38899,28,24,24,24,0,0,0,0,0,0,0,0 -2149,38919,27,24,24,24,0,0,0,0,0,0,0,0 -2150,38939,26,24,24,24,0,0,0,0,0,0,0,0 -2151,38959,24,24,24,24,0,0,0,0,0,0,0,0 -2152,38979,23,16,16,16,24,24,0,0,0,0,0,0 -2154,38999,22,16,16,16,24,24,0,0,0,0,0,0 -2156,39019,20,16,16,16,24,24,0,0,0,0,0,0 -2157,39039,19,16,16,16,24,24,0,0,0,0,0,0 -2158,39059,18,16,16,16,24,24,0,0,0,0,0,0 -2159,39079,16,16,16,16,24,24,0,0,0,0,0,0 -2160,39099,14,8,8,8,16,16,0,0,0,0,0,0 -2162,39119,14,8,8,8,16,16,0,0,0,0,0,0 -2164,39139,12,8,8,8,16,16,0,0,0,0,0,0 -2165,39159,10,8,8,8,16,16,0,0,0,0,0,0 -2166,39179,9,8,8,8,16,16,0,0,0,0,0,0 -2167,39199,8,8,8,8,16,16,0,0,0,0,0,0 -2168,39218,6,0,0,0,8,8,0,0,0,0,0,0 -2170,39238,5,0,0,0,8,8,0,0,0,0,0,0 -2172,39258,4,0,0,0,8,8,0,0,0,0,0,0 -2173,39278,2,0,0,0,8,8,0,0,0,0,0,0 -2174,39298,1,0,0,0,8,8,0,0,0,0,0,0 -2175,39318,0,0,0,0,8,8,0,0,0,0,0,0 -2176,39338,31,24,24,24,0,0,0,0,0,0,0,0 -2178,39358,30,24,24,24,0,0,0,0,0,0,0,0 -2180,39378,28,24,24,24,0,0,0,0,0,0,0,0 -2181,39398,27,24,24,24,0,0,0,0,0,0,0,0 -2182,39418,26,24,24,24,0,0,0,0,0,0,0,0 -2183,39438,24,24,24,24,0,0,0,0,0,0,0,0 -2184,39458,23,16,16,16,24,24,0,0,0,0,0,0 -2186,39478,22,16,16,16,24,24,0,0,0,0,0,0 -2188,39498,20,16,16,16,24,24,0,0,0,0,0,0 -2189,39518,19,16,16,16,24,24,0,0,0,0,0,0 -2190,39538,18,16,16,16,24,24,0,0,0,0,0,0 -2191,39558,16,16,16,16,24,24,0,0,0,0,0,0 -2192,39578,15,8,8,8,16,16,0,0,0,0,0,0 -2194,39598,14,8,8,8,16,16,0,0,0,0,0,0 -2196,39618,12,8,8,8,16,16,0,0,0,0,0,0 -2197,39638,11,8,8,8,16,16,0,0,0,0,0,0 -2198,39658,10,8,8,8,16,16,0,0,0,0,0,0 -2199,39678,8,8,8,8,16,16,0,0,0,0,0,0 -2200,39697,6,0,0,0,8,8,0,0,0,0,0,0 -2202,39717,6,0,0,0,8,8,0,0,0,0,0,0 -2204,39737,4,0,0,0,8,8,0,0,0,0,0,0 -2205,39757,3,0,0,0,8,8,0,0,0,0,0,0 -2206,39777,2,0,0,0,8,8,0,0,0,0,0,0 -2207,39797,0,0,0,0,8,8,0,0,0,0,0,0 -2208,39817,31,24,24,24,0,0,0,0,0,0,0,0 -2210,39837,30,24,24,24,0,0,0,0,0,0,0,0 -2212,39857,28,24,24,24,0,0,0,0,0,0,0,0 -2213,39877,26,24,24,24,0,0,0,0,0,0,0,0 -2214,39897,25,24,24,24,0,0,0,0,0,0,0,0 -2215,39917,24,24,24,24,0,0,0,0,0,0,0,0 -2216,39937,22,16,16,16,24,24,0,0,0,0,0,0 -2218,39957,21,16,16,16,24,24,0,0,0,0,0,0 -2220,39977,20,16,16,16,24,24,0,0,0,0,0,0 -2221,39997,18,16,16,16,24,24,0,0,0,0,0,0 -2222,40017,17,16,16,16,24,24,0,0,0,0,0,0 -2223,40037,16,16,16,16,24,24,0,0,0,0,0,0 -2224,40057,14,8,8,8,16,16,0,0,0,0,0,0 -2226,40077,13,8,8,8,16,16,0,0,0,0,0,0 -2228,40097,12,8,8,8,16,16,0,0,0,0,0,0 -2229,40117,10,8,8,8,16,16,0,0,0,0,0,0 -2230,40137,9,8,8,8,16,16,0,0,0,0,0,0 -2231,40157,8,8,8,8,16,16,0,0,0,0,0,0 -2232,40176,6,0,0,0,8,8,0,0,0,0,0,0 -2234,40196,5,0,0,0,8,8,0,0,0,0,0,0 -2236,40216,4,0,0,0,8,8,0,0,0,0,0,0 -2237,40236,2,0,0,0,8,8,0,0,0,0,0,0 -2238,40256,1,0,0,0,8,8,0,0,0,0,0,0 -2239,40276,0,0,0,0,8,8,0,0,0,0,0,0 -2240,40296,30,24,24,24,0,0,0,0,0,0,0,0 -2242,40316,29,24,24,24,0,0,0,0,0,0,0,0 -2244,40336,28,24,24,24,0,0,0,0,0,0,0,0 -2245,40356,26,24,24,24,0,0,0,0,0,0,0,0 -2246,40376,25,24,24,24,0,0,0,0,0,0,0,0 -2247,40396,24,24,24,24,0,0,0,0,0,0,0,0 -2248,40416,22,16,16,16,24,24,0,0,0,0,0,0 -2250,40436,21,16,16,16,24,24,0,0,0,0,0,0 -2252,40456,20,16,16,16,24,24,0,0,0,0,0,0 -2253,40476,18,16,16,16,24,24,0,0,0,0,0,0 -2254,40496,17,16,16,16,24,24,0,0,0,0,0,0 -2255,40516,16,16,16,16,24,24,0,0,0,0,0,0 -2256,40536,14,8,8,8,16,16,0,0,0,0,0,0 -2258,40556,13,8,8,8,16,16,0,0,0,0,0,0 -2260,40576,12,8,8,8,16,16,0,0,0,0,0,0 -2261,40596,10,8,8,8,16,16,0,0,0,0,0,0 -2262,40616,9,8,8,8,16,16,0,0,0,0,0,0 -2263,40636,8,8,8,8,16,16,0,0,0,0,0,0 -2264,40655,6,0,0,0,8,8,0,0,0,0,0,0 -2266,40675,5,0,0,0,8,8,0,0,0,0,0,0 -2268,40695,4,0,0,0,8,8,0,0,0,0,0,0 -2269,40715,2,0,0,0,8,8,0,0,0,0,0,0 -2270,40735,1,0,0,0,8,8,0,0,0,0,0,0 -2271,40755,0,0,0,0,8,8,0,0,0,0,0,0 -2272,40775,30,24,24,24,0,0,0,0,0,0,0,0 -2274,40795,29,24,24,24,0,0,0,0,0,0,0,0 -2276,40815,28,24,24,24,0,0,0,0,0,0,0,0 -2277,40835,26,24,24,24,0,0,0,0,0,0,0,0 -2278,40855,25,24,24,24,0,0,0,0,0,0,0,0 -2279,40875,24,24,24,24,0,0,0,0,0,0,0,0 -2280,40895,22,16,16,16,24,24,0,0,0,0,0,0 -2282,40915,21,16,16,16,24,24,0,0,0,0,0,0 -2284,40935,20,16,16,16,24,24,0,0,0,0,0,0 -2285,40955,18,16,16,16,24,24,0,0,0,0,0,0 -2286,40975,16,16,16,16,24,24,0,0,0,0,0,0 -2287,40995,15,8,8,8,16,16,0,0,0,0,0,0 -2289,41015,14,8,8,8,16,16,0,0,0,0,0,0 -2291,41035,12,8,8,8,16,16,0,0,0,0,0,0 -2292,41055,11,8,8,8,16,16,0,0,0,0,0,0 -2293,41075,10,8,8,8,16,16,0,0,0,0,0,0 -2294,41095,8,8,8,8,16,16,0,0,0,0,0,0 -2295,41114,7,0,0,0,8,8,0,0,0,0,0,0 -2297,41134,6,0,0,0,8,8,0,0,0,0,0,0 -2299,41154,4,0,0,0,8,8,0,0,0,0,0,0 -2300,41174,3,0,0,0,8,8,0,0,0,0,0,0 -2301,41194,2,0,0,0,8,8,0,0,0,0,0,0 -2302,41214,0,0,0,0,8,8,0,0,0,0,0,0 -2303,41234,31,24,24,24,0,0,0,0,0,0,0,0 -2305,41254,30,24,24,24,0,0,0,0,0,0,0,0 -2307,41274,28,24,24,24,0,0,0,0,0,0,0,0 -2308,41294,27,24,24,24,0,0,0,0,0,0,0,0 -2309,41314,26,24,24,24,0,0,0,0,0,0,0,0 -2310,41334,24,24,24,24,0,0,0,0,0,0,0,0 -2311,41354,23,16,16,16,24,24,0,0,0,0,0,0 -2313,41374,22,16,16,16,24,24,0,0,0,0,0,0 -2315,41394,20,16,16,16,24,24,0,0,0,0,0,0 -2316,41414,19,16,16,16,24,24,0,0,0,0,0,0 -2317,41434,18,16,16,16,24,24,0,0,0,0,0,0 -2318,41454,16,16,16,16,24,24,0,0,0,0,0,0 -2319,41474,14,8,8,8,16,16,0,0,0,0,0,0 -2321,41494,14,8,8,8,16,16,0,0,0,0,0,0 -2323,41514,12,8,8,8,16,16,0,0,0,0,0,0 -2324,41534,10,8,8,8,16,16,0,0,0,0,0,0 -2325,41554,10,8,8,8,16,16,0,0,0,0,0,0 -2326,41574,8,8,8,8,16,16,0,0,0,0,0,0 -2327,41593,6,0,0,0,8,8,0,0,0,0,0,0 -2329,41613,5,0,0,0,8,8,0,0,0,0,0,0 -2331,41633,4,0,0,0,8,8,0,0,0,0,0,0 -2332,41653,2,0,0,0,8,8,0,0,0,0,0,0 -2333,41673,1,0,0,0,8,8,0,0,0,0,0,0 -2334,41693,0,0,0,0,8,8,0,0,0,0,0,0 -2335,41713,30,24,24,24,0,0,0,0,0,0,0,0 -2337,41733,29,24,24,24,0,0,0,0,0,0,0,0 -2339,41753,28,24,24,24,0,0,0,0,0,0,0,0 -2340,41773,26,24,24,24,0,0,0,0,0,0,0,0 -2341,41793,25,24,24,24,0,0,0,0,0,0,0,0 -2342,41813,24,24,24,24,0,0,0,0,0,0,0,0 -2343,41833,22,16,16,16,24,24,0,0,0,0,0,0 -2345,41853,21,16,16,16,24,24,0,0,0,0,0,0 -2347,41873,20,16,16,16,24,24,0,0,0,0,0,0 -2348,41893,18,16,16,16,24,24,0,0,0,0,0,0 -2349,41913,17,16,16,16,24,24,0,0,0,0,0,0 -2350,41933,16,16,16,16,24,24,0,0,0,0,0,0 -2351,41953,14,8,8,8,16,16,0,0,0,0,0,0 -2353,41973,13,8,8,8,16,16,0,0,0,0,0,0 -2355,41993,12,8,8,8,16,16,0,0,0,0,0,0 -2356,42013,10,8,8,8,16,16,0,0,0,0,0,0 -2357,42033,9,8,8,8,16,16,0,0,0,0,0,0 -2358,42053,8,8,8,8,16,16,0,0,0,0,0,0 -2359,42072,6,0,0,0,8,8,0,0,0,0,0,0 -2361,42092,6,0,0,0,8,8,0,0,0,0,0,0 -2363,42112,4,0,0,0,8,8,0,0,0,0,0,0 -2364,42132,2,0,0,0,8,8,0,0,0,0,0,0 -2365,42152,2,0,0,0,8,8,0,0,0,0,0,0 -2366,42172,0,0,0,0,8,8,0,0,0,0,0,0 -2367,42192,31,24,24,24,0,0,0,0,0,0,0,0 -2369,42212,30,24,24,24,0,0,0,0,0,0,0,0 -2371,42232,28,24,24,24,0,0,0,0,0,0,0,0 -2372,42252,27,24,24,24,0,0,0,0,0,0,0,0 -2373,42272,26,24,24,24,0,0,0,0,0,0,0,0 -2374,42292,24,24,24,24,0,0,0,0,0,0,0,0 -2375,42312,23,16,16,16,24,24,0,0,0,0,0,0 -2377,42332,22,16,16,16,24,24,0,0,0,0,0,0 -2379,42352,21,16,16,16,24,24,0,0,0,0,0,0 -2380,42372,19,16,16,16,24,24,0,0,0,0,0,0 -2381,42392,18,16,16,16,24,24,0,0,0,0,0,0 -2382,42412,16,16,16,16,24,24,0,0,0,0,0,0 -2383,42432,15,8,8,8,16,16,0,0,0,0,0,0 -2385,42452,14,8,8,8,16,16,0,0,0,0,0,0 -2387,42472,12,8,8,8,16,16,0,0,0,0,0,0 -2388,42492,11,8,8,8,16,16,0,0,0,0,0,0 -2389,42512,10,8,8,8,16,16,0,0,0,0,0,0 -2390,42532,8,8,8,8,16,16,0,0,0,0,0,0 -2391,42551,7,0,0,0,8,8,0,0,0,0,0,0 -2393,42571,6,0,0,0,8,8,0,0,0,0,0,0 -2395,42591,4,0,0,0,8,8,0,0,0,0,0,0 -2396,42611,3,0,0,0,8,8,0,0,0,0,0,0 -2397,42631,2,0,0,0,8,8,0,0,0,0,0,0 -2398,42651,0,0,0,0,8,8,0,0,0,0,0,0 -2399,42671,31,24,24,24,0,0,0,0,0,0,0,0 -2401,42691,30,24,24,24,0,0,0,0,0,0,0,0 -2403,42711,28,24,24,24,0,0,0,0,0,0,0,0 -2404,42731,27,24,24,24,0,0,0,0,0,0,0,0 -2405,42751,26,24,24,24,0,0,0,0,0,0,0,0 -2406,42771,24,24,24,24,0,0,0,0,0,0,0,0 -2407,42791,23,16,16,16,24,24,0,0,0,0,0,0 -2409,42811,22,16,16,16,24,24,0,0,0,0,0,0 -2411,42831,20,16,16,16,24,24,0,0,0,0,0,0 -2412,42851,19,16,16,16,24,24,0,0,0,0,0,0 -2413,42871,18,16,16,16,24,24,0,0,0,0,0,0 -2414,42891,16,16,16,16,24,24,0,0,0,0,0,0 -2415,42911,15,8,8,8,16,16,0,0,0,0,0,0 -2417,42931,14,8,8,8,16,16,0,0,0,0,0,0 -2419,42951,12,8,8,8,16,16,0,0,0,0,0,0 -2420,42971,11,8,8,8,16,16,0,0,0,0,0,0 -2421,42991,10,8,8,8,16,16,0,0,0,0,0,0 -2422,43011,8,8,8,8,16,16,0,0,0,0,0,0 -2423,43030,7,0,0,0,8,8,0,0,0,0,0,0 -2425,43050,6,0,0,0,8,8,0,0,0,0,0,0 -2427,43070,4,0,0,0,8,8,0,0,0,0,0,0 -2428,43090,2,0,0,0,8,8,0,0,0,0,0,0 -2429,43110,1,0,0,0,8,8,0,0,0,0,0,0 -2430,43130,0,0,0,0,8,8,0,0,0,0,0,0 -2431,43150,31,24,24,24,0,0,0,0,0,0,0,0 -2433,43170,30,24,24,24,0,0,0,0,0,0,0,0 -2435,43190,28,24,24,24,0,0,0,0,0,0,0,0 -2436,43210,27,24,24,24,0,0,0,0,0,0,0,0 -2437,43230,26,24,24,24,0,0,0,0,0,0,0,0 -2438,43250,24,24,24,24,0,0,0,0,0,0,0,0 -2439,43270,23,16,16,16,24,24,0,0,0,0,0,0 -2441,43290,22,16,16,16,24,24,0,0,0,0,0,0 -2443,43310,20,16,16,16,24,24,0,0,0,0,0,0 -2444,43330,18,16,16,16,24,24,0,0,0,0,0,0 -2445,43350,17,16,16,16,24,24,0,0,0,0,0,0 -2446,43370,16,16,16,16,24,24,0,0,0,0,0,0 -2447,43390,14,8,8,8,16,16,0,0,0,0,0,0 -2449,43410,13,8,8,8,16,16,0,0,0,0,0,0 -2451,43430,12,8,8,8,16,16,0,0,0,0,0,0 -2452,43450,10,8,8,8,16,16,0,0,0,0,0,0 -2453,43470,9,8,8,8,16,16,0,0,0,0,0,0 -2454,43490,8,8,8,8,16,16,0,0,0,0,0,0 -2455,43509,6,0,0,0,8,8,0,0,0,0,0,0 -2457,43529,5,0,0,0,8,8,0,0,0,0,0,0 -2459,43549,4,0,0,0,8,8,0,0,0,0,0,0 -2460,43569,2,0,0,0,8,8,0,0,0,0,0,0 -2461,43589,1,0,0,0,8,8,0,0,0,0,0,0 -2462,43609,0,0,0,0,8,8,0,0,0,0,0,0 -2463,43629,30,24,24,24,0,0,0,0,0,0,0,0 -2465,43649,29,24,24,24,0,0,0,0,0,0,0,0 -2467,43669,28,24,24,24,0,0,0,0,0,0,0,0 -2468,43689,26,24,24,24,0,0,0,0,0,0,0,0 -2469,43709,25,24,24,24,0,0,0,0,0,0,0,0 -2470,43729,24,24,24,24,0,0,0,0,0,0,0,0 -2471,43749,22,16,16,16,24,24,0,0,0,0,0,0 -2473,43769,21,16,16,16,24,24,0,0,0,0,0,0 -2475,43789,20,16,16,16,24,24,0,0,0,0,0,0 -2476,43809,18,16,16,16,24,24,0,0,0,0,0,0 -2477,43829,17,16,16,16,24,24,0,0,0,0,0,0 -2478,43849,16,16,16,16,24,24,0,0,0,0,0,0 -2479,43869,14,8,8,8,16,16,0,0,0,0,0,0 -2481,43889,12,8,8,8,16,16,0,0,0,0,0,0 -2482,43909,12,8,8,8,16,16,0,0,0,0,0,0 -2483,43929,10,8,8,8,16,16,0,0,0,0,0,0 -2484,43949,8,8,8,8,16,16,0,0,0,0,0,0 -2485,43969,8,8,8,8,16,16,0,0,0,0,0,0 -2486,43988,6,0,0,0,8,8,0,0,0,0,0,0 -2488,44008,5,0,0,0,8,8,0,0,0,0,0,0 -2489,44028,4,0,0,0,8,8,0,0,0,0,0,0 -2490,44048,2,0,0,0,8,8,0,0,0,0,0,0 -2491,44068,0,0,0,0,8,8,0,0,0,0,0,0 -2492,44088,31,24,24,24,0,0,0,0,0,0,0,0 -2494,44108,30,24,24,24,0,0,0,0,0,0,0,0 -2496,44128,28,24,24,24,0,0,0,0,0,0,0,0 -2497,44148,28,24,24,24,0,0,0,0,0,0,0,0 -2498,44168,26,24,24,24,0,0,0,0,0,0,0,0 -2499,44188,24,24,24,24,0,0,0,0,0,0,0,0 -2500,44208,23,16,16,16,24,24,0,0,0,0,0,0 -2502,44228,22,16,16,16,24,24,0,0,0,0,0,0 -2504,44248,20,16,16,16,24,24,0,0,0,0,0,0 -2505,44268,19,16,16,16,24,24,0,0,0,0,0,0 -2506,44288,18,16,16,16,24,24,0,0,0,0,0,0 -2507,44308,16,16,16,16,24,24,0,0,0,0,0,0 -2508,44328,15,8,8,8,16,16,0,0,0,0,0,0 -2510,44348,14,8,8,8,16,16,0,0,0,0,0,0 -2512,44368,12,8,8,8,16,16,0,0,0,0,0,0 -2513,44388,11,8,8,8,16,16,0,0,0,0,0,0 -2514,44408,10,8,8,8,16,16,0,0,0,0,0,0 -2515,44428,8,8,8,8,16,16,0,0,0,0,0,0 -2516,44447,7,0,0,0,8,8,0,0,0,0,0,0 -2518,44467,6,0,0,0,8,8,0,0,0,0,0,0 -2520,44487,4,0,0,0,8,8,0,0,0,0,0,0 -2521,44507,2,0,0,0,8,8,0,0,0,0,0,0 -2522,44527,2,0,0,0,8,8,0,0,0,0,0,0 -2523,44547,0,0,0,0,8,8,0,0,0,0,0,0 -2524,44567,31,24,24,24,0,0,0,0,0,0,0,0 -2526,44587,30,24,24,24,0,0,0,0,0,0,0,0 -2528,44607,28,24,24,24,0,0,0,0,0,0,0,0 -2529,44627,27,24,24,24,0,0,0,0,0,0,0,0 -2530,44647,26,24,24,24,0,0,0,0,0,0,0,0 -2531,44667,24,24,24,24,0,0,0,0,0,0,0,0 -2532,44687,23,16,16,16,24,24,0,0,0,0,0,0 -2534,44707,22,16,16,16,24,24,0,0,0,0,0,0 -2536,44727,20,16,16,16,24,24,0,0,0,0,0,0 -2537,44747,18,16,16,16,24,24,0,0,0,0,0,0 -2538,44767,18,16,16,16,24,24,0,0,0,0,0,0 -2539,44787,16,16,16,16,24,24,0,0,0,0,0,0 -2540,44807,15,8,8,8,16,16,0,0,0,0,0,0 -2542,44827,14,8,8,8,16,16,0,0,0,0,0,0 -2544,44847,12,8,8,8,16,16,0,0,0,0,0,0 -2545,44867,11,8,8,8,16,16,0,0,0,0,0,0 -2546,44887,10,8,8,8,16,16,0,0,0,0,0,0 -2547,44907,8,8,8,8,16,16,0,0,0,0,0,0 -2548,44926,7,0,0,0,8,8,0,0,0,0,0,0 -2550,44946,6,0,0,0,8,8,0,0,0,0,0,0 -2552,44966,4,0,0,0,8,8,0,0,0,0,0,0 -2553,44986,2,0,0,0,8,8,0,0,0,0,0,0 -2554,45006,2,0,0,0,8,8,0,0,0,0,0,0 -2555,45026,0,0,0,0,8,8,0,0,0,0,0,0 -2556,45046,30,24,24,24,0,0,0,0,0,0,0,0 -2558,45066,29,24,24,24,0,0,0,0,0,0,0,0 -2560,45086,28,24,24,24,0,0,0,0,0,0,0,0 -2561,45106,26,24,24,24,0,0,0,0,0,0,0,0 -2562,45126,25,24,24,24,0,0,0,0,0,0,0,0 -2563,45146,24,24,24,24,0,0,0,0,0,0,0,0 -2564,45166,22,16,16,16,24,24,0,0,0,0,0,0 -2566,45186,21,16,16,16,24,24,0,0,0,0,0,0 -2568,45206,20,16,16,16,24,24,0,0,0,0,0,0 -2569,45226,18,16,16,16,24,24,0,0,0,0,0,0 -2570,45246,17,16,16,16,24,24,0,0,0,0,0,0 -2571,45266,16,16,16,16,24,24,0,0,0,0,0,0 -2572,45286,14,8,8,8,16,16,0,0,0,0,0,0 -2574,45306,13,8,8,8,16,16,0,0,0,0,0,0 -2575,45326,11,8,8,8,16,16,0,0,0,0,0,0 -2576,45346,10,8,8,8,16,16,0,0,0,0,0,0 -2577,45366,8,8,8,8,16,16,0,0,0,0,0,0 -2578,45385,7,0,0,0,8,8,0,0,0,0,0,0 -2580,45405,6,0,0,0,8,8,0,0,0,0,0,0 -2582,45425,4,0,0,0,8,8,0,0,0,0,0,0 -2583,45445,3,0,0,0,8,8,0,0,0,0,0,0 -2584,45465,2,0,0,0,8,8,0,0,0,0,0,0 -2585,45485,0,0,0,0,8,8,0,0,0,0,0,0 -2586,45505,31,24,24,24,0,0,0,0,0,0,0,0 -2588,45525,30,24,24,24,0,0,0,0,0,0,0,0 -2590,45545,28,24,24,24,0,0,0,0,0,0,0,0 -2591,45565,27,24,24,24,0,0,0,0,0,0,0,0 -2592,45585,26,24,24,24,0,0,0,0,0,0,0,0 -2593,45605,24,24,24,24,0,0,0,0,0,0,0,0 -2594,45625,23,16,16,16,24,24,0,0,0,0,0,0 -2596,45645,22,16,16,16,24,24,0,0,0,0,0,0 -2598,45665,20,16,16,16,24,24,0,0,0,0,0,0 -2599,45685,19,16,16,16,24,24,0,0,0,0,0,0 -2600,45705,18,16,16,16,24,24,0,0,0,0,0,0 -2601,45725,16,16,16,16,24,24,0,0,0,0,0,0 -2602,45745,15,8,8,8,16,16,0,0,0,0,0,0 -2604,45765,14,8,8,8,16,16,0,0,0,0,0,0 -2606,45785,12,8,8,8,16,16,0,0,0,0,0,0 -2607,45805,11,8,8,8,16,16,0,0,0,0,0,0 -2608,45825,10,8,8,8,16,16,0,0,0,0,0,0 -2609,45845,8,8,8,8,16,16,0,0,0,0,0,0 -2610,45864,6,0,0,0,8,8,0,0,0,0,0,0 -2612,45884,6,0,0,0,8,8,0,0,0,0,0,0 -2614,45904,4,0,0,0,8,8,0,0,0,0,0,0 -2615,45924,2,0,0,0,8,8,0,0,0,0,0,0 -2616,45944,1,0,0,0,8,8,0,0,0,0,0,0 -2617,45964,0,0,0,0,8,8,0,0,0,0,0,0 -2618,45984,30,24,24,24,0,0,0,0,0,0,0,0 -2620,46004,29,24,24,24,0,0,0,0,0,0,0,0 -2622,46024,28,24,24,24,0,0,0,0,0,0,0,0 -2623,46044,26,24,24,24,0,0,0,0,0,0,0,0 -2624,46064,26,24,24,24,0,0,0,0,0,0,0,0 -2625,46084,24,24,24,24,0,0,0,0,0,0,0,0 -2626,46104,22,16,16,16,24,24,0,0,0,0,0,0 -2628,46124,21,16,16,16,24,24,0,0,0,0,0,0 -2630,46144,20,16,16,16,24,24,0,0,0,0,0,0 -2631,46164,18,16,16,16,24,24,0,0,0,0,0,0 -2632,46184,17,16,16,16,24,24,0,0,0,0,0,0 -2633,46204,16,16,16,16,24,24,0,0,0,0,0,0 -2634,46224,14,8,8,8,16,16,0,0,0,0,0,0 -2636,46244,13,8,8,8,16,16,0,0,0,0,0,0 -2638,46264,12,8,8,8,16,16,0,0,0,0,0,0 -2639,46284,10,8,8,8,16,16,0,0,0,0,0,0 -2640,46304,9,8,8,8,16,16,0,0,0,0,0,0 -2641,46324,8,8,8,8,16,16,0,0,0,0,0,0 -2642,46343,6,0,0,0,8,8,0,0,0,0,0,0 -2644,46363,5,0,0,0,8,8,0,0,0,0,0,0 -2646,46383,4,0,0,0,8,8,0,0,0,0,0,0 -2647,46403,2,0,0,0,8,8,0,0,0,0,0,0 -2648,46423,1,0,0,0,8,8,0,0,0,0,0,0 -2649,46443,0,0,0,0,8,8,0,0,0,0,0,0 -2650,46463,30,24,24,24,0,0,0,0,0,0,0,0 -2652,46483,29,24,24,24,0,0,0,0,0,0,0,0 -2654,46503,28,24,24,24,0,0,0,0,0,0,0,0 -2655,46523,26,24,24,24,0,0,0,0,0,0,0,0 -2656,46543,25,24,24,24,0,0,0,0,0,0,0,0 -2657,46563,24,24,24,24,0,0,0,0,0,0,0,0 -2658,46583,22,16,16,16,24,24,0,0,0,0,0,0 -2660,46603,21,16,16,16,24,24,0,0,0,0,0,0 -2662,46623,20,16,16,16,24,24,0,0,0,0,0,0 -2663,46643,18,16,16,16,24,24,0,0,0,0,0,0 -2664,46663,17,16,16,16,24,24,0,0,0,0,0,0 -2665,46683,16,16,16,16,24,24,0,0,0,0,0,0 -2666,46703,14,8,8,8,16,16,0,0,0,0,0,0 -2668,46723,13,8,8,8,16,16,0,0,0,0,0,0 -2670,46743,12,8,8,8,16,16,0,0,0,0,0,0 -2671,46763,10,8,8,8,16,16,0,0,0,0,0,0 -2672,46783,9,8,8,8,16,16,0,0,0,0,0,0 -2673,46803,8,8,8,8,16,16,0,0,0,0,0,0 -2674,46822,6,0,0,0,8,8,0,0,0,0,0,0 -2676,46842,5,0,0,0,8,8,0,0,0,0,0,0 -2678,46862,4,0,0,0,8,8,0,0,0,0,0,0 -2679,46882,2,0,0,0,8,8,0,0,0,0,0,0 -2680,46902,1,0,0,0,8,8,0,0,0,0,0,0 -2681,46922,0,0,0,0,8,8,0,0,0,0,0,0 -2682,46942,30,24,24,24,0,0,0,0,0,0,0,0 -2684,46962,29,24,24,24,0,0,0,0,0,0,0,0 -2686,46982,28,24,24,24,0,0,0,0,0,0,0,0 -2687,47002,26,24,24,24,0,0,0,0,0,0,0,0 -2688,47022,25,24,24,24,0,0,0,0,0,0,0,0 -2689,47042,24,24,24,24,0,0,0,0,0,0,0,0 -2690,47062,22,16,16,16,24,24,0,0,0,0,0,0 -2692,47082,21,16,16,16,24,24,0,0,0,0,0,0 -2694,47102,20,16,16,16,24,24,0,0,0,0,0,0 -2695,47122,18,16,16,16,24,24,0,0,0,0,0,0 -2696,47142,17,16,16,16,24,24,0,0,0,0,0,0 -2697,47162,16,16,16,16,24,24,0,0,0,0,0,0 -2698,47182,14,8,8,8,16,16,0,0,0,0,0,0 -2700,47202,13,8,8,8,16,16,0,0,0,0,0,0 -2702,47222,12,8,8,8,16,16,0,0,0,0,0,0 -2703,47242,10,8,8,8,16,16,0,0,0,0,0,0 -2704,47262,9,8,8,8,16,16,0,0,0,0,0,0 -2705,47282,8,8,8,8,16,16,0,0,0,0,0,0 -2706,47301,6,0,0,0,8,8,0,0,0,0,0,0 -2708,47321,5,0,0,0,8,8,0,0,0,0,0,0 -2710,47341,4,0,0,0,8,8,0,0,0,0,0,0 -2711,47361,2,0,0,0,8,8,0,0,0,0,0,0 -2712,47381,1,0,0,0,8,8,0,0,0,0,0,0 -2713,47401,0,0,0,0,8,8,0,0,0,0,0,0 -2714,47421,30,24,24,24,0,0,0,0,0,0,0,0 -2716,47441,29,24,24,24,0,0,0,0,0,0,0,0 -2718,47461,28,24,24,24,0,0,0,0,0,0,0,0 -2719,47481,26,24,24,24,0,0,0,0,0,0,0,0 -2720,47501,25,24,24,24,0,0,0,0,0,0,0,0 -2721,47521,24,24,24,24,0,0,0,0,0,0,0,0 -2722,47541,22,16,16,16,24,24,0,0,0,0,0,0 -2724,47561,21,16,16,16,24,24,0,0,0,0,0,0 -2726,47581,20,16,16,16,24,24,0,0,0,0,0,0 -2727,47601,18,16,16,16,24,24,0,0,0,0,0,0 -2728,47621,17,16,16,16,24,24,0,0,0,0,0,0 -2729,47641,16,16,16,16,24,24,0,0,0,0,0,0 -2730,47661,14,8,8,8,16,16,0,0,0,0,0,0 -2732,47681,13,8,8,8,16,16,0,0,0,0,0,0 -2733,47701,12,8,8,8,16,16,0,0,0,0,0,0 -2734,47721,10,8,8,8,16,16,0,0,0,0,0,0 -2735,47741,9,8,8,8,16,16,0,0,0,0,0,0 -2736,47761,8,8,8,8,16,16,0,0,0,0,0,0 -2737,47780,6,0,0,0,8,8,0,0,0,0,0,0 -2739,47800,5,0,0,0,8,8,0,0,0,0,0,0 -2740,47820,4,0,0,0,8,8,0,0,0,0,0,0 -2741,47840,2,0,0,0,8,8,0,0,0,0,0,0 -2742,47860,1,0,0,0,8,8,0,0,0,0,0,0 -2743,47880,0,0,0,0,8,8,0,0,0,0,0,0 -2744,47900,30,24,24,24,0,0,0,0,0,0,0,0 -2746,47920,29,24,24,24,0,0,0,0,0,0,0,0 -2748,47940,28,24,24,24,0,0,0,0,0,0,0,0 -2749,47960,26,24,24,24,0,0,0,0,0,0,0,0 -2750,47980,25,24,24,24,0,0,0,0,0,0,0,0 -2751,48000,24,24,24,24,0,0,0,0,0,0,0,0 -2752,48020,22,16,16,16,24,24,0,0,0,0,0,0 -2754,48040,21,16,16,16,24,24,0,0,0,0,0,0 -2756,48060,20,16,16,16,24,24,0,0,0,0,0,0 -2757,48080,18,16,16,16,24,24,0,0,0,0,0,0 -2758,48100,17,16,16,16,24,24,0,0,0,0,0,0 -2759,48120,15,8,8,8,16,16,0,0,0,0,0,0 -2761,48140,14,8,8,8,16,16,0,0,0,0,0,0 -2763,48160,12,8,8,8,16,16,0,0,0,0,0,0 -2764,48180,11,8,8,8,16,16,0,0,0,0,0,0 -2765,48200,10,8,8,8,16,16,0,0,0,0,0,0 -2766,48220,8,8,8,8,16,16,0,0,0,0,0,0 -2767,48239,7,0,0,0,8,8,0,0,0,0,0,0 -2769,48259,6,0,0,0,8,8,0,0,0,0,0,0 -2771,48279,4,0,0,0,8,8,0,0,0,0,0,0 -2772,48299,3,0,0,0,8,8,0,0,0,0,0,0 -2773,48319,2,0,0,0,8,8,0,0,0,0,0,0 -2774,48339,0,0,0,0,8,8,0,0,0,0,0,0 -2775,48359,31,24,24,24,0,0,0,0,0,0,0,0 -2777,48379,30,24,24,24,0,0,0,0,0,0,0,0 -2779,48399,28,24,24,24,0,0,0,0,0,0,0,0 -2780,48419,27,24,24,24,0,0,0,0,0,0,0,0 -2781,48439,26,24,24,24,0,0,0,0,0,0,0,0 -2782,48459,24,24,24,24,0,0,0,0,0,0,0,0 -2783,48479,23,16,16,16,24,24,0,0,0,0,0,0 -2785,48499,22,16,16,16,24,24,0,0,0,0,0,0 -2787,48519,20,16,16,16,24,24,0,0,0,0,0,0 -2788,48539,19,16,16,16,24,24,0,0,0,0,0,0 -2789,48559,18,16,16,16,24,24,0,0,0,0,0,0 -2790,48579,16,16,16,16,24,24,0,0,0,0,0,0 -2791,48599,14,8,8,8,16,16,0,0,0,0,0,0 -2793,48619,13,8,8,8,16,16,0,0,0,0,0,0 -2795,48639,12,8,8,8,16,16,0,0,0,0,0,0 -2796,48659,10,8,8,8,16,16,0,0,0,0,0,0 -2797,48679,9,8,8,8,16,16,0,0,0,0,0,0 -2798,48699,8,8,8,8,16,16,0,0,0,0,0,0 -2799,48718,6,0,0,0,8,8,0,0,0,0,0,0 -2801,48738,5,0,0,0,8,8,0,0,0,0,0,0 -2803,48758,4,0,0,0,8,8,0,0,0,0,0,0 -2804,48778,2,0,0,0,8,8,0,0,0,0,0,0 -2805,48798,1,0,0,0,8,8,0,0,0,0,0,0 -2806,48818,0,0,0,0,8,8,0,0,0,0,0,0 -2807,48838,30,24,24,24,0,0,0,0,0,0,0,0 -2809,48858,29,24,24,24,0,0,0,0,0,0,0,0 -2811,48878,28,24,24,24,0,0,0,0,0,0,0,0 -2812,48898,26,24,24,24,0,0,0,0,0,0,0,0 -2813,48918,25,24,24,24,0,0,0,0,0,0,0,0 -2814,48938,24,24,24,24,0,0,0,0,0,0,0,0 -2815,48958,22,16,16,16,24,24,0,0,0,0,0,0 -2817,48978,21,16,16,16,24,24,0,0,0,0,0,0 -2818,48998,20,16,16,16,24,24,0,0,0,0,0,0 -2819,49018,18,16,16,16,24,24,0,0,0,0,0,0 -2820,49038,17,16,16,16,24,24,0,0,0,0,0,0 -2821,49058,15,8,8,8,16,16,0,0,0,0,0,0 -2823,49078,14,8,8,8,16,16,0,0,0,0,0,0 -2825,49098,13,8,8,8,16,16,0,0,0,0,0,0 -2826,49118,12,8,8,8,16,16,0,0,0,0,0,0 -2827,49138,10,8,8,8,16,16,0,0,0,0,0,0 -2828,49158,9,8,8,8,16,16,0,0,0,0,0,0 -2829,49177,7,0,0,0,8,8,0,0,0,0,0,0 -2831,49197,6,0,0,0,8,8,0,0,0,0,0,0 -2833,49217,5,0,0,0,8,8,0,0,0,0,0,0 -2834,49237,4,0,0,0,8,8,0,0,0,0,0,0 -2835,49257,2,0,0,0,8,8,0,0,0,0,0,0 -2836,49277,1,0,0,0,8,8,0,0,0,0,0,0 -2837,49297,0,0,0,0,8,8,0,0,0,0,0,0 -2838,49317,30,24,24,24,0,0,0,0,0,0,0,0 -2840,49337,28,24,24,24,0,0,0,0,0,0,0,0 -2841,49357,27,24,24,24,0,0,0,0,0,0,0,0 -2842,49377,26,24,24,24,0,0,0,0,0,0,0,0 -2843,49397,24,24,24,24,0,0,0,0,0,0,0,0 -2844,49417,23,16,16,16,24,24,0,0,0,0,0,0 -2846,49437,22,16,16,16,24,24,0,0,0,0,0,0 -2848,49457,20,16,16,16,24,24,0,0,0,0,0,0 -2849,49477,19,16,16,16,24,24,0,0,0,0,0,0 -2850,49497,18,16,16,16,24,24,0,0,0,0,0,0 -2851,49517,16,16,16,16,24,24,0,0,0,0,0,0 -2852,49537,15,8,8,8,16,16,0,0,0,0,0,0 -2854,49557,14,8,8,8,16,16,0,0,0,0,0,0 -2856,49577,12,8,8,8,16,16,0,0,0,0,0,0 -2857,49597,11,8,8,8,16,16,0,0,0,0,0,0 -2858,49617,10,8,8,8,16,16,0,0,0,0,0,0 -2859,49637,8,8,8,8,16,16,0,0,0,0,0,0 -2860,49656,7,0,0,0,8,8,0,0,0,0,0,0 -2862,49676,6,0,0,0,8,8,0,0,0,0,0,0 -2864,49696,4,0,0,0,8,8,0,0,0,0,0,0 -2865,49716,3,0,0,0,8,8,0,0,0,0,0,0 -2866,49736,2,0,0,0,8,8,0,0,0,0,0,0 -2867,49756,1,0,0,0,8,8,0,0,0,0,0,0 -2868,49776,0,0,0,0,8,8,0,0,0,0,0,0 -2869,49796,30,24,24,24,0,0,0,0,0,0,0,0 -2871,49816,29,24,24,24,0,0,0,0,0,0,0,0 -2873,49836,28,24,24,24,0,0,0,0,0,0,0,0 -2874,49856,26,24,24,24,0,0,0,0,0,0,0,0 -2875,49876,25,24,24,24,0,0,0,0,0,0,0,0 -2876,49896,24,24,24,24,0,0,0,0,0,0,0,0 -2877,49916,22,16,16,16,24,24,0,0,0,0,0,0 -2879,49936,21,16,16,16,24,24,0,0,0,0,0,0 -2881,49956,20,16,16,16,24,24,0,0,0,0,0,0 -2882,49976,18,16,16,16,24,24,0,0,0,0,0,0 -2883,49996,16,16,16,16,24,24,0,0,0,0,0,0 -2884,50016,15,8,8,8,16,16,0,0,0,0,0,0 -2886,50036,14,8,8,8,16,16,0,0,0,0,0,0 -2888,50056,12,8,8,8,16,16,0,0,0,0,0,0 -2889,50076,11,8,8,8,16,16,0,0,0,0,0,0 -2890,50096,10,8,8,8,16,16,0,0,0,0,0,0 -2891,50116,8,8,8,8,16,16,0,0,0,0,0,0 -2892,50135,6,0,0,0,8,8,0,0,0,0,0,0 -2894,50155,6,0,0,0,8,8,0,0,0,0,0,0 -2896,50175,4,0,0,0,8,8,0,0,0,0,0,0 -2897,50195,3,0,0,0,8,8,0,0,0,0,0,0 -2898,50215,2,0,0,0,8,8,0,0,0,0,0,0 -2899,50235,0,0,0,0,8,8,0,0,0,0,0,0 -2900,50255,31,24,24,24,0,0,0,0,0,0,0,0 -2902,50275,30,24,24,24,0,0,0,0,0,0,0,0 -2904,50295,28,24,24,24,0,0,0,0,0,0,0,0 -2905,50315,27,24,24,24,0,0,0,0,0,0,0,0 -2906,50335,26,24,24,24,0,0,0,0,0,0,0,0 -2907,50355,24,24,24,24,0,0,0,0,0,0,0,0 -2908,50375,22,16,16,16,24,24,0,0,0,0,0,0 -2910,50395,21,16,16,16,24,24,0,0,0,0,0,0 -2912,50415,20,16,16,16,24,24,0,0,0,0,0,0 -2913,50435,18,16,16,16,24,24,0,0,0,0,0,0 -2914,50455,17,16,16,16,24,24,0,0,0,0,0,0 -2915,50475,16,16,16,16,24,24,0,0,0,0,0,0 -2916,50495,14,8,8,8,16,16,0,0,0,0,0,0 -2918,50515,14,8,8,8,16,16,0,0,0,0,0,0 -2920,50535,12,8,8,8,16,16,0,0,0,0,0,0 -2921,50555,10,8,8,8,16,16,0,0,0,0,0,0 -2922,50575,9,8,8,8,16,16,0,0,0,0,0,0 -2923,50595,8,8,8,8,16,16,0,0,0,0,0,0 -2924,50614,6,0,0,0,8,8,0,0,0,0,0,0 -2926,50634,5,0,0,0,8,8,0,0,0,0,0,0 -2928,50654,4,0,0,0,8,8,0,0,0,0,0,0 -2929,50674,2,0,0,0,8,8,0,0,0,0,0,0 -2930,50694,1,0,0,0,8,8,0,0,0,0,0,0 -2931,50714,0,0,0,0,8,8,0,0,0,0,0,0 -2932,50734,30,24,24,24,0,0,0,0,0,0,0,0 -2934,50754,29,24,24,24,0,0,0,0,0,0,0,0 -2936,50774,28,24,24,24,0,0,0,0,0,0,0,0 -2937,50794,27,24,24,24,0,0,0,0,0,0,0,0 -2938,50814,26,24,24,24,0,0,0,0,0,0,0,0 -2939,50834,24,24,24,24,0,0,0,0,0,0,0,0 -2940,50854,23,16,16,16,24,24,0,0,0,0,0,0 -2942,50874,22,16,16,16,24,24,0,0,0,0,0,0 -2944,50894,20,16,16,16,24,24,0,0,0,0,0,0 -2945,50914,19,16,16,16,24,24,0,0,0,0,0,0 -2946,50934,18,16,16,16,24,24,0,0,0,0,0,0 -2947,50954,16,16,16,16,24,24,0,0,0,0,0,0 -2948,50974,14,8,8,8,16,16,0,0,0,0,0,0 -2950,50994,14,8,8,8,16,16,0,0,0,0,0,0 -2952,51014,12,8,8,8,16,16,0,0,0,0,0,0 -2953,51034,11,8,8,8,16,16,0,0,0,0,0,0 -2954,51054,10,8,8,8,16,16,0,0,0,0,0,0 -2955,51074,8,8,8,8,16,16,0,0,0,0,0,0 -2956,51093,6,0,0,0,8,8,0,0,0,0,0,0 -2958,51113,6,0,0,0,8,8,0,0,0,0,0,0 -2960,51133,4,0,0,0,8,8,0,0,0,0,0,0 -2961,51153,3,0,0,0,8,8,0,0,0,0,0,0 -2962,51173,2,0,0,0,8,8,0,0,0,0,0,0 -2963,51193,0,0,0,0,8,8,0,0,0,0,0,0 -2964,51213,31,24,24,24,0,0,0,0,0,0,0,0 -2966,51233,30,24,24,24,0,0,0,0,0,0,0,0 -2968,51253,28,24,24,24,0,0,0,0,0,0,0,0 -2969,51273,27,24,24,24,0,0,0,0,0,0,0,0 -2970,51293,26,24,24,24,0,0,0,0,0,0,0,0 -2971,51313,24,24,24,24,0,0,0,0,0,0,0,0 -2972,51333,23,16,16,16,24,24,0,0,0,0,0,0 -2974,51353,22,16,16,16,24,24,0,0,0,0,0,0 -2976,51373,20,16,16,16,24,24,0,0,0,0,0,0 -2977,51393,19,16,16,16,24,24,0,0,0,0,0,0 -2978,51413,18,16,16,16,24,24,0,0,0,0,0,0 -2979,51433,16,16,16,16,24,24,0,0,0,0,0,0 -2980,51453,15,8,8,8,16,16,0,0,0,0,0,0 -2982,51473,14,8,8,8,16,16,0,0,0,0,0,0 -2984,51493,12,8,8,8,16,16,0,0,0,0,0,0 -2985,51513,10,8,8,8,16,16,0,0,0,0,0,0 -2986,51533,9,8,8,8,16,16,0,0,0,0,0,0 -2987,51553,8,8,8,8,16,16,0,0,0,0,0,0 -2988,51572,6,0,0,0,8,8,0,0,0,0,0,0 -2990,51592,5,0,0,0,8,8,0,0,0,0,0,0 -2991,51612,4,0,0,0,8,8,0,0,0,0,0,0 -2992,51632,2,0,0,0,8,8,0,0,0,0,0,0 -2993,51652,1,0,0,0,8,8,0,0,0,0,0,0 -2994,51672,0,0,0,0,8,8,0,0,0,0,0,0 -2995,51692,30,24,24,24,0,0,0,0,0,0,0,0 -2997,51712,29,24,24,24,0,0,0,0,0,0,0,0 -2998,51732,28,24,24,24,0,0,0,0,0,0,0,0 -2999,51752,26,24,24,24,0,0,0,0,0,0,0,0 -3000,51772,25,24,24,24,0,0,0,0,0,0,0,0 -3001,51792,24,24,24,24,0,0,0,0,0,0,0,0 -3002,51812,22,16,16,16,24,24,0,0,0,0,0,0 -3004,51832,21,16,16,16,24,24,0,0,0,0,0,0 -3005,51852,20,16,16,16,24,24,0,0,0,0,0,0 -3006,51872,18,16,16,16,24,24,0,0,0,0,0,0 -3007,51892,16,16,16,16,24,24,0,0,0,0,0,0 -3008,51912,15,8,8,8,16,16,0,0,0,0,0,0 -3010,51932,14,8,8,8,16,16,0,0,0,0,0,0 -3012,51952,12,8,8,8,16,16,0,0,0,0,0,0 -3013,51972,11,8,8,8,16,16,0,0,0,0,0,0 -3014,51992,10,8,8,8,16,16,0,0,0,0,0,0 -3015,52012,8,8,8,8,16,16,0,0,0,0,0,0 -3016,52031,7,0,0,0,8,8,0,0,0,0,0,0 -3018,52051,6,0,0,0,8,8,0,0,0,0,0,0 -3020,52071,4,0,0,0,8,8,0,0,0,0,0,0 -3021,52091,3,0,0,0,8,8,0,0,0,0,0,0 -3022,52111,2,0,0,0,8,8,0,0,0,0,0,0 -3023,52131,0,0,0,0,8,8,0,0,0,0,0,0 -3024,52151,31,24,24,24,0,0,0,0,0,0,0,0 -3026,52171,30,24,24,24,0,0,0,0,0,0,0,0 -3028,52191,28,24,24,24,0,0,0,0,0,0,0,0 -3029,52211,27,24,24,24,0,0,0,0,0,0,0,0 -3030,52231,26,24,24,24,0,0,0,0,0,0,0,0 -3031,52251,24,24,24,24,0,0,0,0,0,0,0,0 -3032,52271,23,16,16,16,24,24,0,0,0,0,0,0 -3034,52291,22,16,16,16,24,24,0,0,0,0,0,0 -3036,52311,20,16,16,16,24,24,0,0,0,0,0,0 -3037,52331,19,16,16,16,24,24,0,0,0,0,0,0 -3038,52351,18,16,16,16,24,24,0,0,0,0,0,0 -3039,52371,16,16,16,16,24,24,0,0,0,0,0,0 -3040,52391,15,8,8,8,16,16,0,0,0,0,0,0 -3042,52411,14,8,8,8,16,16,0,0,0,0,0,0 -3044,52431,12,8,8,8,16,16,0,0,0,0,0,0 -3045,52451,10,8,8,8,16,16,0,0,0,0,0,0 -3046,52471,9,8,8,8,16,16,0,0,0,0,0,0 -3047,52491,8,8,8,8,16,16,0,0,0,0,0,0 -3048,52510,6,0,0,0,8,8,0,0,0,0,0,0 -3050,52530,6,0,0,0,8,8,0,0,0,0,0,0 -3052,52550,4,0,0,0,8,8,0,0,0,0,0,0 -3053,52570,3,0,0,0,8,8,0,0,0,0,0,0 -3054,52590,2,0,0,0,8,8,0,0,0,0,0,0 -3055,52610,0,0,0,0,8,8,0,0,0,0,0,0 -3056,52630,31,24,24,24,0,0,0,0,0,0,0,0 -3058,52650,30,24,24,24,0,0,0,0,0,0,0,0 -3060,52670,28,24,24,24,0,0,0,0,0,0,0,0 -3061,52690,27,24,24,24,0,0,0,0,0,0,0,0 -3062,52710,26,24,24,24,0,0,0,0,0,0,0,0 -3063,52730,24,24,24,24,0,0,0,0,0,0,0,0 -3064,52750,23,16,16,16,24,24,0,0,0,0,0,0 -3066,52770,22,16,16,16,24,24,0,0,0,0,0,0 -3068,52790,20,16,16,16,24,24,0,0,0,0,0,0 -3069,52810,19,16,16,16,24,24,0,0,0,0,0,0 -3070,52830,18,16,16,16,24,24,0,0,0,0,0,0 -3071,52850,16,16,16,16,24,24,0,0,0,0,0,0 -3072,52870,15,8,8,8,16,16,0,0,0,0,0,0 -3074,52890,14,8,8,8,16,16,0,0,0,0,0,0 -3076,52910,12,8,8,8,16,16,0,0,0,0,0,0 -3077,52930,11,8,8,8,16,16,0,0,0,0,0,0 -3078,52950,10,8,8,8,16,16,0,0,0,0,0,0 -3079,52970,8,8,8,8,16,16,0,0,0,0,0,0 -3080,52989,7,0,0,0,8,8,0,0,0,0,0,0 -3082,53009,6,0,0,0,8,8,0,0,0,0,0,0 -3084,53029,5,0,0,0,8,8,0,0,0,0,0,0 -3085,53049,4,0,0,0,8,8,0,0,0,0,0,0 -3086,53069,2,0,0,0,8,8,0,0,0,0,0,0 -3087,53089,0,0,0,0,8,8,0,0,0,0,0,0 -3088,53109,31,24,24,24,0,0,0,0,0,0,0,0 -3090,53129,30,24,24,24,0,0,0,0,0,0,0,0 -3092,53149,28,24,24,24,0,0,0,0,0,0,0,0 -3093,53169,27,24,24,24,0,0,0,0,0,0,0,0 -3094,53189,26,24,24,24,0,0,0,0,0,0,0,0 -3095,53209,24,24,24,24,0,0,0,0,0,0,0,0 -3096,53229,23,16,16,16,24,24,0,0,0,0,0,0 -3098,53249,22,16,16,16,24,24,0,0,0,0,0,0 -3100,53269,20,16,16,16,24,24,0,0,0,0,0,0 -3101,53289,19,16,16,16,24,24,0,0,0,0,0,0 -3102,53309,18,16,16,16,24,24,0,0,0,0,0,0 -3103,53329,16,16,16,16,24,24,0,0,0,0,0,0 -3104,53349,15,8,8,8,16,16,0,0,0,0,0,0 -3106,53369,14,8,8,8,16,16,0,0,0,0,0,0 -3108,53389,13,8,8,8,16,16,0,0,0,0,0,0 -3109,53409,12,8,8,8,16,16,0,0,0,0,0,0 -3110,53429,10,8,8,8,16,16,0,0,0,0,0,0 -3111,53449,9,8,8,8,16,16,0,0,0,0,0,0 -3112,53469,8,8,8,8,16,16,0,0,0,0,0,0 -3113,53488,6,0,0,0,8,8,0,0,0,0,0,0 -3115,53508,5,0,0,0,8,8,0,0,0,0,0,0 -3117,53528,4,0,0,0,8,8,0,0,0,0,0,0 -3118,53548,2,0,0,0,8,8,0,0,0,0,0,0 -3119,53568,1,0,0,0,8,8,0,0,0,0,0,0 -3120,53588,0,0,0,0,8,8,0,0,0,0,0,0 -3121,53608,30,24,24,24,0,0,0,0,0,0,0,0 -3123,53628,29,24,24,24,0,0,0,0,0,0,0,0 -3124,53648,28,24,24,24,0,0,0,0,0,0,0,0 -3125,53668,26,24,24,24,0,0,0,0,0,0,0,0 -3126,53688,24,24,24,24,0,0,0,0,0,0,0,0 -3127,53708,24,24,24,24,0,0,0,0,0,0,0,0 -3128,53728,22,16,16,16,24,24,0,0,0,0,0,0 -3130,53748,21,16,16,16,24,24,0,0,0,0,0,0 -3131,53768,20,16,16,16,24,24,0,0,0,0,0,0 -3132,53788,18,16,16,16,24,24,0,0,0,0,0,0 -3133,53808,17,16,16,16,24,24,0,0,0,0,0,0 -3134,53828,16,16,16,16,24,24,0,0,0,0,0,0 -3135,53848,14,8,8,8,16,16,0,0,0,0,0,0 -3137,53868,13,8,8,8,16,16,0,0,0,0,0,0 -3139,53888,12,8,8,8,16,16,0,0,0,0,0,0 -3140,53908,10,8,8,8,16,16,0,0,0,0,0,0 -3141,53928,9,8,8,8,16,16,0,0,0,0,0,0 -3142,53948,8,8,8,8,16,16,0,0,0,0,0,0 -3143,53967,6,0,0,0,8,8,0,0,0,0,0,0 -3145,53987,5,0,0,0,8,8,0,0,0,0,0,0 -3147,54007,4,0,0,0,8,8,0,0,0,0,0,0 -3148,54027,2,0,0,0,8,8,0,0,0,0,0,0 -3149,54047,1,0,0,0,8,8,0,0,0,0,0,0 -3150,54067,0,0,0,0,8,8,0,0,0,0,0,0 -3151,54087,30,24,24,24,0,0,0,0,0,0,0,0 -3153,54107,29,24,24,24,0,0,0,0,0,0,0,0 -3155,54127,28,24,24,24,0,0,0,0,0,0,0,0 -3156,54147,26,24,24,24,0,0,0,0,0,0,0,0 -3157,54167,26,24,24,24,0,0,0,0,0,0,0,0 -3158,54187,24,24,24,24,0,0,0,0,0,0,0,0 -3159,54207,23,16,16,16,24,24,0,0,0,0,0,0 -3161,54227,22,16,16,16,24,24,0,0,0,0,0,0 -3163,54247,20,16,16,16,24,24,0,0,0,0,0,0 -3164,54267,19,16,16,16,24,24,0,0,0,0,0,0 -3165,54287,18,16,16,16,24,24,0,0,0,0,0,0 -3166,54307,16,16,16,16,24,24,0,0,0,0,0,0 -3167,54327,15,8,8,8,16,16,0,0,0,0,0,0 -3169,54347,14,8,8,8,16,16,0,0,0,0,0,0 -3171,54367,12,8,8,8,16,16,0,0,0,0,0,0 -3172,54387,11,8,8,8,16,16,0,0,0,0,0,0 -3173,54407,10,8,8,8,16,16,0,0,0,0,0,0 -3174,54427,8,8,8,8,16,16,0,0,0,0,0,0 -3175,54446,6,0,0,0,8,8,0,0,0,0,0,0 -3177,54466,5,0,0,0,8,8,0,0,0,0,0,0 -3179,54486,4,0,0,0,8,8,0,0,0,0,0,0 -3180,54506,2,0,0,0,8,8,0,0,0,0,0,0 -3181,54526,1,0,0,0,8,8,0,0,0,0,0,0 -3182,54546,0,0,0,0,8,8,0,0,0,0,0,0 -3183,54566,30,24,24,24,0,0,0,0,0,0,0,0 -3185,54586,30,24,24,24,0,0,0,0,0,0,0,0 -3187,54606,28,24,24,24,0,0,0,0,0,0,0,0 -3188,54626,27,24,24,24,0,0,0,0,0,0,0,0 -3189,54646,26,24,24,24,0,0,0,0,0,0,0,0 -3190,54666,24,24,24,24,0,0,0,0,0,0,0,0 -3191,54686,23,16,16,16,24,24,0,0,0,0,0,0 -3193,54706,22,16,16,16,24,24,0,0,0,0,0,0 -3195,54726,20,16,16,16,24,24,0,0,0,0,0,0 -3196,54746,19,16,16,16,24,24,0,0,0,0,0,0 -3197,54766,18,16,16,16,24,24,0,0,0,0,0,0 -3198,54786,16,16,16,16,24,24,0,0,0,0,0,0 -3199,54806,15,8,8,8,16,16,0,0,0,0,0,0 -3201,54826,14,8,8,8,16,16,0,0,0,0,0,0 -3203,54846,12,8,8,8,16,16,0,0,0,0,0,0 -3204,54866,11,8,8,8,16,16,0,0,0,0,0,0 -3205,54886,10,8,8,8,16,16,0,0,0,0,0,0 -3206,54906,8,8,8,8,16,16,0,0,0,0,0,0 -3207,54925,7,0,0,0,8,8,0,0,0,0,0,0 -3209,54945,6,0,0,0,8,8,0,0,0,0,0,0 -3211,54965,4,0,0,0,8,8,0,0,0,0,0,0 -3212,54985,3,0,0,0,8,8,0,0,0,0,0,0 -3213,55005,2,0,0,0,8,8,0,0,0,0,0,0 -3214,55025,0,0,0,0,8,8,0,0,0,0,0,0 -3215,55045,31,24,24,24,0,0,0,0,0,0,0,0 -3217,55065,30,24,24,24,0,0,0,0,0,0,0,0 -3219,55085,28,24,24,24,0,0,0,0,0,0,0,0 -3220,55105,27,24,24,24,0,0,0,0,0,0,0,0 -3221,55125,26,24,24,24,0,0,0,0,0,0,0,0 -3222,55145,24,24,24,24,0,0,0,0,0,0,0,0 -3223,55165,23,16,16,16,24,24,0,0,0,0,0,0 -3225,55185,22,16,16,16,24,24,0,0,0,0,0,0 -3227,55205,20,16,16,16,24,24,0,0,0,0,0,0 -3228,55225,19,16,16,16,24,24,0,0,0,0,0,0 -3229,55245,18,16,16,16,24,24,0,0,0,0,0,0 -3230,55265,17,16,16,16,24,24,0,0,0,0,0,0 -3231,55285,16,16,16,16,24,24,0,0,0,0,0,0 -3232,55305,14,8,8,8,16,16,0,0,0,0,0,0 -3234,55325,12,8,8,8,16,16,0,0,0,0,0,0 -3235,55345,11,8,8,8,16,16,0,0,0,0,0,0 -3236,55365,10,8,8,8,16,16,0,0,0,0,0,0 -3237,55385,8,8,8,8,16,16,0,0,0,0,0,0 -3238,55404,7,0,0,0,8,8,0,0,0,0,0,0 -3240,55424,6,0,0,0,8,8,0,0,0,0,0,0 -3242,55444,4,0,0,0,8,8,0,0,0,0,0,0 -3243,55464,3,0,0,0,8,8,0,0,0,0,0,0 -3244,55484,2,0,0,0,8,8,0,0,0,0,0,0 -3245,55504,0,0,0,0,8,8,0,0,0,0,0,0 -3246,55524,31,24,24,24,0,0,0,0,0,0,0,0 -3248,55544,30,24,24,24,0,0,0,0,0,0,0,0 -3250,55564,28,24,24,24,0,0,0,0,0,0,0,0 -3251,55584,27,24,24,24,0,0,0,0,0,0,0,0 -3252,55604,26,24,24,24,0,0,0,0,0,0,0,0 -3253,55624,24,24,24,24,0,0,0,0,0,0,0,0 -3254,55644,23,16,16,16,24,24,0,0,0,0,0,0 -3256,55664,22,16,16,16,24,24,0,0,0,0,0,0 -3258,55684,20,16,16,16,24,24,0,0,0,0,0,0 -3259,55704,19,16,16,16,24,24,0,0,0,0,0,0 -3260,55724,18,16,16,16,24,24,0,0,0,0,0,0 -3261,55744,16,16,16,16,24,24,0,0,0,0,0,0 -3262,55764,15,8,8,8,16,16,0,0,0,0,0,0 -3264,55784,14,8,8,8,16,16,0,0,0,0,0,0 -3266,55804,12,8,8,8,16,16,0,0,0,0,0,0 -3267,55824,11,8,8,8,16,16,0,0,0,0,0,0 -3268,55844,10,8,8,8,16,16,0,0,0,0,0,0 -3269,55864,8,8,8,8,16,16,0,0,0,0,0,0 -3270,55883,7,0,0,0,8,8,0,0,0,0,0,0 -3272,55903,6,0,0,0,8,8,0,0,0,0,0,0 -3274,55923,4,0,0,0,8,8,0,0,0,0,0,0 -3275,55943,4,0,0,0,8,8,0,0,0,0,0,0 -3276,55963,2,0,0,0,8,8,0,0,0,0,0,0 -3277,55983,1,0,0,0,8,8,0,0,0,0,0,0 -3278,56003,0,0,0,0,8,8,0,0,0,0,0,0 -3279,56023,30,24,24,24,0,0,0,0,0,0,0,0 -3281,56043,29,24,24,24,0,0,0,0,0,0,0,0 -3282,56063,28,24,24,24,0,0,0,0,0,0,0,0 -3283,56083,26,24,24,24,0,0,0,0,0,0,0,0 -3284,56103,25,24,24,24,0,0,0,0,0,0,0,0 -3285,56123,24,24,24,24,0,0,0,0,0,0,0,0 -3286,56143,22,16,16,16,24,24,0,0,0,0,0,0 -3288,56163,21,16,16,16,24,24,0,0,0,0,0,0 -3290,56183,20,16,16,16,24,24,0,0,0,0,0,0 -3291,56203,18,16,16,16,24,24,0,0,0,0,0,0 -3292,56223,17,16,16,16,24,24,0,0,0,0,0,0 -3293,56243,16,16,16,16,24,24,0,0,0,0,0,0 -3294,56263,15,8,8,8,16,16,0,0,0,0,0,0 -3296,56283,14,8,8,8,16,16,0,0,0,0,0,0 -3298,56303,12,8,8,8,16,16,0,0,0,0,0,0 -3299,56323,10,8,8,8,16,16,0,0,0,0,0,0 -3300,56343,10,8,8,8,16,16,0,0,0,0,0,0 -3301,56363,8,8,8,8,16,16,0,0,0,0,0,0 -3302,56382,6,0,0,0,8,8,0,0,0,0,0,0 -3304,56402,6,0,0,0,8,8,0,0,0,0,0,0 -3306,56422,4,0,0,0,8,8,0,0,0,0,0,0 -3307,56442,3,0,0,0,8,8,0,0,0,0,0,0 -3308,56462,2,0,0,0,8,8,0,0,0,0,0,0 -3309,56482,0,0,0,0,8,8,0,0,0,0,0,0 -3310,56502,31,24,24,24,0,0,0,0,0,0,0,0 -3312,56522,30,24,24,24,0,0,0,0,0,0,0,0 -3314,56542,28,24,24,24,0,0,0,0,0,0,0,0 -3315,56562,27,24,24,24,0,0,0,0,0,0,0,0 -3316,56582,26,24,24,24,0,0,0,0,0,0,0,0 -3317,56602,24,24,24,24,0,0,0,0,0,0,0,0 -3318,56622,23,16,16,16,24,24,0,0,0,0,0,0 -3320,56642,22,16,16,16,24,24,0,0,0,0,0,0 -3322,56662,21,16,16,16,24,24,0,0,0,0,0,0 -3323,56682,20,16,16,16,24,24,0,0,0,0,0,0 -3324,56702,18,16,16,16,24,24,0,0,0,0,0,0 -3325,56722,17,16,16,16,24,24,0,0,0,0,0,0 -3326,56742,16,16,16,16,24,24,0,0,0,0,0,0 -3327,56762,14,8,8,8,16,16,0,0,0,0,0,0 -3329,56782,13,8,8,8,16,16,0,0,0,0,0,0 -3330,56802,12,8,8,8,16,16,0,0,0,0,0,0 -3331,56822,10,8,8,8,16,16,0,0,0,0,0,0 -3332,56842,8,8,8,8,16,16,0,0,0,0,0,0 -3333,56861,7,0,0,0,8,8,0,0,0,0,0,0 -3335,56881,6,0,0,0,8,8,0,0,0,0,0,0 -3337,56901,4,0,0,0,8,8,0,0,0,0,0,0 -3338,56921,3,0,0,0,8,8,0,0,0,0,0,0 -3339,56941,2,0,0,0,8,8,0,0,0,0,0,0 -3340,56961,0,0,0,0,8,8,0,0,0,0,0,0 -3341,56981,31,24,24,24,0,0,0,0,0,0,0,0 -3343,57001,30,24,24,24,0,0,0,0,0,0,0,0 -3345,57021,28,24,24,24,0,0,0,0,0,0,0,0 -3346,57041,27,24,24,24,0,0,0,0,0,0,0,0 -3347,57061,26,24,24,24,0,0,0,0,0,0,0,0 -3348,57081,24,24,24,24,0,0,0,0,0,0,0,0 -3349,57101,23,16,16,16,24,24,0,0,0,0,0,0 -3351,57121,22,16,16,16,24,24,0,0,0,0,0,0 -3353,57141,20,16,16,16,24,24,0,0,0,0,0,0 -3354,57161,19,16,16,16,24,24,0,0,0,0,0,0 -3355,57181,18,16,16,16,24,24,0,0,0,0,0,0 -3356,57201,16,16,16,16,24,24,0,0,0,0,0,0 -3357,57221,15,8,8,8,16,16,0,0,0,0,0,0 -3359,57241,14,8,8,8,16,16,0,0,0,0,0,0 -3361,57261,12,8,8,8,16,16,0,0,0,0,0,0 -3362,57281,11,8,8,8,16,16,0,0,0,0,0,0 -3363,57301,10,8,8,8,16,16,0,0,0,0,0,0 -3364,57321,8,8,8,8,16,16,0,0,0,0,0,0 -3365,57340,6,0,0,0,8,8,0,0,0,0,0,0 -3367,57360,5,0,0,0,8,8,0,0,0,0,0,0 -3369,57380,4,0,0,0,8,8,0,0,0,0,0,0 -3370,57400,2,0,0,0,8,8,0,0,0,0,0,0 -3371,57420,1,0,0,0,8,8,0,0,0,0,0,0 -3372,57440,0,0,0,0,8,8,0,0,0,0,0,0 -3373,57460,30,24,24,24,0,0,0,0,0,0,0,0 -3375,57480,29,24,24,24,0,0,0,0,0,0,0,0 -3377,57500,28,24,24,24,0,0,0,0,0,0,0,0 -3378,57520,26,24,24,24,0,0,0,0,0,0,0,0 -3379,57540,25,24,24,24,0,0,0,0,0,0,0,0 -3380,57560,24,24,24,24,0,0,0,0,0,0,0,0 -3381,57580,22,16,16,16,24,24,0,0,0,0,0,0 -3383,57600,22,16,16,16,24,24,0,0,0,0,0,0 -3385,57620,20,16,16,16,24,24,0,0,0,0,0,0 -3386,57640,19,16,16,16,24,24,0,0,0,0,0,0 -3387,57660,18,16,16,16,24,24,0,0,0,0,0,0 -3388,57680,16,16,16,16,24,24,0,0,0,0,0,0 -3389,57700,15,8,8,8,16,16,0,0,0,0,0,0 -3391,57720,14,8,8,8,16,16,0,0,0,0,0,0 -3393,57740,12,8,8,8,16,16,0,0,0,0,0,0 -3394,57760,11,8,8,8,16,16,0,0,0,0,0,0 -3395,57780,10,8,8,8,16,16,0,0,0,0,0,0 -3396,57800,8,8,8,8,16,16,0,0,0,0,0,0 -3397,57819,7,0,0,0,8,8,0,0,0,0,0,0 -3399,57839,6,0,0,0,8,8,0,0,0,0,0,0 -3401,57859,4,0,0,0,8,8,0,0,0,0,0,0 -3402,57879,3,0,0,0,8,8,0,0,0,0,0,0 -3403,57899,2,0,0,0,8,8,0,0,0,0,0,0 -3404,57919,0,0,0,0,8,8,0,0,0,0,0,0 -3405,57939,31,24,24,24,0,0,0,0,0,0,0,0 -3407,57959,30,24,24,24,0,0,0,0,0,0,0,0 -3409,57979,28,24,24,24,0,0,0,0,0,0,0,0 -3410,57999,27,24,24,24,0,0,0,0,0,0,0,0 -3411,58019,26,24,24,24,0,0,0,0,0,0,0,0 -3412,58039,24,24,24,24,0,0,0,0,0,0,0,0 -3413,58059,23,16,16,16,24,24,0,0,0,0,0,0 -3415,58079,22,16,16,16,24,24,0,0,0,0,0,0 -3417,58099,20,16,16,16,24,24,0,0,0,0,0,0 -3418,58119,19,16,16,16,24,24,0,0,0,0,0,0 -3419,58139,18,16,16,16,24,24,0,0,0,0,0,0 -3420,58159,16,16,16,16,24,24,0,0,0,0,0,0 -3421,58179,14,8,8,8,16,16,0,0,0,0,0,0 -3423,58199,13,8,8,8,16,16,0,0,0,0,0,0 -3425,58219,12,8,8,8,16,16,0,0,0,0,0,0 -3426,58239,10,8,8,8,16,16,0,0,0,0,0,0 -3427,58259,9,8,8,8,16,16,0,0,0,0,0,0 -3428,58279,8,8,8,8,16,16,0,0,0,0,0,0 -3429,58298,6,0,0,0,8,8,0,0,0,0,0,0 -3431,58318,5,0,0,0,8,8,0,0,0,0,0,0 -3433,58338,4,0,0,0,8,8,0,0,0,0,0,0 -3434,58358,2,0,0,0,8,8,0,0,0,0,0,0 -3435,58378,1,0,0,0,8,8,0,0,0,0,0,0 -3436,58398,0,0,0,0,8,8,0,0,0,0,0,0 -3437,58418,30,24,24,24,0,0,0,0,0,0,0,0 -3439,58438,29,24,24,24,0,0,0,0,0,0,0,0 -3441,58458,28,24,24,24,0,0,0,0,0,0,0,0 -3442,58478,27,24,24,24,0,0,0,0,0,0,0,0 -3443,58498,25,24,24,24,0,0,0,0,0,0,0,0 -3444,58518,24,24,24,24,0,0,0,0,0,0,0,0 -3445,58538,23,16,16,16,24,24,0,0,0,0,0,0 -3447,58558,22,16,16,16,24,24,0,0,0,0,0,0 -3449,58578,20,16,16,16,24,24,0,0,0,0,0,0 -3450,58598,19,16,16,16,24,24,0,0,0,0,0,0 -3451,58618,18,16,16,16,24,24,0,0,0,0,0,0 -3452,58638,16,16,16,16,24,24,0,0,0,0,0,0 -3453,58658,15,8,8,8,16,16,0,0,0,0,0,0 -3455,58678,14,8,8,8,16,16,0,0,0,0,0,0 -3457,58698,12,8,8,8,16,16,0,0,0,0,0,0 -3458,58718,10,8,8,8,16,16,0,0,0,0,0,0 -3459,58738,9,8,8,8,16,16,0,0,0,0,0,0 -3460,58758,8,8,8,8,16,16,0,0,0,0,0,0 -3461,58777,6,0,0,0,8,8,0,0,0,0,0,0 -3463,58797,5,0,0,0,8,8,0,0,0,0,0,0 -3465,58817,4,0,0,0,8,8,0,0,0,0,0,0 -3466,58837,2,0,0,0,8,8,0,0,0,0,0,0 -3467,58857,1,0,0,0,8,8,0,0,0,0,0,0 -3468,58877,0,0,0,0,8,8,0,0,0,0,0,0 -3469,58897,30,24,24,24,0,0,0,0,0,0,0,0 -3471,58917,29,24,24,24,0,0,0,0,0,0,0,0 -3473,58937,28,24,24,24,0,0,0,0,0,0,0,0 -3474,58957,26,24,24,24,0,0,0,0,0,0,0,0 -3475,58977,25,24,24,24,0,0,0,0,0,0,0,0 -3476,58997,24,24,24,24,0,0,0,0,0,0,0,0 -3477,59017,22,16,16,16,24,24,0,0,0,0,0,0 -3479,59037,21,16,16,16,24,24,0,0,0,0,0,0 -3481,59057,20,16,16,16,24,24,0,0,0,0,0,0 -3482,59077,18,16,16,16,24,24,0,0,0,0,0,0 -3483,59097,17,16,16,16,24,24,0,0,0,0,0,0 -3484,59117,16,16,16,16,24,24,0,0,0,0,0,0 -3485,59137,14,8,8,8,16,16,0,0,0,0,0,0 -3487,59157,13,8,8,8,16,16,0,0,0,0,0,0 -3488,59177,12,8,8,8,16,16,0,0,0,0,0,0 -3489,59197,10,8,8,8,16,16,0,0,0,0,0,0 -3490,59217,9,8,8,8,16,16,0,0,0,0,0,0 -3491,59237,8,8,8,8,16,16,0,0,0,0,0,0 -3492,59256,6,0,0,0,8,8,0,0,0,0,0,0 -3494,59276,4,0,0,0,8,8,0,0,0,0,0,0 -3495,59296,3,0,0,0,8,8,0,0,0,0,0,0 -3496,59316,2,0,0,0,8,8,0,0,0,0,0,0 -3497,59336,0,0,0,0,8,8,0,0,0,0,0,0 -3498,59356,31,24,24,24,0,0,0,0,0,0,0,0 -3500,59376,30,24,24,24,0,0,0,0,0,0,0,0 -3502,59396,28,24,24,24,0,0,0,0,0,0,0,0 -3503,59416,27,24,24,24,0,0,0,0,0,0,0,0 -3504,59436,26,24,24,24,0,0,0,0,0,0,0,0 -3505,59456,24,24,24,24,0,0,0,0,0,0,0,0 -3506,59476,23,16,16,16,24,24,0,0,0,0,0,0 -3508,59496,22,16,16,16,24,24,0,0,0,0,0,0 -3510,59516,20,16,16,16,24,24,0,0,0,0,0,0 -3511,59536,19,16,16,16,24,24,0,0,0,0,0,0 -3512,59556,18,16,16,16,24,24,0,0,0,0,0,0 -3513,59576,16,16,16,16,24,24,0,0,0,0,0,0 -3514,59596,15,8,8,8,16,16,0,0,0,0,0,0 -3516,59616,14,8,8,8,16,16,0,0,0,0,0,0 -3518,59636,12,8,8,8,16,16,0,0,0,0,0,0 -3519,59656,11,8,8,8,16,16,0,0,0,0,0,0 -3520,59676,10,8,8,8,16,16,0,0,0,0,0,0 -3521,59696,8,8,8,8,16,16,0,0,0,0,0,0 -3522,59715,7,0,0,0,8,8,0,0,0,0,0,0 -3524,59735,6,0,0,0,8,8,0,0,0,0,0,0 -3526,59755,4,0,0,0,8,8,0,0,0,0,0,0 -3527,59775,2,0,0,0,8,8,0,0,0,0,0,0 -3528,59795,2,0,0,0,8,8,0,0,0,0,0,0 -3529,59815,0,0,0,0,8,8,0,0,0,0,0,0 -3530,59835,30,24,24,24,0,0,0,0,0,0,0,0 -3532,59855,30,24,24,24,0,0,0,0,0,0,0,0 -3534,59875,28,24,24,24,0,0,0,0,0,0,0,0 -3535,59895,27,24,24,24,0,0,0,0,0,0,0,0 -3536,59915,26,24,24,24,0,0,0,0,0,0,0,0 -3537,59935,24,24,24,24,0,0,0,0,0,0,0,0 -3538,59955,23,16,16,16,24,24,0,0,0,0,0,0 -3540,59975,22,16,16,16,24,24,0,0,0,0,0,0 -3542,59995,20,16,16,16,24,24,0,0,0,0,0,0 -3543,60015,19,16,16,16,24,24,0,0,0,0,0,0 -3544,60035,18,16,16,16,24,24,0,0,0,0,0,0 -3545,60055,16,16,16,16,24,24,0,0,0,0,0,0 -3546,60075,15,8,8,8,16,16,0,0,0,0,0,0 -3548,60095,14,8,8,8,16,16,0,0,0,0,0,0 -3550,60115,12,8,8,8,16,16,0,0,0,0,0,0 -3551,60135,11,8,8,8,16,16,0,0,0,0,0,0 -3552,60155,10,8,8,8,16,16,0,0,0,0,0,0 -3553,60175,8,8,8,8,16,16,0,0,0,0,0,0 -3554,60194,7,0,0,0,8,8,0,0,0,0,0,0 -3556,60214,6,0,0,0,8,8,0,0,0,0,0,0 -3558,60234,4,0,0,0,8,8,0,0,0,0,0,0 -3559,60254,3,0,0,0,8,8,0,0,0,0,0,0 -3560,60274,2,0,0,0,8,8,0,0,0,0,0,0 -3561,60294,0,0,0,0,8,8,0,0,0,0,0,0 -3562,60314,31,24,24,24,0,0,0,0,0,0,0,0 -3564,60334,30,24,24,24,0,0,0,0,0,0,0,0 -3566,60354,28,24,24,24,0,0,0,0,0,0,0,0 -3567,60374,27,24,24,24,0,0,0,0,0,0,0,0 -3568,60394,26,24,24,24,0,0,0,0,0,0,0,0 -3569,60414,24,24,24,24,0,0,0,0,0,0,0,0 -3570,60434,23,16,16,16,24,24,0,0,0,0,0,0 diff --git a/TLU_v1c/scripts/startTLU.sh b/TLU_v1c/scripts/startTLU.sh deleted file mode 100644 index 311d785..0000000 --- a/TLU_v1c/scripts/startTLU.sh +++ /dev/null @@ -1,25 +0,0 @@ -#!/bin/bash - -echo "==========================" -CURRENT_DIR=${0%/*} -echo "CURRENT DIRECTORY: " $CURRENT_DIR - -echo "============" -echo "SETTING PATHS" -#export PYTHONPATH=$CURRENT_DIR/../../../../Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -#export PYTHONPATH=~/Python_Scripts/PyChips_1_5_0_pre2A/src:$PYTHONPATH -export PYTHONPATH=../../packages:$PYTHONPATH -echo "PYTHON PATH= " $PYTHONPATH -export LD_LIBRARY_PATH=/opt/cactus/lib:$LD_LIBRARY_PATH -echo "LD_LIBRARY_PATH= " $LD_LIBRARY_PATH -export PATH=/usr/bin/:/opt/cactus/bin:$PATH -echo "PATH= " $PATH - -cd $CURRENT_DIR - -echo "============" -echo "STARTING PYTHON SCRIPT FOR TLU" -#python $CURRENT_DIR/startTLU_v8.py $@ - -python startTLU_v8.py $@ -#python testTLU_script.py diff --git a/TLU_v1c/scripts/startTLU_v6.py b/TLU_v1c/scripts/startTLU_v6.py deleted file mode 100644 index b7948f2..0000000 --- a/TLU_v1c/scripts/startTLU_v6.py +++ /dev/null @@ -1,232 +0,0 @@ -# -# Script to setup AIDA TLU for TPix3 telescope <--> TORCH synchronization -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import uhal - -import sys - -import time - -from datetime import datetime - -from optparse import OptionParser - -# For single character non-blocking input: -import select -import tty -import termios - -from initTLU import * - -def isData(): - return select.select([sys.stdin], [], [], 0) == ([sys.stdin], [], []) - -now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -default_filename = 'tluData_' + now + '.root' -parser = OptionParser() - -parser.add_option('-r','--rootFname',dest='rootFname', - default=default_filename,help='Path of output file') -parser.add_option('-o','--writeTimestamps',dest='writeTimestamps', - default="True",help='Set True to write timestamps to ROOT file') -parser.add_option('-p','--printTimestamps',dest='printTimestamps', - default="True",help='Set True to print timestamps to screen (nothing printed unless also output to file) ') -parser.add_option('-s','--listenForTelescopeShutter',dest='listenForTelescopeShutter', - default=False,help='Set True to veto triggers when shutter goes high') -parser.add_option('-d','--pulseDelay',dest='pulseDelay', type=int, - default=0x00,help='Delay added to input triggers. Four 5-bit numbers packed into 32-bt word, Units of 6.125ns') -parser.add_option('-w','--pulseStretch',dest='pulseStretch',type=int, - default=0x00,help='Width added to input triggers. Four 5-bit numbers packed into 32-bt word. Units of 6.125ns') -parser.add_option('-t','--triggerPattern',dest='triggerPattern',type=int, - default=0xFFFEFFFE,help='Pattern match to generate trigger. Two 16-bit words packed into 32-bit word.') -parser.add_option('-m','--DUTMask',dest='DUTMask',type=int, - default=0x01,help='Three-bit mask selecting which DUTs are active.') -parser.add_option('-y','--ignoreDUTBusy',dest='ignoreDUTBusy',type=int, - default=0x0F,help='Three-bit mask selecting which DUTs can veto triggers by setting BUSY high. Low = can veto, high = ignore busy.') -parser.add_option('-i','--triggerInterval',dest='triggerInterval',type=int, - default=0,help='Interval between internal trigers ( in units of 6.125ns ). Set to zero to turn off internal triggers') -parser.add_option('-v','--thresholdVoltage',dest='thresholdVoltage',type=float, - default=-0.2,help='Threshold voltage for TLU inputs ( units of volts)') - -(options, args) = parser.parse_args(sys.argv[1:]) - -from ROOT import TFile, TTree -from ROOT import gROOT - -print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" - -# Point to board in uHAL -manager = uhal.ConnectionManager("file://./connection.xml") -hw = manager.getDevice("minitlu") -device_id = hw.id() - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") - -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Open Root file -print "OPENING ROOT FILE:", options.rootFname -f = TFile( options.rootFname, 'RECREATE' ) - -# Create a root "tree" -tree = TTree( 'T', 'TLU Data' ) -highWord =0 -lowWord =0 -evtNumber=0 -timeStamp=0 -evtType=0 -trigsFired=0 -bufPos = 0 - -# Create a branch for each piece of data -tree.Branch( 'tluHighWord' , highWord , "HighWord/l") -tree.Branch( 'tluLowWord' , lowWord , "LowWord/l") -tree.Branch( 'tluTimeStamp' , timeStamp , "TimeStamp/l") -tree.Branch( 'tluBufPos' , bufPos , "Bufpos/s") -tree.Branch( 'tluEvtNumber' , evtNumber , "EvtNumber/i") -tree.Branch( 'tluEvtType' , evtType , "EvtType/b") -tree.Branch( 'tluTrigFired' , trigsFired, "TrigsFired/b") - -# Initialize TLU registers -initTLU( uhalDevice = hw, pychipsBoard = board, listenForTelescopeShutter = options.listenForTelescopeShutter, pulseDelay = options.pulseDelay, pulseStretch = options.pulseStretch, triggerPattern = options.triggerPattern , DUTMask = options.DUTMask, ignoreDUTBusy = options.ignoreDUTBusy , triggerInterval = options.triggerInterval, thresholdVoltage = options.thresholdVoltage ) - -loopWait = 0.1 -oldEvtNumber = 0 - -oldPreVetotriggerCount = board.read("PreVetoTriggersR") -oldPostVetotriggerCount = board.read("PostVetoTriggersR") - -oldThresholdCounter0 =0 -oldThresholdCounter1 =0 -oldThresholdCounter2 =0 -oldThresholdCounter3 =0 - -print "STARTING POLLING LOOP" - -eventFifoFillLevel = 0 -loopRunning = True -runStarted = False - -oldTime = time.time() - -# Save old terminal settings -oldTermSettings = termios.tcgetattr(sys.stdin) -tty.setcbreak(sys.stdin.fileno()) - -while loopRunning: - - if isData(): - c = sys.stdin.read(1) - print "\tGOT INPUT:", c - if c == 't': - loopRunning = False - print "\tTERMINATING LOOP" - elif c == 'c': - runStarted = True - print "\tSTARTING RUN" - startTLU( uhalDevice = hw, pychipsBoard = board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - elif c == 'f': - # runStarted = True - print "\tSTOPPING TRIGGERS" - stopTLU( uhalDevice = hw, pychipsBoard = board ) - - - if runStarted: - - eventFifoFillLevel = hw.getNode("eventBuffer.EventFifoFillLevel").read() - - preVetotriggerCount = hw.getNode("triggerLogic.PreVetoTriggersR").read() - postVetotriggerCount = hw.getNode("triggerLogic.PostVetoTriggersR").read() - - timestampHigh = hw.getNode("Event_Formatter.CurrentTimestampHR").read() - timestampLow = hw.getNode("Event_Formatter.CurrentTimestampLR").read() - - thresholdCounter0 = hw.getNode("triggerInputs.ThrCount0R").read() - thresholdCounter1 = hw.getNode("triggerInputs.ThrCount1R").read() - thresholdCounter2 = hw.getNode("triggerInputs.ThrCount2R").read() - thresholdCounter3 = hw.getNode("triggerInputs.ThrCount3R").read() - - hw.dispatch() - - newTime = time.time() - timeDelta = newTime - oldTime - oldTime = newTime - #print "time delta = " , timeDelta - preVetoFreq = (preVetotriggerCount-oldPreVetotriggerCount)/timeDelta - postVetoFreq = (postVetotriggerCount-oldPostVetotriggerCount)/timeDelta - oldPreVetotriggerCount = preVetotriggerCount - oldPostVetotriggerCount = postVetotriggerCount - - deltaCounts0 = thresholdCounter0 - oldThresholdCounter0 - oldThresholdCounter0 = thresholdCounter0 - deltaCounts1 = thresholdCounter1 - oldThresholdCounter1 - oldThresholdCounter1 = thresholdCounter1 - deltaCounts2 = thresholdCounter2 - oldThresholdCounter2 - oldThresholdCounter2 = thresholdCounter2 - deltaCounts3 = thresholdCounter3 - oldThresholdCounter3 - oldThresholdCounter3 = thresholdCounter3 - - print "pre , post veto triggers , pre , post frequency = " , preVetotriggerCount , postVetotriggerCount , preVetoFreq , postVetoFreq - - print "CURRENT TIMESTAMP HIGH, LOW (hex) = " , hex(timestampHigh) , hex(timestampLow) - - print "Input counts 0,1,2,3 = " , thresholdCounter0 , thresholdCounter1 , thresholdCounter2 , thresholdCounter3 - print "Input freq (Hz) 0,1,2,3 = " , deltaCounts0/timeDelta , deltaCounts1/timeDelta , deltaCounts2/timeDelta , deltaCounts3/timeDelta - - nEvents = int(eventFifoFillLevel)//4 # only read out whole events ( 4 x 32-bit words ) - wordsToRead = nEvents*4 - - print "FIFO FILL LEVEL= " , eventFifoFillLevel - - print "# EVENTS IN FIFO = ",nEvents - print "WORDS TO READ FROM FIFO = ",wordsToRead - - # get timestamp data and fifo fill in same outgoing packet. - timestampData = hw.getNode("eventBuffer.EventFifoData").readBlock(wordsToRead) - - hw.dispatch() - - # print timestampData - for bufPos in range (0, nEvents ): - lowWord = timestampData[bufPos*4 + 1] + 0x100000000* timestampData[ (bufPos*4) + 0] # timestamp - - highWord = timestampData[bufPos*4 + 3] + 0x100000000* timestampData[ (bufPos*4) + 2] # evt number - evtNumber = timestampData[bufPos*4 + 3] - - if evtNumber != ( oldEvtNumber + 1 ): - print "***WARNING *** Non sqeuential event numbers *** , evt,oldEvt = ", evtNumber , oldEvtNumber - - oldEvtNumber = evtNumber - - timeStamp = lowWord & 0xFFFFFFFFFFFF - - evtType = timestampData[ (bufPos*4) + 0] >> 28 - - trigsFired = (timestampData[ (bufPos*4) + 0] >> 16) & 0xFFF - - if (options.printTimestamps == "True" ): - print "bufferPos, highWord , lowWord , event-number , timestamp , evtType = %x %016x %016x %08x %012x %01x %03x" % ( bufPos , highWord , lowWord, evtNumber , timeStamp , evtType , trigsFired) - - # Fill root branch - see example in http://wlav.web.cern.ch/wlav/pyroot/tpytree.html : write raw data and decoded data for now. - tree.Fill() - - time.sleep( loopWait) - -# Fixme - at the moment infinite loop. -preVetotriggerCount = board.read("PreVetoTriggersR") -postVetotriggerCount = board.read("PostVetoTriggersR") -print "EXIT POLLING LOOP" -print "\nTRIGGER COUNT AT THE END OF RUN [pre, post]:" , preVetotriggerCount , postVetotriggerCount - -termios.tcsetattr(sys.stdin, termios.TCSADRAIN, oldTermSettings) -f.Write() -f.Close() diff --git a/TLU_v1c/scripts/startTLU_v8.py b/TLU_v1c/scripts/startTLU_v8.py deleted file mode 100644 index 470d173..0000000 --- a/TLU_v1c/scripts/startTLU_v8.py +++ /dev/null @@ -1,72 +0,0 @@ -# -*- coding: utf-8 -*- -# miniTLU test script - -#from PyChipsUser import * -#from FmcTluI2c import * -import uhal -import sys -import time -# from ROOT import TFile, TTree -# from ROOT import gROOT -from datetime import datetime - -from TLU import TLU -# Use to have interactive shell -import cmd - -class MyPrompt(cmd.Cmd): - - - def do_startRun(self, args): - """Starts the TLU run""" - print "COMMAND RECEIVED: STARTING TLU RUN" - startTLU( uhalDevice = self.hw, pychipsBoard = self.board, writeTimestamps = ( options.writeTimestamps == "True" ) ) - #print self.hw - - def do_stopRun(self, args): - """Stops the TLU run""" - print "COMMAND RECEIVED: STOP TLU RUN" - #stopTLU( uhalDevice = hw, pychipsBoard = board ) - - def do_quit(self, args): - """Quits the program.""" - print "COMMAND RECEIVED: QUITTING SCRIPT." - #raise SystemExit - return True - -# # Override methods in Cmd object ## -# def preloop(self): -# """Initialization before prompting user for commands. -# Despite the claims in the Cmd documentaion, Cmd.preloop() is not a stub. -# """ -# cmd.Cmd.preloop(self) # # sets up command completion -# self._hist = [] # # No history yet -# self._locals = {} # # Initialize execution namespace for user -# self._globals = {} -# print "\nINITIALIZING" -# now = datetime.now().strftime('%Y-%m-%dT%H_%M_%S') -# default_filename = './rootfiles/tluData_' + now + '.root' -# print "SETTING UP AIDA TLU TO SUPPLY CLOCK AND TRIGGER TO TORCH READOUT\n" -# self.manager = uhal.ConnectionManager("file://./connection.xml") -# self.hw = self.manager.getDevice("minitlu") -# self.device_id = self.hw.id() -# -# # Point to TLU in Pychips -# self.bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# -# # Assume DIP-switch controlled address. Switches at 2 -# self.board = ChipsBusUdp(self.bAddrTab,"192.168.200.32",50001) - - -################################################# -if __name__ == "__main__": - TLU= TLU("tlu", "file://./TLUconnection.xml") - TLU.initialize() - - logdata= True - TLU.start(logdata) - time.sleep(0.2) - TLU.stop() - # prompt = MyPrompt() - # prompt.prompt = '>> ' - # prompt.cmdloop("Welcome to miniTLU test console.\nType HELP for a list of commands.") diff --git a/TLU_v1c/scripts/test.py b/TLU_v1c/scripts/test.py deleted file mode 100644 index ac68201..0000000 --- a/TLU_v1c/scripts/test.py +++ /dev/null @@ -1,34 +0,0 @@ -import matplotlib.pyplot as plt -import numpy as np -import matplotlib.mlab as mlab - -print "TEST.py" -myFile= "./500ns_23ns.txt" - -with open(myFile) as f: - nsDeltas = map(float, f) - -P= 1000000000 #display in ns -nsDeltas = [x * P for x in nsDeltas] -centerRange= 25 -windowsns= 5 -minRange= centerRange-windowsns -maxRange= centerRange+windowsns -plt.hist(nsDeltas, 60, range=[minRange, maxRange], facecolor='blue', align='mid', alpha= 0.75) -#plt.hist(nsDeltas, 100, normed=True, facecolor='blue', align='mid', alpha=0.75) -#plt.xlim((min(nsDeltas), max(nsDeltas))) -plt.xlabel('Time (ns)') -plt.ylabel('Entries') -plt.title('Histogram DeltaTime') -plt.grid(True) - -#Superimpose Gauss -mean = np.mean(nsDeltas) -variance = np.var(nsDeltas) -sigma = np.sqrt(variance) -x = np.linspace(min(nsDeltas), max(nsDeltas), 100) -plt.plot(x, mlab.normpdf(x, mean, sigma)) -print (mean, sigma) - -#Display plot -plt.show() diff --git a/TLU_v1c/scripts/testTLU_script.py b/TLU_v1c/scripts/testTLU_script.py deleted file mode 100644 index 9d8b334..0000000 --- a/TLU_v1c/scripts/testTLU_script.py +++ /dev/null @@ -1,79 +0,0 @@ -# miniTLU test script - -from FmcTluI2c import * -import uhal -import sys -import time -from I2CuHal import I2CCore -from miniTLU import MiniTLU -from datetime import datetime - -if __name__ == "__main__": - print "\tTEST TLU SCRIPT" - miniTLU= MiniTLU("minitlu", "file://./connection.xml") - #(self, target, wclk, i2cclk, name="i2c", delay=None) - TLU_I2C= I2CCore(miniTLU.hw, 10, 5, "i2c_master", None) - TLU_I2C.state() - - - #READ CONTENT OF EEPROM ON 24AA02E48 (0xFA - 0XFF) - mystop= 1 - time.sleep(0.1) - myaddr= [0xfa] - TLU_I2C.write( 0x50, myaddr, mystop) - res=TLU_I2C.read( 0x50, 6) - print "Checkin EEPROM:" - result="\t" - for iaddr in res: - result+="%02x "%(iaddr) - print result - - #SCAN I2C ADDRESSES - #WRITE PROM - #WRITE DAC - - - #Convert required threshold voltage to DAC code - #def convert_voltage_to_dac(self, desiredVoltage, Vref=1.300): - print("Writing DAC setting:") - Vref= 1.300 - desiredVoltage= 3.3 - channel= 0 - i2cSlaveAddrDac = 0x1F - vrefOn= 0 - Vdaq = ( desiredVoltage + Vref ) / 2 - dacCode = 0xFFFF * Vdaq / Vref - dacCode= 0x391d - print "\tVreq:", desiredVoltage - print "\tDAC code:" , dacCode - print "\tCH:", channel - print "\tIntRef:", vrefOn - - #Set DAC value - #def set_dac(self,channel,value , vrefOn = 0 , i2cSlaveAddrDac = 0x1F): - if channel<0 or channel>7: - print "set_dac ERROR: channel",channel,"not in range 0-7 (bit mask)" - ##return -1 - if dacCode<0 or dacCode>0xFFFF: - print "set_dac ERROR: value",dacCode ,"not in range 0-0xFFFF" - ##return -1 - # AD5665R chip with A0,A1 tied to ground - #i2cSlaveAddrDac = 0x1F # seven bit address, binary 00011111 - - # print "I2C address of DAC = " , hex(i2cSlaveAddrDac) - # dac = RawI2cAccess(self.i2cBusProps, i2cSlaveAddrDac) - # # if we want to enable internal voltage reference: - - if vrefOn: - # enter vref-on mode: - print "\tTurning internal reference ON" - #dac.write([0x38,0x00,0x01]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x01], 0) - else: - print "\tTurning internal reference OFF" - #dac.write([0x38,0x00,0x00]) - TLU_I2C.write( i2cSlaveAddrDac, [0x38,0x00,0x00], 0) - # Now set the actual value - sequence=[( 0x18 + ( channel &0x7 ) ) , int(dacCode/256)&0xff , int(dacCode)&0xff] - print "\tWriting byte sequence:", sequence - TLU_I2C.write( i2cSlaveAddrDac, sequence, 0) diff --git a/TLU_v1c/scripts/test_T0.py b/TLU_v1c/scripts/test_T0.py deleted file mode 100644 index cf81b33..0000000 --- a/TLU_v1c/scripts/test_T0.py +++ /dev/null @@ -1,92 +0,0 @@ -# -# Script to exercise AIDA mini-TLU -# -# David Cussans, December 2012 -# -# Nasty hack - use both PyChips and uHAL ( for block read ... ) - -from PyChipsUser import * -from FmcTluI2c import * - -import sys -import time - - -# Point to TLU in Pychips -bAddrTab = AddressTable("./aida_mini_tlu_addr_map.txt") -# Assume DIP-switch controlled address. Switches at 2 -board = ChipsBusUdp(bAddrTab,"192.168.200.32",50001) - -# Check the bus for I2C devices -boardi2c = FmcTluI2c(board) - -firmwareID=board.read("FirmwareId") - -print "Firmware (from PyChips) = " , hex(firmwareID) - -print "Scanning I2C bus:" -scanResults = boardi2c.i2c_scan() -print scanResults - -boardId = boardi2c.get_serial_number() -print "FMC-TLU serial number = " , boardId - -resetClocks = 0 - - - -clockStatus = board.read("LogicClocksCSR") -print "Clock status = " , hex(clockStatus) - -if resetClocks: - print "Resetting clocks" - board.write("LogicRst", 1 ) - - clockStatus = board.read("LogicClocksCSR") - print "Clock status after reset = " , hex(clockStatus) - - -board.write("InternalTriggerIntervalW",0) - -print "Enabling DUT 0 and 1" -board.write("DUTMaskW",3) -DUTMask = board.read("DUTMaskR") -print "DUTMaskR = " , DUTMask - -print "Ignore veto on DUT 0 and 1" -board.write("IgnoreDUTBusyW",3) -IgnoreDUTBusy = board.read("IgnoreDUTBusyR") -print "IgnoreDUTBusyR = " , IgnoreDUTBusy - -print "Turning off software trigger veto" -board.write("TriggerVetoW",0) - -print "Reseting FIFO" -board.write("EventFifoCSR",0x2) -eventFifoFillLevel = board.read("EventFifoFillLevel") -print "FIFO fill level after resetting FIFO = " , eventFifoFillLevel - -print "Enabling data recording" -board.write("Enable_Record_Data",1) - -#print "Enabling handshake: No-handshake" -#board.write("HandshakeTypeW",1) - -#TriggerInterval = 400000 -TriggerInterval = 0 -print "Setting internal trigger interval to " , TriggerInterval -board.write("InternalTriggerIntervalW",TriggerInterval) #0->Internal pulse generator disabled. Any other value will generate pulses with a frequency of n*6.25ns -trigInterval = board.read("InternalTriggerIntervalR") -print "Trigger interval read back as ", trigInterval - -print "Setting TPix_maskexternal to ignore external shutter and T0" -board.write("TPix_maskexternal",0x0003) - -numLoops = 500000 -oldEvtNumber = 0 - -for iLoop in range(0,numLoops): - - board.write("TPix_T0", 0x0001) - -# time.sleep( 1.0) diff --git a/TLU_v1e/.svn/entries b/TLU_v1e/.svn/entries deleted file mode 100644 index b806c37..0000000 --- a/TLU_v1e/.svn/entries +++ /dev/null @@ -1,28 +0,0 @@ -10 - -dir -0 -https://app.deveo.com/universityofbristol/projects/fmc_tlu/repositories/subversion/AIDA/TLU_v1e -https://app.deveo.com/universityofbristol/projects/fmc_tlu/repositories/subversion/AIDA -add - - - - - - - - - - - - - - - - - - - -a80b426a-c11e-11e6-a987-c3d832fc0b90 - diff --git a/TLU_v1e/__init__.py b/TLU_v1e/__init__.py deleted file mode 100644 index e69de29..0000000 diff --git a/TLU_v1e/documents/FMC_TLU_TOPLEVEL_E.SCH.1.pdf b/TLU_v1e/documents/FMC_TLU_TOPLEVEL_E.SCH.1.pdf deleted file mode 100644 index e1c970af85b9ad060a0119273d28ddc4febc18e7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1476373 zcmYg$1yqzz)VGL;fPg_sibzR=bSw>mlF|**CEdG7OM`SRDczk50@5W*m(sN~3(MBW z|9!vjobQ}D_n9;E%zf^ixxYJi?mWyI@`_x1+=7J6L&ph)go3YmU%Og55K2h!e6)74 z^|X5}bl;-IqiFBsY3=@+N72c`(^}rz%Jqx2loX+dr@OU4&k<*qh?yKE z+z~O~w*hpn;_ZuW54;Wo+lZe%(!XWt>{uC@qc(WGPf<5Ih(WR^D_s0THrpD~4AAS_ zVd!;}s+i1xI#t*^mhOq2Plebk1}p+Cj2#1IxMIezm!E)gSTq6>cxeVPaOFTV2>gX$ zFOkC7BL-5e|BuU+lp){CA?y)Ng;QG6Mc`#5YM1n2!Vdkn1OmI9VAud%qFKSHEe7nV z_PbJHX2_bgVZ9`hc84NcwA=VKqf5a-7z8C4h*;9Y z-hwda8`{o0>y#Shrv`%K~TqzjL3`)@G{_K1>CL76?A*4U}Uy|-HZf);O##E zSojeS2mxCF`#HA)5&bzkNXXsJeG5nVt{&v}ZUKTAoB|-KD*&d;*0l|_a7QrV#`x^J ze2FI2hdKa#m#&5-Z{44} z9G}v*a+r4;T80Ee9sKQhDC(FFbibo%KUv}R!?FEQZ@PGAb#1I zQ;!sN0Kq-O3JB#k)-**o(_rDao}(7C~!to;WDA zm1*G=AUb~oBs{%9w{kZ~DA0Er=_EDwz;-5FY`1G(UqZd^+~3o0nbqYqY|IN7mnCV7 zpaEzqi=V9pM3aJB9`7)b zA6}*#)^u}8&_S@SV|Qz@NHnU19mwq+&8>T{_rv^960 zIE=QjEvrKR_B!&yNS}wpk3-wQSv-5%+VA;G6uSLZ{TA_b9^_P z+^|^j+4g4ZEhesc#Ib|3fk~?%sA9vgaHoK^L2VR+*)t2gSy9LAx?*N!$ zm<2bJlP`$5+Izcll|s+C_8{+}vJ4Mm9Dp<7RivQ^k7m_w*W2!$&Q!bAtxsM$5%1re2P9zH z-FiEF(|3n`i>SL2*L6)t`^rrT*>jC{8mTh4?u^w+IfNwQOOH8K+~F082O1|O-PR@cCgOoC_)&?ye zduRZVBDMDC!LqI2hsGmmwphEiJM5A`jcmzY9LU2Xbt&Z4UTKXhK-{c@VJF3gzPoG& zf`&6-N=Y5$Ne<|q4P40Roes6O1g7=QmPBr~*P_E9^Ms;O zjXc21elYfq<5mk%UPE_P^1}EEd4RnJpt=LU&qNig%V5+xH{M`>>7&kJMXf60!YvH} zjeu)Oc9&BPJjKta|h;2>TC&-4($$Y18uf z&kLVIUcLX75?E@dibH;}CLC1SNZ(}CG<7-WR~{2rLiM%hrP>g%iuS9iQ~JZj67Cj7 z9KZPIrB(o45|ND{kFMUvoZUt~0k$uPG&8F7P2<4f61L=1D;>SV^Q#w8I$ATu)$|#) zg25PHgD+C(%o_>Sd4s2qxcq1k+{u(&0qWAJfjl~*)WbKL)9gl5A{R-?3la3J;{miH z8wCIR6`ozDaNbk5UtL4rE=N6%hemPs2J-;~VNw=QUlE$;Rgi1?>}#Hs#-U06I;e4~$>(XgfZZR2 zr5kVJ`@iwZ(n-ZQKHj|2ddm!PY0$b|Y5JzBXtrd0NupPU%JiPzP5U3C;Y@ZgeIZ^3 zPg>ij8egQ?!sT%kb}5_Y;&Z3fO0iU8b%GBs@u$D_Z^j-x#66MQXA^_rHa;!ax;tYm zfp$udB)UH>7cZuLx=p7Q{KgNTIRBpUV3uB4`*tJde#%4Vb)PFFM?h7g-uO+YvgQj; z-7(p%EK+|%5d+HfTji>oV%Fe#b!(3{A|Gqo(7)B6@mz3LOtCT8XZF>?d z<;X&|{RW{02hi)mA-OXf!&@^tb-8{vZ_jz9oaJ9icnxEQ1d49l64~N|SVewZ&F&6T z`khp&!MG~W$x)TCblP}ToT1jFs04ki9LAX7T=ikiJV(0~I?9#l`9=X|iQYHbcX=8J zLlxLMLY%jiQcD@kjJyjn#e7DXB&hkz%EvD$LumtFzqeWenksKtx+&vy>9h`?dR zFFswVQ9MjMY7BfU#^btxuqo@dA9}#(YrRUfvxbMJ@FL8g5EMUF3xS$j4n9peYS zBDijSaSZCaMzSZG1E6NrVs#sCEeuDtNE2Wts66n69Ur+p303YJhBM2z!>u!17j!&v zzy~xf8>WA5w$!xik0>u0)02WL(W7}dX3u#PAG#p_S%ZdMJDJwKL4O?!P`g`~6c4(n z2yR^pfM)3@3@RyRVoe(cZOhZ>wo=Rgmh7Pij~zzU0M@8mhSJhXdx%HD((%Q|tkl&| z(Mw%?oBzevxM6nj{oi;G?%7NES7F%!u;5bkfNCOmz6jVGlN42}4~o0BcN^gGTRFWl z5jLw&u-qO>Wmxoqq85EVi(joh3DTR&0K^;3Pc41y{KJg5k(t0`=Id3`cA`=$*u57X zmRH+tIkk{vIYs0m8L-s7SMuO57aUbxH*^QSsNTbi%!Bw6TomlNetWSkH)R$$c;`6K zy_eVpYpvfqGI%RDg_ZY(JH#kjO@O zl-WhghJoF|!|;|3{tKot9xU=IT^D6qp0mt9Kllqy)iQGCXDVs(MEfc>wTUO))^~_N!vJfC9s(G1TMgyt z37QM<0a)>24Mc<;Dc5tl6}u(H-3FUY?;g5)4t8UJki+#s(Y_lvr+womCX&7aBnM=5aRpA5T1#ve|E>p@fI^X zd!?63)Q{HZUkOW~HIpA-;{jG|VRuNTNZl0F{zaXGhF=bXO#5P!O#9cL`{ysR&;AB( z`7Va;57ju*YL%PJ`#NMDH_i>_K3P^6L1tCUsUWd-lX!Q+=sx35u ztuv`L{HH%jicfy+2)qlliL`ULP3tacpYC)}G23%2JXP}aS*Ve8SZ7P$_FTwBaVw3$ z2=^VLYRIPDg!(#Jv^-+(9_yNWe=8L)=GdwwKYp;{`bsvHuDPM%qs3BM9jB&-&d*_SCyu#GR|@oQaMJwn^t1dI zC5i6-(TR+_$Pl@?DRDh@O;kn0tTTT#ltK#bB}ubcI}uv`?!YT|pzqbp=ePSe!c{4Y zCgAg|A2>@W#aU%T)Yq@T28m)?*SJ({e_PsO=XyPp$J9Yxc%@359|~wXMiC}s-`#RI z34Ze6Vqc#@`Amc+1kd{PG2`up^7K<|^8>;vS)VKS9oPz`0IEA;(xVoX(Drx;QEd3d z5-{$<6uG9}1s9)$@1e^!dK?Eal&i}OALtVUc5Us(Z_<<=h@txeVTgOB457i=ToDiMI7>-G{tAeMu26h5f!J)d+T++9r z>Nyy5+k`Ml8MGumTI5f5z69FhBZvC2)vw$9B9s0VeC0kwegzk2cF2#$mISV;A<8}} zM)U$i1|Xh~c`p@;DG7sf2(-BVoIX`W?=WsGt1r&Rwha<+uJ-?#aD%e<*fz;FA zbwjb!uCe(WRO;xfh1r;eeLl|1m0>IWznSDczl%b%A8)KJj9Z-r#XI~8QgfKpy^Ix{ zH3&*aE(Y0WJoJ}%%&98g?x@fiIPE|Vb%-k?UvM(4z9{nxF;0HjRM-br4F9e5$B@V} zM?&wVJl`#M;*fHQSX9y|gHsHJcNLh!6TwO1?VGn6TuVLnLo%P?70tB~^M31ZIRGZ~ zsCBdv^Zi00=SY~4!Sjzrn`L6j?Sk=!JO~5Od9RBJ;WzHZWklLE0scc-EZx9?Nz3 zgB4vts0jwY+o1UrRSmyRLm1XiK@=}-vuinyUylCp=&%jBP$4wUA~z2NoI5|<;6O&) z9TDE1lNSN2@z6)Wzh3xg!+`xMz~Me(Od50ax2wkvtBM>a7Qyz733P&`QwWu6%|Z0Q zh*OM=`l_)vfPIKKS|nGW%0mHtoKNqgH()RQ6d4zf1ogHyqWXYaFTJBE-jm3R=LJNu zn|-5*e&+Sel{*op%8GhHj^88PNVROjY#&1Z$YmaIpM9Va+VtD@BrOp6zWLG=WjqI_wAoXAj$P9rh~{R}Hq&OSuDgZGpHU@w?ikov{%}N+do! zdyHA~T~LG&W>=Pd?w2cf$cpQ`bUHP*sk7|yZCBCTi5*>iSu}!i1LR>r3-Wlr3e;{t zmYgTOjfMPk2$!4(zd32XKP7DKyoJ@J{-~(b=|n@ zg;?P5K6nFAhIJpdEdE>4i}3Bx#4#&uK2LfozA*cf;qp0*Z58b4>E>znp(b~=q;bXZ zI{oV9V#?9x@bnSe&^hmmuO{e}wJ8}@oZi-?;G+bVQA{EL_Dp!|<)np~L_uKGuH(0` zi+_*8H<{+aOj5eNL%-mTrNI$}r0sF2w)-Hm0r$iWR1PY;VY*I0Ku^s$H(t^i*TOv> zqkn;hNp7Er&{ftvJJ^cxp0lAe5djXy(5ny?|1AcLf_2vhRR@lWFfAddJgh9@3utCe z{g~&>YZQ*F22Y=1xL#@2YQd7bG7U$r{eUSUW-C09&ubIzRtPTwFseI0dOJRW-kA-_ z^=t)E5nMR?P$0;A)~EJtoE1(>&D=%T4@kX1-JU9BEd>(jf`i-cW8kl&&lyr0SUKRD zZr+l1%*9dE1yT-ZA_FwhzywOZQG>i%z}u;$G?$S4s#T_lb_5=7gkYM#p|)xs1ycE4 zUp_q5p&RHV>PlMHLnwgQpCNbw#ep;XaqsnBaV)1Z{#lN@x|_|>2W6=Te&GZM2F9SE z{G;kpz*7cKQ0Fm|658~TffV8O2yIAmF?OO4Nydu#mH;?s!97wpvJ&AJX*q-4`6`*bLfD;yqF4FPQLk-#c9Xe^ePzjm|S(*dv z$J88n-;hp5YA6OK(4Wg3Z8R{<VSZ3$tnF)x@WVT^6A5jU>4V{v7yJ^q)fHo)c{7q9VU+xP#r^11gCT0Z0N2P^G#&(ptEWY-gmV`rDw1;tycK7hXT zQ=sv`3=OQ%i}R-!q~CXZ1}c-Hrt~2jvLzmrY~XmM$A}Okv}{Nq`(Iz+FlFHHJ5?ue z`Nr}Pwrs%jv2=X9o9Vr$3sA+ zWH2fPKnwNypyyMh2rUc3>!Lshu$Xv@SuQqOM9^+-u|8Qm4GbiuQ9t3u#EVbgP)`Gb`d!tpehwIrLXpp2bZM)oAMM$Rln~_=wS%VNfa4YAT1ZX! zwcf@?TVOL-vE0|BO}t7Ns9d0#Gd#u1DfYt7;zRM1ovk0*JnY)Z4@!U?$Aw|$RzlK| zSo+sx5!pPh#DciXvF@L9%g1P%soC>^Hi{%+6-A>I#5Z!FLQXef^l(vA;7cG5lcy8$ z*(cL2Nh#&??X7e>)x0T%k_U4~4`egD(^sZl$npiCPF9XAV!U=@r7kJ?wyYniuYQ(+ zwG6#U=voFUQ_|?hq!4f+6^VhMqtvc(PO%v{nGjs$_baT9=4^Lc1yG~ zch!G3;bl_=nMCzQg6et212wvt z?u|K;GUrAFlz%CV85})kv>Ygn@MZ&B4JZ=v_AvO4v7B``^b6w%J~><%yAKuQexEa3 zGliGtDw(7I-n?da#h)%gg z(!k<_2+0L$gvT|T88&}s!FTwS-NH|#mT?Cr5t(wz9u5be2s{ahzSP5hT>%xU_xVoX z)Ka+}1bWjCEpUoRDFe%8fb67*lCV3XKyCML#-TwLL5%6ISG>q9hA^zPERpAYa`H*b z!u_Zv(j02qd10GcQnU;J^T;Uyj)NGFzf1Zxf=oAKCq!!>lN!=bhQ_`Zo@7w5Als$1 ze#JvDUu(ZD&e%w|2q``YqHK;iJqldWxqmO*G(?^jJXD5JzDv5>3zqgTf z7SP`j&GmI=Jmt>!*)l#s`jSFp;;ZiBLz>}*&ne9R^t*~jiMLfJ`xIsP(gQxE(hR46 z`5~;-U@Nmu?EV(4Kw!Je{E6eOnFrl`c+qb1JhgJq&-K+W`D5gN?$c1oto2&lUlTcs zM-(oY%S(-MKX_}YYY85u_kWsmVk4$iI*RUwSf17{A~PgY+{Guuiqw>8yp(&ky`4OB zDE!TokAmKsy-fNUS9FrhLOGHi`{Oz=!-|2xJ}7Kk_2*d9QHaF6EJZh(PixqntGEF5 z^2v6u&5P+->!=q8Y&#ctDIXHWi&kH4=Q%$b<3C`-%fqpLF&eM$9oF!^=7IH#X`P2E z#YM2={a}6qyYF@cY}Pf8Q$9$~659Qe+EgXU_}+!rq(#BLGALrz2K$=Bv|6_+Z2oyt z&t`JZxl(BFQYdy*RB^}LMn%OXyEQp^MdKae{t{|3rEZM0$#A#i`Xc-!*#4NN{H72m z#p5ujY=;gVhBK?fmRqe{zZKp24_^yOzi?3lwXG184}U-9!axa~Q8iq;goRp%zxRxd zD@%;dW7NRuE*^nRq!yz?3vZt1%3Wp)RBU$+qe7%cAImJPi_US7{TXf8`&*V6Z$lDJ zJz8A!MJoTJm`cyH|ES4hwjc4yr1x~4y4+Jb+_ULvm4UKSx%LC=Kbp(majgHWv~%@@ zOXlaMeuj4AE26{kWEpQHZ<1_VZ9Vt(D)Qx~=%7iW!xdZ6_@TK=d)%RX>|buZqjb-x1;={;ovPD{ys&5v@Xh9zI8wOPW;&q0Ui0&x1K`{(me?} z-AEf@PtveI*N@9>=@H1)V2H8wY1glnM>O5Js>`i!l55L1*>~RluLb-3CcCyT^6?p= z)8wG}u~EB4e7-lAUFATB1mR7p)|qWQ6o+sj|Hpovy%>hrM^Uf>>q{f3`% zkBwF7eCYqe$D>)hR>pv_nlqUvbJhN>pJqYRTdgVRMfc^Ym3MRU2hev*XvF=_tl0{S2tY0Elc~Sni_!A{xWpMI~|$PM=a=|*tk=xr;`{*9`iFMQp|5WY}a0` zzOmMnERlhubmf|XOS6T++l`@$=Cp{n?l$R7RFzkAc_ijQ;w7Xi7Y9X- zl}CCf`7<~agkkA+=tiCY#)!|IXwC>ORsg&%^eSoCwx=1oMGQ-}iA`lzG^!p^M}FWC z*X^@m9_4k>sf05&!4x)H{oh;GhJ@xnmdoU%wn|`bXC;0)3PQgcs%7_}QQ2;o?HUdo zn}k^(Xxr0_UQVA7@OiWb$j0S8xYDD2)SOP$R$=1o^|w#Y3zI(aeHeQEmQayF=c#0* z`~5zB>-*@(x(BL+h%JfN^!9xoKtiUt$g+k;{w?(5MuUbxQt+RfvZL|hqjCCwEevPA zBmqm(f4t@o#`%Ab)dhu`_R@7YKWk}Vu2Pv`>kH&!8mAQdb?Bl|W9Sb4P3o|?#_SS6 z6Y=B4;Ayz-JHHik;x-}S*e+|*=KQK;%IhhP5h`m2yf>{Zs9Qa)u{$q=5usF#Jt?6y zoePs3uG1|iKgzv+q{vTp=%BjovLFZJb)ihrZ8vM6y_cHut-I79n@J2EZbga>{QDg) z%dgdH8x_1F)pGu9-M3FPwE9DE(%Z9)JM3+h2)G^8C5(63^gzEq+sG-&8`NN)_%}BU z(H-7CIAm0?XbEOo<7wI=9+i;g5fSsQi<)_XEDNXS^rq4r-i2$Kik&i9v|vhXl^>M6 zrL`t@8sQgixqLY%H$B=_C-`hlu|n6+5BnlZ7HbpmNX~(q#!=Ce#@>#YNeJ1tnKdgL z({Ka)m%?P>w5VPj7lMf-gfE9H@b%S*kMcI|`PX!N=brN09`_w@sbo|O{w85#F2c)Q zl5Vy8NlC`}!p60dERX8NE-y%XqVLU0_@lUPa7D9#0J7rExJ4SyGH>&UPEoi4Tpqo4 zFQnVxc*~KgjpH80%yTZW@qo7)ehFm7EGZ7H3SlaX(JP-yY>k3GKeNt$bA4$j;+jrM zAohp&(u&_QY!=La%PzK zP*C*bN~YOlK)#=))eqOl*4J14pprnEQ-i6^Q zjmgJ6qAN(aTg7QM`2EGm<#eF+8Fypu^yZiI=}=r#mA1GoQ5)|d88mtL*lNAtv)r@a zA$Hd1hpH{l9k?x=^j&I=1oAVMRHwx#S{ie;$!$6j9+?3d5Q-y;_IScfffk)=3+u0+ zAMNmhVjLAh>#lfjRGmgFc}fTyC#LUJt}2qS9HTGOF|Zhrnt47Sw;41rE_qDkjgKXC zZ+a9H)^0e_^gE4K(W$)Wp*H z7b=whTU-=+U$#$g;iV?^GRkZ7qSIve;2YiJJMOY6?{9&PPxjWm1&A!)UwQxjz1EYQ zLRm!&ZEPc||12;_&COTo(>qV1zdoJ5tI{}+`An@j*4aK?N`Io_p;I=ysxg23O)#g( z!@I6e-Sx?ytO+gC!9=9k8drbw=$}Wb?Xg0OR?Mr}0a$;5gYT@N+zh&*fq0PIc38>o))`N)0{#L zS5P`s;X1kF(a7vT%OjKb*BZL%`Eo8s1jKU*k)!HeX__Z zgi00Rr2vf|=e7&37S5Pywkl^#6n=}T;1}l1hVfF2O%&x%`ek*0Y>9t%djSB32A{*_@9ON^v=6>@Tgfzp-tV{2^EN54-)i#=K^-BMnY# z1o*dw!KTfloLB&@bhKCdiMq!*M+$@%p6($^IAbfpD3!Ws*!BJMgCJ>T&|ckX>a@8Lyv zXbGunI%dFWsy&D1&XwZWXvM>Dv$f&4)SIOgm?+qhnf|n!>qc9rua3NvbD@9vT@FZ~Oxq72D@_ zgfItw7NmDPjc=6Gg%0|tSK5#1nl8>i#%Tv}^Bz!K)9p~aygDHUV}<>0UMBLYVeo7KRI9eiZp!fiqFa6J3xQ8Q0&w5sx2qY6n?;2XU7!OGk=z?ljnkYAw3R*;XjN=y=Cx zWH1`pHBtF0W!>jSUpMq5((l>jld_Wdp799>78~&;ugqq2&FeAbu)|_|txPM(CxJpz z4(ocW$~E4BKQ=t3sZl%uI-kO(D+?TR3oIB0@G#zhLC=7lbR;C&?Nd?c>?JhP( z@XKfC4z-U*{K@rD{lZnoT#+WDuVnW@I@3u??mMl;3GQgfaUJP`u{RYA?vVpE-dwEX z;L#E&TpRY;I4g?L4vJNVKcA%=3C9Xq%)+$xDJV>@2 z+*v|p~FKtV3T^PKJ+6|?PgyclKxl-#2Exy(#MAt}_+g0x=FM4-2aOe-78wB=TvYyM2 zFL%6VX|bgR!)Fs0>G_OU!y1EO-ZU6@in=L2De!u|N%REyKd4j%YE~pZy{hN6Q40QY z`t>xas_@6MsP--bNPC?pr$F#r^aP)GDFAJ15sqwy&=)KgH-XcD9->f$Hop{_HNWM#jhS5~nHIBkok=w>Ta?kHR^aHDuVbqG_1I|h!g~eC zk{ZC+4|FY6vv(Jh-P07{oc@VpTPr*8{#i?<%rGVl!JuET6>D0 zxj2(p--j2_u42`W?#fVgCbVOGa)x-x}Y-A1>p!$yL)yw!et zygOeP)0!?CIsY}qh$-^ixC?&|U&Bl|tliu(16w?f2g6Ho#f4An4%%k&B2^Z9`$unt zGwQN38N5c;G;O6b1M^=~s=te-PLv57`C?Tx^`AD(mz2{|y(Jwg^WkWuyf!h#+%(a> zHXV;XO;f*Iy1@zQG6Mg$b$azl*0YZzHw|%^29gB(YL{(c9tA`XsL=2D#TQ*L85-oQ6$lgAxG*mp zcV&rAN8}V#PYAv|7`Z!*74e9p64=;x-)!m1mn;>x`=j)l zl>71dQ+y}Slvc4r{smlIlMHQjcH+_9R2UV7f+=aaZKwzhpm0oCvAv33Co6|Xs1WXZ zXgx0k&|zlUFymkH%j0uQ$^Q7P07aBILT8nKZznap*{hjs7CJW#Bg!0=Cs5|=hjY!t z8jR$r#k}6FC&_n4R|e3^`vZxwZcCF!+6OjOodcdS$~iNa+I$N(hHm$ikrTsnTtfC? zuN1r`!#9H2{cpdF^%bUtDzwZiPnf2SP&79@5|jN&JNi>!h-E{jiRQxxs7Ss;`j=%m zWfZ{ab5#oHdqbj0GW%}UfU$GD*ZR4MFFJzQsbe)7q5j#G z!R!x`jg@JG!+t?vpRV?`aOP`g;`FiIPGcEIJC2mGZ^QA1I-QUEb#!QGa;>~NR973k z5z@+?yetHf{&fqrPX!4gv%1TB3cbHz_<5X&AsU{U{TZI0p>;=!<4z0@Ec4Bf&!H#Y z#=EZ<*1Iy=lP;f2~UK)i1%a2VN4k_7Z7%`eB_jkp|?ytPse;H4& z@4DbP?o{{b_!)ib@uXiKJxwAKt;!WuJ|@|TkLP6l;I-fk)q_&qseq;7cBkj*n_uU;iGj;0p){F z_g24ivZ&h5U{ygHK4AP9PW9^HY>kRARy9~%01cXE3{ zE_`{h^JG`0d0i%eaq(p_jydO+-6Klh$CSSKl)i;TA0Mva*^JuGOH*dlM<4AH%8{)O zMR0#T-G4}At7Ni@N8g8+_y3jk|Eb^8b;S3QX&aY*67IA6qDYx>oNd7tO4N1v(&K+P z-!m^F8h=6U|LN~TSI=*V+|W9*;1$tnyj?M(nz_H;LZ08kUm{73|GxTvh3fZ45y#xNafq$@a^Y~=%SF;GIVe(bCqD_axm7^fho{4B0Dx?Iha zl+9J&lIR^HerYK6iTg*Vxk2ZK$JlPZKq0vcok{hTX%hcXmdqZpPbjw`;b=47ZDJF2 zhh76|BKMK)Cpk}HJ6=<@xhr4wPcuz^s8O;Hfzk*c{(t$zs2ec;Aq7FB!N7Ps-h2Ho z>0)77M24d-Dg@S$CJn);rQCOe#}Tbxxd#H-)co10jO_HZJuiwn%2-pF)Us)sJVb<| zH^yI7SNiM$Ezka_YaUeC`r-}K%bSn81Q0ZfLE8g^n_$9?k5one!fYr=nL9JRM2WL; ziZ;*`aJ0MR9+3^ehoH2XmD%j-duQW@gw;W#T3w%uCxOt{R}JFlzeL?ECoEvUNbjhA zPZ3%`rYwTwIs68)EXJ35A9wnBi`HYM>1!K%{HIk;gg%$l1i=Lo7Zbl8KWu5VD)}>~ zNU90#;+5AtNG^+Hb5Nx!Ec5Jx%8`}YpjOixC<;Z)Ufs&@l!dc#Up8fNJZ9u>ywiL$ ztf|`{@+=kDcK$5<9_C!~ZkeBKZCXf=#w0?zgHOyg*QlPBHCsl7j6Ga_@fdeenv}c_ zp$?T==Jw!g$CD$IT~_krl627FmG65TvP%1qHKfDXg4?b(l1-k&HSRxY5W=&y`aPsMmu7w7t{Q_@{Hr`O{t)1fkVdVG1_ha zXPf*q%WqWNC7<*e`b8DC=-sQ6zGQ1R_ zc;TziXMaR^59c`?z>xIz$d-pq=20Qd7tg{I1+HrG8N-_H<%qTGdxlqZCV$?G(*1SJ zNN8bNP%R05Hz~v@J`p&hK4K)K52G)9_NS-0jWXZqx-2waUtZub zWAQ;g{sM>LuXkCNq;C!hs%v!V3(Xy@PW{PbE3QeX*@N+9$zrW((|%@^c~;$|Ku!q!{x*=-rDT;?_m{X)3>_tqdyny z>;DWNwPv#NeTmVktKF=_bt=XeqP$c0_Z zNDwr(m1yeo7cv%>4Ak5ir?MoV{Di&Q6Z1Orc7LA@)bV!r=emy9xTE9Dx%)7qdg#h| zs>X9gRj9V9l-v7Z(XAMuMemj+kyzuk?C30A&TSgMGofKi;y-B)wzQmi>h(q!f3$Mt zP=X=X%fG#Z%<58uz|$>OG{(xH+)-}sEK38^!23ZsmK_Ai)EZ|2`MHwoNAXnjZ{{y$ zAYgl8)_U{>oJG32$?b+q2E3M_4_@N&trHfxbQ%GwU*V63lt=`^!>(}83p+U9H5Rzr zexk7&MY1pdlJ%dc#A~PRZDp+sgLX2dFYUzJJqKI5wQ~%chz^^S=dY&nEqZ=vw7Y&a z+CW(d7Be~7AJltQU^8jICCG!ae#;~F&=s6wyDNBGJnl$*TP!h-E5&}f$VVoZzt&0(=eD$XvqHWXZSNFOZB~HG*KalXd>o81x)Nuy4xp6yv$K4U;fnZ^D4{|{ovMdyWr zu^*%jh&$=t{D-j(w4r--MMRc%q9a4xsMCI|9naYeo8c1cjs;Kw_CqT(C}LBoh<{<4 zD1MTh^9}S~2oG8q{Da>J?e8zw|E1M7=5YxDAx5fqWsWfN=77ilgEG%P))G5wa7ubk z0SH!fo*ZC45ygF5Oa?VWkl*j2bD&+__qEfy@DJ?nIMsO$CM%;-?5;Yz%X<1N2>!(T z95-+Ebh2n3$T+h{$`r~L=$PY{+!W=dYM#Mb(MEaUUX zV9}89Yfo?2rem=2{Rw6w_<9p;To}{36xb(r(mN|obxCQaMsqA_emNL%y#8oA+jjio zz9lCM{>n#`auJ_u?`MBc_)y5+%SO~-`s~V6;8IV{UHOFYE0}FgvPvISmvK|88ToDe zc2lX}J*^vlQ+iF$k#fDld!rISu-}lC*(4IjyK?$A-JqZFKj$q6%4TQxvC@snD;q4~ zZoCir;a&0h-jQ3&Mj_oUnwPEiPpoanfL2M!(|94!wW3i!xIHQR`nfYjcKbLrS>nn$ z{5Ia0zBL;^XNsYj`u3LOK2%dBaNj9F#NDT^c1Qwbs0KuK#>s?7w?Q>I7CoLpg>yk!3W?ME4o}@8XTr z_WOYC%ouNFg%3UzwuAzV3Jmd37u0m9FSONz@_+r~(Z5j5ST@aoc z6%ukEf5q9wQ1E#WIj0_5)9~?5k|k_hcAq~_6H92k#5;?1T&h`&rMI;m70=@-qMROL z*#E{#xz52#y;<)JyfV*v<;fWE?^r)5I8VPuwkrp$^OaNLSk4f6iu3-0L4U~YF9g3X zFWABuT#THNn=jdv?fg}CT@=h&@b?|Em%paI@~_t3(4+8|E7ZIlxSP1SS53DP+7#fu zqZ8iccLRF}`zJ&D=Xt!%5>o0gL@#yCFg@5-5{Kp)*mg3u@(05Klamu|=xlnrY&;zt z4DgkQBdnC6A(+3oOJ4yN$M5|8rMMR_4n5q8I}~?!cXv3P(iV5O z!`yS5bWa424&(0BX$zt26lm(3=#$xL!dJ~NZJFJWG5sRd_AHu@P4DGlCK zJi}a!7%TjH@@njdWR%~UCgtCn(oCnWz2;JF@fPCinaVqsHJu?lC3h%X|EezLwF=D7Ef>ke+&8hRXQxe5NPB0(H_R|`bFw<0F%GzWfMNaHPvl)%bNSk$(DfJOZfNGZZ?LG+xW1=ZI zTTasD*KicswcQbXFX1ZGu%vv-5llwFY^pYj!O*(Dt~vaniBLJKwKTZz^I2wsLR0Ks z>+cWL$>K*|c5U0M1?b&rBX7?@&0arsploqeyfjuB@yHj(TX#-N^^5q7=(g4`>8)*wT8i!Px&q#q2ZlFp%xP8P|6sc!|9-lL zqM;3k_0+0eHWh*2u8R3cj)66F4K!hjnM^TbJ`zxOLjH`jNJc5E4&>YxTDm2-?&NY` z?ywjPf@v)pE-s5&l(IlKIyscnMPF!GWP5j7AndF51+Ne#^E#{vy#`_W?lS~ssA7Ix_$qgU-CLef+o`io#fJ!l zPAPL9eH`;@lGba&1aYITj?+0@3&M^Rl%3_M`$`yaB*4!hfuX~G6S1OSm z3-cU%MRZ#lw`*!1*ms{!GIAxqV{HLBk=%cngZiB-#{e4O5uhERa(Raq2 zA*W2ILu8rU6u`&!$En`cib)4$Gv0zdMusV7P?HO_kj`>W7d}gX@m}5ADxMORp&BV0 zbxDr+1H1jR2yXi@--@jD`h1cUF^7z>zNyV)l>|oNGa9Jv9g%Gvh8 z8}|D;I-7jS2FfOYgH=k?pZP)9?cwuT>+Qk`JQ4?XWrjA2Ufm>i7{R>7^{HH}P6Nlx zV2nG4Exy=3%D|x4`}_nqYMHNO$Bx;!)d}11?UrlyV~>V-P#s*hnJCy>bYX1M z5DwAC!K)wiWG))JF+E}($ndIJU*MEV3YEe@K9*G0&;Cgc2H=$+fTH+@*xV-$arh!Zf6Ot zxS-yzy;Zl)Cwb|qfKF{VSs$PE{#xY=48xyK#YYO4!3L<8K8FNaolm)l88FC1q_5AJ zAR3_<(K*Cg53k%|8P>P+i6;0&#;@5mPMbSo8~5?-Z*;71>KFmoE>OX8J}L(jT?`w6 z{MU?H@X)(g|MFJNa$9y#)e*f_k23Wb#23O>@01R`g*?}VB zgLzIyDgY`%bZ0ZP5B%+6jBvOSOG7Wfu#Lr}a6DK{x8qWvm0JUSX$%m@AUz_=)#3Ws~UY(sDb+iKmR}&-L4w{ICQF)?8%O#L;J+c)r z97eyjQUDQ&vRMltQHac!=01)8)-?LoS-k|1@;%-Jc`_u|PSAo>O3feUmn99+|>H ze6cIczBLSE+u%9MT@Do+s&1xgSdT-<4#4wD$Zf))elXgls3HKF%F(i*{XwWl5D@Y$VD6 zN>2!2vBx8=9vwqkwz_y1i1zF-$Nb7r?OSTnr2i6mBQb&Dy>O}I6{v| zo?*PE#3LqMc@ZWfD9?|(9UY(J6z(s%X`2QiqkJ6pQcw7GFe;}MajyWF3F?U<-imdl}`kTA;sTsLPhsmI)leKlP+i%Kr7MHl7~0 zjML7Ou-HS~zbAL+Je;8qAtYz$KdO3n|_a81Ez5H7K11a z@;kq;`w|J!{)H3tqV(~G-22}v5Q0E&G{~Ni}wSG)!h#i{bbAhwz;vJ zEb~zA0i(f4yenF%eje{Eb93ZImHqtmuc3OPyof1kd6hmK^MIdZx|Y;oyWVb zywhT=oV?Fpk0|*Ec@5~_HVkewNPbHX8SLFmbvVw=HR9gp=AypiBv{8TcSc=oDWEs9 z_><4bagzO;d)oq%$))O~rq{|TP#f^N=XHC0EX#z3mbKx>uQJY+4-=~;(K!e8P{3;e zQu!!02^a_mJQ&IqC8@1xx5gxi@Hf|U}`z~eOOge7(hi4 zFDzaea4DLyy*6zqV$fGeo4WJ;!Z&K<XF^H>x*0bYr?>iXw^`ajs5wCn<%OL@a@ z=&fLiS`|9rp2$UNl28eDL48vg`yupBZB2W>RmIJ$Tj^hZN;)VegsXg%b+|Xk%nsgW ziuQWB0u5i+GbAGDbL$|bWCLLnjvo>!CeO$P8r-8W>2dl3O-ubZrDVmQ#2@FyCW9iO zwLd5aw|`OnaPgN<7Ojc)F{yq|q&Y!1h!P2<+rB_+;Si5mjT8wrQHDf%$a~Z?W_s>_ zr5tSkMMVR)GDhs+-@>yIV@w2hfx1Mt5D8}x&d~jk)Psh^zOMgD{4uFsw_9`Uhh#V# z>CCc)m$&4h2n z=&bpv+w^CcvY~ut8Hs$sh>8%Now03rujUS@hvo3L|}1 zYW)+$s>)s>yIgrp9v9};#;*wMtbnHQ}2BRwjqHi8Ua$7b=pp{+8))EfMvA<4N z+UVLy0hlq4Y%ZT|>_cGP=Y*JVS=x~!&?O37m)#v$O!m|m5>b5jB`bp5HMR>4R4&;g zFpNO~s)BtSlf7O-czV4*#Z2d__7I|)oJGsUqv%(e$P7MqSb-K*iulme1#v*m;`qRo ziT{IcGibTMlL)mCNH%9vpOh8+XFyMz+7wd9rswz{_%}xf(069XgCqfZL}lMX)k(6R zLr2zuEUClG=o_S5&P~G|(+x>>v7%((RjUIPo5&U=v--!5>zv9=eH}0@Q|tN^v_Mb? zQaTeEOIDDc3VDWq6Q^=C&-rpvQlO^;3EQ$|41U*I%vZ$bw|=R(;uN5~f?I^SX}J6& zCyUv!D&P6Q4)x~doxwi^Z`-L}YO*h8+_&y+vzp1L=Y1MfH#ipj`lyx^FhLX zxa^MipVlN~DpP8f>vjfY?&by-)lM)RkDSWwBq~#46N%(b+dM~gv010W2Ju5pmI!b# z|7mtso`B`j07|<2%o~scY_I0yf43{I;Vyjk+6x+;0`hGragc5Rg4~{=ZbcWHA&jg2 z-qO#_Nh%+7VhO19L78);aH%-!mT69}f~xJOKImXcPuR?|n`B(kTB;9f(Al-b7*E-O zZ5wKR%~bhLW5J^q1iKyqrdG`!zqZkrB>s73ZeZC>2Krgm10 zuOI1j*HviwEZ*mQ=`G>c=D2Rk!8{kt0w(cHwU#^)*}?BiIzpF?HF9mUgeGn&54c%- zykry`E(Gf`F63E1{b#y1(?ngL>H(N>5d_J;itu)g2(X|7Avzz=M$drO8cqNTN79t zChtL1Sp9*RPNmO)*8$X}?^AaDJy^zZ|92wDsGB7H{bwU*6T9mZNOyS3-ct&i7cXiY zWc?oG2GCp!r?QW|kk?w#M`74=xPOkGdi>b7F!HPb*@N6XD@=;douBNFJxAAG{qGT7 zsFm{LM>;4+)>!L>eCS;fP{FOI{yh`BMHcyV;ZZ*d&Efm=KM3BDFSpOptJn(K@1U_- zEdmo3QrQOp_F+WH1Iar>;(;g_w%(tLdArI4y`Q&c`J9*-AXjELC6x*fl)a@@wdL;) zwsIq+jVon#;^Pv)pkI{ku1D#P2lQGvcY!DbE0a7B58Z-6x`bF#mGJI}9I-I;w1U#` z+!-L=P~v0+iy_nqg@9H+`6NKDi$pXG-FTl=1#*MMu;p#gVTnSZBo^&J4JnmjYXPDJ zahJg9XkY+-5atR)cZLBZc|ZNngW?Vg*t`Rs{fJZrDc^D_0EM7>k{i+_TW}GkIcwpS z-A7LVX97&~W}@%ng_I1iXDw3UtjDA(9IfrwBO)A=6oJ%pLSeG;8)uLItP90%8V{ac zFFi#onv-?`*hBEF#oPK_rcOB=)-Zd{lokJ?%t0B2i@}&qGmc}BecR_5QZ}olt6&qm zlfmU5X7TZ?vlc@rJ>NOX0?g}Bid4ikk~e_@*X{xZZo|wK<dsj(1MhgwKsWBwoKct6vI#pnP4M8RNq#TdaY7DLwhK7Q)~z<@44&<2kk z=kCfcEC#6+(*2F;542|@I!-9wRwx9LXCgP;U-Ym6$*dz7(RU5M?fXHhB7^N-tH-5} zR$9Qi&s}NZK4gl>4N<@i-HIVqabTA44yT~EDstvq#l$c|zg~_l@jL%ezdd+g5?%7e zj8NNi*7=g&WZR@XuK^lb4fYmlq#vqon1}V|oVUH+MEvu1UOT_yn)EsJ$oOa0qKiT@ z$GI2ElTLw#usvjvf_)4+Yef#7-sld?qR%_FX%2>4bJ?mb8S;J|-uR)Z*^T{@VR3AR z-mX#5EwLpJH*Us;8*$kd5Ova|lmF27oD#_-{;g^yeRv<8y-4?OrRfs(ezXT7LZ99c zq-DRZQTd=n{(-T+6)E6Y0bN%*|5y&70Oqt)TY|Omv;Ls_hiF<6(tG2^l;=LRO<~&2 zEW$>booD7@BmF+{V_N9et6LRQG2q2KhK_Aftid+waIGXom)W&W_`8_1*qF1PPtmfr zrDu5B`ifOKUwy$5NGDx(LjN;u9DZ;UU$CRL{OQky8^g{`qQG?f*`UW#N)`%J{gq(Q z(=8`;q&8G4iYzw$?&rgSfzglqXuD&=|G&%(kRcbPX%`z5_40EVl(ni}%%?4Ofwtnd z6eVpD76S zbasL~Y+IZ@TnAEzl%l(3aJF+(XVlAWw0z``n003^3daPB!0*&TIPhZw!1L z5>TChm)E0q^NA{f9B`d+ifoHyLyboExQ%hW$W};E9^v6QYB?toP^cCZFJ^qT%6YB9K?Gh@8IM=6m;7DObX+-bZ;7l1{ z$tx5x=e_t?;sSBCS8vop%Gx^lXd=_B!x_h!u-j2aJTS`gb@Rm{rLi%&C>VfW#5Y!g zTDlXmXj~LIWa{3LCTXvSE$xyPOzgJk5p4MY2G?`ZYVUr1qn4&Iggdto9fLlUYsb%=J?IfYhK2@vwE^WV~LCTd1M*K4yF%Y)yoyVGLU7x|utwtRo&ks`Te+np+8 z39N+DYIE*a5lJSheG)#(FFTAl?Q|I;z+O*y)}&Lz#_INb2iU7mMc5a$)r$&noSb%h zb{~lfxWQ`iK$*9B8!C^=SY?s+1AS04Fo0|)H_4{oY;~24A-0Q_WeMHjm0)Z2pdEL6 zMqmk5(1x-5Wgm>$5&(qK3dpn0Mq5b1dn>;%($-Ga-Pe(36Q=IO=g3;@fO4;pYN}_{ z8U?tS(4LWes$Tc1#5h{7)sLyFoa9^LF~GykMj$|VX1xowe|d8R%9m6KHz2^#^7S=p(N zfX4k9sD&=Cq_cmGN&Px_595djmJSqFD-D-oHZn+Z_LH*eZntN(-dCrHKb=cBz?+<_ zyRHJ=Oq|qb6O_{r#>zEM06ApFGXQZpSa{c4T|K*?U(#e&1EW5TDHd=>%Glvtc(G=wHWex6*LDv>O2Pp?$-h9e!d6h+jU z?w!~pu8Ec!h_3V}SRwQX*E5U9Ip5#w*lXv706kJyv}nmMqzeKl>%_iz3sqW?zqQNa zL4IP{$ZDQuGa3stYKlK;Ga&7=IV$Gfb*YL&o`ke0tR+|G;ivP|U0_IZ5Aw<}EJ+0r zkN{0sxEGHB>XB% z;Sxq)%nR(M?%cRy7%G|&`EQ6K!?Y%6^B9514sld=kjm1rE%*o#u}06IfT`eCN5qKa zFyvOWHCWq2RAoA#8LYP^O0q$(_->okS`tk8G&>{{x*UGzxTgGXkRrap-y|Z~T&5?8 zo0QuGRa|luJH6UuFQA9?l^Fk$@6o*cFVljIq$h7fK5yCgr++giiLs3*TQPrw{r~CX zXupmwu=mrai&;aQnX+iQMz3d^;|E#iRR)l||56zYwT}-OA-3GroT0;0#@o=YlZlsq z;;{n*6_|J%Ie34Utzopp5Rv;a_Ka%kr!Y1FhI#L;#LDNoK7DmeYfa2R!Z>D3tNG}g zHzCc78CPCgT^x#?ZgGLU`M7t;tm zvQau{!pWoGbhTCLtCC9-i90yNBLe_LLxp@uTdU?^Dh}qM?OTYt3-TO|QV=Jdc*}WF zuK=r*TTNGWsCRc>&y4bZ0E(B+w%-fIrgi1^sWxWvF4#Z|(!#2jwJ6gp z-h&&l_{-A;awK)ER>$AGCl!RU{Qm13pMQPAkSv5<`bTmq$3Y`f!8xqCd1hIIs?Y9y z9YVB`-7dzJTvJHP>+ar&t3#BGDdTk4@%{XZ1noE+#0qHSGGk`#jTm49)G^zX-K^Gw zbm4<<>ysELcvgQRiGLdNL((wt^!ZV9k>GlFGLPRcW)W2wyun6;o|xiIUL9t%x)Ved zEXEZysxPRTH7vxdIsvOIVziKdoSPEOKhISSV$9KsRx`GI(>fZ{k{p;H$DL=?JsOb6 zV5R4#*+Ive1zyk#{KVY)?hNS;z0%4);1zlFqASzVVuy(*8G>;=q@}ZrIe4VaZ+Gh9 zZRfTGQ)`IOw0L!EPH*TH!(^=^O$Wnq3&Ww7wxp?^q^Z<<&dL+u){EFPc|84Fnm}_q z;A8yl#I`0ZL%_K7dsJxI05rxxFSdy*BkjZm*X2mHYHGb+ZSr~ZKjS;%ryu(i*tc!B z@w~=&ks^+iT%GEI)BQZuUn6I|17=?*nZUSx{HK@UXk^!Tp9%Dj5o(m6Eo{;9ds4Jt|ebbhVhkWkMN^$*+X}>=f)6OVu zSpyPov{WmeCmSL-bUT+>Yc3L4Kq`P8LM-MAO_R~$6!0^(!%aGw%0kwU5R;NuRJ z39S5s#7(LM_8Y4+W_(3kg5qBM8ST>njd!sOxT*9__h>b9dRaFqi}Fh~IW$tn=w6#R z|1+s}Ia8sIz0>qk>?;!cov$!OMZbUL;G2zCFGuE7s#bI5fSo4pg^|=lG1-CdbO(6I ze}gqx;AP!vj@x*}>AeveMNM^t4Te*0D(z>|2q4#wp&2C*wUMzp@X5_hYDMhS_|7@S z7ZI&My~6aY(`f`c#^&hG7MedwSCmF^GZ4zGN*Cc^q zJ4w}9>nB~8q9b!bY`cU@>oAAR63bzN4qVj;67SYcQ?7=7@hBl^f-Qr06lr2&QZ)BE zyZ_J6QOq&ZW|;F`P2w&D&`&-4Lp9pj#q%-T-I{Lbuo;a8e}YnHlvJvdFqkun^f3C6 zXP}SHW=xs~`~k(4Z{ou}O`Le&(l3t%OE)%fUx78*GNq=>r8u-HBk%aqVI%TwY^SKL z@3;4qw_^Cf!4K_4bR|(I)xP%{5miAgG%)JN?f^bBEd5 zL!>X>6Ce8;u!6w|1)w0bDe9Z~;C__sLd9caLfl6Rcx)bK(5YpA$3iIDvOM{ZS?T!2 zmdb4!O%Bm}}Qo|>;0G9VV{UQ9(pOe-6__eEAe%$GcJ>buaMfD7iU!SnQ5+8Md{f=e~-< z6)EypIOLXwkB1aeF=c-7Vj`*aQT}4p{ZXfx-m;($uh>XqyqBXgX;vK)C*IMspH0va ziYN;^YBZbA@y~qXw2m~PXMHcI)0!+&MCzD8$}`5IRZgi<7zZbvYmt2U5OHI8zDUq7 z8Jsi$p>5^<4t|qGdVN>$s>bTKVI$S;*#mn{NxtcKdlQFsTx7*^8{vej=oI;6mc~&b zf|{}@kXqPI@VrO#%Xyp}*^Qq$ZwbgWKJ|hTxF5s270^*LGVw@$6ZxV9Y?WnE&81)T zr)5e~`m2^4&%kMkCh7BbR_Q!u>>gB3%FgzjHm`c%$?Nv4?ww=$?+BuR=aik`=C*jX z#}*5WpU*_ve~J27i=+dKbc9|){mgMlUu9}_NB+d>VL61=JeoBW^Q}!z=?04>S19R< zeXg{L@H3}^t6lWxwY@otAF2N@ChrKi$-9UnWRNRwYKE~Jp-h=DYd95WnR0-CDN1z? zO#6ZFSQTn4JcEfSbN>a6+|Wa`p0`P`DJBo^^#3;K_}|MSM)gaIt#xq)k?;S@SS2aN zGhhRrBRZ~3MD@E*qW3aTSbk@|d6E7#Hj!wDL`Kz$rFA@=9ZwIIQq9 zS7GUW@|wUq7Kr-M@Nz!&EnDLg*RPVbFk$E~)@c?^i#4gnGK#h+y-1*@JWhW(OYguk ze&t!Fi8{3Vr{shyg3!Ydp7+;&+4JtF(eR=a&b@6CKBApqO=Mpe2LJm}{J=&U9S`pT z9J=g=Zww~14i^~npY^Mjmkr*CI#j+~==;&-TWjrLafLG?GjhBT$5s2zy2YWeD*#rMwOATVdCc~06ts#Ti^KlA%xa(89!py@aqV+0XgL%m}pl(+Q_ z?=C%2{)&y_8BWDv3s8Ken&djRSf+h0+eF2BNF^K19GB~P@KK+Xln}92aUpGB$ zjn!?MYM zVkLbj)gDF*lfjana>tzRnND>YVIO%lTFF@9AD$2i!shZMq)K}Y;LV}#SX-LhEm1~q z<{MGONg+C2)_xFu3H#)LwjbnsYA!f2%JMD39~EZTvzJq)tXQ8oXN&M=uE=7-(ib%M z#kcf&@W17CTtFQ~aAYX$_UjCHt;1{P?0*SQu21F{n@|L35e1?@`rf3eu6m0(ECsQ3 z2F!>QDgFE}hHKS=RjR!Rzs!kst^0tgY_gc~R8YnOd6%~=X19uCQSUJ1k|o4e76@im z5_v@mJ1qjet9v}pT;rlILCBzGnQ#G)UzZZb_ZoBPLrZu67zJO=pdNPaeoJ#<)~EQY zM^Eg{7w~6M@+VULJnzlFN9fPm5jGi8Mq6TY`G2AU!t)5c`0ZX~u8RK5Gd2c2!-J{KH3nTK*MEpBKJZDnQP*Ubul{hbZxl9J&z`OsZ57Km{^`B*CKx-@? zqoLTipJtjuz>}k_zaoDYFABY_fn_og6=>w|@l<_U(ryXBgt1qLMJ5HtClc{$Exl(T z1J?}_IyEK!NMo-|CRP`B9;R(mjjaO5@0nEsU3!$KDyqAQ?~N%Y1(54S^`Q*1$5LgJkV@fXUvC`%i>4 za*FKf&Ta8M>=l$LLk(N6(zm}a+)VOcyc@KNYo5D}svSQy_+H&j3YiURqka3%Rf&SJk82uX+v9xA(mCv<+I$nEoS@ztv{Pao=tSb<~p3!3^d7ynYR zB=)U1;wPv^gsZQUF`nm7oJ65X(lpkE5bBuGOhe#D%DUk!F}A;1bRnKR+O1wXm_u*3 zy~f^}D!w1DQvY23g~-9Ce-U>|$kNdf%3Ab*5-AAvqn@`>>$0#d`;GxjYBHlntk%Qn zyyr+}8K?;gZ+|e~CwH0|H^V#z9L#Bu+skeHtMW*KWiO~EQJ((_<*cGvtXt@n27l4-Yt2!6N!!ik7d>D^a-)Y3RyfX5t zLYC5=Q<$eXsZx=9s*1nENZ5CAy%*-TTWT~Zq}9pFs|HHevD1&~GD|A?<|Wwsx9Z}VtqI&hQA!yxK#WoU-k!F!A1wkraY!BxN4hF;j3kqRl25U~zGaR` zcj{q=GrVW{kqU zW3H@)ty(SOWiHB780s0q)pX2R87ILU=aPE10yHWO4exy4xpY+Jt-a%_YnE(&M|)r) zJ~L@OQ@2IG8=&W-X=23fg0*1TA#?j#HGTMKTNPu?O6bXoGVtRlSrT1Yn1|juc9C?w zbp8z?(`CQJtG@5i9N>Y&;ob`c4L-r$Gk$F2ytDpA`B*>n$d&o7eC;ZkJW{veUZ`uw zUbaA1U6-4Gi$lobWY7E4JPmWzy{1`n&cq6sHR)cxLlAnTZz$d!))8`b89csl4aF67(W|}MZ_)3YmeA(TL!j_QL z&cPeTlkv5Rm#Qg;#21C;$=h=v^I=k%_XRk9@g$h1!k9k7R`L^__(N#%d+wRBmZJ9y z3-i?I@aGiIo_fq%p)vGA1%#m9@h1xA?I+M>PjtX1o4l>bULbK?Lh(3pW09BY+r6`2 z(j_qGALzXz9~Xpk7$@R>(%f41Z>s2q*%IE6RS5}^1cjXRX<`? zHCWE+sn(D~iky-Y^$DlXIi*3yY?3UdY~_R8_TJPCy67EN-k~xxw%{2dBT$xx{DWI* zULJZr&AXhr7_#f<9(O}}b>m@|`MJ#QEgc$NRZ$iSyCuz}D%tnw{V7{B&pZz31!-Gg zm*VAD$B!$~cUAMly^Og|>UTaD)frM3jYo6ZtgsvO8fx8>Cg2_Q)7lsew$lzQ3URdT*aS*vGvTO*(hLPH(y?Y%X`2)yE1NMuPk^ zkoyKuGB6?cKgR-YjZMwJcj1)M&B!h-@9$ka7kFm7_u~h~Xo?(p*7tZfUX79aL$1l& zwk;B~9*lga$>wqJ5Bt=7CU!_~xomubLDtRvK8!0>B^|ovYPHbpTY8#sRAHzjNKPcl)Y)lkHoBy;v(5>Y=ZSt89b$IPIXuIQ^>V}#-9KGc^9vgtejWN4 z9SC7nhJkWpcR{(uhdVgHx{XIu{G8IRNUX%?+QCJuoBqjOBYr=MwEaCw(sERQmk~7& z_GolXM(Vb8#=4l^AB@5%6_8X0+h8NN9(`s;Y58?@58Fm$XG;VE@xT93RFMM;QO53r zo9Nj5;5xnP)YXrFv3f%D(>jqY?+m|M-kH&th&h!(bq1vL?h}SiltA;iPV62VK*;B# zl<}V7XVP(J&KVl4QNd3TX>9I|0Ad;~TA2dPG-tmkyPvK9eamQ8a~2xK7b%^6E#q29 z%LF@Xam%4q5H3VkI1-nB{XJk%_)GTe>-5&x#A2P{diOVUudVOP{W zX{gq@SjMWUR_N?2UUgu4q>sgew3k;_IQuF-ZJcZ9xPmnF<`|&${2{Wao36pHkd_VG zmfU6#OY#vsJdyPXbi2}ObI%rAPR}bqHI6Nd zYfU%iNgv#{Ok*dW=#JTwkjH+=Z_~hDF)f;CoF^vl3`;TMyYe&%L+CF#q{jizMbD4z z{q7K`d>iYz)iNa5P?ogEfFgH1{NY(;TFv2`s8+7dn{mGjcMa-sT(E8R2MT?z4-^7~ z`E!<$a)C58$g`K8A3CEmM?vk1uuPXiNv=d{yQO}n!@b{@I4`GrUBz$4+qHlkcJ~Is zr|6}hon#zvK<$qiNqc}SyDtSB9D^zJ7aywZtAbn_L=#zCla`_aTJzD_W0uwYCG zy0ygNn{e3k{IDvw2k5Q-C(OOB{mD0Y;cl)CSKljM~aCN1Ywp8PP6;E43x z5@b9&ux|I{UkGU$dg@)MeG=q&d=PB-Crj$_(c2Go{A!0gq#f}79frSo1L0$U zYE-`o^Fo`Jc|-b>w&GHjvhYP?Inu?{Xb!Nr#JKM_5t=vu-+v*!rg?)wPT7}xSZdro zET_^~he}Z99|r*;@VHBM)WRk`;$G zq?m|NOM-Aq-hI>%b!Z@4LHx^ljNpLFb6VGa!RG)KG8onjcr|6qk6fH$2r6lqx0J)i zXnim50lA<@ZUB8PHo5b`;K5~aQhu^n=*!QZt{JTF*&*+!l>o)aPQj< zX4l~u)QpOV=1AM=&TndmwE1{Zl zl>t8Oo$BC`uh|KM-vZ=F1cZqlgD^rFuH0JiU7NzE* zh%66*D0m#JpR2^Zx5THVA8~w57dbrdy-jW1J7qr2*SuF=vgR!M^JGqilSIK!SmH;k zglw!PG7ht!jLZl1y6`?uh-e2*ev?88{_>O&J)e7$yHg@45e&gJEMfC*x!;-5urIXJ~!xWb`wA894{YSH18VNzDjC+{=>ma(Idf%EF@xt-cwDMadAx(sBJ^*$D*>a4^y`rmasc@O6+FhdQhm zZ-oY!rjpU=D30taNDon4eY7>i%aM3%FKX#X_e#GO1G%_5;YQ;zr?pboOQ!nQuf!Bc z92q#q(X)GcgvL8;>A%dYw;coT*=7+1J`r~MB?IudSguF*y(}LqZhJ$c*Y{TRsGGcq z_3x`Ib${?&*{d2f+$fnkSik+a2ze0=kehT|w=;J16jN8x@0|?gXJ1pRCUJ1T#q% zQH+Ka1)mna)YX1s8B3W6mtqqrR9%niSa-^`n3&0))i2!ZGQ6$I{Eo^cVSjdS;t2(k zU8{qvAERc3G;Iq95tZ^G>wFVefVap)14iw>tJO~?*%QxHiKmoBdSh)V??ZYpzxVfz!FXsqsff+MLGEB=PS^cRJ7MS z`dP?$b|7ch3D4YlEOApx=Gn^ zP7q$Z+cz7R8>S5NtgIN;QcSwCA=y9elg5Nu8@Sh2)xuO)dR)Rk7f54GD~3w3TPrathI8Oi%g8~BQo7mSKHtbO_jX?A9T5?qSl^7yJMOH>LK zU%a>HJn28Q*ATV#fix?dFoYw;Mn$*NNGZ=bINe9sCq@QR7ASBNy`G;_5N)(Uh8Z-J z%AUsmMh@oQNh zx^<7S&1h*VVuN4y($8@*T&0xg*LQyV2@iKHUP+(l^CVwf)PI}VNh$}$*%bkdb)uAd zB`ZSK7w#2daxSFwlhP4%l;W}e`8E%R5T!VqJ$242wM}6M02owLD-hEfviV*KDV7V} zW8YjWIOXPs`5!)KY z2*jcb7YmEoC0m{?Y9{XeK9~7ZY}m5jq4CiXp1G-q7%-pE7WELA&t;AC2kj==PvA!p z6>`93R?hH;Re97tM#R8byo?u8d%VOq1X{4!A_x~*%o=p;gC8x&^=BQtX-SZ6!9985 zH_>MN<~k)Nl6ybg7{kJphQ`!ic^qNzwJH!PG2@Pk?!rOy)v<*_Ewn)s-2sF3o%Z0~ z(D@&f+jU@4_sC7AXJ)$T9>b((mI=l*J79fBG`y-8MapLoDB)*doUH>{_RB}^D}rQG z!$*PBJq=QqEMm+;#;(7mW=CMS@tOyDg)plpQ3hInYhOB$K%R)OV*`OxVjXDPNF zIuy=zDv$bbP2ZPT5HlS%)+PaSZB{m0qITx9yBC(dyfw#EqJkM|p6*k_Rkhj^e3UY7 zhsFrfBh_XeEc;<(*9{1=We+_d7U~*)qwHwU>}3G*^F00mtW9ml!1+-R9fYiCRWbAH zsnga6wa_W+HD^7(^phkN@}v2YjGKu^rJqQNuy`JRCAGY?lnr;*rFX?zPgyz%H$1#H zdRONf`FYA!$TF0ILIlDRuVl}t;Sipggr(}>Z7c6OipkM#yxWA3|LeEqlVcX2+`?RL ziEpFMae3IPF(uj}hOs_nMxYn>w0S3ZXT$p~p*#vOcMuclbIrZR6Q%j$K7C&$`R?7* z4SB-JYU!x)21#3x2-z0MQ4ibMUUjo%{O+)s%6J>nX965Tr%|*i_PPP3h#G=(gZHgmu;3xcH8|{}&E+MdG{P!G6i_&GGH=_xqGErtBRF@%HL#P~_YHb}So!0Vj{$$PD)oONit{ses*w()Z9NIrJ)M;k$rx z7Bs%fK|(XlJVin1oI?M{RgvzJ5LoF_x@)DIm2Or^=`QJR5b0bI z=~z02rMnk)solN%{qFre&;9G2o#)+|dC#0P=gi4l>wc5W-x)fTQYrQ~Sx%Su%O<-% zL}m7!388FX`-wCPOP;Z%c?&;U`5e(Z@{yo!i+Z+oSZ{L)xfb%1sLx?gbfxc2>z}nH zzn+s1H=o^-&t3*6x!@0&^zgFAEwHl=C#%TCSoPA5Y<#^7567>LNeqfnW*8sNSqnAg z%8>u{>vNq(X!DCwdPnEm}vTEJT4g8Es71E>vxJFtp-WP3^%)oVXR9-%RTt zk*#fE%zwM!u(nXep7CP&fEXtfX%RK6OeR&}8$ai0ew_*MIAUm(^86i1@6u4Ge=ji-+-5$wp zVY+B)((88B36c67LmO#*c#j@CUZ9}=3Nv168T{U!m3!VY)zRqDd&p&~vAr+BjBymd zdh-_j^DFTe6ptxX)#%9u`T1tGH#-X#{)mmf-}aMu&;Z)$u@AJAaW7R5kE+#pQWPOh zTaS;Pj;nlM&L--0W{XWJ>GzfQKT`%}Q@cNrQU*vjV+$QMb;qT`MPz^@z=DskdS z)CoHkX;cN%bB^teSX0ZB0x+NpJl-b%u7#p{UEB9c9Q28L38%65Wf>8>{8iMXaTgjj z+8wH~Lwmccy4q+>pgKp(70G$Sq7d8VJ=T-d^hosuht@1Tm!Zl;`KP=0eS_~BQ<7v< zhnN~uj?7p`gI2cr?gp;tHCzRuN<|h{r-yPq`W_L@d5U{9DpBPqPnlARViljL@jsvA zXB$_u*rVbV!u48aUkEHjY3z&KQ#@=?V1MOIFWdH)McYn17*;Hg;4lS0y>8}tYc9Wjz~lPH>&{9UO5pgcb=01-#^i(+qYV;iTg!0CeWL#w zzhGsEQ}^6Vo;*OFpylV+iDwZs&R9No$knKO@b1>5cnW^ECv$^15Vi+{ttvs8ppPf+ z50-qI?YFk1cG=7EX6}f`Xd?2sY|~XT>$R=kFn(;#)-K2E%%0i}5O+6`*K>O#ffV=r z9+8^vLH>}gbw|GfA6$l8XyzzR8>3`5_7Z||8ALRx16|{FhRGV!118!-I|+EhC8S68 zbS}+E%U^6uZt~R@v`T!=AakSK@y9z90O@oOa6yY-Z3%vMYa6MPwOjItzbhyD_xNQZ zvbo4sISnA7NIoA$g6LxWG|EIkK5&_JH1neUiIS3M*NJ&9c=8>*b(BI({W0NXbB}gH z5eL4I){dC$_(DDZ=IC(~NIF;Ch>R*t2c)Q5={i5YnfdKYr|>sJ_$&SL9;sG*s<`0H zgcz>`sy_aoBiXX!6vAvAmz0wobFHIchb_l1b=8v((>3`0m!&InS>{hU{q&>LZ*Eh+ z*S3^v${&xozYkTBQ<)#sFbNkMjhxgIC>mSNujG?$<8Fk{eqQN|K?KizQVw`6Ia=Te z98Io~E|1a-9;HzH6?;@$_b6Ohn(3&@4kBM3@X+j9&cWi7dVjzs#j*5Gl&S}ZU=hPs zYUS42ajT1iVJf{FPyMmrlJoDSz~-{IlHLg>o%w+A%UdmUR_HdPVf)G)^SxFHJ%gX$0g^)e&yaihf`c(DBfXu$qrNgW{BcDb?&0$l z=7P24m5U(F>n)s$D>694AG9-ctJS7rF~^Em6WgseBEP4myDm-U7z&R5uKS)&skjf% z-dAxaGf=)!f7o|jrPn-HEWcG?$ z;!*doY^q@La#u*vvMc@!utQ)9D(CM4-~fcB<}ghQ!Dr;nrIc;RV+Dwcqp1 zWJ#0w7loqV12WwQ7mYK^C;a6Q8*cBeI0R}w;?RtB9$aR|91=R(dRB+yXOmU*HrJ^c zwW#t$6vvClsTyL`8?-b=>-znpU3-GMBQX}xaUHt?;@alm8rps);c?ETVCLm=ry;!% zW@Ed%(C^g3!`Vb5COB?m6i2%r+~@&{*};1uP_{qH6lKDnPE|i?_W~;R2r?4jE0#YT1}?YuO&#(B)#wjV=FYDcq`Gt z1-zp2`g6FIu=i#*Yw(=?_`l9Czq-`+v~@v~WQ99Y65P+KB00SrI2EF$R`#8tj|EXM z953L!tamSOD?u}XeCV+a0sFW)8Q0Q~#A&h;dBy+nU!uETb-Ug6E5c=pq z^2h(U2KKh9+O*LSET#=+yKbnNk!C*jTD)9&D3cYg*B!wT`=>%>gd;Wqk=n*hd>i@0 zL$&mLLD%t?4R6ka)mAY*cRX0f)KW>Ehe}_R(MB?7_JJQX!pz1%HdFrx{#-~I4i#c3 zs=uxYm;UDT^Zz{}VF(YEg+<)R!znL4W32!_rwZF~vClrG>8`s)xY;(Tk?&lx4V8j*P%5ccRms?Cwjdt`_wTU?i7uCn^nO|>#utiIcz ziD7fi=iGd}n77F7f$ip5z0|+KO~nUyQGUOMd$SGT+h(?-k(b;5-9kM-lFnRx@tRpn z3URIv0!@B3;@kNxppF`PW!wL~jN#v$*zv4P>ghnYu@<`>JtUw0g725kv_HaGEb#!m z3VfpWE7k1-*LS@7n!NKFoJ9`@286l0rx`rL8XxYq#V-2GSmJ1F_Zdg7U}tJ%PK5s* zHF&be+5F{MEDa@`l2;JrX;Pb%Tqy3K20_ba=(SRPn9uC`p0OrRxU&N$Pq@h$9zhQe)3 zp)YXuy#J*{Z`?+mOSuIhA}dM-D687N)v?)IPi;U?#? z`M4$y`D1CD77gHUZ6@ZSKD6$nw|?Bzg4L7$xLv3#dW$>irRu3B!(UcLh&2c=WyB-JUT+p^$f;7skb+CjvSJy#c-Vrc z(|6XKA5UL1p=G8C6tNghSX@=D{I`#1W3mN5(6p#D{Zm0*(ui~F!^+|!ZTLUI3b)NU zdA}y-!+v<19*4d3sqX$DXP+vDleGg8<&PXw=$)>DcW}hq;lm z&rwE+(>7a|)-^JWCWsZ#z(yKFfAC3Yxh>4TGc|4vC9*SGIhI@TcC z%y$e&u?D%B9ShILY2(HpmJ>*)zL0P$(@M5|($xS#j&-qGBA8@aXqtUre|x6-T{TN$ zu(8kb!{Ogqk@OP#>}UNFu;+Y{a|;Hjo!eNEg)MtUgzu(-{?F)-xvZ1s&59B2K34e; zy@m;mqnnxc^#2kNSibl&wVWtNirln7vfx`rF6ZU#k}+^tG|{oFAho7ni0uI`@Br4%ycbG1v(DBqf`FS8=zpTybpR%0d2ivWV- zGPkdSHrBa{0p@-zkx*B#h*w}p80>@&U8+O-T7XdvJ8a^T62GbU?WQ1Ul68K@j%$|m zD2ySlyJdLs#QhZGLlXjWh zo#D;G+RkcmMEkJ^k!9dz(N_O{S?UAiwF_w(k@$|#MhC9}$!(kZOdxYd#~=x@318hMRlI;Lx>oKa zq>KzU*}D5o`7hqtU)%Rg{^GLB15cdT2FK8_s=QH1K7BwN*`df%4ENWVQlE&Soa}k$ z5N?ro%I80B?met=?uHV>Sq6s!KVp@PCVh`b#_KoDy?;YMv(KI6 z%cCYkc6G9YoN32Nief9)Yzf1-GE~c%#cBjPTIRn*F*_1-m-uljP5Vec8CPf6`OkKn zp!DwD?oo$pIC4T}#K}n+KJ;$%y|G*sm`H}B4vnE9_lHH$TrIQW^yXc*f*nPHk7CIg z3MivcVudnD_dkXCr(2o9VtZNqtKWrH8dLKYou2DD`Yh{H+|QUnqHi}r5j4#&Y~Xhkd@5mx3$2`<*0${68Ws1QciV?IXk%GADmnR%+e&4Jv^ZN%!mg*@fG# zP9`J*k6&90ytN}P%;;!yyP)1dU`j>gTVcqnV2#I9E=j^(lpx-3)`b2~4;>j(+w}w- z{{)y?W`}KAIZd=HFeB7HmiIF)86CYGIX2|5Ohu{bQaVLOXY(3tO1ph*7PbsQs)bFX zZr`ZG54Ee^R@59!Z#KD{(hV0u0mrYqrk*-`O_g-Js*1ivNGflJj@^E$7EW^)nk#_m zS6{qT6TeixOYI*LWQKd|patTUyCLOCzMS;2^%8bq&7H!ci9t11dF?4-O4y@?NBedO(t5-jvBCLv>bB>~DR^|QMA0S!m z`?k64^dZOYhGE+2I~B>szAHro#>Ug~{riLAqQp8=@(~GPg#XXqi@~s#QFr3s4uzg6 z!%4n{=fTWokAQ+XGEUZ;XU$y?LeuwZZbY*m67ITA8*}y>gj2~o%)@pt;e>Gwtb65?bQ*F=nJymk# ziBl#-Mts?K=(!g}y&9IC}nzX9~hOCq<=@3B!% z3w}{c($d*RnQFVhefpVY3jCMpq!Qi=C)EWG$U!F;<~DhVbf8p6YI-EQZ_sDWZJH>@ zrUS*F~gYGtfhEFLt+gBnX#-|(@PHxW5uW9^y7yeza#UdA`k~Jx&Lw9+U3ZvHFa6w zDmvkqajSR*`=YC^|2{{;(JX~^+^L+)fYM&PIx2-M`gKu$ND9GdxrSn^p5x3X&~WS_ zgJ!5O)o&tP^sm2#yv0lo!~wmIgwFZ%{N*j_V0BJ@}9u7 z6mn^IGY-7gjH4I)*8HOym%m;`&B+eWoUXo5L%;g9wLa?9FlzbFmXNbx{J;OYGmzN2 zWfA{L0A{C7$By2{j(WAhU(5X@P|fDiUhbT!AEAx)82Csz<{mq|&0Oi-PgHKaipGbC zR@Bj5D-`)|(8aoKWJMZn;2qA)OJ>i^$1c9L^p3M<7HWPnuFST(5N1V#YAoB-0g8`GOx7a*g^=HYo z=c38e^)e`)6f#@9Muv6&5c2|;Wy#5!Y)Rt&PlxnBB;PX_E-AooDYHyYi7^%hyRDeB zKv~t#Tn!`+jwarGm7n3$FHpSP*DuWRW|C`5;yWysH43?Pj`Uns3|bMU1p)Kk;GVbU zt?JyQCgLooxb!?SiDmpEJYPmSGIe#ZtO2LqoSrBHB>ryS4^YdKJ}pgvoG5vb z_)(IKF9mb9*H*^0#IUyWtLWw;s-s~y(M1ARzUlJi(pj4fRr~f(2AfH?HfW59!6x#H zc5#1XjqT=#|5@1&5UUddIQDrmgKDQ;DP&LdN(``43JI zk-U=B>ASWcxPB5}88V7$7)RuV=y2_QVO@@KcYpU=sfOF#igzrY#`?BTuWYkTPMaQ~iS7*B?rPF(*O3Gt&?Q`r-eL-I4I)wNTxC0k zZ8a%9KmQTr_~hFF*%`y^ua61A=%;kk1oclq62D@#%AwWgrgk5?{(Yw2P9E@@l{TKb zD!-O1vVX?-_gKS}NumV}M?YdKES)xYw&7FtyA9UwGv2jzt5Mg_!gWv5&*ZB79tSfq zjvKeo{-~d%U)mOm)qpg0_3-71j34Gvy6_A3i%W3g3OkPG3<6nyXLE-6Cu!GFit0%- zJf-3y-QDmwQcZ9Fsq;O=ca%<5pRBBEi>%wb?&s*o&C*ktaeIvG2Y?(?$7l~idVnik z&hoF^H+-62Rz{iBW?%1;c&={6oGo`t-t1c9ak8&P%yFB01)YDyWA3_ox13^~-U?h9 znlPR=sCRw&_k&btp+v)@IS0QACJPDJYeS>LF6Y^|767Y`fYhlRoy1Xak_DC*zHQBXZ^OJT})P^O`3_!%7&(PQxX6;B|*0QRN8a4 zE--Lez})D8#TD(2yIlca9T}Ya+NMb7fa?jYtE^7GPnNzYg1t7|r2&nvDgo7`VtPjs_eQfumFz2eKTo1qzd@BZOvx>WjZJKXwv2(iRW zO?D7cu|C*S)bb|@b%PcpP|TU(EQ;BP;QBCfdX<1HW<}DHyQluQc=_0mIw03LuI{F# z&;f8DLkQwJTK;7{@qI7RU2R_MVit0jc+>OCDXdDe9taV&Us3W$71o@tRB@%w#nu^= zb+4rb-B%L;4|{kT!&e%C;03EZJ3G=y)EepTYlbfsB(d{im*|4dzPHOuP+&QUJzu!6 z`|83F^k?IkFD323V@@1sI?KY|?qsBWX(5YpvNL~Zlk)nF+B3?w_0U1<7xM(g`GLWP z8f{jwIh3*laStim0>WG7)Qq@NNDxo$HJQ^Wy_I!h*0(vtf^{BhzgLac-cs?RKjOOh z>p3v=#MPso&ryE{S!QwvR;4-qSZVfl=LeCW@Tu2^#o^tXBWXYU zOM%l`TlXtu&VdK00NN8JS}$7O>wxk?0XSXp3!K@Der4EG^+30cid_4;_b6>NxE(A? zDAd7BVVW(t>XSU7eZh9U)fiMVuO!FEUJ}uG+e9QttP8JWr=gKdnyCBlo)AZiHgpvcPt&6LQNY~s1hOd)d=J8>_*&B ztP-z@akxerRPChJCbp+qo`j2Hu46LLV?W6HTX*@8YPYi)r6gSF=DWaTIP(5SGb&WL z(61Ig&C%xbG*AOswJq{Q=c$5-Qoebyv13evd!$$kn{KpL;=qAzsZ5#)qvjG3hh)mK z!u#G<$Pnl3p8`Towy?&~sBZTQ6YGyoZ)q3(2HVbJg1aGxo_07p zB*!?idANra?GqD;POVhMMTe9^fAH^TWkz|I9_O2I_A+Ina7Dpep3_p@w)klmSudH(;<>6DO0nAt4_>3NU>@X_qqh{aZ{#cja!LFd>5$qf#(a1UY?s64F`K`2X zr?TXVOeQ?sldWsFz^c$y0~*MJ^A43tU1xGSzP}AiWb(;@wwqfy-Nm>B(e+OJm?{<# zBMKzyyo%;c+|du>Dqj%kD+e3cPo-;evdIUM!Q6I{&zqfsc*iFA(j-TZ=-eY*Kfj)< ziSvjVzS(8at8nLK++WR4_D^l=f@|z(K2&K4CfA@~I{GyF@mL>@SeyM5!crO5Cb2su zYZUk|&-uN>YnP*X1&g{Td-%R1SC$eAl(pzK{CUjfo{yf(`chsMO2fsl;O}n)a(~^i z8W+(O&8(kc3VN}9J7|Wan}Hihj=CtfxitmX*kow(RkoDrmG>U~yDq0_r`zZGvh zxMG4UzHkd|3|bf*?32{O?Pz}Vu1(9!eBs6vOyw6X}of zPn@<$Xs>=qeRp<^_sDC6cCVj1%(AlA1kHYwsLNtR^bQ(8V_a~MJ81cRSD1{{6{_MZ zmC(j~;{1;UBriE?&W8QwD5hRNz_7E8>e!YraG*V&I(~DNnEOVlv-@;A-1n&3yw7{c z*yRenP}@>zu|#W)?0Si_L~WS_>sUvaHSVm5S%2hdsUB+PU{5jD`jHB7(i1rSRHYSC zqe7iiHt>t@RiK&vRcihk9fo0^=%7dBf~dP^51&wI)DkQ`C>HTH!!e~Y9GPW5pa6Ut zp|?{vYa}f;BSRuso@v4R-Rmq?C>UJ4F#MPz5Y50#F$G+sH4N!yk3eSmC1m2xy7JRG zTEY3rZ-Pfx~Iazv(;>B8k$1M83VNp!Za<;ZQjj ziy1cEJ$GtdfkMZyMo0My0sob=R+5T?+L0!!?{vla?YhBNnn`UU1vtwcY9@jYZuFy? zem0nob*7O_QY$H)-1W&#zPoE18Rv6Lg*vwkFuL^l5H}6dy^&J%cq6d*P2zK!k1x%YD|>G68K@zoxOi{{ z!9h;Muf)ep{Na_Oy~j@f8OnsdhU(aba3DCNBr|*Ik4EkAeM>G{?O3dc%;xJkUBoP{ z=Cf(WaM=!Q@FK(_-1v=XB1Q4^TnI6LSL%c~WdxP-SK~^7Mi6(GntEnqC+nKfB@`Rw z((wK8f;qnUYmV{wEOVA{SY6|KXW8Yme)%TTr7b|>%Gc&g;SY%Vpzzd~D3%Iv%(d_Y`VvjIf7F!E( zk97l8%cbK%C01VX&a}3YF}NaCuFvYO40?U#^?#(o>QZRZTY22~+#fqLY{B4HIa>%+ zO;7im87VJEfL8a}>dF_{)tu1o%R{~r-5oDY^!;&p5JPwOxrZO|Xz&5mG1X=5BCk8K z0NF4+C$?>sNik5jExFbB{qjck;c;Fp4QKvHC$G5U`>JI9O27Fd(^Zmy5L`M60a=ha zPYc>?=V=8;5l6UV@u(fU4RIr$_P^=)v0O|GMD9UVcz>gX$ieu4o%TgNdmDv%puNls zqnu6wOYrmzL>eJ!;Gd~^V&svE;DdWc-EJ*Cq!q>(Rm#+^gedIi(oa-BSdy06=@)K& zHocaRTH`uoeBxGTe39B5-WXa+^1{w0lWIenE;2J~J7#b^Ep1}Pe#ge%)egjYnHhBX zWnANA?~Kzt*B;NKXS@NwQQWtL_KBy^vhihY4yjld@!Q9QjsABvF_qN3w4UH6$Ur`W zhh@b)?lyt0>n8#PfrK>y09fb|_ugI9>g0hy(cf*E2c}`xwIR!=l?`}8erm^mgYv8m zC#W=yJ~GMRpkos{!5?cjIezRtJ9_N>>i(B>M2ki&n9<0PWqnv^1gXD!UDG_xjJ?d(fWorZlL%@sIdPc)A=Rgs***lDcqNUa0VOiz&dEfR z)yqt40~>XGM3KAR{vTC?qdrI(}!eYA^4@9FS~#}9BWw;@_a@{+bTm_@E#tyqr9 zhmy9^?A(G?4Ymql0n*Cw^u`7qHO{RkD)t~ZM%s3UJ=LXxS5t|Cfr9vig4o$;_B-Piun+BladUhp= zAazbtlKE3v@uk~_Mu%&qs;xh9zCoSU*N&{dqP`PHUkx%1qf$kOo{zQ0YgU??320P= z!#YY-m6QjI-&pz4L!Xzw`IY-8l5Z}>c4(3B19Qii2j50O$aXjA!UVPT)V;gs4Fltf z&S+k_`CMM?72aj}u*17=MWxZ6FZf})Q_|Vr_&uwbD3xIQax+T6Z^>`AngI8K(%5DD zRC<(bV(MWYA(PP?4%(Zq_#&ZznLf3`H*w*!6ghHA5LAas-4PFnYSzopSqoKLoX%cE zV_g-pyz&`x`}NPmkc3<}Al6kwxwslZ112P&(%rWfWGgP?s^Bx=3Rrv2ws=*}6t96t z`rx#`jVR0mrW5`#zc@tTZwFxt*CrzYhdqhIoQ!4zW8{d=6v8x>Q(pN2$|5rKl6EAO zz>1lnI+?h**p?Hn&1Nha@|>JmqfD$v?oEx6lh4|p5BVB#v1Wv192RDU8f+SZLyVA= z+x*TBsieTA+9cRSLsxE>M2%-k8BsE&14(}1OuuQ02}cp*rtA8b7l@D1qJTQPblXRh zjz%*XGB@H1*{lrPw-0vIOt0JKR5JhPw=L~2c39wz0BA56)*bGr#XMpfQ}sl+w}EFZhwajA(xk6>>+@=Z5|;DMzpf`gc9{7@yih3+9} zfVYw{Nsf+J#>tjlDBp0e=da7umMj%Q*reH)cO~|foCHJHym0h9_IjS}3VUUM&V1@c z*)g_%!z7pDx0Pb|E`xNJtx|ypVzj=h(>nSjNs}??dDbRc|Kec_ z;MMw3AUEzWymCXFzr2*y|l!LwvM;dhiMKO8!f*f7_#ff93~sZN~)UIGoO(xYzMs+ z5O@$q?fdA5j-t@Wa|@VC??m-XOzaswr!Yj-bNeOD*a9R_tExUZbi8xE(C=rR)Nr;H z>Ew>gEo!OdpAx5ZBkgSJuixiyj9ZtydW`=z;x^G)eE_(iZfyn%)aj=fUOGRd|{r7`mX@T6#K2Xk# z!;uTzF@7fS>GiGPm^9s9yLePa^WyBt*(s=G@))`8{Z%zu8VT3z;6a7=iFf{;F}0xrju)|)==oGrJz|G>zdw#^2= z1n}LzA9DZhF-rn6r~!eQ3Z!&{b~>2#UR$2cmD%L4nx5I?P!-Tsh@a+uY0Zc>f#R z)SDe}?1l&wb6kf(R>3hBcc<7fFyveWi#!Ab-ObL!?~!FtrEcs#aD*^e-FaNhV0@`I z+cGLU39ywCiqO{dU6l+QS04)X$3BB%FK(ch_0{S%_BVh~Ny!V=%<iKQGT{ILx!aLHr|nMWimy^%!}Q zVQa8{+N}dK$Yb>O|1gP5W-|{fapQd{9ESv^eJ?td;C4o-&u(d|;za#v#dLWo&&0P< z4BM*_>KS!i07*iFeep_<4PpY_QJNw%0{LO5Hcgo=c zJb;zEFn*Vc2qaNR}^w|D1>F5#a|G4=3b<^ ze|7HGncgbXEW1}a8#Rsl2lU-Zy|9dHv3Rx2tNUh->nV`GZHr(8L8q-!;`8Bh0DBFC zV)tR7pqmJQYTBX=r2G_$?ZsjSuvZq!fioC!ue*8CY#BRv@7V0y~li zq@22i8oJZyyu7a)=A7&$b3xb>G+-;(4Xz!PuKjObW#!#(By$5=bgAfInywV~Iq1{) z$aS6d-K=AC(>>>65W`kL_Pdh%%iRl_p8?@$IvYr}PVR7d1@bvTj5#sXMOnFbFL`>+ zWvah^e*H4e$@SZdOGg>_(^US`X-9f_j56IC@TbTMen8g{ExlkT1iij;NLrebO`E#u zMHd+28Oxz|qc1e}(I4M^8AofINky5GKo!9B+qcJ-bDO?`KqQ3a-ncUN!=Y)-sAg`E zVlD}9S=Ie+*&x6e!XjcR$r7}g44x?4O)3Tk@1ovD&9Rrxy;PAo zOz9q&A(^y*QSKgKFr6LB^X$aAXieskoB?X~t=Tzuim*%!ks`IX-X`1`vUM2*Skr!?r zhQ5Q}N1&kos4?Ezj=sV6iZ9Q%ft}d9>5|bhZwFI+WW@d_sBoZX8oT?r$?4V_@cuI0 z8-Q6qgko1nA*gk4colMrGArP%SkCGx1bs*fz1!#o-k;#c)FWwoDd>j1R`O-}ggZT> zy`gsm+{HuH21NVQTS4UaV<8Z%TJ&{HAZGE)cMDNHnB&rE&mdHGFm9SnAzrHhs2y+od(^Gq+>A| zUXq(%kq-xlT7#~Cx4l`g;6W7eYSaqB;bLdp8yr_z4+o`kj5l@PGgM*s@sjN)GDpQP zjoGj)v*5(x9TS3^2owN|1VhotQ>tuKN%hoLOwBewGr$a zm^#t9>D5=C$DDMXya~9*WO+lcca~8&=7!^G0oW1HP$+Ua4|8$I3%N#ugAgZKyg_%E zo6RiTm%{_jF_}G+l50xh_jv9X-pNQMbLXepWmi z?mU$2A&?+0raTMxUJV*kCU#j9ZN@*d$yXwF@SGENFLM~@x13H&GVRD6iBK#zAZrM;uLcZ z00tu9*od2TAQlAQBE2|`$KGUNFUD>Swt?1bQ0!(IDYh3Xaqk~Ac(rL}9eACN-J~0G zMx`pE&pPhcz4v>$zN2fmH=m7KW*4S(I-J#mi zc;DL7Af)>UIzb&t6c^tSciN2}(g&e8aA>hLfE$DTb0Dtl)v)E?3mY1CnD(k2y5}(H zQR?sRyUBRW4G05c)pd#AnaiC{KIV5Y)gR3T#$B1GVqB>x5w@U6%Hd?M?bD$1={(3) zQ)1wU#7oX12LS1n-FALW-6>`R1!***y%_;RF*kMH=v&S$uM1onPcArmyDsRe3S|5i ziixhn9@Jqs(t)>GRoj3cpPc~!yZPJ4UVpH&SgI%3ZG?9nV4fb1-k67Dj?y7l6OKTw zxzpNpgypwO*OIrU#a)L>ipAY&)Ip_4*CwVZ(EY(si|W?~2#RTPoH+=2j6h`ornOgj zp_uKWuVBF9l6DP-Z3hR#Mc%&{=17kIJ+|hu2=VU*h$1$N050~0^9oPSV}3XE$u1Dx zr=C09EC!s@f+iQvPYdBX6`=u`6ZIw2?mJ56wD}i#Mk4d*fD}w)tsa0Z^mSe*qkbUy z{R#|negzA{Mnv%3S>_yfKp``kQ@!x+yL0w#6p}&337~=zbq8(LIJPFA4v=s6j^5eN zkKCK6rleiYYESWw?L*$5NieQU#jFBLDIZa3E(hIni_|av53F|PAy+NXn?pEe1&qC& zhjt0X&4;%tuKH*Hjo!i(paKQ4Cn7-1EN}Pi0Rbvj`P za+eGWy2=9GV@RZ^maX=q&F#>Hy$R{qU@*%ZfN&IZK^?0HoukaA zp*KIj@6^ivV~b!lnx!xaNdqn)#A>e~;80IN((BXida3@N3?v-=8F(G~4EC&Tpy<+b z^gdM=1yl4)tCjp$M$!}&54p59MUe%~m6yWkkP&0ev$CRP3l7~ApKU*E21rfYe%F}O zh2HICiD0Lx=3Y57e6W*<9Xon5uzgP*E_g$BA@Px!)H5Bp?TWQhyE2TpD+8W>Cd%u- zKE)Ozu*q%PRKm)&ns!N`%2d{8Cj42jZ-}kSvi1yK@;Um%=*YP1lAcsy;_+R<2Zn!M zCX3rgpZx*yD@bWNGUPSvsAD^YA-D3nEnlM*j|>pJ^w-wZ+aJuToOOKnWmynmNoBOU-z7J`y&vD3A1tq(N+^2&v>EMe9>>Zt{%-tCPQwE;X zUfo>S-mC^lnK}?&p+&HVBAk+!0TP261#{CaV&Xsv&4GUbU5qk6&OKI;88EFo}K>sbX?USE4&pV1hSx#T%ctvdSj5~`8cQiPqeGV-b$eA}oYM3n)AOS4i zzn(tfwfD(`k*Y6?xBjHtzcvY3lVvwbyByliXiQVANf$Dq`abp1OinODM%U1IUM-a1AtITpZa|9WO~F+HaAyFY`^KS29&Lw93&;RY?U+g z2Q)UstHQf7`_Cz=!tZJVH5^P0+>26p+x5J#It} zm5>X8v>Og%lj2%TS=ZBb0=7BaTRHPCC2>uu}J2L>_YWYTm2M-_A%?n%lctEg zXWxvw{z>+&MsQjeV~!NMphsS2PL+0*MGL4yQlWoP+#q0W8RCJYM)9v(rcGzYif0RT zN>S$RBQN@MO^|;9`)q-Ef~BYKu6~xgmoF}NmzlP5)04w@%jCCbcx#U;2s*g|VUM82 z8?f>rA49(;%*-O~-5wE(|Gq1`P(HFr4 zOk#e|6lGQ)xUX(_4jTKgpWd`ytK7Bz3BW&9Wr$XBJPhNyP2e=>?2sk;I|S(ab+a!z zw0g5o91PSr(2;fEhnPtwi%7iLRR6m^&UMBDq6D35eDr14^XE!t9~Dg6khtLjM681cDvVWfbvNh%0`gcU83$r48qJ+Qx?9(Y~JCRp}N_x_XLe$jJ^M^jVr3YvYg zC%7IBKZa$tO7kg2-l!<6Ya-1_(o)EaM%~kL-jGdZNv!Q9LkgBT(4?&Vn=+t{y)vob zot!Q(BS`*crz${jxW#NMxlO6=I1N^u3I*KhkQ(1kd-2@J?_2Xs=kcRA+Qjw++0~$+ zX_N+LuWlQwar=BEuRg$;FM~|Nip2eaGf;|kVn^2ffv2~e9%<&PEj@XIz`h_!l&|&h zbBk48Wp)0lVo0>%os$TQ^_1nDZFL8K{h#Xe`-@9-KRB(MDQG$)y^&WMJQ3v2F|!o= z{(*h#OdT$df^Y>$5q2N4-*Wlak@1w>*dMvSs-1S?+_>B(QG`pkvR1&kXy&tsG)7Un z3@|FGOHSq3J6@;;nfsmprSqx!oPK#>hI^ZI<5|1d|;7d0;ZU4_O4Ddcri7Jb?2Ysn>FehNKvbt|Fg`X@9-^}}B-aTQ9Twd_>c*`UO-`SM5L3ivq1`*8{i%OV4y|+yDF5TAIsOJ(* z5B>X2Vfp*BPaGm6CbDuhbO)P00|$yPVA?>7yQfv3fV2mh8^@b%=oVb^f>`HvgZA7W z5GWKx! zC%mFfzS%PDvvtx?8{g_HWm!AmZs1M{CC5-)@Q22XPC8H6$K<{DqmI-ior z9k$er!U4O)#eoF-Cz7da-2P=wpKN=I_98x+z1i`u3p{SO*@7P!o5PdQOH|UI0!4ez zs~vK~-JYZAIwV|;7vL?8;3V*Y&5zv2i8U%$A#az5tqF?oterOK_8zHAj`+>KiJRW* zldZyG+@*lUF=|)gj;(soT(!THJ9gx)BVwP&3;W+@J6O*K;zXzMA~^26wVtuhW}qS| z#MjSdNjA9$eqV4o3!B=#OD`NTv3;t$W}f>S8Ds&!3NBIMyqOg1uJB9W4i09l4s_zY zvFH>Y@rrv$3dkKf#GmtiP|wbi8JHc8rcV4#F+)9b(vvb|qW-sON-T#u=bIT}s>$+l z5BMqGQ!dwY>aSAXeL$~OncMoU_Y(69erT;qH>z60&m;ORf5+fOTr)fi^%`6TLzE;sDzO!|{% zcYTB32bUoK8#~2Xl|R%@@VAe*Hnq>cqgzS>4>eN3wYLi`r}FprYj?_Keqx_2bN`N+ zgxuU58&NBfMf`HYW$I5`tD4=G%}|Mw1-@*JIRZzb`~Ad=qo! zvV#`JRg)lYZj{ugIL(R&hEu?fQ0$4N`TgHbh;@ERQq=*tthG3nZ3Px z;&w~or~gE#ol7mtP3jtbQ>Fh7M#m|qQ~ml%0s?J}Lw8vjB~&MVuPL9m{W^q29vB0} zEN%TKU*R?3{{|kF-v9HthytJbpJIZU|4nOus9I9_A1Jv6>q9ijP;LFn@h&4RC&JwG zM9=_#$!tfv%wGt_S?uPh z-$>FePayROqHowC|1D1ouyKDU0eQ3v>yThd5Yj@W zUeQfvjMe78Ida(u&imqz)+UNHF|jwU>>eMC+O|=eSF%4Be5AR-3fyf~B=sIK+xtq) z6CL6-KrJt%;ImSPR-tvLA~27)+)c1T=flzM#Y7+^j!CFhzm~4?r7Xn_#soXsbJ6l< zh#@9)Z5o&Nmlhvk{OsxG$S4i1JRm_X>e{89<*j?3I{B5w`Osz6bOMkCPUu`HG~)J5m_tJLsQ&0O(T|w8^^B___Mf<`(a7)yYLx&PRn3m*9j-3RS1 zqs$uGu_oJet%79MFB{)}@+fRe?r*VnR_;;E?PVO*V1yr^= z0k>PHY*7~a@UtT={Df|z06omLx82YE*jI@Dw`!3~PAoIT4V z*d{vE7?P|O-Y#O6S}%GM7Srentd(33=?$;lRijI5v^m46&7bPo z0+Ql%Unk8|?{I0~ql7@OEQsoDQz!Ewpoj7e_P*>C4eaKS^4JmFRdSJ0UPf2z)EP(z zXZxOycN?J9jMe0e(Bsb#kmI0Jji-VOo6wD^e~-y~^d-O>7s0D>P^%?z$7)MSK+?&g zu8mj;kC5}GqxVLvkp~X-#LuJifLyh^?fDF!$O?^|2PzGXkxQckLox-D2Oq|$IEq93ExQ0{Hfdx$Z~12Eu@Z+Ln?)Xz`Y-t=|LV}{{7!-ldPw8& zx0KXlw!VsA<}kx!yNi1Uua%Rnx(ArqTmE%FDb(|=SfXpHx0>l~7kT&L&~nlkg28Ps zDU`H6Ya`gs&>}Blp}xsebHs;94#Y_OQ4@3cwMX2joVFP+Exy=SNP{YqM)uGlGyoaT zj69OouG6Lw{`*0LtB&ubWnEzggL`p>5!{od}YZiF9rJyfjhP($cT5KWWwWYnyVAiBLrnWt(njd5H1YT> zv5ICrcg@5u&jGr}nTueJBjk#zfs1Xamz(uD=NFf9OUE2=4NmG2mqMY;QM0Lf?qXcY zQ@nTM`(fHrzUBLzCyYGqYkRI$>Z$p8N4b${UVY1U$Z zPYDtKiZW&PgS+@VA}O0ygzU*Wr2!t=HThsU+c+|J5&vq<_@MW`xVLbzH$V0s!Psf= z__MSSe#`2<$JE$~Ky=d#{$h=f7mZID)t~~$4`_&R>pEOG*hjl-`kR@!&3r%lUZ>-! zOFg!5v6wFT3DRsNJl4wTLM)q08G9u93hsoZyC=+4qJrM&%pUANRYE4?eX6c5C*WO< z(3i+>zdZ`Ed#w?}w^2LjZ;8s^=N803ESqvFS)q`!9N-P=(OknyEV0P2xlL#Z{oNgU zBsKd!!%9B$Q5<`=>Kf)B5#BmT`h<~hH~Tr=>Q#zhG{kMSuzTo8^n;e=bY4Bc184I~ z!?U`|m|)y3b`49t0wx=WEyD|VpkX3dYlDOX79JLbFXlc1 zES|Ug(g94e%cA^p(QL_uTAwXOa7j-i>Aq)?=S{#4-fa^E`@7XL!k^L99CtzKc&=-`$f?i|Rh?gN#a*&rfoU=| zQu;VTi^58CLgA*Oo5C)uFUD&Efh%IWDDy1vhQg)4QPN!weBHJ8RK=inv^ZGp2j{&T<#Z$(KkJ-*bB<=?-a1V@TWMIRux%~SX zA9m-o)n37xnB=Q>is4CT|9nxrD{|jsJH@AJAm2x?8XVd477Y|!H+jvl=UwSszm})N z0q8M54<0-UNHMIBTA$r1HX0J16u}tl#ez6yUI-_s&7ZV*NA(NVJYS!QFGU=%mZJvN zD&IT=t-D;hD3^&O# zam#?ls>(Cqa}2FF?R_dAC41TXc%6_(-py}I@NR*dpzc>R#mMTcj=a&4 zm?&SN5%=#x>W!9tiZD^EI>ZnZYBlNt)afD&q+o)XOy|!)M8~lr@Sg8P>I``m0DL2{jAhQ54412l3-S;S=5(fkt4)UM#W^E28m?3ApRntFV%$22p=uO) zcxEpBb!wXNim+_-W=%si&bH%#?ZW$hB)#9S<(Tatv8!8R+ZK?${7|2cptk?h?~B|O zZi%%l+2(bvjD9J5@x}cG_`_^aezd-?p)TVV=`5D)D$jLwBXh=hrpT^WCZPI+t8h)W z&T$iUSNst}sL;^6&aMSdb*@EPbK=p#D|-~fsA)0}6c5wE829dokDVB?T|9op{&^2l z(LB;U?i*OqSDtOZn>ovPK<3Jz*)S?z4k6d8edt~t5kdy+w8`le*>-m#_?%gg9zgH3 z3-vzeD-5?GZKkEKs%1`hH*`2R5~>Q(@cT|(odRVaDaXZi8qU5I6O4wc5c&JfSZVXz z^8x^6wqM2cjgNdj{PErQl2cVomEHYOX=31&7Mc}nXL*F(jfozCURdO%PhSHo$y+rs zvhGRC3-|qYyAK^RG_=MnHJREiwLpE`>6uaiKGG@3Nxv{b0<+~%|ZL*p!Vg<=%qszqnQ#X6|XkhGLT^b z-RyF%XtObXfq$CE)8@;$bx2`NB)xAk_~+Q|23DWbzK z8_yBCW+L}ViZVq%_j)JkGRW7wqkrWB?)AdgL5Iwbu>h+WfE5VJJj#pC&gCLbDE zR6p6l5M|33YP?YS3;SCJ39dA!ZyNey>hu!w;(t!(Jec0%I2d#oY=0Vzf7i=k`gYn$ z{VxYq;G?|NszrT@i#LdfcXeEeT-sNlZ{{&R@vp;uzMHf_4N0P5W(3dx<; zR^|89*03NVO0>m83dJ-=$DKops5E5CoCfYkiq=0qCkUQK;Q1>|St&k>z`p}>QryHJ zty6_|xY`QYf5I13P3Gi$Gqi$XWuaNmy(%ID-}Rh^dXEjNIe$>i7(S2S>iC}@lYz!Z}X2i0#&uJor=uxB*vfU zdm}@Te2-qdv3gbG5nYIAR&3KZND#duE2WREv#!~s;Rw0Ls^EK9LGMV*uz9Hb7~}Js zb+eznry4!qA@7b}cvQw@bwl4r$Jvn|Fj*GjuKZ&bsjcWvY0BsEvCpOUaV~#IiIT#<*L4S%5bjFx{cD7jH~J0CEL&EJTm+CK$uJ6&>Z!ga+z zFYY_J@^7@Zo9Kf}MW)O=(tQsQmr8Uu$&RDQA{nM5GhiDgdJ`Lw6U%M*O^q+(n6&E% zn_a-MIX1|`5l5KF^M^iC50Zs>XTioR>U~pM83E79paNfhV@*$$;~aVux5;hk!yL$L zhiMs$|GSDR9ax9r&Z#C<`$43JJMn7dsN`K`7E_JqaSov(8+!YJ5Sn}M;L#G=Qt2F$ z{n6Bz4`RosXuFLq&S6drNLAQvQbEZyxZS4iefvRKZkUrMvRiK=x>;t2(>Of|mC^6H z7Jx2i$RBzB8x6O~4D?zV5hHzMx5=P<-xSelfJ&B=h?3J=YN##c>#10?W*4Cr^(^9!aSkC4t zkD*-#$H-?n3uzZ?!)X`e(PMr#*jmDL5_=*#Co=8~SH~PzUoL=1!bTWXYWJFJX0bQY zZMRyT<3f{lB-!?$n0-LSB^SDo`N~||ns-gI=#8U9Y&?j(azxK4NhLT?^2%Ci!q;Vo zYtw9thqIb9pJnjOBF4M&7r8~rH+cJ~8HZstwR#sUwmKqqe-|<;@{I+%wI~0#yhXLc zW?eASP`Lw=j7Dif0jM@+5RHg}UUv%HCj&qB&^@@*|DEQV?;IK55vyzQLB#fzTXjcsQGB5Ev&Ogtsq zq;8boT+ec5&pmZ!YqxNEFsPW-p(mY-XpR_Wk|UgzbR8Lkv|~yBubQS3^3Qh%kxKs* zq4`M|YoK_)K8fU~lj>M{t7`X^_b0=*lz724y2@Ekr>f2Yf4v@7K-2Kn@%dTH@bbaF zf%WZA`@doa3B0Q>F%{u@pYTK_^)E~@|NVQU7Dh?d^sgC}Q%vLdl)s3o^ke-lR zuE2LUM;m5Xx9qh++yc$7^Djpn$`cBBmrA|CJ*d)3)3{eU^lF`oJUw=9e)w3 zLo@>@DA-q~j$HcpIH3xm7u00S!Tz{7WJg;CdtZQGFAGrOqtjOcw*DDOlbzDC%a;jR zzB$u+aHC7QrSdSJ<2Ae2Y=V-jbS4@Jt3TN*bHksje4WV8Fi0o4M zxYieuu)3{De0sO7mgYYKRn3WLOqO6pmtCY&kunRSnNgn@%{jL*X~K#yB{(^a#_wwO zyUufc#tDtoLP;vLgsfr}2-IDp^S1>{K{FvQtFA6(;h>HecSK(qDyK}&tW(mf&VA>$*MLu1z!xno%QHkIk}KkD zhJ2rlOZno*>@RU6p)Pd&SBi$nTZRqs)?B}$=1ArsE0yYGbLiN|eL~DCRC9zUYhm1_ zQ4?G)MW9}{uL{DOQ`!IGnj(h#IF_<-$AKBjO4jh` zf+aE>zAPf1zK)~chgCls{k+Gvcxj@xIVJ+*XfUMed%66tKU6UP)~G{ET)1SiKxP&w zGzKI7H`f%S;)kVs^hg-|JG#byd2c9B8WH1hA8dOXLQCbH+--Yv1(Fwtg& z+G<5<5csO_T!-*gfoI8^UMpp33R(6($C__j!g_(D&+%Zk*j+G@AYI^xEMcV${ET8 zI?j)yPu4a!+NXjq=`@B=q594;fKdI}iUoq4)MdKnMu;`2$N0Y@N7)9eyJYCwW~-Ks zP|_)phpbc@53*F@voyEm=GOu(Gn_1+Kj3@bELD9}E)6Srr%r{is}(NLg2%V(AD}vU0P|0e7eBW z)Y9p~4b3Y;L5K?Vcibl5$ShY` zB`&I zEWi7OD^7mC>uRC0-SbR-KW2S3vZxDNgBF-67@x!(32->rP@F8nL&*@(lyyuXsfCNt#@ryOfj4A68^pa>W63 zqA;hz6(c!W&)WC-+XarugGCoUAP|k$X%#TaMX=a-gs29Vi{>NB8uAVuA^Rzm*pWMlf& zUQQ-)k)3!(jhayd4BR54v~Hw5vUomI1xbYbkbw)>&!c5&t73njw?HLi-CcbbcP#3l z6X!4OY~nc#6i4Jkr<_mX;;be^U%N~ey#P~TBATC(Qb~NW>?2FdgAP8nP-~pOYPH&G zlrQuf;tJ-1^NaYPq&5|OZZ~@Z61nyS#IW1NTtZ#ns@hUq2IM|tujxhMC;fb4pPJsq zk%##8SLJHfUX^09A6e}!#>qy{&gFvTK8ugBkk^Dl=FL;k#BvZUQuY9m1AybfblXD<5KBL32#&E$v!_)_aVnw+ zL~r10?i)A&oqgq4gmQZ>?1mu4kQRc_OT8X3*puxwA4QJGLc*8XOJPEd_44FsnY2vH zq=$gh!kbw9&t${XV)y%LGzM8at8d!4^d+J#g=m9VgwK2OmZ-U{lgYO!X!}z%;#mfS z+PSAaN;yYA65tbC#NJ?vxKfs|v9tcoXgIC?1nay|`4g59psmc`j0L_goRjyS>MRTe*uu#Sam z3JI>4`28uQ^in3QRg# zul|JPPa|aY3=XN<1;Mit%mv#*5g@R?>g&htT=9$2uj%VGGkGLOJXfS7|4x6n!~JIz zef%}1c(+;Qqezb8&1fM7xPLIEXpxoH+6_c&zX5l7h-c^u?OiI(5wau&Sps}n2Ce2< z{BnQh%{&~3Q51n3`7&T1m?_@p*#qUt*s%kO`{nJRpHK4XQ<+lE18?J+YHuW~AsA3&FdKhXBC?9{E zq0v0sv-`C3$!T@wU0je35Fkd-_E>vlpi<7Cax8S&w?-5uBTAv?aiRt*Vf`rz@OE$( zXb;8iCrWwPN7AZatO`RekhVJh+EKT(2RBZH7J+#f7%8iwLgt2$U`OKTOnhoo!g?Ad zCGNZ{E^n!(m~iSJQUV)-m}N)4y2@Q3CJ~*cVX~cNE^`cj--tbped~}*f9FXO!6GSd zZXYIazf~C$%x*Y;#d@5uYPR3d`ih-mu}l-G5Q>%_=rm#i#kFX$j<9bnN{#VT3z4b$8X(beJRTZ=z@anI4Ai737x_i)ON<9b`(QO_5@4W+g(GxiSq+q7fp+ozy zLq$IM=~V6b?FzEO8p6UF$c9?7h{Sb~`FprJ-gK(qo02mcI9?nkFrxd*aN}|}C)0LC zKSkva;D2#pRI%=6wdv#^DqifuAN73dyy!$<@&&#e>EZfcSA{j+B`LzgdVj61Fc*x; zPm-$i45Q9O+*0P;kuc}4?e3O<(mi$(2-|MP_82%1AALLcf5Hn4+aeYB5Zos%&Z3HW z5;IAJ{qpQOa%;{hjbpyfv=_4qK7a3N`n5Lp>e3aOp1O-Qe`DS}yqH-#itfdJ+ZIP> zM=1q=w_!|ftFMOJiR-+`2ai#VW>cw9Szg@6Vy@zt|V0n1ZP!`$n zTTA_mLC~+DV26KsoDKMr*gr+gYY96umlwwGH=P<(yMrcEX<6rs!*0EC35rxH2Q^YK0>e1L33rkPl?q zky=8Ug{^%KK(E&!_Kp2$S7ucCYl6kehi9P<$(vK1eTRmJY8hN5y!)i0DboWWVef{} zeYayBp<8nAkZ!=;FY>nVZa~}=t(lX1TCo4oa!I;Zb14zj)kc@Fg$1WwqD}vqithm| z!D4~cMQW`QRt)3m8L&m>O(Le4eF@C%`E6&5E6xm#5(|GAbwKiV^G~Z`DCrJGbqk9UV`wnBPIvwD zKzQSR(SQ0ev0}XS5R_)&-?e77_zmqKx^+VJ6Q|w$9jIKxiGsFTgC?`akL(DlPdEcNoI$&!JR{^Jp+tbJt?!_F<^Ye;qNDwmh7!O#A&D5j z0}06N6WgEYNra#-k=q`~hQ6+^Qu~*yhzXv)>twd-AKheoLbtLxQe#y)_cZdgi5Zm{aR zT^#6BGx8qvwM14Ef)Ve^#t^SQ$j_rvh!>2E-)XowZ&poHbum{-QN2Tg>bw|->up)h zFt$q`x`|&)rncfjDckX0g19?!_huYH9ZwD>euIVRTne){@m0%y=X^_GZ!9DLATNF; zTCe?+RpuUU|2dpgeJe5MAbR1M2|3lB&DKSDZ2_137r86D@i+yp*!G?Z`ELRFAv4%PjO!y3;H#YXh64M4)VBX)PZsZszwHeUUIWcB`gk zR`sbKiLWR&F$CIt-#mxmOwF{gEb!a0ERX6?Qh9}zV(}w zFB?(D`cj6{mGFdj5Rm=0x zf1!UKJnBE4^~B?UKxA!OIEniJiFNJWALZ}rk-nIQ|KN4~+KyKhjIDK{JcRb|Px4?>cZt>w(IpL4}!qu7rU z))c0ClC0G3UCImqT74^>n*S|m*b7!IBAqKz1y#-W-Cs~T5Ksn<59s=F4 z@iJ6cC*O)=W<)TU67)`+QB`8f2!tz-i&egPbPA}Rc9l^i-TKNrmaZy#>#qh^x5%OR z)mSH#%(ai}7J5LI>91Q-uZg0rspC~WUH`#(lcG;fUv!a4ECMJ|H$MJ$@?&{R@sz@E z!$M(uaLn7@7?h9$vUweo&!04`Dvgr{)Dph>WEvwt5bQk!CMMACk1D-}CGuUNNLv5E zEjY)X;4huSpQPw=3=;d>|A&aJ5N~AWm z)P4a+2(x*_*v?S1mYwP4crnFwV$j5E8IwP-r_f0T>V2peoo9e{{gEe!Xo{`-^?J@Z~1ezQQE-a86(v?C{5Efb8@gIjHxv+o5~WbpUomDv-CaZh37cui zi>Xt1-Jw-5FG$hykcQ1?-&#&%t2$R)VkR(dzI3BzEZG+p48Ako(wJ)yamqq%4L&mla+|DFDCNGT$m!mo7h2MaQ_{wjeR z5m#an=r_&XH`@zECq^3|2sG56w+;D;>k1vG@epe3?>ROVprU7N-PxD`yg1=`eBNp0 zWzcD{)fuY@!$K^Pc26iUB4j=g`zl zZldl458j2#?jSls5%qTbRnd4?#R%T&H0z3lV((?PcD$MchH)9rWk$Tv(xT*K63DBL zAus05EJ434ZP}RsRx<{>xDIpY#p^k_5RH+Xjhz;vZ~Ed?4%v;@5|hwxM|tdx$-9L; z+(Rjec_V$L-fXWwCV`Dz9x%v^N7~K(0@DGSBCw}zg2GUHx3uY4I3UzCOiisW z#B5Z&F2Id%mY(z2ApC`D-lTREK7fA2Vq@h$@%sy|ggOK%HjOAWE@KQ6x9aT@|2Q!$ z_faIv*f;})8k_NiEZ<5YO2QPv+nLJOm&A-B5(7#QLrns7_KJOv*SvlRB0Lw6@p>D> zy#nEz7$~d=_qjD!_j0zTbBiYzi3m@6Zr_>D;j=|nJBe+nNp7f{ka#5bsxIRnMEhh@ zdLv0`7ueg06+Y_-y+8@m8Tsuu#Y7+nS;uilE#GWoH}-#Kasp|}UZ)GPBk_TqRP145 zqCoL7WVa4!AM``T2!1dx`pTmSSbQwaXkSPof*&muSkle3*j=+KG12y}k-s_tnGc&c zP5d2Pb~c_Kgr^o;(+Gf_P)h4K!^C772_=Xustrvfj)L2Bcq=#WF?WKC zO-(kxH@GtAaa+sEN|9eoQkLON#7^c}y)`N_-F(eTa)qJ&57{jNwthj39gw*j%X9en z<8ik33Kp1=kJk4pv`uYjHFs1IdKFu=B)NKMPy5xNKFSMZUrDK4CsS^Xl z?%mv$)Ck9L45YO+h!DdFu3#-uoV&Mg44=pR^g+&K7Vz59Yt9Qf|DDy%Eib>U!st6Ze zmW$o&nX!M(e9C^>+xv#5&$!zHi1AGgCyQQNL@!oDp-2HQD8$-k8jvwRMo@ETB!!?4 z?~V{ctWIG4C);%}!LCt4$|p_ZGeDw2@)!9{HbpQZR?h72f8Ex|aWnpBfTOne-vEbE z7QzC_MHNBxVbaM~P+1_WAK&lYQ|`2ah{AtueYz;Th~dqiO<$#<1SI5BRQ1!Br4eu^ zfNfG8V}2!8q$T*`z#AENt)<98F(j^HMZzRo@qsC1>JTHjl{J_7fT>=1IX z0~UGQdFOFJG{z zP`MAk!PzN*7ys_!f8auIqxBK^2isc~&dBPmxNXeiH+8go*OIp_G}g_L4>#U z5bDSI2j$Lai{EbZDugZCT39<_v9S?$ZS2TpPJRyvV{dl0yOv00E&>7H60$>*_!obm zT`H}{o9ZNCAG4pkG5Uo&gFqj$Up1A`%S_RUYTr<(#l7adGIzw$PeK69>hBxk*_Re~ zawKCZUEh@prH+)=a3D@6$@ow^IA(C( zNTY39a;XIUB+bwpECeFtcemHL9V>u?>({j<3nkVvaWMrgHGF?rC1?1tM2N822i zbnyIE`9 z@VOVuI7ceVbj@z2Vyrd4pQpoF@9bwj85i$6z0@vR$($(TB2=@ru3|F2d9h`5~fz%N}nwJU-W_CBdJ=Vf_!TE#m}$GxhP;d z#|;Wv_vgM|FwhmidIXSMtf4iffQ^k@IEycX7Gw(%^D!M4wgX`a{b&TcD!hyx%D%y? zt4$^D{`~9J;5o&h6JenQi}%3^61!7xe-_|i(BjU_xRO=k3_%IBivc)MRM9k{JPfWL zjX=;W2c)fAXh<~~UMH~-4u)Qnx>+u0iDR>w$TCILM7Oy-BdbH8hUkT@xm@dzgsi73JG+f?kDS>Ee`5HDM@WEVV5ZO^45M6D zJJvi@cDGBE3MQGQ{%A297nmtRP(3tFyeclO*YY;4H;q`-X@BegH#AMqCI4n_>?6orTK_)aGO|e@BnY%LKw&kVS;b-Mjt#42vfo!Z>!1 zApLSyd!bCht2=>un=LBL3Z7mbraX;G>y?WmoeMH_!s3>kz+IwifrsT4BHU@VB0Ks?Iv2gC%0B(n*_)0 znpi#ikv%E&@XR3idzZQTSa|hIxsl`O8!;GbH2H>X5sk#50M`(=wNyFyLo7S4W1YB)~3e0XfPkXgml?k)YEJ(7aK&YD7reu%ZPB>!GK(jqxnYa6jVY8bfnaTifJzr!SA zO-(A_kfV~@PJ|E{BWow`%hHCX>r{2md8<|Wm6L>Y!#*YtV90%K{BRry(DTe zf$C@mAJ|ReRY@70yh?vF>nCnCNHLVAR!C{hJ$M>WL=AkkvQ1$#AQbD?#>q4fM4+!c z2TMeyGVnifR6bCOE6DOcj?m9$9xWT{*8dq{d5%cJwANP^3anoQ*n)_-d>lvx3-%yaj;l(<0ahsJIlV`jaJko?F%o?M)uqn+bTMuey zRzbRBTJz(G@e9SlROgp@F`wG$w6%6`CrJO39LTy+@Mw?W9MxGId*!;nW#hIYfPV3g z-e9b=aDDg9*3vZp+@f^Mbx!T*8LpH`75zp-bC9TcWe3;FX+vu$NG4j-@y`ONxoAC} zNMt1iB738BUiE&XHVaUo%3X_yLNUFj^ll@(&37VrC#K&}R|lb3DMdRPO?hTVJzn(+ z@ef#yt~ z;J+jzX=A%ti=~>hU;zxrQz7hzgG!SF#BvR$mbaQ1KJuxfRzx;llKl~q+=v{ak^1j$I<4MJHi{L;o;_BScrCP0s$rOJ+C?4IGS4g-l=14ER0*y5rylm zQRq&LFj`F($uWq67I$P=Fk*eHy;kq(nSI!%RclaOLUw=MY@D>CKZB^yvdJnuo~WZA zQ5k5P*r|}&ZKBY++Lk6L)GR2IQ#iedo21 zD7Be?t$L18L#jz=+%xwW#~uCQ%T=F5F8)&O<5m9S)~re^?OTiM5gv05!KbeiG4$PO zO6bRU4C~q41$kX9Ygd1tmXCe z5ifvV&&*Rd>-!*&Bm>8|iKk5TEz>`(N*u znKOHz9dpjEwbs4v^=CeV`)^UNXr(Mj;k01^>|NYgG%8vd`qTxB@A|1<@O4ntjy>c7 zT={+zmv#07l2&QW&oW_)Vy&O%z@6iwm1%^cmC3Nx=EL^tuAjET&&Fku-!m8L7DSIl zE5llSCZis}IBw=fxNQO#4J^E#`i+50DSQp6MrOtHqj#NieW&d7|MoB~qQp@zeY+>j zW7hA$i_1p6C7@?)K ztd^$El1*cUYYC^PK0mvs{`{L-P8-M^ohNXc!65*IRXSYhNGSu)_)+_#Wae(>JO=X6 z9&60Wk+Y&f(1H1Dl0BF8Rgj3{;Ib z)7WR9H^;RopDihOI031m_BV=fh}$P+&d`|eirFWPOfZ3QEykH~U*wE*NKeI57z1%B zCEm;x_(+x-8o8db%`a1Sg7?UuqRA~MyKKuSF*L;mhMHoH^cyc&)1+gAjr0>!rzx#y z0vTpOUf26j@5p#~aT00X6WF`!{aqoyAgy=|tb(ab(6uqnZ6_dMVGR?@;kze0tRMQ( zZLq44o2ZHNOh58KZLMmLWN&`qcBPSxw<0|v8uE2|x-L*N$d`Aekq?hwkv@4< zdOW+&xa>C_%#J|jB6H~9&IE#3HrUyif4;31GCa0%!?;tK9`uo}wX>mnx^Mhqa?Ry7 z{sVXkb~cb$;RjRX$VXX^rN)MaR11_e+>#aGzTI7kWUJTOYQN<9b27cyE7$sI+0OK> zyvsj-5lgk~bo~8AbGPH+Qk`dP`*H>;Qe4I2em<6M(c;;YOu=d4!T?8G?V;rT*bO@n z31UX@V9h}JWXCCavN9#E$-COTVCZaFB1J;jT80(?6TrmoMUOWE;898hdAQOuD2?xP zSVA9a2MvP=-U1Z+K~-;gA+6ygfcVau#~TtZRd^lFeKD2e_|oTR0NF76?}2O46nO~} z_F^Kf)Br?git(*OW7+ejSodfm>R5>*kf`vGh z;diG|zeim`elUF*)au*hF9t*M53ClGJs{m|P42hlaN>`?2X`a(@yfqk-^_o?n%YWS zE(A07w>UvC*y*3qVgIy>xg!KKUpNN~0txP|)u2Ixr#u(VJNsO|CovB$%9v05-TbrV^7o}>tyIAu+e zdGk2izPY&;5$H&hJ=4jWfYokdZAn{S7{Zf*ZudKr*=R$tW4bDGrol{4JOE6Dh)?@~ zGD2G=noV?A3{YgOjMK_UdWWKo+VZtHeU-*|*l19+=fRJ3SZ3v=VM;`I;M%wZ<|@Ws z?~_G$Zg>vV{h5W=yr7T#R{2nwTrzdMOW*FZRd&JeQMDyV@S)Nj^l+^IFyih{ZR=kO^6knG+7YRW=hA4f88^>C z0JilGj+?cSI-k_gskrr_aL66GY%QxlT)H(J1dJvA9DNRR0$7Yg2DcR6QIPwmx^C5$cI8V@QtXqv%Q7{s zS8KM&neJlW%i3EB(^lDu=kdxoR)z@`d&K1Mv1Wh(8_2k6iSIKIn`_S*^a5;ab{}~u z>bt?)eEL=Yx36_o0VH=}%O_-?PSc6Q1Cv@`8&RVtu&8BN@Y~u;M!G>|{BJN_V&cNx z_^f4kwBJ^2`p7U232VPKce0?QW@js5Y~v11Cj3QCk9$xup41c~bU+_C8bnJ{j{7S^ zb91J*OVTA~L>VHaUSzJ8kq@SxjA%|^XN~<_k<oDUt`NFENFP+s*URkl^Rvpgo5I?>*d28HfUo?h?bJp&8fPRi7Iq#~OnU z7V`KC7hO#Gm60KpkG z3j<01qPxsnzFV%3^6h>mv+^H=FXcHuA7m_gI)oE1ZGO7GoMROs9P9AASc3Z_Uvqr| z&NnQf7ces3+qK^1SJH0N^JTe)rx#RKa@I11hNpkiHJ9b0A4wXDa#%P2Q*pcU4(-bb z0z^GKI?a4sRZ;T&5vC^vTC!tN@2~#>7Ek&Nh6bFC7oEMWhM;2r4S%?yl9=y4s6@za1;|7dE5aJG%o%u?JF_>{Mgacl<#fiQSPyelOJyd+eH z0ekM9=NO5AzIfjDc|tDxZ@LM>BjHg44sCej`?SUZA_jbMc8{@v4z_`ZYHT+go9!uc z$*-(y(7;w$JY{R@IPDUV8*8I!#92vyGLWW!Nf0u$z}2jHTL4>Zehv6;j27fIQB5Kl zXxD~V>DCl`xg&RviZ+;}rI5JQAm)m9&|C5}PZQQWSNjYCelf-s)>0ny-KbMN=iR`w zoG^1AJntS+KHTMZvm9LU$U6|Epy;u{Z#@5{bQlveP59@|O~XF&1w|W}-4-gdZ1Zq# zk*RMnHKf&dg``J28Jve(Eir()OuT`oOx$EUZH)4JWWt#hU@#LtV*rhv9H&+Tk0c4f)&LhL`xt7SKD01)IS9;{< zAPu_bnDv_ep?24hfxRlOdR4d1d`n~p%yUKq=mZ2i-3Q*MuitP^x?fY&7CuYf6e?_g z?1F93N3M-Q(-0vy}8( zBP^UUJeM4%3C=3Zr@W%SjO`(R7_V6^cwP=&-_y)@q-xnS2mNpqnZErw$;7%E!%OMC}g$b^+Pm%dTj=F|B?Y#RO1p|bhC+gLPU4<}? zkaa8HO)wQZHQGgsC39EQ8~bIikG4xrZ+Gb8=vFrDJJhX7IzD)vQZfl;I2v>r`wO9- ztkh0=jbM=hT4VyQV-9!Ly{oyZ^uWqK?=u|~i-z|B9)P>(6YKu=`nI8_S1-VYz!GKB zC{R}WA{NYZd~YRch+8k_G^`naI9b31&gQjAEouc3&VdK;Ln$hM4Wqil{Sre|;Tw#g!{YynZ5os`_fXJ_V zVoqpgNvme#-y9-s-`&&<2rYlOf*fFT`q;ja2qxR}B>t1pWafpbJsLK%{`f+mg35N| zEv2MW#Rl8t=4PAo*KVdV2^YyYS3)T75V5aosd^UGE?wW4?f#IFdMAt#EgXE;Eb{yM z1lz7YRK@nIuJ1aG^SzS~ zq?n94`!+FX0ULFFZfo4EZLe_=T5s~xdUPgS;OFW}anQ9E{oRR}e@tDTNBvzz#qKJV4% z&-NjU(!uzPiHlp+X5n+hJ5c@4w4^(DUr%~#LF~#QGBVAEIL@KWz0pjGn>afDa3p7 zbEt&?`@Z@`8%)Z!bZ{^YTB65kBCz&zVjdvQ_WbMngQ9aej~3=sF5?dEQ)FIAp1 z#^$I?v?QYoR>Xgu$=4@xGVm}{(b3NDF2t!#Qh$A(_HD#fP))~wXzfGvPlt3H=}TvU z1^yZt4=(!}ia%L3>JMo(-~gpBBL=DgSihZ(pA~{%L$j>9MBJ#9cL;8gtx7NTY!LN*QCKv`f-a#6#w?y#sDQ0A)vv2! zv!1E9ax1ICY#25D+(m^q#B4Xd-l0aK_&sJoP4dMT^S;#Xf#f^Sn zqTQD@$2{d#BD1)JuHUB0XOl4qnpX5XnXjqSO_qGYXexr*z6({X|pp#Ga{{(o4Q;4wwc;D^f zw$G_T&!|D4qK(x)47tONtSs*}e8ap4p7#Ga+Vd^{$w(VHwPs{L*lj!3f>ZdXJ^vhQ zuS$|>r2*a4CxUtd!Ef__D#-bNxZ&aiouJ)S0=Bq9Q{;~0tMLv>JRYUimhxJvloXxv z4p2gU_GC?bwJ!xE;7& zX`#{*HN=NtYBL3tDY5D@*d}eLe{cY7GAFGKTV(YA1Ct_eGV$-9HaEk@1GHtebj+XW zH7jDt+R8jT6zmJKkLojCR9gWbCo-riBL$$n_^}$S$j}{O3Aw$0?L>64Ll>3C7Vm_n zClxJJ$>ZBPOYoLuBg+)I)b#o$_V}zCf-Me?G#)y1zm^B!&oKS9NKg0lBwcmPNhR<2 zo*9=sIsF&x^QP@jv0zWLy^Ae7(W{SjFN8_^h{}rc&~0$|7tt=wVq!x{VI$RTx`%hF zw}=S7qyvEm60RIV(_i{fyuvVySu-GiMx}m7ci-W6{g&q^o)@-kZht zRgNZ`gorMNPfSq!Wm&r>PETpmwakW3A6V4ZdcP-5ci*{Jx?|i9+vbf9nt# zBVa+WIe(+2{xI4%C`%~(F#5SGON!!BtD7B(qU(&$L#8u1W$MTXJk9G%g&7z>YK(ps7%1~{F^rWi=XreXf#9Y zU~uQm3|oN4-GeeZnxu=GU|e$(bs%-Fk9>4M*B{QwLIt*TH!}sKH1bNnygP!40Mvc1 zKE^1FqqP3Tea*AreL(lA(V!04yk48pbzwB}4xxoDpRvaDLw*tWBn3HbO+3c5^Ah-<|j1rHTHd|p(Nw)B$)`i8lpdbtn2y4q5AfNcof3AkP-2=dlXqQ znHciv6#?c7SOmrWDv4;E)hT1+1n5hiP^&Wg*CeUcR<6f1==1a0Q( zY`;??qzZuTAX*uo9M_sp_Pgrmj)p}&1T4)eqz9?X@e ztE(+*G>%)*g-lBidC}v7q@koN60A+^R!i1{O0?rsdxMP>xys6rco@J3T6VEK{Bbs za#pJ0*151e;d=e$QJYBu*`?Q$m6&>XVaV!vh5s5tAt~~Q zvP-LiraaQa;t{!>dfoEoBs3pm8BwJRoVyt*y%VMX*erv`T3m9EIjn#_c2tYIx_%_~#*6^E~ryf;|tM+^IW< zW}W&vd1q7jL3X>r-#>XOy&EIVpZDT(|2$qLY3V6=!j%~FN{hSKQhi@48Gnp4ba3nQ zc#;#F9l4bpYJP$fn-5&`oE&v`=|uijs0w-h_)p zJl?=&yvl@|?jxCSroOQ7iaNzbiD*oix4FYBef%~Ui`Hvve%Hi+J|KkeO>YuHWrU%$ zEU%uu5O^>{UT*73%=}aq8L=iul#ajAzl!GQl{S8x;3~3h>X>$(@X=iLjVraEK1^2Bd`jE^)erT1oSmo*V@bzewQLKsYWH2d3{9$SF zjU4FjW^{g#N#C9&v0hT5vCqu_W6 zjP4J&G%v3xBm>-X*?7CnsiRys>XF&M`gCOaHhnB@zYzBHFKO2jGH_L_Vc*Q;fm&z1 zgS#*cm1%q+If$Tk?QXYRT%+LC<6y{O-E zMP(fkrea$ZJmqjB_LvG$)uU8hjN)fIW1`w+)V${XR%f5}6eH3;$86F@*{bekG7q+) z_<1X?6M>56n~hg^>6rBQv)PmBrsgjMiE$zr{& zi^W{5Z@EmKKahAQ6{z@D;jvxu_(mA3d40sGPmxdc@4n{}{4&maX2_@m(BTEbh$P~=o88V~*n@ft**KZtktl?wL7PZSW;iD}qd1a1F z@pwaBcK~}8z-98>Q6xj8vw+41(pn|s;_35?z})< zVt@syDcwLLgZma;?j?FHw_L@rgtPcO9CcnRk7+vGbH#1GO))@VAOX>iswInM(-Cc_ zvu9Dq-i&uSK+dF+U!8UNt$UWFaRkA4&$<5sP>cXbA?$KMgN1?)$a$XY5O%Md4x!E^^ql#jy2+LTEGVo|Ck^G!|V zJv5W)aE@mK7z00PyYrRmDc3!x*5+HW?b8oN&QPEV3K;L)_fW4rwqB|V;mP({rwUJ0|jW5wY0Eo6Z9M;2*u#k=eI48Dr$Na zT{q9n9n)NZ)IU&r!#fF+mc;=(-4i0}*#T>)?`OQ->wm*5|AX~=?9o|Gr`PlevyPKHwsvMen<8iUiC940X(a(ZUz0|y=f-0wL*B9PrPQ-Cc zxi71a_D=g7Md6ouXHbv)rk4)S#$3W>NlkqK+^Bo2%f=hk$4y&!CZ-{a1nbi!7b7tC z7&*|&HE}JMhe1zRCR6f}i7HH)6Zr4MN)~3=6O+uBb(E46w9{1%152oEd{&B;S41^Z{` z++cJfmK|=99YeM3Z+i%i34=15OM=~|NY5M2xqO!*ruc?TzMTjj@6N+Qx`uoZ9;A)M zl_57<0g%@(DF5ooI+S8&^c>t`V76{pjnF+hJmz;r0+>8_n!K2#2lfnhZvQF^h=r8`?C+DkVKuWg8sQ>=acleZQL=#ws``9Ygg`exQAy&b@h^ z0VUVsrZG&nx2~F`_mQMss|6V1Mo&|0vEBBrv00pwYZ^QpKZ zy4`m-s36d{o-Dx2tu8xNjoLamG+BI6htIvB0QEr7%4AD?ynq%!IiRrs-t;wC%v<5t zEXT24WWvmw+txCecb2*l4^bt%qJBLZg@GTx-XP6oOA5LM4{#98&eV98dVZ8$SEG?- zUi%f4a4*LkF7?WKIg2Wn*5ZE)77K<3ZD6F`o{Z?Mk5z*l#LI$1mn>WrfakbijSs6n zMJs85z-W$AjK-zl26dsQwZ1AA_AN5E;x=2D;%i8urDtV1;r1wzQE45NXZf=jVhM=2 z*a34d4J!8vCaj7^VO6L5(RUDEibYFVS8WJO{InpZ16HVx*i9UIIpfQ`_|AVF!<4WzN4zFCP8h| z-=r#Zb2|X)lNIk3ZJU)MQa?#EbKoL*tN(%BNqJRyxR*!nzqO;1M*0DEz7P~&A34@# zE!(*Ovr+5u0_2aP6pe(`4}(QD%=Ua0mt-gXiYPTdwK(F<C!4j$b2gK#x_lmc6}nD2Rc}^Ncv=tzoL4`NpfdDm?8Mj?C?wCW&zpF~`k) z{%o{63YyD$F|CnBj-@g$*rO#$bWL7!KYRCwjeC<(0UPyXFz6>nY?szTOx3{B^l)>p=N~&#~73F z>apcS#Tch*!r1N!CV+|4!)D>xe9iT27CHdQ!((zKJaWJs10yM$;*ZzD$wZ=2nx1+NQps$;k3VKl8Q z%0^%!x3hc+X-W=6tp^TaqLb*lEghNmJZiKiYQqnyv%i)2Xk?Wql1S%l=gy&+CAQ8p^z?su2u!_^>~pmtL0FA#}3 z0*)9uK=EAjW17%!kJ~m(wU0mg7id1PtKW{)A4vw- zvv-;joY5C?jOx`4krmMXSO5-_=AVOrc36rS!Ae1)Nk0_(e+c=61HC|Qmls;*y=sUn zO05|Jx@C?v1cJ9gmHWz2>LamLakPC?jP8~^Fv}BZB(*SJWHtxiq>cu~<#Icd)*Jk{ z``vBkho10YQpC2jMI{01D=p>9;B>Kbq@DV^iuO0(@DNA1-8Wbtg1`{93$Yrc0nWlZ1-L?jK%Nq*XL}9BD zLgDd~(O;1Se+1STX#LMB>Dx5tNhhAQhJ|$3X1~bg2k~1in&z!<^eKy=&-I6A8Kh$9 zVOO9tkz)OTGjHnh3XR9OUaCw8YQIx1dnfStKbhPykw2M({Lao?`|SHdBs~vbeGH4u zhZqX7BaP5x);7B^;aepaCVeYwRTjn=Jv;I4yzMxWoBoxL4SDTFVA+B zcQZ-2m4~h*zyj7Ix!gc%2I*~!l*ea~Z6P6B$1E)k=c7LQhEd1b_8t(+6E6jl%n1zS z$%VC5ZK+&#TL}9575ggH^*S~NKqg$-A4siUppqG8Wq`3g_FPh$517KF?q> z`pkubCO(_3R~5f%Z3gh}h4Iszqy&#kd7bkGS{2ER=wajBg~UOBcv{pGd|T8fvK$dQ zaT0jfm}(_|Fdf3>Q5@$s{z)cuYfSO@wdjsX=E!IGYfK9CW}U%g^CyF68(Bn-51y$j zL!M56e~|sdy|Ht9bClg%gW@L#dm!V@;C@MN*SasT?-{yCKXCbSiH@L68#_xkj}@jb zoj2lj=18njSf%K}Er47c7S5b>MLK?x)lP=d?o*9IY zwB*`>A3dF%04W3LOrJTc<00j~qCQ#!5lehts)wfh^S=gSW2QEpfqt|H48*-H#4@VP zSUR8ox8WsTb9n(W28QE9nI>@KF2l=UXjS3qYG)cx9&)oU*2L#pAbC7uB|zC-6S{QH z&y5EYEaK7Esa-EuKuE(-bw6DV-!%|%j3EWKyw%P@a(2F-bPDW*(^7KgDrJg<&yiNg zg62jma9Ynw?)Ju;bPNU%4SWBslJCXRxfU%{%Z`?|k%=+o|a*G z1`}@eD>D5S2GP5zh*iX-lAleLu#^h%CI@zU6vq4KS_jsOxA=NB-v`lkIl1^xD1u%^ ziaQ*0c+P#_Sd$4_GTlCLHD=rX(m}@J9u)iSr?@)tei-XKi-&1!)BEo4&7T?>h%xk% z@I@=(*mqyjwuec6Qs=%;b{%AnRpX{e(0t3vMKMcW;xX9N04AuUX(}X$YAVj4xD{mT zhGR$kHD}emC0d6kw>^=4jl_|Sf08+7f-iN`D z$?@{Z(N{Skkqo4tcrz~E4>lxKZ=*d0YBj7cC2Ol5m!ce@)qFw!Wj;q~UN*<88di{u z(&+VrCgEmA+FprOtHwbpV-I?jz&{a8i!V0F#pGGj3G^32d(`SwfiZjGEVa7A(+@T!x2doaX^eJU_XlEZW_Uy*b@;re3 zU}LzMV{{Q-+p)d~kBOV;hOL&j%X){fk#rlaYiM6%&&I}G<6UHW7>wF+CfTy5w5E8u zTgA0CUrKp2(r)`7((! zU84ZVMWbYAtPQ5)(G71)#NOl3gBxmM^rhz7PoxDJMkJ)=22Yinpo5`?kyuaAxb112b=Yz>vSfb%7y65%kb=c1DU&w6`irI57rP)OMV%__`!c z{8Z24yW=s*D;V^NF8!1mMr<~vnb@hWbTn|LnA%!+TQV|IqHBLq5JIUBr^>OkaJa1z zv5W!eTQ=H6^AnXCZdJRA#ey@8^K!j7^5pR9IOh-p%Eyg209M0pT(Ti(;fX#CNM# zKu_v<_Tb(5cZAB-k!Od{dxPEWEh(mlT5nmE$crB@ZV@YRXk;ouQ#l^L@a9a1vwN4h zq3>k<+qMpNchCVU{c%=xNX&coFS{$!0=C`48cxai42=0KAciyR=^0c={{2a=r$hfp zrd@twfcVpyWa4Tl{2_`l5&bThS^YyQsSU!+SphJM2`)cV)}4iVcqqszbWd38;0RMD zTzBryNUhFypCz~sG+oA(Y>xTOG!iNxzub6UA1Ea_fgT;F4u9u`$0$77B1o6PHq_!2 zQ!(yq9ki;k==-wTyUL;dlk}Y(W@KQGYQRCGnEkLbq}57FFX&qtF+YBq@E+7yGMyJh z?v0qbf|!^0B20EXTpS-(5e1$U;^5oN6JkD4V78v^E%2Q48`Le5$&a}5Qe>0BaW^i4 zT2dmvm{AJ-ot$+kNWX6xpYN@Rn&xpXNO#y05;_TxyQq7%y!X551zd(UXJ) zaibVJ)p=PLX8YGq^48!-T`PrFRDS;VYI#0Y8|B1DS!FU5-O|S@Bp_Yf{7ELK0J&$W z)biMbL2`>`?oSm5A61~2<1pa{PUPTPgPBV~XzF!{%O;$nGT63jKu#oabZA=d!$*G( zZ@7`%aX>I3G?fpH$(J_NY9ZeDPBB>>mz{sKeL7KiylQjq%|zlK^3sexzmrFT=#5o4 zDxbA z6Sp$tzPa-qN#u#C@%^<_x!FtH=8d_|H>*N1Cf_ZDt=PqdJ=*;9h5)v$Tg04*LbZ?e zG{8Lv$2cTXEA=EcQz_H@*j;^SSklv!W6dP=mvSHZNw%RY1>#B0?+k$H30a(muF&MH zEhcq0fG4KBlAV)}Go4i`!*A=l)AAKg0sGk1XT9fkSehtWR56$NP7{e_Er|cXz$71j z?Kyl&vbmP1_Oh_Z#J0ElczzdpPj+;|{uT@tZuLE0+;}eLyX#2IS?%nHXd& zIaV7kHGXxb8S|+LIB(wkB5^cy!&mis4Z3_MN}8MaN2K!q-Y3@PIGho9pZMZ}u(Ez3 zA&ZFpBFv4HqEq8IV3m@&7@L+NB<#I zp%4u0yJ*s6jY+y8Tvm?E!nUrwEQDkMF$x6wOH?@$q7wg@L@zl}oHYLPtBB}tf;nQvP zt-)nAB|frKKNy?hPVz5|D+qNS^-(8%CUqu=r!DS3L@MtN`TL5yFJlk2LT#6J7TT|5l}6aDN+1n~GHf#mu{ zFOQ`Y?xlnfImx&bj_l@>wdjs&z?-m{`e?RBfLJtN`|D!TNthW89FKMeY6Q#Nof>}+Alvy% zo!`OV)ADsX_(_(Jo%kWzz4~Ki)mZ}?MODaJ533NQFlq5pf>Ce@zjYj=P@n&reT$cz z?@8C<9#6{p$S1-b-o|m;Tqsae;9=xCSCK}JC%UjL+CMQW!?g%ThTx~Qr zB+<9z zA002_RdEpsu%x>D6EyXOIU!k^I@GYP$!YPuWwXMPQL{Z=W*<0X#k)+W`c>GtxnE() zeFMorJqP1nyZKGr5!M|+`q=4X6&9;3=$?4_Zo_BSZrqs6!mLZ%cuHqx_<>8qyRVv; zI^E9M^ZJcf7>wq*DdhfaoqaJ-d`5N;`9E7WUFJH(t~~Bv#BO~nmyJ1N8MRpmTHNMh z;QeX9gI(5}Ai8!OF1&dOfegwd2A=Jh`y^KktS1_7-fM-{O^O#kZfj2MRuQ!D8E4E(ASCnk$xK9vf z#yTt$X7D>P5N)5u+AcRKurAyZqxwRF#0Li`cT8hl>V|}tEXYr}Rqy{LNBu9^Jl3Ul zsAbv0aF<(gD4gkxU4Ks|qF@XN<30a5X&7$DFsJnSabI$8A_$B^Q7FhKHrUs}wR!ml zhgY91FG6fEYx!S|9QVXT|7&REe+|_PwJcc}o^m?^$=*=y;E#g9=O0!6$H+F#ut!CH zp7Y|lu5!)`H2tf06MYq9qWIm>F3wA3V=(U|1xS&LnnsMeYtmiJ#(W1bW{M&#n&ZW% z`@t&Y?a#gbxXA2`MUwGObxq$~MDEO(4gN)+S$7uWDeYj8Wv+%mg~GgX z6$vr?+ZSNj4C0>;oJJTd7V~2iI-9c|LN|QfF2q|OvetZY&O3=7HpHT4l5!vRN#b&6 ze4WlaQ6Dyfd@p)BA96MfF6=&bW%@Wt({2uSKJ0GzYFs!d$o?z5k?FGq62HuG^S?7p zlD~DxiGE(1@IT#`@YJ9ZC4Hz({NK+U3D1VB7*H%RaTYk&jVyk%d`bYnNgSzGG&9V$G4T)2ZD26 zvpDseYHIsr0*5?9XT7o|{Y-iRjxv_U>z3!w6d})(eFMKoI6@7nDJl&&hIK}KKW)Gd zbi)U2P}$Wp6ynn%^vKD((J!N!&%mEBiuC$WrAm;`eiqJp>}5Z@ z6`no^KKnKaY*a~(s2?^HP~@nw?pr2i_#5!`)?e9C1>f%#EPf`@oPyrBAlQz7NeP=i z+l7@qKPu3^+*TACjtGdXD>Ar?de4BGpXnj7#ca8js7EDOW#u5RFSuGfj)zJ{jV(Qu z3dm(71a%`q)TJO@)cMcra|iuz6f7NQq@tJYT>lAyI%TB)q^-oKXi{y#a*QL$n#cuI zl_Q=Kv^pDM0wzyU6k>MXv! zA@__EAqx_Ww$2=yTTqM+UifyBg8q$L8uybM;_1;V>JQB7!`CIJ$mJ!p zakA#W*f`sFDGAGP<7)6zk?#aGl5#stEi6!Zav3?Y|CB!WMTj6O=k0NxDh&PL8~Rb} z-_Oq#u_GOd1qa#YwNP3}89ju9awH{c45;38^7P*`;(YLy`mZCHM0jbgCd?&BK!NQ~ z3vXHeG*)?$pqI<;8c#yMIN6Ip8-}dKE$@B{>N3O+_+pmbDKkt9z5?jOWf@qs~Qd*elAy?}1N}9hs<#FqNHaqV~Cc09&*}ju`xMSNRCM$GR zTytxz34?;XiVI?$eo8SAO6_oH0@hND)NsLqY%_t}0JAx*hQxrIEkBE0%{gDQ))SPH zJu)wMO%|#bD*&29cEVyVTN2;~k({Zqo|nowl_OSs@S$>ln}(5R1M((%dLOoY$BKdp z$=c0@>^Y12NcKo`TXkeA97_EsTc}8XTP}fiMC6A+eJ0{kTeQ;V^`*+JNM1ihmkJBJ?cYXv<>!mEw&(Mjy4=D z)qVy!vro=6yY0>>e6UCr7tgsJ=tqKdlreF$R|^;DO-{tO0@%jpXNm97kX93^Fzv51 z4;b}H+(W$8%BeiQ|3w*4CUz0dr(tL$3o0DNYt}g-)2@}HoL<7fEEp7){Q7OanTL-2 z#`HbRjT_@mkVf}A3SGhKF{|Vw;!mYtNFJ+_IMqwxzHy@@9!+Lj0+a1(X=g53?WT|J zt8d+F_T#A!xB4=GkH`Da2Vc-7iX^Jt0^@hYc_+7`q{M@APApu~p8x)-jBuz1zGvE3 zV+re{C}{x&G=4}u?yEfROFfeECJP2)Q&JoFwFBvi7oj;p_m!v=V!K!(zlX)B4|57n z&5%`Ca70Rw{iOX@54bEGWORGRGHU*vy3XAnxpXSZ(Pq4+*O>McAANuva{iH$-4iq9 zSWV%IbEJQ(m{E5uwJeacCXeymHf~^0gry=s`R!d!P?$X=5m)2IFcRG47w!hzm~rQD;JpHuzmsGSLy)fLLe1Kf7o1ZNvrD1`;}>Bk3C7$ zSmN*K%Y9jeResEK35c3J*EvB4t8t2b7?x!t8zqHbrhEFv+392S%LhCinL85+f4y(g zKV>~mF9$q!9HoH1omK)A3oSB8)z5ZRWRj>8Xgg1t@m;w|c6(0v#@!lG$PKdy&XpM` zq-z(DA>(%(2dU%VfRscQvkMAI)KB1m@YUhznPKQGwWI9LQeC{+6lrP6XEt?mWdXkU zy?z3b#tfk*KY0G8X~Wjt11)HHP2!_>e*~-h%A%HI5KNBz5TT7p;({|Khs* z?D+M3dRLfWqhzQ(nwTG9ev;HCt+1}ruN)CiOJb#)Ol)onb3=&w7?fZxYW%xeP6)ZP z5MY9rp>@p5S0kHO_#~`BMTSZam|uEL6+!~;^rY|Iewo%W5TIf~?KP*uwZ$N&kbDW$ zKN(@c`L7dPgXzQ$r8e25@XGdN*^xyXwIc-V@kXWl5;YO^>kfk-YO zeFDVPZ*bfug6mKa*xhS-r^f+|iM7yH+!-BmA!Z5WLyQrmaR>pG_9QQfH-^+%s24n$ z2%anmQ8;6g!dqbG)C(~wj^|2lKBrf)@XqGlYbm61u5s#fOIHd~*i7PAd!5sscTmI} zX3`88g*s!!$Fr(sw$Pk@%0DpiN#QBZ&#cbHk;PXh3tscj-H4BC8h<4|m*4A3rQZw4 z1d)>jO!U(5dXw>8SLNt}wz)}fnxkcm>+vX;>Ttsps7IS`m z&$B-F4+v!g4#%2P+xWJ7zi$vw@2(C3t$%sI=I0LuDe{fq9zAav*^M=G%st|(38o9d z<-W2;WqoVhn=L$$-I$e}kGM|`U;OodwD6Q@Jw!ec`v7VVx8K2GfC}ocpKBh~A*1d& z7}WOF(kz3tI3thU{g$|Kh4x)=e9(&e??mF%qar9oM#pvTMdn{?i%4Qc(HBw z?_6OmJ%m-JFTo~J-!>Bt1o+>2q6h>~Lf*ft- zQrQ{Bnvo}$RD`8Z7-Fxu!Zh7`CV3(k*kSkqnf_ziL;;z$)Gr*9tVFRG%Kk3qCx?dw{t7R7 zF$}u(qawk@R67xU4$NV8MvQpO^{IkYNY##HLh(`CzS}utApzap{r0Ir#wA002FPG$ zByaDj?t_2gfGvi~dZ4=}e6Rs~t8jE$^&HZr2k$h5-m8E;PPKll^3~lmd(SopWM~`5 zI;^Ms-21+=;K$n?@e`wDoT#n(T+l@#-DyT3m9rzS>&I94g=$oX(<%+TA-;=|&gmjS za~{xZ@u5AAp1!%(;=AVGmjZjkIcUYn(-H`}Dpfa<%EP32XK~0NMp`&}){w%Ll91zL zWcKvqvZtyf9wHnY02-l)3{aWjOnw-T4Ty3=wTXzl_^{j@q_~TdYcPS3tOpeE0vTdJC{7yYOM$;zdM2P`X4)astvN-5paTq$dcIkY+;>K~h?BbP5wC zq&5@*>FyZP-MO*tdwAd9@BjV3>w@dqbMiUoj&q-L$CqPS)yCext?K+L}4q1qG{WDLBw!h%3SvK{dkDj+nvRl!rV*H_}N{{tRf#MCveT1NAuZ zEa6OlUz9Vy*rqiS-~I74C;2WtUv;*Pk>b&t&*Z>);B}BniRu3BRI>GOug9f7SYGhq zazVf{^cGBd`#4loz3*$x-&H(ouPNBgl^ZWS z%8iHdbUS1hV5D?Qn0jVnMeCBJ)Mo8sSRQLXklDLZ5E;+YecMg(uaSyQkj3r z7{c?JsyqC#X4>f<9m>)I0RkQ!cB!{~1-Z~a>^ahbu-$fEK!(?62!jVwsVb85#ftSi?LxVY#-2fS@=eGZ*72fo3jvFy_AF7Jxmr=S+AZfv1u65=GWfSP)R-zzgb%N!#)>7%W{43Y<1{qlGL?!)~G@y zHjj&_{M-Z{yX&zYE--;=RS^YoCR^*E!|`|>|5ayn9)qU8&U zw-TvDVkMJ4^in7Kl_pc01aUrBcFQwu6>A9i7*x@ejm)hvqNNgqV(v1(!pMFpk@7DJ zIUOm6Z$kAMCI<%t|LF7iR&)FLTiiPPK*xe*bNT}4{j?!UzPF8NLs<|gi80zH9k;dL zJKvCc=k49Y;nFS%gIRl4F3B$Sfe#c8m>UK^0#~4TT(zE3=wYn60%=!4l3Eqy`?XI0 zu^`ifB<>v?0T$ksktN=fd%`tll)9E(%uLXi>`pAHH)F)Ug3Bfcs)AQu7GV6IPyHEv zxNQD#`NJYp6PsJld>Pe*1=@;obQEIx;E8j%USN6o;19%gSN5-kP>fHicFZH|IEkd9 zY$~rGo<~K;wy|y3)g{}{#Y<(*MA&npurZX`y1(d=R6rEPUcl^&(W~Qc-D#u_Q**~} z(@wuEM=FAO+N>v?`&kCvLYr%O<_Gt7=5*#!F4sFoazQS}Z91mvz)rO3rsGn3DOv7y zOqA&3c)j*bZSr69b3wKZa_g-5Y9hIUe5$0cy4jPSYd7^cIQ%sFHm)jf97YndVf)%@ zMHw3d<}wRSo;F%ep^>CE3k5-%DuFn|0{0*Hxh?WlSga;&OTX6q)e4N${R!Jjq&OqUh;bt|&%1@fv_jsC zJcef7kPu0i>7?{ATG~V)iiVF7DZ`lldX5};JcA@f`({KhokXpLP!K~Uj_m&?yL0UK z7Ek`w+)|>~kDpI=Ph~RCTIbPhU+13+52^^EtszE!Fm2b}rng>~5Vh#ie|_!!!Cq-3;hnWO899Z(*hu zdEyi`@G%-3ppx|pCXLbjJ?;+`RL1TEi6-jc4N+{&BpE9$o(Ai{uJx^#P43fDo@Zq&7ljfRgdqc#K zMsqY#1FEKPe&WNQRdDTFQ|!@^%OU7o<1nijs)3S!gK0_lb4IHBGv|i_8u4fcz%*%5 z$l}JH1HZrMiCbR!4Vyw=Uk(^*sfBQ>iJFr>CDri6%$(b%?K5ev5%|E}j^*^up*uB+ zl9p7`pe+3P{EPfr64gLXcz!!$=P;eM(cZ)8`kbDK4<_D@|G-r`C#Z6L%k+(RjGm%c zx6diuCX|0-9yy&pqy$!nf!a=tA;;YlehSJ^Z#hH%G|4fsoO{hj5%I5q>$ZL-mU?U) zc11%p4BAo0+e~_lL9}Y*&t7dMY^4m4%k+%U6|ox(N0KNO4`-x@Gva)X-pQa!ORrze zyU;GVv;zcUB7McQ(7Y8}DM?-PDB8PXF@6YvofUSIJ$pjRYOpErcfVR;Q+6ZpM!0_f z${W(BiR_${(NPOu2`IGp=)b9T&d+piE<-oIN-(X1Cd(~;PF$UCkf;0-&(@?I8B&rE z+f~{kzVHyU7z{WwO0d(!-bwKtIS%|R@2FK4h*EFgH|DlN^Q*@#wk8-wg_bM($iRLu zv8FXG>D9N1^cUk%xgPHaKI13yMR7`BTjey%0F(7P8EN8nfN$?P*=^GT0BT z*yok%9XjkQTFVsGT)fSyMu`?T)XUjdWO_mel&6sHV2iw8-29e#3#7LzR6RmWf$grz zhsDAKKF})dqGk6G%zu)6l=48sj-Ew$tl-*cbPErHW?2cs#%R*#eRh=gL1M(n zDWm6qgun16$5i-8TVCnAFqSuvWRd!5QR5GhQ4=E=1AB9_#~>)#(Q|9|Z;y z;nRDws@)}SLod+b)>Hw$;h@8o2A5nC?tIlek{;gx^>v6$q|fcUjF_#=o#D?TbA4azoaETTK+r z0i2~Lr@Saj9nAa8Q-4)9%++!Uan;HwazQLKy>fa&T-37$KRx3#-qM5Q5~Ra=hKy;R zei7B^Z-I2{H}u$o7q34yQB3=lEuP+1M9r%vFR4zNs>ypMwxOLW_1D_m;OK&k7W9a>$hDYw|2^@1Fe zKT44LRV3x1ylz&puy-Ix=J-*_X-m4%KHJL;nt+@JN4Nn?R z8RZ6_imE3Wyk<WW^`a87|icYO4?X@6Q9~qb=ugr^%vY__@-^NVuD}m4WAriXTlW*iZmTNkC9CtT=Znjj zn$2!GVGEvId0D=aOj(d`a;XmS;=`P|Pg-%YoaJo|WX);E;eFG#n`yMoyP_w63@!vks5h*H6nfZ*YxGOtN zODnG=H(X0&_x3aJOKYx7PlDKWwL$|SSHqFZ*#$hsmd&cc(Wg67`t)rVx{?(x0pul!2aL#=Irr;)&1U|v&85ygd1;z=6|c@?3e9;eY?Ob1$_e)R_zPz& zV)3-^{oMr8n8C@-T|pEUJm=pvxPG2%WU9B6ByqjdgRvR z#e6i!bKXy8Y+Ea%dg>~Rng;1gfLFGZFN&Q~-*bXd`R-NWlZV@_VcsLpOA=u2ho5$o zN=;$z!w%}+Lv`9dWidk~JUaR5x^v@v>p!WI-k-;dV61c|8G|*)2fHS&M;)b@Y{xJQ2^YDN*&xISD5fHlAic-LeIQkKgAK zxuX>5C^rDE;r_N=`6j4V4WHhJhE)wZb4fTC!^G4kOl@S!p~FI}52d!Xj}Gp4 zYp5{%Ud$f{*3Tmu-j?-j&rwP^QOWwEngtL%4*Lx>QLNlkIe8<-Y);iDMxx1e@2x&E zgq++*nsNuw^34m#d`-3zad*21#Q{Q9`CpD1U4E(|C%d!t$=0p8h}@`GoT0Ebbo#{V zovDH8$DVeGLx+)@06RCS{Af&BnluTHj26r=)-NDYteFVjsmi=xb&P8|R#@XkPb*2Vg?^KS!0Hf-T3?$f74fY~>^Z*pCUt8!N^&eI^L z0n$Vev9H}1p;kK`M%a=;G zgfd>>l3nHjt4!~-#PJWNeF6ciUsL^a=`JSox-E@DLsDY7MXMi=ynvDo(lMEezA}z_ z#D`ID?s6FykV-y$2XKoqfl;nwX)3Wv+8lm$je`yTb;6Moi=Yr9XRh*Ybc$A>O@t!FGNzaYp@2d!H^g*{?-1Dwb5$73P=lRyON4vck&EE9H{qun62o=Z9S zq9*#9naB^-zao($f^jdM*Z3jQuEN!s%XM?YViuG+cG9k9)iK>ckIy3efGft^q*A=X zE+*3kJs)8zMV-G0VA{SH1f352Uc0-^?@spYDo(Z00(IA!kz*>eY3(7G8P%?ZNo*~M zc3L*y-xkG+mGP*(tF`Q#syoYyZP+U4&TN(_@U%AC-K0EJ7CP$VjzsAvU4ytjeW2p1 zLgBM9%}xr$>2=L8f3GgDGE?$x0k;h+&jeQ+>D3xl@jNd~e>K8+)y2*Uo;rX*VT8)Nf$sc--AjnikK^Mhw2BhFQ%x*yRmT8-2ly;K(pNof&)!56q zH)wT|L<2aFDnuJiKbp{O2MTs@Iz*G_;lYo+KH6EXfq?!r#eJ*Yxp(`%@re7jCaunu z1S@AG#=4Kp&kWjhUM!R?iS_}{lE@wdJ!zB*XS$ec9kJ6DVseH189TwR%# zSthreNdiOI{|L|qxt{ar*H`s??2a0XEh&t4d;MjOY&)yKuG?QtBbO#@S$DGNOVaL_ z!G^GCIvGZ{fQ`6=tU{;F}0kCw8h+nL@+GK2;c0C&-$S7c>GyX3}x2e3tLb@}S( z;U13eMZ15zZzC%*LB?uo{RiAN-Rk*)?ONtj>nDZ4O*$Hp1FJ27)DvUzEDmKAIl!A- z0vP@_{ckn-pg$+K0TuSkpX`rijn&W`bejSjGA_4mViXyV%B^pWo_^PYg7& zK0hHj*1VbOpF`&o?PxbwLoXQLA@E4(Oei<>`<#*3G3*NcgMK83rMC}L?!(h?-l-@ZwC&k{98~Y2sv!nP*PaaPdGTv zNzXv_6Zk=ljbkFJ(EajnuPn97yPpvV#wbz`+VA-Z~Gm>NK)}aH8oUHlA%>EQ# z%H^z9_ODKrR(kr0>QU(qMI;IwzmZOKyTb1<6&p*5+XCzf6XFqw>I0synG}tGv2I&~ zhyqdU?chY{*lC}kwd!)`PP0s*E=(UovRRLFxv*tRHl_smM*CJ%J~a9bt1B=+a&nXM zclj2`QpTCwuQDu%s6|vUmY#+;arT)MKO2y>(d;MsY!$9lXFeNX)v~HSpL!Ee&`?ky z=zRS`si1Ys^qd#YqfEWSJgu;CYI2 z2|=F~To>eHX;yROi$C z$LMaWPC*YWcF?7@U&Ml1&NwC>R&EsYcRjxf-LRzRKf^rZ;sh-@1p}XGE1Wf;jing* z{m{1Ggfh+WX*KW=G#IF*NeI_rB`#LwiSzubGJ&>Xg|dzu9$|v^^xj zIjd%<2OZF8Z3>4S0E)h@3_x>O%35vwap6^VyrZ4fa$5;fqzrbI7Vm_qe^lzEjz{H% zZSeOUGcqnBS2pd_#*#5UlA^pWe6a30lpbqG(mH4mLcPK^QO8-<^LRlQ4(Za2ORk`m zU5%w7@ptiT@5?V@fy7@=B>dC$5Zm(^c}UM_a`A>XjaiBhg6I=0i4G;eB6C|iFb|k# zU{Om!o5w+)d4o3_bxtY}7()^DrShfwr5|=(ChJg}xeiDCg|GHE|H&JKvaFQbII^KW z1OXlg!9Gu1J%?y*Jek*c>|u zn5pQNt7-~~WME_6Tw)e4wca7$U>mWGO~2RQ^xo~pIwUsT)Z4ZT7N}N)T(B~@7(;;N z3r^n@dSlJg)t}RDmYqKn=bW^op4G?JO>Sxnj5RB$kdJ=vcq`YF?7ehq7fW}|Ovtn{ z=9h(^QZN_`NC~fuhskkiecB2_DukbyyM~K9* z^AF}gcBvqRhL=km^ZEHR^~9-nyAjV&B6Z&`4+AZVg9MVtcR`s!V#)M|+;@{D^`Aa7 z{@9)ItI~TVj1S2wZ|qq8h8O~eHm@eSqpo^ zt}qjKK{NZ(7CT4xQSy5J?#O3qR1WIYJ1xKR z$dqBSea)0Pzsl6!81>)AQ z_nhkl^CMw>%-XQN1JVsDuc83=uuc9%J#4q26WD{l2c@?L6@+&zaV3`|`(MZmD_gB` zaPl*bR*7J`gsRoaN1?KPs%(!P=t={kw`PH>tUlFr1XFN|J=33bkQR?$PEt#`j@h7% z)=e?td8WUNq8!{_>K#9gqm=&RdBL-yQSzG~W5GNP=* z(fx7QcZzmNuh}@_SU6%5wVkWpO@z!g2)m?76_ko2}giuxWg*M^voks$9=m)Q*fHzp0h zj*nRTcvk+2Gomb3>na?s3y9*%g^Sy7Pq}dBj#2}Df9LH1jqxNkisMhVZH5koO7M)E zb1BegNl+zpaNhuOg_-T!$kKz|fXbfbn0Tw`za&|WiKZexzHSfSs&s zKCLBv*4N5Bt-;Tt%+F=>rnNL=kNGR+djhbHY$p{O5JkrLVi|!ihFZ&K?&;?G-6JQP znMt7Ys_#S5y9tsQrp>AZBi0Ky$25B(%`~07Byue?iQ_!+#N{M$5gWLD-$of%R~=Vb z`NXlID738HxU}+COke7`w%IRac|cL>D^99!F*BVK2M?y70M3aI&#NRk0eddyp5(%^ z5t!JQ3AyDMX5>`YLVLMmk`{TITuFq4{ds$KO?>DpP7i_Wrd`a%J7$0G2{da1_E%ja zFcaQ?DUPYUrafAQY0Kz#oW+;LUlZT&Fv+8{#VJgcpKpC+YU=68_fwJF`FMNpA(xEC zZ=X*zF%eZS0C7JO%c7d>;4Osp5lZ3DA8nhQvJMxV#Sk_=z3uvzi#9#TY1LASV*681 ztyZ=Jy1}m{9%tgf7pTjiGs#K)tc$IC&{#jq*yo6`U2&Un-(f6NUG=_NF!BOWpFNl@ z(T<3Xs7U&d$P}esS0#}*?5NN~?N-#tpeXxYL#Z@uK+bT5+cnxK&uo*Ki5oa>z4d*U zV6&oy39vNj^__WE-^pS$p0t(G6$KoHj4bgye#2`;POYQuee_OUuC6uH$mt3AomJDJ zo9ft<1NZ~w5ELy*LixP|(6ePjOW*G-7w9Z|ETwG!7TGWgrt~^U$^a~zRgVWRh42TJ z>F%CtL1Lv85it^P^2fJ4JA%a@STc%@(&iZ7uz4db%3D?r z9Ci5hNam8De9BFTkF+gpHP8O(b>3FWbNist%I60vWb_qeoQYsT34_l(#|^s-)9 z02hYiUw7a4dK;AS9f;}&O*y$r_C6W+Tn(ZIKfbB)QSYu_;v2Le*Qu_pBN0g^{Y_(a zP{iV)rxq<){Q_G109z*#$koznsdcHtic_wgGI<~Ip@KV7a|*j1E%jDgeYbhxT4O%7 znStmvZ?_-Y?#fs`CV^i9+ReVIL86|1(4zC$B(m+J?T9(S&Y!hR`32eUS_e0jJgP1J z2EQ~8(Y88Hzj-W$2u~}xMeH(m>VBCMT(5yxpMD~4gRwD`yl>s}n1<7T2f>M{t8 z2qKT2g+d+#y8j&~^Rja2r*3{d$#%7NK&PSSj<`|kfQRn0H=-NXZ<%OvN@e{WD>(z^ zk7J#_O~`150>{qdy5_6#y0?$d_)|=?rCh#5M3rE$zy&)!=JX!d%y5LUo^y%65B&j0 zUl(R^2IxZunTIxrVb+yVvSWsXp^gU)seoPlJ&*g2I2`SEC8zy~f_a*}d2KG+^NGuS zY{|&=V!#db=+2~>_S+4aM>WA0fIWiqoyKdXTl~xF;_G7fYXsC+*+w`E-xL1H6Oi^V zNZD@fIxO16$u#-A8c`^J{O&b5+UGaj?&_m6OaXa| zABeTsOlB{fgOS3+(yYkSjerv=we5~czw(&RL>KA{!T0fEcA+|LltZvgSY496Pt)>F zt3Vev?CDyUrA%(}5jhY;oX&$ z3%rGXkx!L2!DvPwGkjN5``b)5n-41Z`8l<;M<_3A)9Cz3p@iK@L5-0_?Ep~2p{EkPxSA5a&cA9JHH(~kPPGTf#-%3Ax_j>3?}m3R z-b*nfl^>M#>`Qcfs%RgG7sMg`q+K1Ax$n0gOjc=%+gIi?Hs^@B41H!!@~!maydFD= zuudx2`0DynEhK2OAc!QZ0Q2YcWeg(HMvk@&qu0>U@Ob4!M4CIp<~_#i`onuK(!NGd z3~87P^O(MfJK^i77U{^8%~if;!PV!L13X++Of^*{^D_pLze;Ie zJc8*|!+t}3^PrMrX32)5tyB^MlPmHpW+yu#{A6pN?ySAN#Ry4$sMRJ}khFE0`EKQU z&Zn!f&QD1U|6D;-QYvv=@98IAxi_Sg40^)N+T$uX6f4KaP-E)y(}G4+yNri*4C(M0 zU2p@a6xO?yf?kFiQYfplY8{e1a=TJ!a#OeDOh27Hh?grQ`DbL#O0K@5(L4oUe8oVA28{ zsE!soe)W@LbE5nd7`Aeq%2vQ4{3{tT4#PI&bhcU^fO==G!T`ShY7v}aGV^)b!%lAc!65+Q`S_^I_~w#;>_lxc!t%7W>q$5R%;}(5^4r$31IUDKY}>G#W2NlfR)N zwK6U0bkzFT_vUXbtJ6_BO+jg6L$33LAgy3zS}1FxEBdOJM@Jg zwj$tX-5@k}R1$;VhMx@+kGIce*ouhT)9{O4Fow7it_a63kE1ULwzzF53}23fotCo` zF7O~UZlR9&mpwa(`#~>fpDy`faDmjhLTl#Zb!X3M(q(~3NZhB5R@tsqc?JBsA`BOY zxiG$g#e&fnJDWCy-fK`H5zH25g9LGgkxUq7z3` z(PzpEFi%Yc!dCJno52a@!UArM_ewOHgAvtT7eH{=2}^{I>uClih}eq>I>jqx_qoW4 zRUM9kZ3yOYSo+E$?a|@kF6wN79*eU-K#c$J4?4zS5KY|os~f)B!|Af*=FwFa&k)>s z3H!w7=j)m_iqBc`vS-_IryWFnxTS$we-H}4dt6-1s;v=xP9QChJDS)}>|{q!3eYF& z)+1o+9yrxg#f#;^Jw+J)f>+1-oN*?*0DIHvg}?T&Vw9+ZqE+C&5O~uV7AbKI{Mk60(4c1A>4Pd4(IYtn`UD$_TS8P6{1rqV zIy1uI?Tve@if)FEczQ4@A9v$fUu5OW|>_TvCiCnec%{r20TG1 zY#8>9BRu8DYT-vkcm2IZV7SRxq7D4CHx+)^i$o+4F7dU^7eh3k*|8z+rDE_4l4!y- z27ca4Ph2Tq1z|3xZHSFPOUv1zt|l$(j|>~ShK-gbvvVj6^tM_)8(52cP)8Wkuj=kO~OiT#qvw5)`YT&+M^#6AsDq<|!ma;l)h~0oSqE995z7_< z_+@afB^Ya2nYkR7rX6^@gvha;c8`UKJZWY21+M?qRzGd|!CATK+8gWsR<6QqhOOefl0|n=fqus;ouqKT zlY>wyMZb%+j9oZDB~UbO7dh9SwxhG{TQQ3BJe`FH91d9yZfEvtu%!KsfKs?=VpC!){I1L3Q{~-IgT#5h%X{&-iA{ zY7!>sVtZOMYc+b%x8iRWK93iRtB?9(KU3^Eu2Rq5 z)@3Q3?5P+Se7F|cAALsXNZy^E!UjX2)!cZfyz2#m z!cMoR>3%JMplooiWD{5ad~?3;iBrzFJnj^^)_B==Kd`n8kN=^k7ItvaxnJRqhgYMy z6P5Jn50ll&om=Mv6EN-4U$b4vDh-8rOW4o9&r1Zt8#A$eQOfT%6C}DCk$tMm5XFkJa@pK zF0(6~ZS3cRBJ}EA=C+wcv5LQ2M8L);*A!+GD!(A}QshjB8!mWeM<-KS^NJM$2@Bv- z3uT`5syS%QnVQIh@sk(^5JG5`@W%7EuDiLqA<`egfSxCG|0$m&=#rn2aFm$!joP(Z zRscbQ8&~5LE)FtM*@KQp59aC7!FU`7jy+CUZV%Jw70Dh$2Zi@Ajb3N3@FBXs*DM_h zF;$nIZ4cC~GPO*Hog$!L(ePuRW6g4!4J*s6i&yY=k<^Rwib@$|8@A=n(95^3Uvqdq z1rG2$VZ|W_n<~tiOZF)Tf*ZZ8gHLEcU>%v%+s-%3%NGm#@;k(`FE)%#iWkgF_feSz z28i9cl`(%6Wcln?Ea+3~O!6pq_?-0mFg?h3vB2U5bLAWnd@NsRa2*EACASKbkS5ICQ$ z15*SyN6di_CN_ineW}7N?tOG_bUfg&5{O;i&l? zll@@PMa*#J07n^itF*BFG4H*`P7``xe?Q4fSF=>>a*_!ZydvinN-SmbJ{FGh zXG`h~>WbMU=2&vn0Dm)2MN^nH+C0NXJQuf~R(>j;F;%{%bE{MudZ1Zj%v};@YM1+E zK}*LW^EjuRQFz7Qkf)VT@=3D%tD!Dh<8CXrJKYqnQxwahFPDuILp;OemMcYn%@*i~ z8gaDlAIvNm9;6O94&8+GlyBm`Lvo?ngTs}aJaG&HtEi$e`lC-e`E-ve?5&qm;;+8x z5pdJ%CR=@2{0|rr@W(gX(#^IKq^V+`O)@COl&4wggjN^-^EuLrouim0qNl>(&iN@ z5(6IC8t_(%QaL1X#BVBflekfeTProHOp~xuZqs|qc>7h8gzLvq9u|aAo@5q55NmH1 z7v2Qtj9*3Ou;Op|{Z~Hg@|6T%X46#Z91eU1nLMce_90U&v6z!Uh8L!i7KTdyUCw09V1#>4t0PQqGAi!|#8&~ibm)G>Ke z2wVSEAgPs_#U(pYt93Yx6O>adbOp$U?^3eTSF?`zU9F%bc^;n?=mBZYaS>P~c`W_d zW?;?mE3N-)D#{o(x63r|`%^0<+m{ujM_!4G2J~spd8sR1Hl4M41(eglw74LhpSv0z zwK`xrCr9dcb<}#qkA!tix`lkL>Yu%97OXDWVmtwqd)LZpMXjIw_vPZx^;V@@TuTD_ zn*92_v&BH!Vp*#_QLDPE(!+uJOQ74VJ#>>ZkXl&F-<~RH(63L+6O0BNelru2Y_^78 zTg(QJUQ3_EIL9&L+R1Ml#0D>pF1DlgSM~$iJU)4DF|)IsE{ z?Xf>jc3V&$ED#`1&qHx6o4u^#Crs3vK5kr~^5nYD@5_$j*hq2v)%m1x9prN(u$I`f z*M1q`robS}{S}WbG!GWs(1W#4P@{0e08Oph`~e!ZSzfTwqEmR+kxS&4!uxJTxDqWd z=v%P4IdG24p6oT60$AEft*woS%09o{_9rK(4cPj@ase#cs~6;xSD{YmD7agYR8z0O zoV-G%-{Frb5WU6PN5|s+F!M0Gmm$Oaa7I$nlbGRz@4}j#xc2WIF~N(~eU_mM=aGkF zN~Qn^=j7bCn2Yi6((ggRL6IPqly{_KdPW|GQ?{qF{E+Kjq|gYa1D|ou7inNv;UzEtgXCb8i3tp9X2%9`M+Vy zS?$m7`~4)BeZMUd9Yx$9OL3#QhHIbX7$E?2YFq-rUzc6ju(|v#We^t%9}Hpsj#d21 z>5gx#f@a=M$mqb)A|sh)7upsfir?UU0NTxLId4U1#1#v$$x)u_r8paJ!qN+!g}|@MF1WD2!1(zR zNVX!%gMkJXJoZ2qhS!MKe17-B+GG8W{2CU10v+$#w8Z|H+}*Gl#sQVbj|k#Of_O8$ zHwsQt`G{39bOf|O(P7|#@$1;9o|II$gbzXr_CR(~u7)T=yBB%Bf%DLhB+vvhn=xH*&VnONhG%ss8_96*z|m+4K5KsrDI zlv~VDDZ&HU1+z;6@MGEPU{{s7e0NcNp&=J=i3A?iHb3|2C#fZIjIsb4E=EcMXlN}T ziwA2EBkTQ%k^TS$;o7q<$!H92bs^C8-Zy{+3rhRsO1yR1$8CV%*8m#yGn<*92C;?j zD=9#}b#&8$aR40u8&N(!^RoISiEXntbac={*>=HA0hZgTqP}Myt7y#x&;xyn2b68V z1TTFGXrY;uoV$bvZ}`adD1dQge^PIYv3kw+`l{qfOrlDm_iF$<{>A)2IV%r|61GT^ zlNj(N)6D4Dq}^qMf-@=dG-TceF9N(xSW5C~%l}J$!hT}moSEbF_9SxB#8+VA5{bc~ z0np@Y0_oPf!*>Gn07Klpq`937q%}T~KKim>@G3wJ04CaFew!2+*FWCggIa_}#Z6=;K21XE76- z`)}V~a^ru=;`HptLN9=?;5d8YXeNB0K!&AmZ4sHT?a)_};sszTHp3i#xdMlakggM3(>I%4?T>P+#-|oDtx{Z3^FV zvhPuW0UEr15)(+gaT2q58Vc~;;~zxWQ^kA0!jmm;UHKnSkIqIFo%j5j=IsOIEcgHJ ziGZYTm(0M$SK*uC*A|gLtq=R-K)XNBHYcdZ2>?fCv%LV8ybd7sk~gx$7ni6~#0WAz zzx&U59sY;rJJa+|@~HWTXv>{T&f@b9^`aK0DXs;&NmL zKK&4RsPeyD@(9!caLIn$_<8QXYv{j->4q1pAhQzcKxI^8`~4}Nif>}=tO>rFzw;M# zeOih;z9&TZBH#z-#cb3D_y_E2Yi|z{vutL_uJmhPgY{{!`5dy#7^b+&A9EHI8oZ&^ zEY6m&UjN6V>adtuaaEQ|`YM90U;BZA6#;Jn>!LI`f46z@@_VrkA;g*c>iBtwlO!?k zx{-ADVWS=5=Bn3Wx?#*0JSc(4=GW!g!Y;jeN|8u{La+S_WTG_4#~v{W+J2uVXy&?Z z=V#&LRmy_3wGQ1!YKK#m$G0WP{N$CcNd$^!-et%RO$e!5IzWyesCfB7*g(Z_nXS=P zDyd|fFK`(~a|HYfZlrOpkD$)yN(j(&hX>8`PMm9?;3T->WRUk7fxn~YrXL~x?hV9T zyQ2Q5)p~gAq~ni5^kJL=@e%02>JU_Uaa-h?)8N7t=x2p~STfS@JTeu^{p4KVhaclc z00VDG%VESxbLUgx12r3kqy8C=BQFK{adFrxL4X69?^GV?c%p{$5ivn}oqQ5xby;ULP zFoDA;j=)|!)qU3qqg%XF{KA{M-N)ipPk=<(z|>se-jYXoqjFSL#A(@5dlb+8%m$_w zSLVl)vd*M*S_jXkF^ZYry)v4g1PI^RD_Cj|<+tvHF#E}>@rR*DP+xJ7OTr%?AEwA=!tgfW< zJ&@LzZ!H%bIx|QNpspf z=o#KoHF;hC(bpw#fSrvCHEoMoUk~3HevUxhb!d}r^zKDtFE%fy^w=0SbOboF9jvEk zfBNe5hlH}xM1SFSlUFB@Quv3|6=pmyPF(0__gVdZL#S^kZV{28ihrsAMGQV6XlMPX zOe<11QGM!Fpz|)mgnrJ5Xh#-%5kYFPG!o14!NRKl&iKWhvBz|CGwJO=#e>t_^%l9l zUW7g$mhwA2=S83^U*4p2Wnxxzr3;roySQ3>V5_(2z+g$JEsFQQ+stEmC{=KjnN0Vx z;Uu$AfY0yc3v{phiLBqqQSam~SA;NJ>if6-okIf!cnxCy1v-Wu$8r({i|}*Wg~x;J?>$m=2YZ z+kenFMttzS9FDr-zAS6JH#+~&eD`N6k@aA_u=vKP9aGZ6@}kO3iQ-jxkIZ3b%7Z+w zfLMW#UIE%yyxci#^$o~g=o?JdOE+KScm>#oOUrlqX29!{kpI3k&rcSE{zKwr0qg(6 z)qBUY`Mv++)t0tq?KVcM_8zff)!x*MU8O|q5~F5YyY?nFv1613HCvP#5u1jhEfQOc zP*h*P^z;7y_4|i&=W(C=KIdHbx%PQpec?HW1^-$0ZEYsyoygX(GVH`tp+UXr5;c?3 z87PoqY-b=WRKexj&zEpiM=C6orK9^4c#ykT;;tA0 z8_T&LW=e**sv=(8&5Kq%TDvj%HX6$QHRC@{`JpeZ+ss-~1GxEQay~1jCRPG?z`?=R z(`F!o51eVs@f;KdrktWVt5|TR5;`ssT_Io_mkRp&C&6H5n{oU$R5&E? z^Hp+Ws!LH+Y?`0;;V{+4p!T6-hRQC1wkGXh*KM7k{n5!c4tD)xQs(Q@w2Q%1M<0$^ zsypZAUQY3Jr60Z({0CY5BkY~g5fsED=Z+}-lb$sQqX@qyQ|+Ho+_rH_FP}R$9}T)&7p^Q7e*;KDvKAg>%gn5_ftVg>=ozgkRF>*L z;^g{IE9y_?$Da*6KUN67j{hbdFm~hk;aAdg0DZWXy8u52@xu%qnJoV36 z|7e-0Hu_1@uc*?~kT204;y-NkJ~oCsHLv!WsNg>5JdPT7{J)=nnf3kK0oH&0x4=&{ z`KFtf_JaR=0dXwsbo~t8`8tKS{ZLgA;jud>lWL0#3 zR>x~?7gn*IV$nkPRetl&E<4Rp^X#M5wl1N7ofKqMsJYp%>G&V2l$=|;dtbLa&}2a) zj&PMmvh{|Y_O6Rbjq9YEx^&$sPcpBOruWS)DEy1}A7p3eiJ|jf($S`uA2uf6lkn_h z|2FQ}0AK8vpv-PLFdhM4-f3>UJ-hu_wcG5L@>ja}MR3wKWK`)*eaz0#rc$c8KbBho zZg5EBf50+mFePh*j|Jxe%NztXh&j~dQCXd(<5`6swB9vbzGLHGuj8qEuOW!+!b?4? zfY(7)Oy1VfRZBbGRgCFG>e8IP31mu1%5`>hTs1&hU29HW?!|fkt-%DT zWclw7cla*Pb0gM}j0veP{6p{VT<6RT<^Wdc`pmgp-|5deIYHsl9cUa4B!Xjj9QR{HSaCA5KqZ_;LASvQ~qszNYv03QZr zGmhKn8ig_5*koxdl0?R)T9ilTqnE~a2Pt=Q^^nTjDf2b5b?$Ft&P)?x(0Lt}2{)OB zUjB6cIu@RhXjIe3Re2G|{otv?T7c8KwJo2hXeR$cR245dY;js=1SR%uL)Ep4I)2UB?a9r^6nszf^46fWT!*iZ=>*PIOMDkY*7mV--n2qB6C7=5 z%Tblf{}Rh5BbxCOb2lUX^yoHyM*cDTqQ9h@__3CdZ1F;0y6t6Z_{+eMKf1}!l^L=Y zxVb`%&zCQ?E6YN#e8&N`P54W>#P`5KO(C7o9h#BeD3BKlsrn#c10)<1p5HeB0=zWW{ z-;Ro5R{-P`e&#>Fi9yKCZv+R6iEy}k~ua+yb?G9}sP#a37Sh5P^hB8?fEabBsX-pK4{ zp47f^9QyBE+daON-(+i1@6x!Fdve0%6wlxlfe0L=C1OG6(XgZ7Rd%}7?3fwq-Xa-9 z#OU75=}bi{EsYmdYA!O;Guwz-cR}R089eHKOLJ+lYjp9k&8PxKV_GwKg`q-AF;MXK zR4otUyYeEQy`UQ|REEJcd`8m3&MqkC&MHhPIb2Ce#82HB?c3S(OZ>Aq=L!B~RSK=D z*+sBDF>kc(Jx$wjC;Y}q$`pr~R1tZIsfk9io~?!rdBitOi`z2tC+w78K!mu>IDGh^ z2^X^~b5uv%)3513zhf`;1V3utR!qr9Y|?$t2PTn{FH8C!n#TP8dNR;pFTk$gS4~?_ zTP#0|52uQ2f>;tY(xY$$+lVDp3zyZE#~AIr;5j5UkpUHX;f42e!AD(7(U$_O!n9@( zr%lZg!CKuUdec5#+dClwTuFG-LK`T0^fW1v#*2(Nfs(iId^3>>waA>V#n5%DH$yGq zv7O~IN4OpZ%xF2POy;Qb?mMa(^G_sHO4pB|08&8vq*unK_&b2EtdLC zESZs{Aju;IQ^@q9BfPh)dK%J{$k#*L4e!++9k;hPcsn{D={1o<1+_4n#`h>!?@URU zu6^@%wfYL5nMY$GBt&30D+lhNt9+Wlf(KS>7A$f?dQ~GJOmd(b!1A^$@=YmJ4wW+$O9-3F2x{!U z^iP@$c?nNiJS(&7{{ahvwj$Zk1^HgwF{TkB9zdO(kdKx}gAHaWiCag4@1bJqd|}mz zv~3R4Kcw|nY;MT%#()&(#+dOH08=$ERhw|gjCNR0+HA>vgaEX5s}TLlxW}d9&GdV9 zR6C-m?35Mwba@TBAS-}bGRwC~qw|G0R0sa;8Io5KJUjCpAbU9%MLNemT{K-v_wPfl zX?E94stekD?Yck$bt~HY7?MnzlYfJJ+-OkbemMo>gZMcB6CSG532L0Df9q7M8#p!a zAY)%iv{Qp^D_3Og#FwDxD#-|9HbUQgS@}cY8iKhL%*qGaQdNwhsH0kANE?M+ ztF>;TE{&VKoonx(5fB0PGbD>ZWpy$^*jYD5Lh;@j$8PFvG(HeVoi0X5l4-GP=-OS>=u-;|iwqAZkS78649A@h7 z8e|y;>|VN+-6Iz2yLdN@bOev7J9%JX>Xv|9eIOc+KxqfHJ!oLb)EEMEBKpd;-BPIP zYiJOf_PAQ-|I{nLvA%YEzhm!0%74t(Z|TUkE{{9e+L%ioFCsIkw>lE{*eKB zU6+rpk5rv+L-qF=DO4&I(*VWPRE`CFa_83KAx*(Mx!o?KE>fKxfsiT5cY(pmTVggT z3-;IrGkNcifIUP(u@yMN`N_+X=QPSdUQqCtCLV@R=0}RBA7AUMF)P<};HI!EOBw9z zJI3{UC;J}49ss%8gF9Xj(Y*XXHxI$$SixpdDv!gs?RS}J3Sa#gmsvlX z)((8-1hligOfa`NWA&inY?~Ymwj)=Z(fSh}W8Tu{J5KIFqs#sQQ?zTy;jp-c}`Q%SO3yC%!trZ%#{ap!9j$eh_ ziC{`9hRh1~X@lTAp~oL1)rcX@6Af+Y@-MS&qG^xgzRyq?dRlSG0dHalKAI?E7jX1S zro(aK9jcu{{Vx$!3g~hRo5_CP)(Q);qI+@COJyC+-ZdJu1&wd4}ay|*eu;lf{&o~oj!c{n` zl+cO5#ObR|5U4o~Htw?qVMlQu7H%|}$jn4=bH?%M*U8Y!)Lz+41EgX}A#M-zW~sn7 zbL2(NRCtIF=jbXsuHxs$+w)VTZQ18?CjO12*6{qM@ ziCw2Beay|?r4LkES-RFgI@v-m2fWm#D}>uP3q zma65p*;S%ODOGp$seRJDF%b4e!ShlCSZ3(4i!TdYIOP@A06tw=1r>gls`GaAH^zcV zzY#WI#+;2$xe5DIr@T!i?Ga83?H}Mq_&45;|C_7La-R$NR!sYc!85hrZjXpeCS}ig z7^7H$$2Qvz-t*;xYGqLz+mqLIX5Z`zQ#u_NB=!qXyv)28aE#eCPX0jVZe?(%K7)$$ zkk{*XLjGNb)>RlN8amdumS4J> zMc*wcM3A#sMaTKm#615aInK?4D;m0)}ky^+30=cxN!4a%iijPNu9J6I#r zoRyHgqz+HU0yqXH*-&_DsG0v^4U;z|DTAf`(LjA~*I<#`Viah8`g}-yMI-NQodORJ z6p#7w8fWkrQ)eA~uNXInVzlq-eYof=kz_GgRHNdKswyfEh0&%1cO}Va4M6OW>FE5~ zgH+RmvDEwp?p_DCTTgWDXdr_5od4Z5UUBp|&W@B&cI__@r_Lh5B`I#Hx_wlJC`hsHk@#(x5e0XkFa>iMs4K|KOLI|>zPloh(XwAhF$7Jgr79=yNR`+%tS{S%P+bw>Qh zbFN5!_1Phf;-fkKe6v^O+;{Pe1MY+y6@ydFHY~&t1y}$6x!_n-v;6< zD4rs+JJY|Sf0*U7)%0%1M_bC8&nyrxXHBme?*OrLR8JcrbzF7fmo$)bS?hck5KUP}vU6&4gfYxAGaFPw*@?eDkEH*It?PTMqZ8oY*RD284-SGPaQYm*n&=qy74 zgPgVajm9&onKz%&03LaMerqh|))+gnXG*0YiV*@vEbYMbyT9hYvyZ^I)uf2IDUUv7 zGeS1d_=8`YsfA*DS!u6Y3e^!h*o$9~{?zAQ*sRo&ByTstw{?+077EI-iEKVVM`^$$^g*ZU^B53(c=wO=X_EGf-BHuQ2t$ute85t8*lS#&JciVGG~h#Sxzx zr|AXPv*zAa+>I+1)5}9W9L-%kl<&tLP2h{l!Yo%}kGG7$QprBiR)pyK8+L!AWE!~V z-++kS&tbHN!AsF5|9om?6H-bdAvl`+S^WkS>x7;7i$RMPHse8_J3 zo4J$c(-PNJgNptL*5`;&abL+Sy`Kx*dYFF^^MYlHtK_w5h3TAs<&t-+8e(iEtNeq)h4bMJ!xa5mXE$m$F5y-D-Ttk!SYo^ zDW-D1TmMf(e4Ot}?UDzOZcdlGkOPtfdTEi%pOmFfH2S4nD>mhYH`h5S)0$3ii4SqU zx~{(~{2T9*+f74!10>xu)nBTUGN1@8qg@iDnR&V$1Q5xd`eWpZN28XVv zP)&4^YUKDkBa)Nh;%8!6&}Tm&9a`Jc(~G3=!keNRDaS-8>$U9#S?8peFyx+7oLM-` zO4S55b7;x;2U+hk4%d~syX%^6!o4&-j*Y+mHk>7EIjV;A<9bqursji9`w^kA3kjY0 z9fcg-dHa306>(=t|6_M%=7_9aSY`;VAe1ch=03KW)a=yvXtJ6%H=T(jD^?rjwv>uk z51ZO`Y zE}}iAY01ILX{r^Qdil#M-WaPa$24%we8I{nq74ab3JN-ux1q-=gAEz5ErbDq%}h{X z?XCrZEk2}7M#!4`W4MN4{MHL8R8%#++y-bJ$BS?MgYnAh!tqRhpQs3@m9^T9;t6se|WTMua1~Yp*58P;!7>AK2(}D z-}HYg{EzUYwO|crBN663WktXHr`nKiiPAPCzGSd6TK1xn!utl9_N|~m8vT;tIih(9 zP3T_F^$yTvXn^Q@@bFxnT&f6FtF@PXB6D6#Pn4%?lzYekT#ZG>GYj`z-EeWjbv0x` z*M{3chY^dy&oelC@j5(Tw^d$=wq7I^SE6(CE~;uL%6&JiEzQmIzR*?pN%>{^jj~v$ z(vuFcIU7306ehMi?=pXHUh91|M^$mYKwW^u0?(4$`3qskmifuF%h=P zw{#RDbxguQQoj8B`|?NF*TI@bX4i$!+*;J#4t;P@!oigEuLBSjeBWl}i;rnoY_q7!%JD%WPi-a!ayo%!8VpMNae9$k@A#HhIS!m$9HvX2@Oy9WUq zR3`^d%AqQT5KPpc4oZ^lg#wjx&dNrKxnRTHB8efJ&iH9b{4L4eIfwd?^BJE z+2iae1M3X17t6FFBf8;Y3lb!b#9wPo{;&PMEEE_4wJf(B`u$F&%4R(UEwzS z#W1P2BW@zV>@MkDee`y66(aNGvHrJK4f!R%LAugIv+@e!43>XE6dq^O2&~k$brT+; zRt=xDtW<=u1p|XgJWlf4M1c1G|1C~2sV1YqFgK{F3a>^k38AA%c5c?)`NsNthsOU_h>v-Va#Y2;9j&>!~AnPe(_aWspYpz|M5-hWZU<&8E+?iyg#|SJY~g0wMWNsA$3))Rc4el)LZWaIjn$ zEL3c4Hxbl5^S$24V{h8nKhdzsfa080!WeU_>QO5Xbxt4nFTjcl=jMNYj2G>i#7#+k z7a&v7^mNsbxJ3A%X3EJF9wG~m*U{rv?hrJyoDKe35!wI)dZsb)ajt&FNWQ<2 z2{5doM|3&1!P`=QTWgd+g_YMjnGK(=?d(wtWW^nMcLFEr6&Jrv+74zSq`RB$g1rZlP`SUo4r=HA85ez@Ew5Q>(=olwu0xy%x!fGL?`|{6cWz6l-kb z=1W3yD_;l(R=PbNk`Mh2%eu(DQK)<=TT6IM(h5AI%}XA|ags4JH$nYW>S|Qw;0`p9 zHHxe@DHiT-^y}O^Zfc}dh%4LgJe%6%AN`3+weJ%-FO)Eev}tCS^RQAoq1oV`Ti#ee zdue~Q!Ae`UOWPBbJ6rjrT{;1a=`7O@y%``}%Pm56M_}Ab;5>(uo>_7CzNuuYSh%m* z*_SVSEuiKkeAaV?>_0-XRv)JSgv8lNcLgy;lrml=Aa>`~6`|l z;+cSt{nT?rA%^sCu$(Q$$NpOO^XQw4$MIXkvBCw#GADm(^TmG4)*^rW5PF@uEuFbM zo=Ist3`}>~RRy?0V??@0y~=x&>~8$ow|{cId0G$ue#QLqFbjZ@?lt ziJDfXF2<&}7%p>h0$K3aBUjzl;&SLs=Av?ZL#(R%P?Qz zF}o^BYOd|giHqy8NjCOoa}ARPWSp|Zp&cUxqfzSFr^P2#$wk{k>*c-;Q!vqZixV_r zFuWpl10&Z-P=hNWHb|NM3XSwHErq^YG`bCr~y z$tc4S8d`kv=T^{|X8N6N0n&g<8C1eH6ur$of2t8y**)4B@NWPzDdlK5^91K+H1@QW z&cO8oe^v%)gaSP|OsT6NZ4WVwyR1{0#oEawlA98Rgqts~Y`v-`9h0I0Uq&EMz%r4X z^8TlTDnU&Xh|zQH*x=Nc;0GKY%^N>Khn1_?TgAlho@zw0FB3{rfcXY4j7Lf)M*$)W zPLxThHEV+U%iIP?!u%VlrR&2-g%qXVD+ozG=g8SwQWqriwrtqX!X^1eS82|%nkY2e zgtLk8R`ijax1}Py4-0CL{d>#L+QHh+8{4z$Y#4nHTVw?7uWN^Hzvy=k#*92@A`vh7 z;?*B-Pk+g=AgODAIHf_JP^EB52W(T73o_zJPZbBKHf`s&ryDCO)&__h>xMl}ij~gR z(-78yxFev;;wM%+EG3V@l}`|L zTRN8(l~w4uWlI-K7UViP1xfm>67Vn~?z=5~nENhj*Jnw40*ph1Pjz;-DCYN_D{loX z+}5zu7Zlo1BMQFsVDFZCu4@@PFcQyPlg2 zb+AaMh5JWBd4zqBQ8Nzx_8EAmOU|BBgnLJAUw;eSQpilgMpUA%WyG2NX+5PQqqDU@ z9)@;P%43rfrWS!%dGN5V!X~Kv;90igugd< zpb!-5st`KoGbULlmlT6LK#}H_R~B!2ZC`IRm_f{KYw@Pe$4<4Zih*R)u;F)M;DKaG zAJRb{nMgpE!zDWxu`es#O?H6nwf5qo-TQ~#y@Hi}@`FOpY$4zj-3ZlD`Cd<mD$l zHT@C)Rn0Ia8TrWreP`~P& z^CaAe9iK{EjzjiC&R$qkcT;@^@Wz9^1qZx>e{RJ#>^#yF#mC54@5?gPIX;Pbp|)SW z3u(7D)f%E04Edgjc)uvyDJ2X$1qwaS&ud?wu^m zPl|2K`6eL?5b|`!Ln&O(^GqFwwL&W>F87iE)v(XAR{GbYs9hx!kCC_cI|gh%70ZGm zh@R?ygy6ifAccs2te)PyfL2Yj4pA5{Q40Eb@hDa@AWMtzQAx>$=TwOVV6*j23+NJ> zk1@gO>mZFvraiJQ_U+AHPkVH(#gHx(dwxwBe#9!xzwa2Fa#qKyZjO@6I*=v=!kkb=apg*9TOrJvkuAeF-v1F~36L$Zyb~|M%8#mer2Rt0{jhTH7JG5yo;PdzF>@mp+pf-w z8479W-G4-Wf6SSQU`4;=8#V1wV`S`TefeY2z+2QRA2G{1b!UB=#cZ)plRRyyU>Tya zeptjD6f#q(yVo_2GQAR8#<;~42e|78hsf3)0w!6U@^of)R8pBLyOokaB!#6r5{R^5 z;D0G^fq1T~7T12eTZwH##qBE8+3d`3LC)xNzG4xJNnc@O1}jhFFd(1#OjGuM!ed(n z>jVyXncbYX+fk|OdXY+!9pw;`?C|SxLy|j{Sbun(dLZp=@jTm$WD{TSR&o|z*`y~A zeBidrR$NTxT1UN!zL>!NPk6)~ycNOU>{g2J^b`&FvYDY@w_tX!5lqDq6ry?Mv2YjC zHoQf<`BHiHxf*wUws3(xO_VmK$X@tHN`lBj>OC3~P4aYhbb~`a$&==-=XuF|=0^+D zj38@#pN6Uuq9^uN^q*HA9NmWh|3t^$yK&U4?Y`AlMqF**aW{M(up!iy2FqvW;Pj?H z^=r4NK72`6P$aWA|6I1XcdiG{ygyX#MKfF5Ew5GxQcO7sa~$#6)Q*>O-x7H@&K=Z# zC?#v0*mP^bfm1Tta^Ao^w;Gvj zqEVC1j02~sge}d{EdOAe6ltYUyDC_c)EGpB_xAjs)L8!~HKs_q-zq}+z9YH}BhFS1$NE$lhjetY* zY_mVT*$12!f~wweGaiJ%B+&kbthslia*9t`(LorJbHI%1!ZI?Sd7rcKPTyFE1%QM5 z^sFotPtuD;e>%6VKEaX9yTW;K&usR3$M+;@2O7@&UU((J-=KB{VI>j^9ZZtE(WGq+ zXyWpPDBl>}zdf~3glQ;qpCiyv0whVS6LT>UnLmML?!yhqkp_)y=BJ4D!Zu%XWtgH| z+si~nq7F&?)8+%NYU~90M?wzGTvjz;b|%XSjchMTqs9f>dQ$oGI*RH&4c0=STx8dt;lEudkWd}}?L-pqbbnto`=Y&7 zSJjIkY+GC`%8)L>N@%&5=%XTe76q`)kI-vmYkP`~hs%L{+$1+kCdqE?i_4{S+_W2E zD(>S<86;YrPL!OhX)F_=LDmIRtG^uDCmKA7v%TB7mmh<1`X9}4Z^05iCM(BFn6Bqi zzgB;Yf!3EjK2bNf-*G=Q3JmuPbgR0|ggZV)*VGq;(fQ=r*>|3HF(9T5a(XRr201+z zLk5;T7Nh?zrwy1LY{S}8keF4SEWq5c(121BQca_if@pCE`K-BJkA}ivch!{hMMK9Y(^DEE=2l)e=MNDR4Q?d#KbDk=7x_TlKwWGI0}NK% z#OMRb3AtLM*D$?Wtx2VyCN{p2n*1Sc+x8;5KzpEp^qFV{Geeq9e@fXUJD9!N>d3Ly zSk#sm)-22v%4tfuUFY~Tlg0Tya9+;6+0r zKJhsB7%14xRRw`cH(i4h?MDof(z)3qd#1&m91u4*O;lzKB+^{e zc?sIr@BZYK<#s!krv6aZo8)ZfbBo1hTGXcDq)4Du zbXO#ATbZ!M_@1L458KvaoV5&P`gsdKAi%7+bV3>C-oKNtS7xG{1`W9&OHU8R1N zdvNCx_B{UU5mw?Z zsV0!e@SX2oH5v=<k_y(Bzv9SiDzDXK83zuwQV}W~B{Q3Z;(nFHC{S?^ zgoJW8aS!qh=QGqjIU5ahxo2Kg7HK&?IAviLH6|spFsGL%vl8>s{E=JG1$hokbxv?p ztHp_{HmF45=IubpSy2t=Ed35fPyWeAAr~(9L+}Y%2$}*fY#gRD!9(tb_`GPF|HH9#ex2Aaay>AlRZ`&2iRDVYl{ZosT1zODB)kYNB4>83(2E}>fA+d%N45^P9xlmgYrMC z!8^6$Ih!4Fy=bdYeyEVT)Io84WKzjt%b-`_oTaYTB;|nEhzSdK0k1n12+n)nmo;Ws z6GK*&UwPsDj!7B|P+K=2U`3bu77iA@qab24(-n3Z3@R+h4n*EMHQM_#3*?y!2a~*$ zmWqlv?^3S=0ZZ>D`<1lp1`mx708xbq`bK?D^iaY=?Zl`(YPOaot>n!&34U}=-<%&w zB~7*T7AQR_Hm(QE0bGiN>(hdK@ay|tUA8^iEH@q-##bi-?i9=|$KuXi-Yk{gl?+Et zIdl#Yg%Hr!5wC(m5ICDwXmW&8Pz2Wb07|23lf2=A1(stv3;F`ALGmd_bN<^$h2`Px zZ8!O0C0My8XrL4UZUP~tlIYYk6?g1xOAjQgn;Q z5BwvL;DKh>r#a|4xJjRq2x|14#AZ}F7)UGLdt2TRv?iiaWOTNm#h5uSF+|iaQ z#isu>cq9u|;^}6J>S=`g@}pDqdhx$;>tx}e)}Dp z$DsDv5?>m6vvuRv&+RVW>86WjwjNviMw4U@AjtmjQyv=`LN?9nluxJQ8ut?X8ZyxP zzW6up&tH@<2GZmWB@Eec?~8)6z6m79 ztYNypyKq)dN<}02B>Mn*Fa{a!LD2LQ9?d{0gbXWW0)LiMd`ECGlU*6OC&Qp-@6~m{&Z>5r1RpzAInA z+_o2U)T~^^qKpHg7S&v0;+T}#Ok$IGMs@QMCqB-dc+$DiaCRoEU@yA0eLS(}`Hp5j!Qxsr)7&giMI>82 z{e40rn2_ZyUpf~S9gjQbDHDlXtPQgI5UTM6U<_RQ7L_S%wI+_+q#&~U^QhL@N33Y( zBX^hW=9T@ioi7!aS;>HTKJ8;M3a`Y5aPa$~9uXWFPV#k8*mN!GV{2p2-usA)93iNz-E@Pv zq8*w3Ra~(qy=Udd%!MS=cq32cF6^XnQ~_AN@L9@T*{Mu0D_>o0qxKnyRKO`gG6#powNd#eT^r z5FOsCzJ_&2OoFuM&Z2fAY6cs6DJ7RXHXuGE7O6+w%ZT;54S11}lX;fHyWB()9vh#32BTDhx_rMr*2S1;nRVe8DIa;#F=NK(kea-gGsxt^X_ zo^Avy*k3l_XOUyoBQ9dZb3xtbf?|-8W7hbcu&P3k2lkT^$%u7T6C}y9=klI6mEVjB z*_%cP`vHUJYU5qp zZeJuWUo^efiY7Vaf>hLn>V2gXagAT~)~KFeUm6~vO(|%f(wRVC80q^&6mo9g^}IF) z;x{r-Pi5k;B}Io$&`lB@8zvDlxwiK!5Q68%hQawAGCn45gld9fJ07K5x!|!06~cil_NgO* z?X^CZ)|=*%-L7$E>!XSrDdpgquZ9m~uaus{Xp|cU+ux#)`M`irU4Y^z2loC@l0s*M z$@#D_nY1dp3Waz3-1;__j#Pm>XiGR2E7Q5qc)2&z6`1zaHkbwryT(g8>IW;4pJ}X1 z^o)LO2JT$f4bEpalI8sMc`g7N=xwqd;f2S$VBH?ahCS2N8~Hl7Y%{W>bef3Ylb#7S z!|nPP(M~k6l-cun|KT;WQmN`+GMHC~5CTul+R7kqPGSPE2IG3@bAdLWFz-+xUAF#* zTUu&ilC~yn#WlZ8R z`v*N=7$50xY~A1K*hxgXkP9$NW7$%WpV@=WeWgXEM9e0Uw?q46XpcdYChI)X@)ncN z=F&L;@tNr8$0#XAz>H`;K~DB~uIR1J6Q~ztzLrT-i(0wd)HCQpY<8uL4>nON&RQAv z=#e(Y82_ah)5V8^$7g|TMbrJLUEzq5sb_=3O;TC7=Gv0AFBn^$%CZ9za&YQ}dZ*z| zr;!kJ%KDd4oAWz$;!AM4$j(Q$ zf<6rg?Ot^!4Ym{eENvH!e8b&?MNt~Wh5{xRfuxLg9F27JIYPhcjC0`8m)8_>l+3nM z{Fyd`>_wBZ(#IJM7Fr-^EkP`fp+pcZK#r$)z_~2vOXB@6&*aSbQEL(Eu>{=DG znNN+B3E*{P(nOPTwsWUSpQshU`~dSi)2Q~4e8#1E3VzF!dV0&?*gi3#Iw_qtZJ&^6mCck^!5pE13hkCqEEV|{sJT<;1ulPI;*|Z}%ko6?J{uvC#cgy( z%C^&mMfEwQc^4gd z4=3xom!6E0&=_^)oQdwy2FPP;)5p|q!h6etOkSt%z|;WllFkkb9Qk~=S$yZSD+;-p z6p347UIIzTZpjJWn-;9ZHArzaPLjT zp{D)@^KSi|?f)(=E=b5nPTlR&= zvomWiu7DL5Q!gUC9xNqg0t0%_JO60|C~QW4rvf~_B(<7US?H)pqh8xaIf&RI2mNH) zx+_{W!Cs-!cnklJ341wamWnBi?^g*BjRhjYJ7`1Ux=#X46$XEkm&Jt)qjVpB>FnhT zDX}wG$N5k^W|t zV*`3XTT{Z$W2`JMP^7~m)=$d}uF{Mj)NB33W+;~)b38Iy1mdpl)~RsRzAB>a3dfkG zt(ts5g}(<;?c6G?)Z|zR(@r*61PV0@5e7oLoB`CXFp4P$jdxzyxS;8y7}RqGWro4# zy5YChT7~X0Oy9kro(`@}0UGlXtpt+~d?^7o_8TYp9A6D=FWL$3qC+s-J0tC93HsI@ z4st-@4~+_3#S}_E7`jR%Trusda7Nc{Qi)`wxZj;G!?bPtxVFX-#5>v~sApCdNJGnT zvL_*unP|?T9Xqv_+<(rQ$naEni?+tA)nA1jOVQQwR;9UvrRwI;`;)hS>pD1)N_M*v z%Aa_O?gVtKs}5`v*=kE?)oOZ+no9agmL1zP%r)KZ-0v~i&4d`H@k=MiPjK2ebk7T9 z3~YyYcwG5CdofhIX|edX{(}EQ_RhPCh&L|=zPz!v^cb(m>xr3BfgjIJ$r#F+G4YitMX0i(nm+3yWn@$K%8V5r z$dRh2JlkUV8qE>Z1yI&bgXX_`&_;vo7^_TGTEf2Qfk$WEpSqtthprZ%H=VV~DI41S zdLo99kRz})*7l8-pY)J2{Oc>)N>`)n9li1OAXZXD`55_adPCY7vNF!#x#g2n`|QkK zi~CA;Q)t$@wNSdQ_NxcLPtAj76t{eyxPu7>>Y!oDv!jE1GG-Q^Zey2;K?#+jZhf7j z&Z4{@_tT5p1JF5*WF{uF5XYS;?M37U&Y`o;8B01UkmwKYQtW_7D2~maSC*?oP!t*S zHiIUD0<3eoPwXiRsy(UP-`kE{IE;hXgvcFCu9`L@2f+=v470Y?WZnHz8%NUqg&+@1 zX5?fDlcQc*SHsLkO;>!r!lcM$7kCUq?=?hFb!e{WhQ-?`$NvGz%6V+1NV~s_Ykln5 zBb6Z(L@YYtoV(09!0N4)G>|G{A=j)Qc`zmEAV~QA=ctv7 z6^t!axQK<-wk+yGo0gM903}chXt^K-v(jWzVnFX@Zv#vXTI@dTu?6ks&C|JEw2yxO zGiJhdtG&NISYDo>;eovaYieg0v}9>TBGSJWk(qeNbCDAE3xO}^g4F1a-zuW{#!gO# zwPV=5T>`!Ww>(F&@b>3_dZLY`@)%@`T0H5QX`DtoV8*@J1b7!AG0j{P!x1Z9*Z9Nb1+}}G*6psjOkrD;*OVIi8rlM!_%F+dib(u_U~|J@5Yzr zod5UVMKMcAE?Ihbu)#u^%LH6qYHZ*S-^Pn+x@K8HMkzg+F=H)|Pt-jf3NPpSZCH`@ z(#StJ??G|sPyRKzwSaj!myQEjJfz=JHY3>lOJ#WHfrV4e5B5Q)jdcB6b}O}24C*i@ z|2)1r0R9Vlt916;lbR0;iz=iSYKR4!Wj=6{r)__`9#+WIk!`W;$g2~$DYI+znpu}O z1~0H7mSWEF50^VqE&79ctgCY__SwNma0gH0rFZ7qq5WD7V?wLJN~Ix1aQ%DpwmUyI zrG%a_nj4j_f0~iE{LJ1h^|y%PRSM-X6NX9qXoW`4@tW<_|3}zcMz!%p{lBFYTA)B_ z@gfC^yBD|O?nMGEh2T(%JH?9yx8UyXS_(xIJV0<-+zIY?e$Vs2cipw_i~D9W$xKGh znIp6JcYpTHQ*MG_jg#QTJms>=#Pt&FDHT}F)6(E^ijc)Z#j+TovfmQrJ*N4;Kh$<- zZkZ0*^-4L^?n&1Eik-l`c1gDleCLi#jp`OGeKQ)%UqHuuVu#q<3g(p*V~W1LDDuai(8BtHjnhiBsl)Pr%3r^v z#;Cl-psj@_NL58U?s6XeRdFQJT-3B(z;{_FOU>4|#O)VLQ5lCkjk|Sx*_RkqGJiGn z8^d7&vuI(UG}5+zoT|nP#)894=_G60s8xS+=d0WFPN+Wk44wDm453 z9jL(m(ijS+fB4-wJ=rGr3*}DPJJcq|J>C8uEdVLJ6X5oi{i|B!)UK{hI(i5p{&Ki= z!`Ro}yyxG*-Qu^ppmxa}-F`%6(&>42HS}CPTg)Hz7a(T)T}=Rs$EkY8F;kzbljfEC zOZ|f`wxY+oT{xq<`2G4Vb+)+Q&HmJ%3nU|FwuI;X-7U3*@5NgFSl9inu7k4sp3%3_ z+7U5~nGIC=ySCtVyL=3-O;$~VpWsg`!|(Re&lR=Wm}CWSr+l&FQ5f{zZ=E|G_ek0v zWd7VY>pP13JlrvVdG6H?K8cQ#KRbPGiKgh0-KMgv70&wFjs}t~;qUVO=g;$p)$3Cd zWeKk`p0h%^R!BT2dLqF_J^9h_HfFvZxx}FcG33oOy>jmt%t|dw=e$nNOfx{Se5tfN z6oVMr)MI5$njS9uck3koe9ig~#CC(Mb#!WL zOx)*YKff5#{kSufKmw8dWQYa2N7nt;H1}TMu&KyXVnz!6BIheCNlXcw5=w4KEvSG< zTqnj)CMWBR4`jzaT4=>i!d*;dp%4krHlYQuPbcZh#jRv9|IuXG#iGF0h=Vgsv`5xu=2 zQT0BZ9-{Pmkoj6cN2O%h0j&stgN+=Pv-*z|Z@Az4pWUmEbW!_X+yJzg+pEag?rYRP zV6p4i<#E5zZP0&gTF@WI-VY?KFIk|opfEac!6#XSg-Aq)KAU?|D{~zGd#i_h(`4F ztmE%+Cj1tg`9&*}Mbzv4P}f_WecY#Im65;r}cOp9Zf_%JDBi% zl24)P;=TaiBfIPFf*oV6o%0%wbBOT2x!P!cJa6~+`4g9>KY}+vP3?dDLN;kdAAL!- z7;#~B@=ewDC}{A!Ia~Dp=0uR%=l%*w{(?&E_6$m5+U;?_y>lti^{{>(rcUL51E80? zuU3Zy_sz@sVf-%V2^Y|@tPYR+wH4ocWMud4{+>F3*gg+)H|_AbzLoga$QB05Ox#m+ zypj-npkLV4o0ZQWyc=N0H-E8oPHifqIMQ$XI6vBJF$rrUuUEBJ z=|<4wk*T&LbGWW?c9|_3#k6UzA!inFmFx7CsciLL1KQk%(-VFF^<-6Ii?pUMkG=Z-?{5|I zjhwl?k_PJ@yI+AOM_uOE>mpsM=@!}9=Pi7i$f%ZZ3dxpt^GBIbS8=cwGn2|x(^8Qp zpBuZz^8s}a)a6+(2}Hu{1cl{##7XUYwPVQ_-+lK`JWK6^Lj4<~?)EtQlW;x|xzcfm znnYqTd77wq;C z-yx&}Vws=<(FadBXjwqmM01K9R$^q7)^Og~AQ!MBm#K>|iq|`0PCw}2>{0b@e({f^ zT2cKt-+M-AZ1rbNed+sx=f?B*Y$L5Jf^(YE*cDQzZxk;72edrX{fI-u9Z&QBfyDnW z`u#sjJP#ii7yti5iRb43Kk)ef7bTwiKXUy4FC{+7SFsu__?`ZHR`6s+S)IkTZZ4W7 zJ1Xt8hW|6)XOFMS?V~0`HE49&0SKJOd+^6t0;j`ELoG`^EV31>c<#8UkrCyGSx^gP zhhu!@6haL=lehi{?vE$_K$hN{+f)CJhw9mX;#1V%c z6KpF)ZQvDsX}=h)b7VQ6B<^*$zEi)YZdze8#Uk=|A!W=&)R*4e{{EoszEq>NN!Azj zuoaywy!>!@Kc=2dcDwFSx$+wtYyE8o#Jdi4usD`JJ3a4w+lkLg#SX? zNe@#_+9D(@shlHC2i`#en1(#^@8jXr*aNC6`9FsI?e@-=T!&}Z!_t2QdDE&U6LJ6Z zKeySBsH*kvrYWRH{$5>v%ecjoqgIuM?fh&uQ2Vo6Cqd_Sb+n2mL#9%;gw1BotWKXg zn3h-f7<2c%OQYC-IQxTq!MzpNq|BWI#u>A=rj}`r-TSN~Tf^nCOKZu5@+u;`%EV?) zllU!?%uV0JjRRB8H(@nbBMSrB3a5?9%`;}L$|qd|!p3${GwKPzBga} z-5Jpbgc~0m{AG{llE1lE&fIE9etX>rBRZ*#oe~FFWYxf8&#~+7p4#tmW2;*NEw&0| zjiAyG(egIwhDcM&Jo7;fxvz*m?gD#xKTZkydtBej``?v!KkSWl(F-|uLOjoFzEkV# zK3?1$JbIuunNPd;ilnQIk~n?w-#Uqc8t<>6DSZSfE5yfRR33LJ-E_PSZxxL)>g^S^ z5BI`|csIJ5?cs<@6LC|qNca_NdQr08U}ct%^kLdDNGXNvcjNvAFnuMaDKXS;=n()|SZgb?ycr ze$eHFn~Uhb-To(6(CX#KGX&2=*#@Pqs8V~rRB_FUiKyRMvuWq}=TASrIG98)3)|v$ zSbkEp{a|09F(F{;huXN!?)JN0KbiGEUq{q4ns)n~?mx==m?8)s5da5nNB^$MPmbI5 z_a%O{-VqAz&b_)cut&wH-gNcA7M50i4XVYz82B8rk-c{;{99v9d3gPh|2-R(#8Xj_ z4E#1btLs{of$2*uX?_Z?i^2B8+RxNg{dRQyJ_1FM)@fsBZlJ3_e-a|iiyOCF5e3j;`^e+ z!|{!&Npt(739?_J@-gAl*`?{@)?UMAb~~9-=h5}yzrE?%9N$5FL8b)H=wZC2b!OWV zMn{_mzn>>7)!OAJ4pqidpO}J>gih}#$H&`@cP3_L*fefLLsFdZhR(;N6Yi`62Mi+P zgSTFl_d0)~OBwhI^4KeW_(bBTz>)23j9XMQvbCIK-ceCCc}i}A!O4m*^8TN-h4NQ8 z|E}_2LcD>3cZ;xtDs#bpJsw<10Eqy;QOS;2>sJ-`ANaBR^4;Omv*T+}o z6|7m(!^|l!1a$gpSc`yxd5{*H!H86-7B-*d1u2 zLDcDSS1`m`ZVT`EWuKbd5aD;-uimxeJhNK4w)}^?2MHKJiun!NcW=bL3Y&Lixn3B) z+=!)s_=*&=Ld`q+Ho7PAOr-U-juRKJItJ1HISP6c;yhB&F^)^eYq_^>lw+C;9pGO^jpYogf-8JGzrku;y zoYt{FFn&z#c9=xzt9@Ye-?(1ds(wCu4N};XXb)IJN6iM|S3J&(uUm@yTP&icdSkB1 zR)Jr&bH~Pf9@_i|!$lsoAIz>ZW9@6S@LHu-o zyTu#FOxAaLr-l*<2*3dgqGWt%y`!P@L)G`1^)o` z!5!w^+2bTS@hi=CDmR^LeBhbE7aNVPhVd{ne|Xf`>q+a>>yx4G@*(|moOU!%5m9|| z8$0g@ok)==m|2lKs=AjUUk2T3D?;Q={lidiPAUopL}s#IQlrgN-%oa}8g|E4`7(d@ z=xE^d7`T~|?dBD?pWGz5mO7=2TiK9*i?88_8&J{>Fmdr9XuJ4C=k5mycjFH)G`ee^ zPp|wtne5Ub&GB0i$55d}?lmh;btkX?vrPz1;qtwH4Z0^>5n?$U1ZDYIfwOagT3Jb! z9BS;|hyE?}|5JLS`S|_%;3l_{+6HwI$^Yg0RRSlq&!f@7Y+9#3N$CxzLH|-ZUz5LK zUdlRR^D=q6(^~BGk+!`Kr|WfcQqWeAcAII%qr&~h8E0vC>SMu!&kbZcyYkWQt^!Vd zv3d-IYD}()N#0lH-Wd#-CQGD5p^m6y?vT-ATH*l@)+T2w5?0sWCM0(6`cbli5)Pss z_g`DLxBe?3)|sRIkui6vg)yS6v1j<~UOowU5DmYCvPaT%|JaAGpYE!tXM%;}hsXBC zyI$YFVoZd*+4slh66d`7K4^XX?~D62K3U&L^L`}i1b<>cVp#s^-c9A;?AHO5n49Tx zY(`LL9vUq z|Kqn^bq@c{r#C+Ah@Pvu8%M~OtDF2w3HDEN;Sia0-~2s^)4+tDrK|q^YufGv$kfvJ zT8M2nveW1NH?BuiDATd}B(<97<(-NAr+daslxxMnn#}O26FY0J?akfj`jG!*dIUwA zqO5*i<@$h3)9Gf~M^}pwQHy_8y}8g}H+@3gSqHVP%8%rGG;koYyiMn#Bo;oh51__C zZ%XAubP{#GmBc=ecJ?S0z$%&2S7?-jGOVM1dr7-l{8-#BtnfrDYm?%%K)N_sq9v?# zP=4xcLQ^WpT_@~jrJ)nn&rvm+Et4I>hf*-^eVzncyt7v2lQvNI>nkHppFtPr91B*m zve}8TQ-gjMf2Y`vp>IOL2kgTxObxws*+jca zM>v9wTxw%FQZx%r3=r(F#h~DN+_0ZyVT$Yvawssp5_eOXp0{-atjF=0ph6-};L8Y} zv{AcodSpTbmW8JwYozhdUs69QEN=4B>3NXFNtD`(ubG?L=M9}L@LSCdhlW9L_$<)N zX(7CB6!65>4|_G;A6{+bL0H_fP7i!MKwn=}88E<|l|?xT6F5vfqBS%baEV-1X=p+4 zhKEfXhRNK9xM_3nqk~aN(aGJ&5RA@)v z5Ir3bd(IzxBbFd`Zj*JjodnOg{S?|hW7=2BVsCkNsUbPiQi6@<|u8 zD>^OE?I1>Imx{J!{pHq2xEW4|YzpW#y!_6Ql!2#wj{^{3imzF(a1&vkE+q}KbE2Ss zXIbFszmB}r1|bIbQr?`I632wh7IHVW{xIp1`9rX1)(#OyeNWrS}NFq6A}=uJ0=j^CLh<-)%%4}{jl zcuE$>N65Wp53lfhxhF&Y*s^cs_i_8{jhj6(C}jV}<6A0%-1n(rI-re%cZi(e8bVV% zVXOO#@*1V7Dm^2MThB_B&iK7uSUhcJW|^Q3VOmwleh|ab_9Q_jAo9Z?{1KQUoKV>7@$>v^5y zZfVM}D}N2Q@j&KFh^&_90*6i0ItZ|&kW(v5GCOiih$xbveW-&6ObX1~760V&GXJD`27 z6OQhO&8U(`&%5I1@;gJs|5(YN8w(8_TuH$B$?J)ef7BbB)5X=80#0!H4`)9Em2neeg&LjvUFiQ z1~gS?Nn2IJ8V)7W#ufdzCOGI`RtZd(kADxCPpI-e%1 zY)b*R2GMETZwYz1x)Lr%Pfv;^HlL)!C#52{k8?vo&%fuKNGk9${DSmX{2?75F)FZW zlOG%1jP4mJR?r{wOZ9~?PhnfwT5%#)tvHm#+7S9jOx;245BX>M`6)a>3HZkY%(nTNC@>YkR@?;I{hm**3mMAcd)ykgzg)bcbezJ zSaO;dE6ln13MV<*!DOAikSf}5bAde5Gfr^dL^Jamk9T`-;_v345II3k0P*QsdJT(& zssKW+e1YS@(MYok8_p92sm$vpohFPAvqpTfM;MtTg}G)6?glSGVsCU#N8lAVZH_+) z`EB1rI5yY7;si2VfBxJ(v8jdt;k9Gfp_tnl8UikoV;xLt696|e^ZI?2iwR*g;gWes z%cQ51e&HVNq((@~FauGVnq^#c(USwrn)*?nFd;7y%Vsp+mNZ9Yi)HoyDyZT#kBf2P zh8=d}#_%q^L+*D*hJON-q_B4vxaq}C5+CIDrYshq{*pNT=@h@9M&&TUdrApD&XJFV zlE|`nQ!+|p9y|7B#lMaUreb$6S+ojFjhZ8oMnBr?U7~4-QqE3hT(%^@{ME!p-`JT17Z^ zA^1Pt1ENA>FpA&S!DL4}*i%pb8D;txdm!S$6D(H72*I0TLNiQp#`7#72ba4ir&Z^>|O`fE?Z-e#xKHO6i=$5u?9JW@tN>nb>c@~}+ zZOlp|{cWY8BQf(9AU`E*bnG>!RDexB|2G=nmapo`Pei7$H5 z!kN6fIxGxEXFQkn(e?@Gb{pg5iK6_?nmOPGxworyAfbeGO2eS|h%EkA)hvj2VVRA^ z0{IVHXu#;^LZwV0?Iv-LJi>?XmHi*zGiEcL`csc5l&^#kv|*{hKIvV!6F-2^V@xB& z%L$Y7p9+J9K1i*e_~cUFG{!#jnJ6K5Gc|%?;&4{?Cpbx+c{iT9u>^d>80MoGc^vul zu6wuOCbv?fjcGL8ce+h(L$`{IzH$jWBCqI+3(yFlFui}oh%t77QuWHkFV2BfHrFm2 z>R%eek_CW5Qb2@@uNyKY>o&w@T0U{fIPpwi;m!V8FW0P{{9JJ9Tvwqdm->?uGC?z3 zM_8F-(jBaHe=@b*rs|;SI`9BKyFhfzQ-=Rz-6j{!UjS zn{A!^)rOm^4{_<}7IvE3@?uW9mBVI{l@1LN!dSawb^HlAw_%U`P1+2@*W;4WrO1M^ zzX5f^CP~X^g>XKxyiC{kgd%kFqXS>V$nr*WMO8D@r#_Yysq;&lH8el-&E>DDmucO6 z7NPt)GSyjA=f^iRk@!c52e5{!9N{Bl{H5kgcilDHKT#k25& zf=cqOhXgYG<3BlJb@j0892c`>O37M$t|`qB$Yq4ET9I5q)d7QWt2%!7T78Ec?9+u0 zIg@!m>n`x~2T_6+(Qa}TUj2qYMM$qN!Xv!wK(saS#rhk*9VtaVgEb6QW(O2bxT2Fg)+<-f-z9%1N&z)Flzxa=zu^Z26`TqylxZhU=xCQ;iF@($B!ip5l~FL; zDPi6qJCTkIT6r*u6?gz6k4#fo%I5#tu8fH3&7ZtZ+rVPJV3-Z&CHW7mR^o`^i}Puca`eN_x4}0DS~D6= z1yoBd>VcxCtKy$tPx#zSfc2=Ok%@OLL!v7AKehPnw?FmHTbx&dDn9BaFqnZ`?veKs^ zg0D)2X@#fD1%i(?e_KHqSLVz$eVJi@Qv>kMj|QnI>sdV6tiu%QGOJ4{Pncm7M!orf zdQ~@d(^Q)7N^qM4Tq?w9S}zZcj{t|y$T+!L@|xb3){ZPiC|Atn8c;&g%;7A?bdJyO;u<9@9$iMz0o!8!OSm3t*NLy!d9LPEXBNuYvI&=|h0IpF87DTs{Cp=^S z-}njzrp5%Y+m8&#M=ywMI4Eu0th7-%omZ(*lz)*2=VT7b`(gHTRJ@7s&x#`EsX+TK zlDBgJhvP2EHY~s~#t-G|L-ZVRm-gsPYC6TE=1ov=JG#j;Z(o7>i~9g3j4zG8nD6B> zleZT0;4#L;;Owor!@}N-+)ctFV%U02eSmxcGdVxWf~FLm3LiaRjpUB z1I%c@Wynp;XWlS8tKhCxAg1S2A1dpugqOS+)yLu8x527MZj6iFBWvtE!w=ga~a0B*5X7>qMTzd-q?*a&NAf{=T4a)z646()^V_6w>PP zNIs07*vN`KzuY+IIPpwuy5O(dL8LZ;%q;;v20{K(7qbNh@SS@JgHn9un`s7*vlUm< z^RpZo#h15un&T1t`lMJ(Ce%EjU(5DP-YZ?i@9P&*yj6CZTXm`rw!YZh)DC|=oX6_P zLErKA6<=H2$njbAsf%LQp~s@kaHejULjN>P7BgnjtfCncFJZfJ%j7jeD07ZH09P5F z2Hf%MWG@|t7R@aBKFr&CufFj8=(@5E&=pdVG5*Axfj4gB`ut|fZTVXwl)lxc)G4mp zzbF#Nqy$AyYp(SbQQL3G5*FY=x7PF zx@2bTdJsqw+mM5)WRpx9Y$vEA$zOi>M$IMy@VW8qHysVm zRLJ&Wgyy(BnGfF?bKsCeXVj3{jhm_)V5(Trds0X+&hu43ZI+(^2*YpWmQPJ+4wY|@ zmuDG?k{x{U&=_TG(^Mg>^YLEL_AUHXZJVG!udUsSbe~5M*528HaKjDfNS~hT@4?ma z-EOu8i~2vh-@MlaBa)}xOQ1-v65#brxZ#%a%&6=sfwwGg)LVp4AB_2F88VA6(Jtdz zbTATcAf=uBotZ1i9fJ`DXG`6mgTRz?J(j0XgNdIdizjGqG2n8~NCs~(F?fV2 z)L(55hGElWCw|4*5!|q}y}!csZkNrUD0=!h_U)e*PUcUPsG2+{k+T;i0cYYoL+GM&l!wtNw+jdmUt z*+>12jI@h--N0x%(_ivB9WN1CveF#m7kI{8=YlRj2K7kjuiCQl&lWpfwsRW<9!UGuKiOp z+I>%qIPu}lhv7y0HCRr|dDFNyknjZg+xLMdHv?%+v4INa`zWDJc*#6@Qe8Q!04KYN z`|ktTpW_vwcLW?mOP6gqUVwzl#<>Tqmqu5yZwHp;%_Erc{qz!wqtqp9&oKuB53O$n z!tL&@-jPcIjHTP(FaL$Y>7)gYKLbsim6GD_Ms}LR)@kD~TgM1Aj@c=)%4FmGqv7qZ zTQs_vu5cEbmN@95EuG+3c;vd=;kLeFaJ}%DFk73gSnIVl!?0lLsLF3-hWgeSUUM4r ziSkR|E~PuKkJ(rljgh0l1`s_9u&+o%8f0lFRsu4~X6qRCJ?3FZbl|y0X10LyRC>EV zu(e((#Hz#UnWINRg?O{2A7z2MFV@O2m$mw)(5q5)U*n|Q#aOXsWw>zUi?m-j7yIl5 z20Zc~>-{f?r`ecc@caw5Vg7~slAN2D9cjh#2iDpe^}O94wdvO(>X=6SQMjFE=DhCV z<>01>@OT;adV*3I&IwwBq#a)*E4aU9GE&n`CKQnDrX66Vb!sr45ssw2?n;WU!(y{G zAV^4l{>@+n^gZh4NvQ?An^57xO`)$PN7&pBJU$4vwHB0|CTb|KAcbXs+PPA2<|SFY zQ+_Wui+<*Xc7?0?6aNg4gI;f=xdiy1{|!w{cvQRNl98yRkk)`p%(*1XyeJiVR{q%_ zFqYCQO}>7N<{4rT30uX0?o288agczcSiO4_oR1wnT0q{ty(Ts8%%bVYw^Y`He+oMB zwD{;_hySLTW^K1c0VBZ6Ifn~RNwwpLu%MNBA`JL#>0%z%-CjXN&lVc39GC4JO`f4Z zfd2t5B=s;5FWeG1APntRS`uH_#Vz~tMTFR&tRErMiy^8c-_8UQI~~(-)0UQxxe&fb zjTFJ9I|D3`Yv;FQL3rkl9@{Zmm!VnCI8SazEJ`63)IUs%vWxR;dA_6{!Su?lo zEe_=Tl=BZ9HdoJF9X&oO)MIvga2uWU=dO1ip<3r6;)_8eb04EtJ6BO<;f{s{;1=mC zOoSyH&AC_&|H@;g z$YVQm1PF_ulQUZN!II_cp0h*DiP#{7(XZ!_CJ^0=M$YpS0%8VxQ)0;hk!1P@%UtUp8AbAio9fbY~U#yp+nv#GdqB%NHn{&I|J!~ z!-kn?l460V(iUy8W#!K;*dc^ErwRg@8*$P$R6{JEikQs9PLTNzY|v=8?I7T2)}HTY z?K}&(z8V`)4SiMXa|4dS*)q~EG-Ag^YtT>ZeM|<;!RlunnVb6noHXrT^u+;jwmd^K zztvcfxPNr&Qm9uX!*O+j&2O*x@GoM8y~tH9;0XgxJOvs@JF)Y8&-;8I2Tg2yT-=9> zndyRXgf65(B~HcCvmg;yfVQXguesX@UD|HfrC}8*R74SCd;ZDE^ZnQHA8e2UM0wnq zIml2{WIaq(PK+{ThVkI3eTn_e=ry zN7v3nmwWd0XZH@4ytZ&M&9+8b^YPi`xTL!$l0Dr zCuAJR+rg@pWvj~rj_KQG$mzzZoT{l@=JTN2K&OO>j#o=0QSBAEG;N%uo(5MGm8g^0 z-hm|Fm6o^I@9O3Avg+i&(D7JQgr&;Qshi{Cg-!I;*|(nN??^T!kZMrjCMS0qN_}fwlE_@ zCRK;L#7RJ)bQk?@)Ei$#6B13j2@(1?+VA>YCmui}T&C=&mc%DaecFdH+t%fq zrTVJg=fgn}?L(*Zj}A<(lJ<;@LiBs2tOq6<3m`VwxI-N-sfqxCiMv#b{;T-S{ADy$ z2u)b3tiZD%R(J->y1mTmoDJDEU zu#3bWOiP;J))EDaWIOyEtbMyy_kD!rEL13nj21To>5b{S zvKqCGRbez*k${uRT(Z3#!A9QFiL?WCUc~uE#*NQ4>O;8}67G)GpFxpwL?7SU@ZJ4n zG%L@--o_F8V=)W#W|lNG^PmTq1-pL?`}Li{u$hV>@Qt%%V+=~hS9fPH4=2-TDA*YL zZFi8odTJ@h?!OG1ci0gJF1d&^Z=G-?)*$Nd%&_AGc~5t;#8k@~Y76dzvTP&!1;*|A zyh?%LI&SW6^5S&pN1D)%Vh{9seas~@Sl(Jq(JSV7J#Ey%Ca32v0#i1`z`~(7Q{Od} zsL*M$QQ2HnvsqD$%s@emIaT+YyX0}lxBl|VuB;2-x!n9cps!n#q=Q@X1v{-5n(vxbxvQ)U$Iyv@+uJ3fIhk|&b{|o>BlW? zHR{{k?2iWNIf4s3nw(^YO*AM4@tl9Sy}42th>lMG-`lnoBo=gdF^{Q$s05kJ3F2ed z=V44FE)Kpkxv*yn$X-oIwp6>=>@$^gcFPOLW)EKBof$TFlm+*>}?y=2T=iupL6gPts z;?8R{wxd(XsMQUlk#jEuDIb%G*A!re$P3D)Iovtmy6=yC-ZkkhH~~BA5&?vfK$%e9q}QTC`Y+nSQ#s-E87&1 zIJ=`5wuc!8rDZ)>wr->Qa;}utyth+d_A?A>lPb$!U^Dt7h3a4H6RFkJJA<$JSRra2 zMl5D?LRKeed_=Lxepc~}CAF7?o4X@=ALzzL(>Aojfd8I2rhSfn%BVR%{8L94b7p_^ z95@LQZdWWEKXR6VTzZvpZN)gGBuXM85m)}*Qe41&w8E-j3x-{`1yh21jqWqNJsW?z zB|9%v&toFwa+P2%%OYl}m+@Wp?G;n6wF_{i4kV-M4bEV}|FJxtXBc$N9lY$x55fl(y(xlCAZv}FZd?->+|B@EdVIq*%)!Iae??_BwI=S0p7$HjQI+3i&!+%-C z0@C?|4F-h4qkseE3^$yiI*uy^tBK`Je@38Kq1^H3+PEKzQ5SXw97%A5S-i%=*ItW> zThCvE-(=6_p3$tijUTFYZMw-|60)bEZ$njBA}mF&4aYH0GVC&5`Y0-*Hvnl!N2S+KjZsomGXvU!KPKSvF2YS@9bY(L=hpWklsWnZv?zNOL(qb~Z}e z#0Z!m-cHYSh8SE%aXW9X=5zVzt!j2DHW;bMwS8*vik1#^qSF~y=uUd=zJM1E-{)nL zvH1KF_eOIZ@Fa%dkOQ6+w^G#GH=u>Zbx)h}geqDEMjT<-K@Np}=p;Md&N?{h&8&-; z)rKIy{#k`7fH0ZJ<4JkR6#0dR*K0-&&-wVK|0Vg(tgrO0_`~_4c|jhU9Z^KH zF7%BJUeCgCK0k{mz%FS<;HLMG(*#sLHRLg`Ns<}TQ`?j#8BnXyWwK#y$xXIxTCg|h zDZ2DMEEAr|(9IYADI^pv%HqBvV0vn`JZg_wb6TC)`CX#;0OH%X_Y24?$Q-us{hRAb)+S6eq=2cF$|~gd4hDX<}2%^+okBjo(|{|ee&VsLG4G!+Qm0(RrLQTF0hlE{@ytKuO4T`aGsd;G0e6@vh>3|f>M{uQQ}_O2hs?zTpB^h}Y?C63MUv0R(MrO5@*%An|4m3f7h$x33oA{^G(z-U($a5OGl5iRIH5x!UH|!))lX-qXW^*|H97q(|1cm6S z=m|DCBg6Rzw$D3ryySPj%xH$BUWQCjC$)Ooen{*aUnp%ong!QN%~+w0WbvERlv|ko z7$zPsX?3D|O8#HsL^NMctIU!(3jkSCI|v|4&^4f$AxKD`uH%+4EV=x>r2arDV0Bf! zeHXG##10=ZhK@PM#6}QDTmI*B>9uZPGeGatZA>j#oppa^L?`o;&Eb7T-~IqAL`$Yk zPvf~g4|BSdt4YSJ-A4_|YE1v~LbAl1tsReq-5&8`BOn>YfL~rr zydy${F9GvMdqC(i59Gc&auO&dBUCPQ_Xvkk9cUyEVb6@aV%8Q^y?;UOQt_3?&2&bL z8tc^ap)!-&W?G(3jvfnsR^qz+Te%&N(gI-|KXn;9Up2b#a#bUfMv>p{MpAznDASq? z&{mc^E}@69>vJ_nI|VHFW)3O1;=9D;Vm4owz*JqEdbvwQydr|;K#naMb+{{`<&aEP z9sTW~fkE~;of5*uDFn65O(CjFYD;~YeTD^QGq2)_?MVzw06|6 z2e8m{cL2M-4_if)0vAuQcFART}!%_Py%Oa-T-dq}yv z=nHeStHG;&8Y5GhMy#{MJtrh5Tr5=nvY3av=_jZ00|XwxxwKib9yV*+kAJ4R7<17d z=HJhY-=tE^+*eO+jj{Kvfw!%*Cbd33f-os89D=F^N(kJ>tCpzTWk`ei8;PXrhl8m%Ur6JSz-jcClThgVanyzq6Ko|Y= zwqb$xQ*XX55N_=*873qRHxN^3ueXsp6Sbw-T)!_jU8LANyx-H{Y4;nc|MOyLVK*YH z_)o9T-w0KG9GgT0PWhB4pKf(rj^aP1)FAmt&sfF1pbF_QH=MH-&C$E|XlE(jPjwk= zR=hGtCa@)=-dr}hr)lSQ`Ko$T?igBFIdN6x#~vHwqQ&v@U{FHbTuUC2`HXYUM=(SW z82y-~8;V~}WYJD%)Es#O1UV~oYWjWVT1j}Vqyb1s2xgf46l(woI5&7Jcs0WCvDcGB z1Rx+iSe5j85ZxTld}I1a&^83ip22g(72C5+5hFt}1ofY;j{T6z|D4YR6jg{)NeR$E ztF0uXmA-4ailM~@b&pXzvG-FmfcdHI2u#_7C5k;<80edInr1@=GTt^^3H`Zfv)iP2 z!tSSb0x(JLGbS0MeuXXi=0fTpJ#7hnIrn<|-Mo&cq1uIIXmpP>ByCy0c3uR29ZG*n z$rG^c`C14zAkVKRjh;iUpQJ|ZYDJ+GufxmAn@h8lN1g!nw1M|kXf~%oNOqM7C|S&` zrV;5i1+fvwr8k)(#11!!>>A8g_GCKn{JWm{^dVU*0_qFl#F&y#saV0Q{nNy5`7Ks z%QS5cdyP;LyUk&MVn}C2rM5k+i{K6Z%%RwaGs;*#9g&7|$CQr>zzZ|}d^{v$Dt5-M z(+=aYglOV}Pdg%-C2t4P@2)dfC*LCtL=YL0Ne~l_hb}EN7W1GRh|a zZjZkz80a`zbt9<|=faw~lGGFy0hMQG>fED5?4O4w>zd7nJ`X+hUCE`DlS`99@oZrN z-DQd9xZBPMNmzew!7#-;0gjTx8X)uT$o@p$x|DWc9L*QeV!Y+q>iv)?u3`$U5lp7H zwx}j-K=~rCxqKXDQJ<~7@9pM<#K3h9)T#AxyDK0W>q<{gYi9s=f>+WIAsUMt7% z9eu`UbJE2rvZ(L(*EcNwQbs1)?is_8=|ng=@`dsL!d8mU9T>(Iw5n`!yEes(FU50Y z6=jPrKW7V=oHX|`OTl01gN`aKjXV=2a_Udg1dMS>v&EEfaY?_96^n^{pP>jWiBW(W z)DwWK-kpwHmZ#sRh*Jn%B#qB7+4iBff=T$)9LwYE-5h|9UEnD&eI9OFuhb+<+~}_l zH4fd!s(4;l_E)EY&d}=3DpI#@sFX>ch)9|}dUa}@_QVKX&*&&1M`o{5(HS#+4lDdF-K5flsC z<&r;+YZS4zoUKXUNg6++5?#O0gx0pFiz)5mpAs0+-3(gOSsT3mUhu+-ecXZEgieh5 zkxM@oIX;ni_pV3;#&U{*AwtcqLw8!uB`*oc3TDz3UoHu~E1Ft*$diV^jbz;M>>A9g z9R-zR4lf2NH~;#sYY^uL!a5~IO*Mf(0U3ZO&~q#u4<-Zyx=J){0adB7L>}ndQb%^8 z=s^>vmQZ4cDYrn`bxL1J?w#K7=G;z4~?(< z?zpVy^}3UOQmcL}%S%pOfwZ5kA0;@)|CTg|0%9UFs`oU?H3ZB{Ii8XYB59t2 z>2R0d6q=?$mlHk6j5N!S>zaAqT9Lc%0MBl|;SZ|huzG5{j_oUwqPsSyqHw z%3lG}TbZ}u7La)XU1Y6gz~3m^GEbtW3c&U z)A`Koj0a=`a_^>CoNj7Y~nYR zab>)ee>&rXCo=~?*)rNYh6t&1y(9!{C}97b{UPWaR@Wv+V*wrN=(C#PNWd+yX%nEe zI7g-pdGVAK9u?R^q4Ll!>P6U=bzmA){3qbzvj$D)3Ne*x?d1kN=CY_YP|6iTa1_2#5%ZNR3hiK}0}6 zI!FhpQlv(tgMff^k|-dc(mPT@uL4T1iHP(PdWT5w1Of@9zxh7TZ{C^Ty#Ks2cjlhi zTlVZdXV0F!d-ijF%9ab|@o8kvR$C;QG#9i6w@}j&hw^50M<#yNsN*Z_n)yM%ySUgo z?7`mcETxOIK1??LTstW_(6?-+jufH$!n8M5UX&K=CM@;iFy+>>PdVSJ4d{HY0e0d# z53Z`t(X&-0j?%N5%t%Ss;iEGVXM`vVqyKUEp7A&-kgVDhmdgJ0f&@^X-$|CzIrh^B z`!tu;$Zbh~v5(U%O)6b_)=4N2Kf_oJ{ue|@wO|If+fmdS8{Nr{wJ#`oEO>B5o|n*5 zTbr>PYpI6`i$k>Uiq9?_L{jmWm;;}-!jw|w4|+aLM@wBg>71V{9MeesBM{i-vT4YJ z`SJ8icCKGn64@pKCHS@tF5)p-JBYZWFl0br2<@GCv+CcOmlWG2W6r zr)gI{2$c&CeXy^;CMocvCC}t)u@4gmt3`6%`cG3eS3y&krf14_%S!8sItFRW3|9sB z49A-Spp`$?g#$A~$_;(dY~9-o4%lrIVd|nqS z&y4im^t1omj5)Y=1ZEiZ9G-nLlvMaKdiE`w&q`Auc=}$q2H(8E+5p-~1Vs4#B8|@5 z^uEA<%{iFo9B?>3Aq!r8RxAB++z=Zy!ax@^^484#sqYoJ^oYi$Ugu=Yj>(tH|08`41(uO+B1ybSg|}3zo1m zv}_N2#v}UrFY;(2{AU@|qq8|5_!vj^^*^5uq6WavPc%2`MNa)f&+J@|rZG zh60h8;wK2_ZeU9jb*b#uWnov#>2PIXCcrdHApjvkdDqsnpZlX9$qcPgU)O|YlS}qt zs-P8Z--sKE=9(&WI{Y&*i-nHf&^2;<+fBYVzTHasOG7 zOJwf2&*kzL7t8Y~*t@^YL_SIiIrMSOFJM_{W&ob?TXjJ4zE4T+SL`?88Ci1 zzbxWe_6&Nmz?wK-P3^1H#3eYtvBPQ8W}%E%W&@0kXsW`F(G}`wllxouthgUh-6Mb1 zgmUb@Sy|un*6^IzS3IYrMY($Vpm&I)zcaokK_Q4nZe50rNJoi*ckU`f*_S4>m4!NZ zgUnf|y08sfde+)xN;m3B_og!Cx0!cXmGLzz{)`;P6Dq*0ZEvrtX>{!DeW|yfXQMB_ z?J9hwt^Ods;dZsZDHHz9kf3QKnPche@c0bO@E>(iO;Agfsr}Z2@LJUS;-#k#q+W#2 zUY{N0)WK7mbys{=M>Lu3lz|EFRYqONqi))mcdL3D0+1XM9Ld)Rv302vQ1)2g@?eP^ z*MtO5h)GYDSmk&&ZJ(mlz7Gm+x2Y3$W1qQUF`3bcMnd;|UTPQix>Q|2f%U~Rp>Frd(~vF9{m+h>7LMGvM-5X=VoxJt z6?;S~od3Psyd+L@1g@)D(ypiwpyKrBi%#B1`!TAjpd-1LnaM#7ke1X`<_*l>wJ@fR zKg?%``CIRFEmT{U-im1pIgnbR{mh+a76w<6BIzdg4J%|8S}xYUVd>DY;MH{V93u$Y z1N(woN1V()peuA~o>kJ6%gLp@72l(c;E4otj9l(!X*i*az^N_e)g1U}njo}RZutoY zbv|P@L73jIRZ;rNg@@&SeZA2~bLVj-LG>^x8v#zz1oE9k;}CFc#b#~uRFQyC}&1qWwENuDaG)~IBPoXOMZbsFMUoxLj?%}!2r~8i|2>(qz ztWp?Sa$g^nNs$+)k;6SmwW@!yenkPLn}PZ8SOxR!f$M)5-vqHn#3pFcIh>ol0Lst0 zTQydill(MNPA0U_PI*{H&MK$-mU?(os^-oOP8*rIw^zI>Ii5KuSQx0Vg&Mi4m=M4t z^|9;n4O2rQPbZVYcC|ld;Q}9=9NtU~k^q2wb3Ue3^W|4jCs`tk1?<1FWFF}Z1*dg8 zDJCZG>^e|?f(7@y*{r%>;#JY~pW8F6Yx=OUvNX>jcU zTrTMWha3LFYMwV8$MfBPpam0eiujZ+H}WM{Eqpm`psxL@zAE&!XhXWp=1O0#(A$|zW7+H|QNz@Ed=^odU+Ug?CC*2NDLeo-i z0J9S8j(caaQurrrbT$z-_RnKd&!B)Gcw-i~J3dVhi@(?WdbB3$dPEA(*`SQ2-pwpk zw;ZX*V59Fxd=*%~Yop^)T%=*zO!eMrgsW3-IsI9+Og0{#DWjtlTtlwC@s{(q?)PMZ zUpsT*xt_mD+oGOsZ1t$zh-D<7BQ05&KO*yK%XE>+iDbnecvTDoO6@KVPeHIU;3_?dRUn1UK{hnv2tLJM*6`L^ud5!Iq2U zL-&pC(v#Rs*h1dV9u;4keje&>U4diI5+^5JLqf|p1aGWIS=GMYG4U~BlJfq$P!tW* z{cNLCBJQ}qWH*ui{q@>u73OgLy*T+?m_0PU{I8yqx9Z)!t{;=MwR+hX7K^TIJz=T+ z>il51fI|vY{zXzBX^GO0+aw%`x*v6{Gwa(KP}$1zi#Dl@&C2>;?6UHzl*ux>8COzB z><8+%vMfv}ZJ5jE?1~?U|L8nR89muu2ogVCkKzzN4*Q-USw)F?LQl*}C>;X;>06Y> zEQ?)4wC7XU7nr;pN9XL?!40}%c9L{0R%^zZH$~Ut;RT&%HCCmMGP6g8`(mX0 zqmb5m+aTvF7$n7=D_{exU{Q6v9R(>3JM$&c9v?2@0c{7iu!Yum*G1CNF=jzC9x4yQ z61$Vr|HIYTq{6y%P;j_pR#7DJP*jPywdW7KJD~&z6LyjjiXbWsST7sq-nnR#0q78tLDyFL)M@tb*fsi^JM%{^qx%oJV>7*VoLdS{OKz%wjlT}&$^|t!0(+aL7ZKW?b4qm`qLo5H%`vWCjnN?jJ}^4r#fOVXcmfmny~IL z;Vhk#Rf(eiQ}J--C}1EIKR0Nw9NL&Dd8G-n-l3}yM3kYl=Nj7&`ca78g_;Jqv&9LL zOKGeC5Ztm*QfRY1R^Au{<+}o72E-A>4BCJb23Gh`QEpNX342I94N+z}HicyCamxMlj7W5VtcIU_JNR(~;j+5kwR*J(aGchu{y8 zp|~c4Ll_vdTSHN^WRW7g#S@3A8U;DSHNk&phrqT<$BKLI*}~w=J5u^8KabCp6oQFc zl^;tV2@%ihyx;`l1R}+ert`BSZA0-JSk=5IkPp;&$^3^}x|kXB8qZd`nROU#@p$U?#Om_ z(AZ|I%d5X-50kE4m1x%;tp32VAXoR)yIssKjX!ODuVuxUw*N$0G(d8a=2ASLv^?Jl z2ODI1y}igIJ#o&7bKWiC3GYdvfI*9uNae(@yXB+*MC?b|KXWUs^l#5)rBkuoaBeN6 z04OZ@bh8fO8Uv1I%{MOjGn<3ZRb zGflGq%U5+-{I)PlMFlz*y0)J^-%NYk6mlQtIwHqJrjEg4hV2-I+^;G#fiDC`2JY24 zC;ie`Zt01p4L|vQsZrj8q5iZR1EQ5qo)+rpiIv>>Ea|QItaSV{SQz`e*7*H3q384r z=Zr(NCJC^;()`|3LNCCUGKeQYE=sgFd;NbQul@(e>OaUUF`1__Pyb)YD{=AvFXWZD z#Qz`2tM(3EL`i=lSLDO2cmBy4D~?)mP7z}Qb7|k{)6n2K_NOl#Pi13K_Mv03ku( zgI?$@0A(+La?pm!AAk&eq>x3S%j6kI;5oEj2BH-d(*!w(!*9EWD42v0KwttW*xe6w z&nu{ivEv8z(&-WePtF1o*DC?Uqbx7VFbb@sgExGIBa6bwWAUE{0G4w|2&M}FCZubm zO~NQRQS!k;4fqU7Sy2j|aiWYuZ$N;6Ov(}D(+_}+1&iYpn#}_K%!10{Oyz1_Ov;u~ zXg&F#ALO;oB$-@EeUg!aNOnUsSwqEjT=jzp1BJN`5~+;E9S2fMKm6&4Ye@3$*qap1 z$ulMLo)U5F3y|_}0Z2LB15$`U&RCz2m+jbWyFoEG8*_gIpeNX>7&1GPVXy;5gGsS> zjs=CySDg;(-*wJ}7yA!HbM`MuNG< z<3b5`BWMzWf)=HqSs!k)LXHnaAsALA(r!&PK3;tyDs}z?=B(NsfFW4E{hn!=vB^Yf zL!M15?$5!gXj8x|$5Be;6#&3(h|x8ak}S{!1fNjffNeuI%`2X2##8y|MbrpvB^4R> zY$tjfF#7E`<_-id_{r;i9KzNoo6Xx#;7QU-_;$HflI1-PppCfW!?1WDF83xek+zQNZeK2oabLsB%SsE$oi3A>YKn!(Ap|;8SVxHCiFHO&o1-#-vNL6 z*eeiBOtfYHM);KZ<2A%1{6}pKh~2K{-+04_XZz?IRhr!ZUTh2wJtgS%I@-&YUd&eM zN!95JvPZVg5|`;&^dS;HVU6U^E>Fw#!pPerQ+oW3bIkBAC*R%9TIAcXFK-Ao)j*Ea zJJyU|UE3jsW+^SmanmcPqTCQOT^th=j3rxEMb&tT*$^?vQ5PW80-4Nu?{ zDCB&i26B>2ReuO>a{-wV@dlCtMutH9r?74ySe^k*g36EyxgP<>L_vrc1Mqn`kTT2& zCyg;eNXbz0b{e@nfzf{YFe%q<_Q31|DEQwiq7l?}m89%;Tc+wzNr;4k6{!kjMq(0e z$^oSH1<{JITFDyG^9$dD-tCj)AwJhbn_*fjkNn0665>z|UyZ!dErp$uMvNVhR&W6N zYb&XLKq7P7z_{M|D|o+d)7c?VdchX`sW}{+{zU~`66v?-L~^{_Fou!13p&do;L^cg z;Xho{q!i_4(wuK(m{ZN~xfg_7=LM!Z?bI`nga=XwJ!uGGkg87$qJ%%s*zSFe?>zsE ztD9aZpk<)jx;(MWVu!&uOG?l59r?0BA4#PeLxp!{2fxYsZq zFb+jN@B$MKq>;pb0dS-NRI8!OfO4nXpO-a;g}}47Z<+fEZD6tW?Q3JYNt>zFoi6qv z*3?y3vv?*fCEj>FCD(O|{K}75eJ{RH-nq1=sk`)g)W+aBU|nb zP&UNc+!v)HhO=Izak9p`CU9~pBuVUYDJ`X|n(XXcq&tKppvCrj)$Tg-jiX+FDEpR;(XP1T-|PuWPEF1jev$^CR>5c6lY>$;6nkRe<7J zRlZKzchOb>YC>DOp}3OIu8g=Z60)x5IkclwxoI4(Of1ZXZ(uGWMgB3CP>ccq9pGaG8ukvRF8}h##^WR8DBGJn}ef!VV3Kl8JuW-4hZ~ znSR!s+FkCDtb@#TZlY^PIP_qc1^Xx(uo#dnFH+rn>`2U_BAc3e0{nQUUx>Gzci z1+;bxiSb*YYC@H(nu@)|-!FaRh43wQ5&WdaNkhZlLxO{AxzwLi_geodC7U!XbO;QLpXN1FMF zpSbd|loe+TUuD!F6g8U z;3HPTh9YegD&6t9)CMD_h9vqwZQ}yO{Rs%|8&!I&VwDSfk4qb==^#~`HIsH3$H1vv z3#edgLMDpX_@t^jgEAlSxGB8p-EIWQt$%fV5wC)VUPbMA_kM-IgVS)RNOCgsih*xO z(W-~$rQ<^^nez|{;N_^VsU<}jq(@-AXxqUi;3v^abj5oBwvhQz*sD|kbBU5e3WaYJ z-N0(iVBAij4T;2#>GI15Oe5&l@n_?<$C3C)a=TtvznhTjZ3mGpL{bAWXAlYeAF`Mz z&}Bt_1{`2)P61%*F6jv=tubIEu%nb?M30roFvO=*8)jTP6npgg8LjFfcp}l zD4qCzgapVH|G4srBfkOkd-5kl8{SX*2+4nObx`}S^Z=A&0Qik^)URy~xObnjdY^Jk zF@z<4be>CTK9LxNH;e>gF)ZD_!P?MyW%=&&*E&cq5gW?C1c_%$8?kS zO@5BHB1 zyymVzQUPldxXuIneS7%7fY4@#kTDb!>4!fYXCxx5SyNC+ z$DnjoPdoT`C|;A00|+HP!~R6_{_IF+Tx%o!BbWZ_BK~;~05ZysN+QW^VxQ1*K?NDs-D_fdhu;N&5bF(=KAfJA45*Q367_(yb<(4rTd*PTa{{Pj{g-t4_vbWV@r6vbmy@SXcp;3Lun z`>45cDsvFnI;zgu9`zKze`Q0CZ&p+R8uAl3kaPl;)#HB%~}4poNW^SF|4u1lh1-{ z`3MsVtM_srl56D_N2g!ztR&1>wI}OdI>gHDixGZZ`RFBZ5&3z&W`?+Tzdeq%{oMc@ z%ZmH2v?gampa+CW=(C4o`HrxdA^U71`gDx^45UJEuz1225NhozTYfI_>Mvf-VI64P zix;lNf5kXAZ$W0Md!#P@3*SRsf6NEz*hFERpHD(pY?Y!q;C(cq?@3&r{(E;9S&N(C z_yhj9vh+0sB1Mw0$sBZsTA0z?9a2;$KjsZ5>dv3(Qd38hxWv(rYr;R^wyZP%`osdv&+sz)Bz47qpQ)AZKUNas#%iKxz21^ACe38BH9prd^z^uC zYo}-s+C_l&!Pj??h%=EYIVwmVDPa@>rKMe2=no%^JfQ;2-85|P1^LIX_bb&AH&;i_ zo7t%LShtioh%em~rF97f_hF5}znhZp!_9;!a>U#Z_n8M6(OKWZ(U)^=*WGcvlv;8* zPB(I{*IAM#j`CgU-;{PAa-n8}@mzuz#Nl&Jj8STBlYbLB4mjLhP#xI47grM>`lmA4 zIrQ6=uykzLH)S9wM2jh%c?&AA5x-ou86W5;j%Gx?J`u2H(aBZxvQ(HXR4fCoO?4KI z_LWgAVx)-PuWvOCzk&W93{I@MdiV|s(IIzq?iTJaR>qeq z2lyQqMNKd|CH$VU-e@3`K77v1dT*U0K`3pJ>8Kgu@;^G9Qj9jYRCq=>-z}JnItr`& z76rnx5?(eUf>VKIBz`I$rA+?(eM;1#1Cr473*~r*K3kGbp*-M7g&ytlts`Y1zcGEr zmCl zM^hg`Bi+4o@qr3_td4TDlSDf$@b^CWx#nGDaQ1Myk5uK~d7s-Tz)bGK%Lv3q(F!kf zXl=4Hv=cRd!0+t=A}~U;ty2_3_Kb0CmNO?^!Qi7;VLgh!LNU0`na0NoKNPfaUYA6G zA)V$YH*+%+c!xG+$@W+Se?TgL-!1dS5!`XY>2O#Y{5@{)f5`SU`2Up3 zrNiO>honyjI2nk1lLO0ng=E98f|?{1&H~5GM2l;oExT5_o*aA(2Cbu@5dZ$+eo-~js$94IhMu==P{@_E{XMe!490Hb4>(#hIl4=9#@?l;GP&3H z+m+oG$sW`Dp={iU`q6YTxajkUhev%yS$n zzo*{pnLJ72LCzfLk>%urg73$ZMR8Hjf5xu`iKcm7#S(`(DG|htsCx@05YB}WMve(* zytvXe73=Cx6X^;ovp+V$oxf0(B!EvxQB-PdI!qM%pnZI{2>a!i?m^di&#%3o6GH`w z;LKh#-JepWG>D!f3o(i$>%tlF{Xv`42D+y8Cy`!WT107;+5_M|-~e%fLEu=`Zf z@g)!d!10r^U62YA3jv5Qtfc?XntvIT&|yla800=f4I3O2=0R^6x=EaBbGVx2V_>(1=JciX!qW zY$6?z0nN_u`>gNPe189YW~sPSfrweviQIapRJ7N^DSv2lDzcSk?2FU!Pk=i3`zv_q zL9~CP2KF7jQj}I@WN6Pl`IL5>ymA;dg87Ze6Zy1WqG%RIT+bm|Gu5|uEtkM)Is%Hv zenlP=YU6)PUi?ROi%}zJ$1|*gWp2i@RswS1P3W)CE*r8PBaXxdZ$y2IUye+U95g;@ z-eL#zN>Hm%U=5s8Rnol>=*N82hW4FPfy)YZBniU(dbYlWcB{gE^>!pot>0g@v2YhB z8@vvZQ(DCB*~Q~(R(8M?Mz*bz`QXsfU+HV^GCMoH5vL2+>gBSFex6V#1MRH`YSXl27MNCi2B*|XUD3C z?Axye6u?4tHAwPM^?g9pv(Rm0q6Q(AI1WcwXDCv2q82?vKTk6vQb~l(T)=^PJAOa7 zIfgBkY(YPCh%r#N{ff?kM`e$Ao=ZTM4(83JxYmPyb)y#!AP?c6NqXQ8(%||`Ch(~3 zBw+6LzvSaxzJCE82epjTaQt}%e#XoK0-tfjo8N{-C>B$ln6e7_lBZxCcRG<80Myxf&YsvFWFeUg1+{QX#kwW)X_zm?9LA z%eX024X?E)Bo*El_R@h$&p||7;DoCXp>?P8o!Ty<#*Hw1KgY8oC^_L5sSaFlG;|e{ z7371@6exkV{3LsX1RFJV5?^jKsbk&S5=a0@i#={P4Eun#0^wz1U5wVFtfayJ5PPpU zjWg;yaeex7T3NGk5^^<-+uuo~1GR67k2rYs#CYEYswaiN9S=NHu|^++ zBhfSz9G@T`{5SFS?RA}v!sFt;5kpHsC3_Mp?$dlPe;14mj{tXCS)1TL%<02^c|MtZ{8fy^e;MF=Uvcw3DHzis=@>;_^+=I}|2*a21T+kWoxYA6#N%G) zLCNpo@F=cB8-^e!hx3K|26H*`=O?N_FlxWNS~rpiHUM)n>o2?EXXPeOo0|l2TV^!t z&|}+Q_3Lb&%#aXuCs$uXSUzxH7)OUC%oM$t^Z zaG;b^ZJo1GA6Y6nKEWI`z~MT$%ynWaj#fv{vQ12@xPFePSI)KkSnqCZ$N@}6iq!M0C^U-U=GHx7_7UTh`Je<|2CBpB9mdEU6{K2E(dK=yJS{K8$Y&<$)U@Iqbv zCx3+-hy1&;E2n3j8U+FU3DkcYP^+rwOXUGhJ2thf{ap|7(jrOD%NH#3%X|y2@n5dX z?Rk4gOF=i%vf;62(;qW_XSLK*D=baN)|)SPK0MTsn~>`tllrRYzGeR|m!tPJFNjZV zGkz#^?4EsMdtKf=dnMty-q(CK!T@`t6kV*?-Aqw-`^QOf%VK6(Z0(O{rYg^x)`~WM zSAOUtCT1FBsom+Dc(^j$%G$4LE-X(KhhCVO;BLKk%HlS^f@?(drJ#9-y!nThr_wH$ zB~n9yg$ttOJ(wph;l8NnB)&IQk`}aIT7&c7OT097EHoP6n*OBgeDISpEcr*_b_F== zrH0X@4%B})*X!`(?!UW9!1~})iKhgs=;OEfYBA@pP;9yNal60oc`@()-X=Vin(hvX z{Esn|Ae~@Bz@6Bcn?Sz3pKPv)?S(Ebp9>BJ>5@L-;tD=q3eq&v^tj_U+0&|=dfF6o zGuW%{7PX8jaDlsi@THULlG&M{E0Mw87 zW=C#i$>m{X{QE^MJ0{pNNc=;Ad&V#VWS9S?E=QSs;wt0AY5dSdQiodc^!;~^zp-eI ziKkqKP_3^+6{Xq1FyZdtqoSF8a9%<;vh>CO3PLnNp8WbuauuNqle?v6TQvY`N>poE z4{43d5VaidsfS58IdDIR984f=gxEx5zfx#jJXl68g-RsFN9Dz;5+4UWQyOwFf*V)V z?OxLa(dUZ;X-u>=r*2=L>{IUUz3?9$Li-;rdg(uUjc&3pFu+uPK{@t=3F$yN_C~$2 zB`>MN9CN~@P*f;$+sz@bj#+kx-=f@*dXd`y10L2h?mPN~vIS=*jj{fxl)z`fY)MU1 z>Y{`n4k$-0+p2=}e8KenjvG|<>A2DVEm*V?A#l@nsY*{@6xY_O(|7<5E0AGP77c>M zW!&vEcsu~ykDUQK-j*BJN!?-kIf)z@NKt0KxyG9eeV1|VPQSt9-L(K8^bP(&J7b!Y z@xmiklX2j7pmzDXL?7X$-E)ky@<^RykQu+N9(($8!}aKFw}>XGyM6BpYx+>e(3{Q{ z8P&J^rFpY*KVXR5LIX!HUoY3fsZkZ2A}SYSIRn1Q*Zj&Re(3ud8$U=9!M)z~~^mDI-pnsKk(^kkh(_F3Xfy!d2<*4;Rg@%jx( zzMAt5Id@Xg6M!DBx)fYeygvFARCAdhh%WpxkEy0cZd3+DX+^yYOmhx+2jaQscJl;x zzBJKv+o957a?43%?GjtOpACBjUz?i$Vap2z5Fl*Gt?)WfuGK3`kg0^vmmwZpMl23dfvyx z79Wuf^wvP^sa_@crn-|B!H|C37MtO}s3xXzQqG^{VX8?Vmkly*aQ(DXdypYB*=KxrsS zZS6r+XfbQvu;_vdlcjaBtAStdZG7oR@YS=YZDybrcF)+K>q9Y~;}-Py_`h$kbThNn zrE86xbk$LMhWIOf_#zyH;p}#N4cag2lH*2R;HF=eDMgBIc(_xeDi`|QRr)05c5b+) z%IpS+ea}8+#yLKw5Bt6SJ$4`@-C56Bjrx#N?aA)s?!)?40c0v&{_O>aEF;bFq0KzY zj6~F%dOv|0Gl>t}P?bUj4&Rs?-Kifw1dPiFJWh=I_S_|>$T!ZPe<*g)YH7sSG5U#s z*FuKmyaqVl$xVhF-JE*;h4sA?KKFY44Lu_``t4#gv!j4lkY>&6f?s6~mn(`2nfpIo za+U{N3h>ln|2FD8&DvY3e}@6ind0#2kOiYDa`R-$qES;6x056>I%Fw=*e+D>{T;e7 zdUL(fW!-(~2`+P0UnwDYv{7qo)$)t?1yeM4TC#ibA9+EfvSi`2ry|#GCEDapjg5T# znxoL(_vImTeC4kHIQ=egtIK+9WP>|FcD>BSdD1ONqe(5HW|j?Y`V1|(sk0$+StL{1 zePc$Pv2G?&@fw#)mVPkINV9^aa1QDwJ9OFO^R^G#vWu~eOMJe_v;7)%_#eNw`sIFV z_T2_;rATLo@5|Li*S%>8Pur@BJT6pdo*pulyy9UTF7#NtirM2&Z%G(AcB$UAE=%v8 za_1!tR?C)NFS8%I?03!NgZ|jAi7=#E-4CozAIkxDTx>O!zv_T1p>>S{^fvilEz_tv zcCIcuXOx&`DyV(asChc7cQN!aw|;&oZ)jXLe&K4!_R+r!X^Av6&t2q6?n77o;;8#E zD_hOPm|hzj9MKkyQQR)-7lkj zgL;?!p$Ys`g(Te!457~GThHkYvQo8*o$g~#9bD)i`6x8UTI`3^Ign@02N^HiJ8bAz z96936OlQ+1>n=;}d@8uXb^NkPOuD}3y8FF4EBnVU_zR}~-KFPeCveg6i*H6qUhk}m zX)&JP*3|K@D4dp_HrktHwTv;>(Ll1+NgsxTgE|N3%eWaf6}MSAeq66{DV3U=zF%+5 zAaxin8Jt;R^hhMnc)8Uzrpkz5{F|MmH5P|VVXC|}Zz3Nt?&$yFsSs)AvLIJgLHnA- zHlO<>HxhZEBP;8j8@~P8gh!nQ9G_dyp=)9`1S`7Ej~0oj82O}gtXnS}f{yFK8hgXU zbWS}%$m-GOJDOQPtZ-u`g$}L}{=4DD{eRh-2hr)Uk4znWF>3MPuP z?!pauMBWsbZ4C}Y_T0W1)sk1Wx^mvNIL02d8YA{+I%l;sZ2GA8a$J>otRKH=t!bWH zujn=ZcnJdtV!|a{Ou2gflE2hG3hfY_TnEaTl`<+4!D%%;&b0f_ zNMYdb7_ME!mbDWyQH%4`$Ubi=ciaKNIr=z$IasUj_QmnbLQV=MCt=H=q`^?e?&769 zQO@!Bp<+pk%4?uby5|oP?Ei#PSEw0%{i=%E`%hT9@D<<1;zL-riekBR9=*sj<3T!S z2cJI;{<`#iG2+mLV_@GE^V_gLNu!Z1_Y1jP3_v(R{c|oE!-!7CT{bHy|)aalH&FR$pE1fBr zQ7fxZ0siDsgIWI80RhkoLono{o<~oar3Ad@ea`p|=3h44DofoiXjrhbgs)2rTnPa6 z>Ev6b&cW#gj4J_ups|K+iXg^Km)C}jmB9tn^v@bqD#}W?eWv2o*x6-YDNiG!>@m!C zAEph`=lFSdTvaNmE@gJV+1If3n6=3KTMlVezmAy5w|S=HHDiaidv4K7=ek)J-tn<^ z?>kwb-@M_I#|7Kh!D}@a1t182d$l>%it1z8c#o#f{Hx70TFr!l{stBo0rOtrvAv1&YvA@?bcbB-L%K2A&I|e)(Kp{+z{iMM1y2-q6O5do< zLC?!Zw@k|%;7sE#w&9Yhdnir%h%d9wwGTa4x;!>=qs4lgLPR;$8HOLrz?Oo&?;EvM zfIk{Fg#BLSxcm)J#q*<8!vd^gFC}iji#`_jMa9iUf9D!iuVa$1iJb?c#;ARHqM7N0 zL61XIg&}7Wq8p$qbW-5YBEeP#RQAY~7#ndk5@|008!h*>j3s^U?H`>p<@%n1R zd4xh`-)gmlkKD_!%xr_qiQH^}s7~=-Hz|CrI=Xv9rO+T|`}$nv^6fk*t>6JG3j;&W zqs7y$aJ`T(PqC+^gNq!+2eIQ}W|vR6WRk1yc;t*u(|*1+|BfN{9@oVR$qQ0LZ1+-E zL(X?OMCSd>#%pF=d1FSaEeYJMm;^;d_pG5``SUMSdpF4-e7?0XUaSdw2u0fw`S*T?;r0qxRLYu zz^(eHgOiD>Y`2!6C9W<5PaQ@BJYUlbyQx4qg+A{_!{E_wf(6FcW2Zfb94bwPHsoH^ zJ{kKr3+}fH7k0W+&g6DHTOOB&@QsmyIfkqVy16*@i?yZsOWtm%WaB2eMZ2|q|6)0E zIv3rY08yca9|%hgyzJmGyEL``@rfnvvx$Z>5{6qZYk%?m;>QL>J-OfqDNYzBm))mc zZsxzfmSO12s>OO_$K&{W-M7r^d#yjTvd<)i_h?h)$X-)jW>v6UzHzF5@O7(zQODjU zZ~n~gP{ghNHQYE!Gj*DEBdaWmM>gj)^!A9o^S3GaVZlU6_IR0}o+W%UU|uipp5UDP zSiG0+q?B7dL%AoA^pmbCStC-1e81S#Df{x}ijE&X2Qy!M{{;vR`_!;kOW=Oqo~G`r zVF$6g<+Dd*wunVFW&B&I9o$bFiW%6p>5J+Z3OEI;lm1NDVJ#CBU-wfw)Q|qJ?(lVw zs4WjKGKb8H#+x9=vJ{GqaraliC6ycpyBM*k$)V;eAntPPccFy#h1 zlLga}NuO2k%T*-v6eo~*tX#13;gXET8!@@OifdPr9YddQEldlc+3_RzHQdP$WnM;=}S8g<*aW?0oDt8ycM z`lYj4Lu;1-t&^temYzX$+15sUa)!5fl|h(;J@@+YXS1FgmJCAy>n|IP>yv?-2It(9 zRd!{%w!GKqT+P4r#1?zEzBOS>HB>0uDg2uBv|?6FA4OmF1|>Baa%LA7N{>Z$?RQwk z&tIE=D|jB58ne9A8!`!PkQrKT6Nq=}*%!RQ>nRL1c*2&*qcvO6)o*2cPg>}VGW`;b|&c&zH6U&*(@=4f}6A;Sxj%uSIu>+TMVk0#A43gSNS#o$wU_!K$*bquNT&j&>) zzUJ!*K}Sh`i{LakJ0&~-eICdF@%?a>JrQV=0I7GR#|(w-Vk$+4%`?}S1Pr_Q2^H)~ z)uu%ap>n#*KYX{)wx@S~)~zdVFk930PxU8zEoAAY7>VaSoEyuP+%JdFq@J=BMx=hr ztBg7|jjn#6eKGcWRqnJ$ag+X9iv%x?F7~*^`9=x;sph*^2x+Y9$wQO=z}lCYR_hD2 zQ!zq2`v*9e4P@aHQM4l^?NR38;ZMgqt|CAK_ar%O{bat<{vfn5Wy8jXJP_Va?UMK0?{Io5BZ;@OkQAupDDKl2sLhfIb~b2%x^q^BoCpRh^u z`Z!gkIiDW4JvLZ<1M{(;J5kskJ`?ZFG>GMTP8%yHS>dp#Z@hLz$RTb)SZ{QJM(<8u zl2K&HZFZhV`8g*pF3!q>@6m3lE4h8(_@0An_D@{4LT45j=ji6y{>QHpM6<|+z-T&f zwwo_DV%uC_l=NG6gE;N6vN}1V@>H`>7-u4^F~ODi#8=bmL2GvVw3fH3O212T!!7D0 zMenW7l8tL>Xn&#UG1GYhSlarlp}hP*$GEx%dP7P5Iq$Qd49L zk8+aVrCwEL<)2LC0*!!!3J%+khwP0>am|GLY_ZMs_uXQ&CAU|8=+EmPxcxrh3)*k5 zTj#{IWw*N$dYH$dcS%<}|x9ZG25t4_&T0tvm2{FIkuW2-V zwc<05Fs~oactsOiK#rL0mwvS%=uQ7}sxM{QNZ2d$*=9-^=usXrRK}%Ptn>p>Ha*GJ zGAQ=Vfkk$Q+iq5_i>rSkAMzMOGUxEjOCI3tfs8x6fYv_{ii!cv>j^ zsqA!gv1~*6%isMPmlD}9=10B--%lw!JaZ|vxR|1qxp4nZ&+FJ&JrRMT|!8%b-=Y{BuV%b39DJjn4m}#Df;KUiHP)x1-iKoC-8;cB4A^Jzdeoqi* zCl6>!F?X$=nf;1KMB-zPpNgh0-ap!Rgn5jM`%F$Av3%lhf&e>3ljNci=lA>nzAbY_*HtFfb0#}M}f(7{+&_|2$adYRt1ipM3$ z0;&Qk3C<|&mNFkV@;Y$BmKBz^{!$C8?)FP5!|2t}K&xP*=|1Jj2 zG#hhS#2>B+x}rFg{NtjFAKGo>tm@_t3R_k-SLX2&uxBD(FxCGJ53204F7%KevVV(& zuTKftUKtO)XgZDxtf(SB8)RZBb29mbGH0A|i2Z3$yRLQhw`~(rcy|G$@8Yohnx5)d7g8R+ zD8AbY&v7gXXJ15(wfBu!hew-He-U}89niOwffw?ARflkuJE&DA$31jQj$QEODoRE6 z)W*0KHX53S^45(smzb8?N8!Ir#`fF~3HV)$yUSWU*waJoZc>cz4N!F$hokfUjY(f1Gf zl91b9n?O@>O_3jGE{mU)hCH=#tAQ612VY{cBw~|g+*G~?w7+s#=W#DmIS>-a1~FMu z?PW_tFNdba!&*}vljXk{j+%Q4l$5KliL2J=$rp2EsqZlyKUam=y4|X#QI7ugE7>b5 zw`X^gpig9u2K8}f*72$OQQH#wFJQ*XlWt9CE?w+uReZ>d*bMfuT$<|B-1-KiAl)IU z-jHW{wHh(Yf7<;Yq`2KOGO|B-vCY@-zWO8YF;LZPqN+dXa_W5iS>ELSF*+#`R#B3q z@S4zH_Rl(Hbhl{B>L+7b;6%A%uKD1Ko;)}3gbQKp$PTEvuLQVg=Wk+j;fw~FOL|Da zW=IhW=et#orbLqWy*_g}0R%qF5ZX$j=G;5QeM~0%VE&{Swvm1Qx6g3G(ou7McQ@Bh zX1f-f+iwrj^H*?yWQ7w6>EZNHZA3_=NEdqfWyk=}a&OiZ#*T@PHi=g) zPW(8KC_^1_Z@Tw&BHUxPXICi2Tnd(iqCJcW~x6l~lezWM5VbCuE>q z!rg2WaQL=lp3yOVj9&&mhgF(3f@alaHIJSM!WrC=i7C6b3y-<052AC@n;lW3v-FdT ztY(iIZbL`}p!32^cymUrIt9RGcy-f;waZ`KCocTbf+K@cTkEKy^eHN7KaUfzf7}bu zU9l9OD74wyU@r(i!qS4f(R@@`4fD@*_>Qkz6+4{t?8@aKg#IpdUs-)joh$U)m!Htm zdguQi)r6D-KOt5OOj!w$RZCB7&*Jn!IbEvCjfFoxd{vu-55EZeYu9d|_ENa`$LEG( zwtNx0>&VCHeF6hBX>P=AdBp7rhQw2}Bd_mN3>~_bIZ(Em&U&s-nm0yGqUC=jRKW9m z48W(02UMisiMu%g1#r$$e;tcxU#E$oWm2Y%2p_0$;&Zh9`)&oP_Pk{1D^db~uX5qL zQP1I+v^F3M_x3wp0nZax?-g{&abDX;!!DCvY1g+s_825Dt%wn!f6&G!N$#@N*Q61T zi`s{|l6q5HA>SEfcPtAkDE-paNUoS73LVy1q@n~I>Q{Us;LO^3V6}WOki?PB`mV4D zj!Gl)OW$T9ai(8#P)mNN&FS)3TC?v#kfeje)|l{lZ#PU{sdHeY&<&a1)hI;dc7#Oo1eC06E*NSMFyt#GqkN)cGE#>iH?LR zzsNqwDu~}xhaD>#dvv*R`K3Fa0b=LH>%#;bXeohSHR&B24YTl8 z{Ope?>D*_0A%F1!o{cEiZb zB1^y@f4+xPsjHAL@gaNI)YhBNTy9a#r1pQkR6mwp`tZhP7({>%o61hAB~bd!2= zmR-Me)$26<5dYNpSTo7({^c&Avw`PN9Ttg#hxL;AQFS64P9?04__N<&QAB;8+zNy6 zQ6cHGI_-qkju*s?tWKO|EWNq!w=-*tGi$evxxoJjZv#49X zmAMzTh!TBiRihCb3Z}{qTK^&QY`QXGM2zVnRLJD-X-dXg>453JQKVK$zYNv>6GETo z^tq`5`84)BkT0MAbXh%7E7!kY588Uh9tx7SebZsJos;2*m-EMxVD)5wON(aaOFg2> zlmfZM8#Ob=?TK^~?9vgU*s!NX<&Q52sr6g>c!9X9&RF(76p{U1yC$OJaq8aL^)^7X zd!$ltOMh2Rc#Q0V(~PEemSmICW+8-nu1jBeQSr(8ZM^S}!MBd}U-l7UASQLBRIq2s z^v!#$dT4R$N8zlcEPcBZ&OZ80l$Iq#)9hya09=(-QkAmO@&S?;ddyE3%sCWmG#mXJ zOqfL?G(OzSu(1yBAm@v^tXCa)FoNJT5zf)d@U42>nnOm89;Icp-r#~gw7P2xrA|f* zNbg%o{z=bNjlHlBUh$_^rzguzLZrGhiK@9zy+Xc73Kvm(h;vF3A*trK(3Q%17pD1@ z!-*D`K)u$`qf}Q7#}YZJ^F9vODJk8pRF}z486TA(@T2E(8KB*dqJXHYmj48mKj(|g zbk3ux?f@=MrPY=J%YxasV}2+Uf@UHtiiJ9*n2JSnn0bq=%6-V($42WJtWG0M?~NdM z>Wd9Be1#HL^g9B|v(yhJKF7 z>MXhdsa+xZ-N;_JkE~N8EtshSQ+4H^TGVy7*r}R=k7%eF{vlZYRu7-d2#HB3a%Ph@ zoh&CzU05tj%^_efU-ttNP_OApN!?oq>MUO@)d%=@14A(5_)<{ZhLX<)9YlEbeVHRr zA;L_*y(-Ho0Tl}Y<eo7dGRqSnGBcFHkk@fb&DwOHYK}Du*V@eJ=0!MFM@h^Y*m)%mIc9KSdG~d0U1=9) zUj^i1?7F=Tcmx{G?t1GlppekNMuxyLj|FlR-NlmbK4Fk|)8RnlVwl#0j9$pCvjEj0 z6C9icBB1$39nu)H_B80exIIcF`qIu!qK@TG)3ZfYo_ae5s63B#0hV{t0EsZ41ecf4 zHFAFvgK3prJlsqSw=*-WLwx4!JwA_JlzJl_3S$XPhk|T(EVN4%Zu&e zybV~z&RmTo>JS7&Om+mIr)k z)3=t$4n|G+q&~9{H@=k^qx-e?b=}tnluMm<}jKgD{xF<+M8+a|1I0fr1tU+*}1s}}Tl70X6F%9bk-k8QU z%N#1jXqcN?QSkG9g~gcc5CVOsx>ol^KEzmct8StTp7)s6^8)rPcd7s>*aB2}?oO={ znA*Bt`5aw$$KH0_ODF8NOgi;Un$sg)S^FBo02e5Kv4wa93V03(vaxBmx@IQ0jHm6<`TAw zL@j?S|F9UkI5qY>jn^2Ayk6!r@h7ZPJz!T4h*Jop8a<~oAQo4xxnvvMNEH68J*px5 zFR+#?N3x^@HbRN}vv>9-^tBn}CbC1nYY}Jj&IDE49Q7rra;oc7 zi@S-{>G|!@rkSJ8=1udehJf#SVf!8{ za;irDs}KF_+dc08(PxlTT@=Uz1V;NFCnLBrd8>j5LoBiP`m5LR?f=+(0H6Ilr+BPw z*g$#CXItUYwfjS60ksfE;<@8EAZqKpNavZ6I1v7x4&1$2JeL$-FX?}LdXW@`2z3AL zZo0u%_G;3QJGbcxU5&s5H*{%q0VVVYv>|VDDTJ%nX)oX9!^h)5$;t4^xkZbcEK3=! zwO~T?+Nrz=tv$rRdEmEil(xE-ZNfT&X&yECChnIz`RdmH=KjJs6+d$a@p$4osi8;e zr~giaxf^M4+mnet?I>Q~7D>$cZ|A)0-@F2~632y#p*91)ui9*dwkwwDxA(cz>6iw; ztGo%m5Y!&;Uu>5$IgK%SXEV^C)Al#PEx=LGncZ6G6W4ld{fki-@6?|#Zf-k}srdxL zz@1&!^up1(u?yczWuu!x+hHvLy3*1fWxPaA$1?6g|E41irwen{4=Ri zbtP2wCJ42NdM$QG%gs~TTAexgLOCLB&H$2MiC_>BX@PJa*mszq6R&h36~Z2g>;oK9 z$_yYyo;t}lMVGpYI&YE}Qd`gX{0iIaTpTQP;#mAsIX6C2Pbh4B(rXDJ_3S?CreAc( zk2)bwjD(GHFJw;5#!(NGdM2gl7A0X^Kv89r_9GXLG&K#X553bGWqLkrs&&t;L~_v4 zu0$BK@|-Rvq5p#-coH+v|6P5S$KM@)qt|Vc+HCz_Yd&hcoJqJep8eH}&>#VSM@!c@ z%K|s^{ia-q7WFta3%`LDH-ivQO-<3(~%)Rpc@r}W5BiK{{&d*(d@5rFDZY4gV4tgo@f zCHfcDDJ~??^Jo&wd(WxE&?#;$6eR{J73+H|%E`z$jqe5>HnUX~w*7B!ATaM}x2?v> zfA39~QH7rL7JW&Kr(zPHIbhVf4YwwAAjm$LlU3P( z_?$hv*k{8u&v5+Qip0~SPaO3XlRO}WZb|0-6|XslO(C46yh^0;_cpq;!^sW*PR zv&Pb~m&RL`dyG;QFDB$celc!W;qV_rr2a7c0_hRs6+2!KZRdos^$;_#sv`_RyR^KG z5Q|j7VWFBr`Bq-@Se-uEL z!l@;2GOpVtFfZ66`1^0!g)J$UwP(KQ^P5g-6?-{OOoc{C9d^jmzSa{X7Q6P|=YJN{ zYL5|8)}!avq8^~58&;7ax|mV^V%%(9BfcN5UtQ#@7Sh^mxc$tf=c_L67pl3Rbf7gBZc4g} z=czCelc9hpQP;%Q7f+l&bZ1N_!%Rh<3plc!FHS!Gaw>Dh>#J`4H^ct>rDq@R=CJ>2 zlku~n{eTC-@Yx8Wz$pXwH)1&u9;1lu$UK0LPP!}4q& zZ+7lX>eyw=Gj8;+GwPcQ#G8QZiv7Jao;#VyiIoQ%<5)A5biI+cW^&3OwywEf8FyI| zFB{hHSq&rJ95(s({~Mud{?>n;O(H}f`k3q*v+&rC6hPjzs5^?Vj z=R^dkAzzk3m^v&A=CD%)6yqI z$r>ZHftZx5?dUIbp!5vU1L@v$&(E>_DK%$joqcWR3eTmY2YXs;=_?Dpfr+e4i0ZLo z{U~dk>I_VT-`@osVDodMO|aPq!I=j+(v$4jthC_sB=N#xK2dk=82@Gm%DT$uVON-4 zLFE$DEiwkX{hx_CdaNda-4p7J)*Tifp%4Cs#_tKJ@afDV{)W~XL8$%j1G9eW{H``d z>r(KByyU&v5euEN?-qjW;Mn0MveY%dG)ce_b43^ls|8~&lM%+{YO%)UbW~c5YoRqx zV-m}TtCX2-_Q9!y>%YMU0}WmZx89qoy5X-KWp$5^jg+h_t_6Fdh22SlQc*34p>@DV zI)tZkFGR_{MZ*Ongo^H~PvJ5zfNl7J4g0jie!z&8-B#9^}&bHFR)R z%QdsowKl$pLT;lqum27;apx{r)%i~;+%$N^IOhH}ZCrmko8jfPB(`qng$1EQ27qxe zUrvCTW9Q=CG|fv<)s8twd9xvo6F=$JN){#grOl3LcHv8!=1#N@ z44R28VXXinY+}7GAKN+gxL4z{2A)pY4WzAG1G&vLpn*E=_b8>`x(zAKWOGJ6Jz(;kaVxgxUJ8l&Cz_DcPXS_6(6dOp#}30&0I zVbv!dx8@ycg$n&KhS;8^%~_V4Ey%AUAKM<`2M%q0aWsKAJW(d3S;(XM50}^ttKudh z!+G)od14%_oqVhbWWZsy;0yW3_hVa=!m5ju&C_vnw~S}u2Nd<2tx~04R8|e3Xd@cn zYXv4~Gv(wtgNp>mKH#lH6y-0Gjy09W(AFsk=Qd22_@!gZ^C3*w>-Z`q&L1Wme8CELbM86swm`NGw< zY!7~E@KoHG%Z9;#_xm8ontxo6g+IlX0-g&ji}-yo39HgWDLr^IqLxJU1+YHE?HVcv z5H>zP77zu-4`)6V_0rZIIJUV>@n#?-m2iVT@PjM_ zLn0e~6ZsV^l4K`r$@Cd5(?>OuCq1da-en1>kzxzykT0a|1>xaY?T>@3Ly}}$iV9Da zo9>dy$PEn>`45^}=BCKdMR|a*xfKrB=e0PpKQS->1`l zZbRGE7_ZC&Nk)(>yFBnL2)Z|cSaFV1JEN_RuL6Hjif-j(w?4OES2khiaawPv3U_w5 zS4%aW*Q%}!8B-pA>LvcnGsM5S>POl8mQ0FT0@@OpRBrA%2LQW?lALGWYE>3as2?x}H~TK(NO zm3RO-b%9y~sZwHN-^avf+DGwQ#h_0kfwen7T8(U~CzX7iUdRKH2Ar3-FX~af_Ej+{ z?9v2e628YX*0;RZP;h@CjhS@p03bEpCwwzSTtA7j^E9)?Ct~tq_%f> z6c$ewU$r%t_gN?VYi^yJ!F-#N(Xx#K=|Iz|t~Ys`-F6E*1Tm!zKLh6xzx=depW zTa9daN0&84=h4M6MDdJ{6Aw9~47PN2x6&D*;#AmV(a+LxahG%=wx{yi^MxbFjMbV7 z8}$JEp81XhW7y|sJfQLhzq40dliRIl(r;DV8({A`W&C;JWG1{{m9L%^be#3FS7YlG zU*Nb24O-Ma0iAy+SK4CGa$K8T#)OvNF!9CG9rIPp3)0cV7^mH1{J77&zx6U(K*;Fm zqoIf95!Oq+-`1|uKyy^jah?Kw56jHs@t3$vk3;`&a?o}#q5ts9xE{KFo#)#>$1=Vyw7A8N^EEP$qr1}#O3s*0qXtMl-GOA^F_L4JTa0@>e#7vvF1=2tO;auD zCrs^XFnXrMehsZ&u4x#v@4r7={}B;D%(TJN=wtl4JaJ^(!FyM7BrEgUPqqE$)AKil z%5d?#y}i*sE*<9MR&^&#o0{__@Df}P96MWfK_|C-yJOO4utHn$k;nMDK$~X_+yqzo zM7ZbHnoO|_%06mS+$UMGWqO6|p=S!`&~E0=m65tU@+~<_A_EV)=NE;n7?I1GhmRVxCnRgX2$1wXA=cW7tRdWle z;|JotGM%D4HMdWr7 z2>>l}`&A$Q;H%ApErFUv)s(4~)x&(wIkJ8Jhj?+$g!U~2Pu+S*wK;44BQWBkX(VCeqe+Q)^gwO?~9Ouft%_(wteqNty$+P^JML?cd`-$1W;f25-J zWx%2kd*9JxzSwe2bVA7HNUG;QR)|80GI5$lC~T%Rg?kv+?p%n3*7|B8$;VBtB#&Et zkGHXAtv`WBJ+@Zu>fGFMIYDgE+v3~v%kC0M&3jH4?|sy?LZsPR{H8(O3fS5UCM%y& z?DT;CXfP4$s<(tDy_BMM+ksz>BiS4md;6}Rr6GEnDj{6<4ws0|%O6Ky_^FzC`c*;l zW0yLFf<|M8S{6r|P1*!B(%Xhd^ewZTVgW6zPBB*ax)Q5JdETDwwxp;!jcKUN7lnsH1Z2*Kg7hi`*0~nHLOr+Xw*XD7Zp$a2wM9R zG?JJtwm-?RDCFON;+_1W>ZKISR+X12vy6QiRWqD%_eo}1H5*mjkckQK$tC9XFGlSl zeD9hbO;pnVbq-TK#(yN`>+wxhw5kCV-na~biye@TEe}ay>1f#XXNNT2ZJMC=+ zz*Dbs%5-7~WO)M9$a9l*-oRj=jing^(@?RZD4<>;lG>(IrqhR$mRUB$a)-voWBu95 zGWpLrbMmg2rxnYAxnY;RE%7N(pC_{Z-EcqChHCv0>HBp;IH1EIZ24_ET8>QjNp|<)>yPCT zvEc4*Am0NZQE>xVcgKMc5XMKP8w5K`B>@&Tm5*7ZJc(J}5BI0T{+8}AQ%|_j3s0V+ zQCT#AVx)ktz8*gOMd2%{;!Si_5f1$O(}AdP^H0n)z4C-1?krxBxlEF)AZ3fxM?`BN zz(Muobse5ro=nLQZhHb5(U0P0Sar?wzmE4mUob_gGr=a&ko!?+cW3(zt}*9Ul3yWGcLbqSEW>;Ow94ItjbAxFyg zK-aq!VCTZ#84+8x;WCxVC_T{cL{QWl*r|gEOim#t-a!QCAF%@jvJrv357yHUp3UoB zhu3H-96p;*Bs;fw{vx;+^66xi65nN<RK5kxSB*#lxctoLJkT(zON_EX_2 zdS5vgLs^-nBX|~3g&#wH$-^jXo@6Fgc=nOC*9j{mBUULT9(tPb&H??j!y6e3?q;UHzkHNtq<0h?eoc$ zG3DAz^-b2y_(3fcIy?GG{_LYy@-{QMN-VB1W%6=mOrAA4f#F2M2gy$e+!;lmPefB; zuZ0ZxE_3L4{Z$3X7(_d4tp?y-ioNM>()7H9U!+!usZF*;M3y|xIK149I7BzrwS7wf zFCeN;#Uq?c2vn|9veumt?6l%=5uLu)eR;*-KNhusYSZve6`LU_$0JBXhZtIwtr){8 zaq9uITbo!(GjEV(r1jd}vg19HsW(DUK5`WIE>Q=!fJTq)dO23pdR-r!S0eiMJ?xQ3 z4;!b}Z}o34r)a4PPYW}VC!Buk2)^)nvOXFDZx^CQ)j9(?AVlEw+34uF4 z9_`&xwarevKeJ9o^|AewvRl)8w6H2){6R_7d z=1NKrdNLOP{Qxmm$VYd_OK&3Iy_kxuBeRawK|6KMM%PWt)pbF27{~ru0@k%5%d^MH zcqLDwW~O#USmg+l(VpUZv=5orVnx4`q%d(r&SOo!)g}TJs&errf6e^G-7O{jU9gZoBj|SlSFjEw(#Y#Tzd%G9T>`SCM&OzT`{~)5t-Q@=;6sX(!f==DE z=%s;cBCeyw6s-!+1BNDYT&am#>>It{&Q}8Oq2Ji8y9r%r=DSl573gzjF$4`IUlqzU zPbghZ>bTw4mHwCULo#xd_PC3k$-(eZj-87I!@*BF|sTynlZ#3!?MenG`U6>6{0}taW#m0fOtd%DfNv{PyIRov82+%qYGAt8((*2!;#Wo*>WA zbNkULuGFzTTqMd(V|GX61s5LKr#=^VzaP&doSZ@JwFkp}>) zO5U3&la2QKdwv3jS-veU_1KS6QkFW5B7yfOgg`(v2}6@8-h}RLm&$wdE`|m_f<3;X zc*9&yac<8|lHz;r@j@pCnZ}vBq{&s29r3Fghi+KJ`yt|93S0!0s$H*%hT~>M+l@bS zc`xxF15JYdDD10MN#6G!TFqXqgy8*`6L++2#tl#0HK?{TtUgKou!wGK5Yn!s%zIoP z+*4|v);ScMlWhebWNY+nTaFPv)1yL#T~mzp8wOro5pDBuMoBn6Pu#S{q&ec~5JWh_ zJ0%sewNz+mlMoea8f!5$HB)Z(&uyKL^yn^a?KY`}gG#zX(kLG3{4^n6bmZ`Llzj=} zXAnDrAiSP;vEk3okk71X($KcyIhRS&d9yiwOSbBx)?w}-Co@%AVx`38a{d+YKg1n! zfViWKu}S*>h&vtkUi@FgozCYVJGb31Y!~~BjRPxT{fz=DsTI{b%z=pO7XWuH)%t9L zGxr7I5>AnO9^N0nsL(hR#w_gZ_M{_5$=;)Iqkj5ptaAc5)_bWibxlqxkmYmBL+jWx zY45^1>$b!3M7#TKkqJmBo1Ep?r0(7`PSgkJQ_Zpt$pfhe%#ui=xK|unQbLfz$ye(k z`i+GUl^10lhQcPLLiVE)IzRbb#fvPMzl2 zEQh~~W5&S%1~y-QXLqO0uEHg8YEmlDC9Jg`SQK_!t5Y42 z{|_Axtj_{_;mqf3DqO0kL}zY=xj%qCd+Jzs99U~foB;bL9Pghfo>zV>s5a#>HmC3@ z(OxGhj8RxL2~dx}OS&kqK-zg**yU(8!2X}CJ}14tZ&-Mns5ccsW@noreOxG-p zTB4mA*zr!n&&3`7%CvgHA2)kR>An9;P`es0hd!8Rg4@R*abo=LJ;CsR%6SVw;iUKV zry$<%OLeM6B4uNRw5ttvj^J%?Ic9rwO}qHxVhDs?POOAofJj!NxNmHQp_kqKaiFMd zk6Sth!DrcWgIo4yH~%oe#V}P8=uQp(D@}kxs*gJeK%olL>sTmFvosU{cW)hlPJw^G ztp!xHZbHk;H~?GQ=63}3h*_Edk{!^vo?fL>`m7YyTZ10$3iPkA?q{N5b)^Vu6gz=H z_lP_nXA6ys#BvvdoiaTtV7y*;4xQ`yho}iIT*At$YCdP_Q%j;i@9z?+MOVRn63h%X z27M=U!ns-7edd-c`Vj4S8miEya0ONy6~TbW!d7S(b6QX#>b< z`3G4Vn`+k1e%kNtD!YA-)JWXEv)R*GcYYQGnP|;yY0~^!2!F3O&(Zl=M>pE_goBrL zk8oL&CQW7YfP=_I*zlp76`D|R1h%A!2;CH|i~aVQCT3&zUTl`+>}x2_@tLk@06CQ8 zdQY#79vBXyx!y0@f)ijet;+P1PaO^L1IP=YwHYt4pDX_v@g#K1?YR9mZ9ZZlemibI zy3nM1W?-ftVNX4sCx$OPNvhxCs#OZ~6K2bY@G;%*f|d z|Bqr-fb>Y{hyr`(XBxuRm?D0;3&M0=%p86u7kSyY&w_n1W8E6wVn-apE*NMMk((9e z35Q+5Pb%Getue`qD4>-!4Mw&kZ#w=BSA*WqG>L$0_?`@hKe=^+81rRlyC6pZIasKP z;EJ%=z>gdpjCbqKY&|1iNNol%3A0WUGn~s0IBWPpS$L>e71bqS_l~!)RIXD3P|}~= zYSU2IuGl(H%o6v$S3HpJPp;N&=uz38lRwM_3|GR|hf2VW03MQV1-I|1oHGP1F&%B) zuinTzQ!a$Z5P0z(adfk}DbO)vT6@^stTc`|!fCG~gs`7Ss0g>Xw**rE5hmysegGKc zOX%NFp`KDVp>#Cl*mkJGzJU%fWgJNefgzKO`b06I|D)EZl?C>Ix*Ov5-3#ykRSRHD z0N+CJ7>`$hdgY2)!t+EQE`&3k&##1uI;|}MFo!~cPk4W=u#`-R{KP9nn6O=*yu;x6_hHIk*JgsuL2)u4(5^2$X-n`Q zdk7LEY;o|Q`-eokkTTUGCstKs=g(+oh=8&(SI44HV4Q< z)2Ab|0DFk-Km?KYTWoBL(SD*9Psrj(kHc)fS%l`&UM($Mu8ygmN;6EHCO3O5&-(b5WMj?}v+&5*ED4o;M7TGd( zAGiY+)|C~&?UGabM;juP$$3b9u63i(rsvkWOLY2&1RznOC-&BGis*ovLYYRwe|wuR zq)wFlG9MA{qdSo4Ut6UCPd?2n$-%b)NXbh$#7FK0m&&{n(m7SV_e?%WKV=7KLnr@q zV`sh9Er>8Sd8B5WBA7<3NL9wVGc2&nB44*k(}n*0YVHgw zV?OjF`$=rhDwKIs_L$i456P*-!7UJ7SCgFm+H&YznLq{+6FV6oIyG)h?%llRF+3UI zEsLvyHd)j^&g3$sv;gU@zO~?RFI&u|cujK+vi2GNEy&3wkW&!($F`s07G$*0C~{5; zT-c_&`I+B(%(w1}?K;*iy}KUHk#%LTE44UiA@M|+JgC*+jn7+7Vf=^8uR#vsOa6=- z@Ll8xq`?~_zL!#zX@N~Wu6P%cM)_zYCM3;~G9)dY;)GsWfc2-q?e5f*0pJq_m|Lq2 zq~2QX+d6ZgP&7^zaLMuhdyF9oCrL<}sKSBOq#Tg*CNLkf?9XWDSoMzo^_W=+gzpTO zxP3=3Fh&hJJdheZ)czP10nkBMp*68UvF`^12n@Xw&Y0-QKyBCE^sV~XG=4`NlN}y4 z`JVt*$i&IqN-2UZr_cf@yz>~;r>o3Div@JajhVGsJtGQ9yMzgMM{e4#0Y^2%91WA_ zZ2VXxH}ruo5Qn@U+7>gemizC^m~mum_+|G?CTo(og;beEg{?elrC1nici%t4y?aVe zDnPh*PqAl*4%n-$HLK5-f17$Fym!Q4x81&^NdwUB@tT(2#hd04d7m&L$KX*Cyp1D0 z5*UE~+(koM_mLw&2*BOYi)XOBa8F7F&H$lX)O}!u(6Hfo(3HnD<>n{!_z!MNY9o*n zz-0oxUvbP4HsOE2m#+!%o>2qV30NMqy2aduK|txzUys&rSf9P>cnbb4Gh2zLTQWcL zK}f{Wh!sU`?cjtu`oyIh5Lci&`4&sRc=Hvo)^CgH097PaJ^X~AlNT!*Csw>J7vfHN z)F?Yrfo_#^D}9fPXP6Y+bN<$f+qImV@7ItzLtqx51q1`}%jIX{9P%F%z*A@X=Z5Y!hE)vH16x!-1?`_P^T(!L!~hJ3f6(ODrZ@4H z?6c!C1-a7DdYI3B{ORhP!Qq2bF@%?jELP5c9S{Vn>?+gHy;F-#c~U=!><)d-S{Q=Y-H?ob zMLPo3?kJ)SVJQd+$xE|)^_*-@)IR-P=Ap~FN=Xe^R_P`<1GR&aTA_z3rvX61GCvk6 z?RKYdu0KNh7nw6s{AZ3H-{9E~R*C@OD?$R9+5SnC{HB+38jNQtfm_rh?yY*Uc<=D* zGI-Gs_AlHJdUsV9u8)J?*bRtkmGANw!E-Z-UaPXdCHB16X!E6TpN;dKx|(9|tRu@L zEVYi(&ZUejMZe1Or06Hq%wvQ+EKGYPKMX#xRRQmKkY^x6o}v#9%CD6(^PsZ%&>S`t zH||X;>~$3B*(Vvp=W2_1n#cDjML((g>FYi|$&`7GM5m#zkt`|B$glbD$v1soeQ3@N zcjC$gg7u$Y?-QGOY=m=KfuYi5pG8Z{$SQ9-Rj zdd$LC?02W^o|AnpYRmJvdYv@5F?s-G@qE+zlG25d!d9GY4!wKn8;20kxWUXWRo9En z7F@jhjRo_xl8qE{TLtC9vA(~BK|6mIJ_{n_Up?n!F_C2T7dr9DS z%Dzxg+NevGx&$)RzLdKc3~Ufv=d^0(R#sGU{bG9q(MTNe?=P2F%DBgARlKwFi%YNj zF5R!+#B;@Rw14DofTsTmQd;zx?yoPIio7#8k4itBSKF``CmUlsA+O?_l|<`)tA42c zL)aQe^MrTBCsSFl+i=_mp`6AN4Oi+egk8o=Q{i__T`rZ+ShI<+njAu$QSUrPFLPk) z^J;->^NuJasHZX6F4nUIwNpcYa%VYy0lseK)Qsemgo_@ZXL*$mNaJOyJIdkk{a_cH zb!h7sJR@&A&(AtJ&#Ddk8@{&ZmX~o~zbqhU#I^f8Yn*7)%M>H*##TQgk!i=9bFI|o z;)#+ti?K_O&lq$03?8f+`bB|ULcZUZ(Y!!pO)xmbddJo%4QJ@buR3GJV_}ryoSCa| zgJ{^Acr!B-;gEYhO|RXiI6Ysjp?fUYNgB8hOYnB(?^tB_h=`lUJ46Nc(7N2afJ_AKwME5OMxY%hEHm z%VB02zp4RHp(V6P;w6S`V#iWfy*9*=5?a==V`;0x8{%OSTK2Kvv{l5_`>PNSmY(=3 zH3s|9L3ne!4|tX;cvCcfm0{esH}keIknNwW*hvI$fl$w{RZ8#w6-X>G#BnwnIj5e1CDx8I6#pYi?JxH|N7}K5zZSO4Q6O zbV~v@Gv++yrR_k8+^~?N`Q?r6oP>|(C#^=(aW^rke&OewOoSoLY4wECUzoKe`k7E8 z=2!)A`=V=oGPsQHujr&}udbDly2LX0UAkfXCh?nnRn)$l^=>-r;O^gr#Jq2B>p?wY zqdU9W^p5wdeiH2b^6JnH4gpnFummRPw*TDW8gt%g`0Rr9pLu02nwlcAVN2{kQ%<0} zW?RV2)E?42Lv_!8)J<#-o||zpH^|o3J3x0_J=EeXDBy${kUXyLZYdQKpvMg09as0Y zI6oB_yI@fp)^^1I$&Cbd7(i~*Y9Z~{>CICD`jKM_$#(*(K`#v zIiyc!%f?Koj1aQ&_6=H5um@$mWm~x*ly!lAan*IE9}gL^jRt$pl%O_-vx(_> z3pYbuH(ws>H}NS&20r$O<^AW^SBGNF-T^6f^lT0A1}*%rYQM&b&efvAho>CyEp(c_ zuej_F@aB1flkQ#6>VAd)n%n_$HAQXZw4H0w`pvjb?I+9jN5Y`XT-zq=fF4&5$Ngtu z^)wxP1OD2fTB&C$=ASW5cO}7PrNFYWSlJ$6bYG`!ic` z;5g>>Wk;rk4O@Z9;h5jiLjwU;wT}eIDg4s7?T3*HEv1~V%;$*Oy-ntDg_)0M+wPiA zlIIrP5ty^RnRL)pcqR1go`6)p&s+ibpVm?3G!T`J_nF(<864GZIWS@DUo5<7&1sLPyy_+ zY|NB_!lK+gNg75Z55wu`I(5SI!yj#_?y&sR7Ku`lzh zWtkUBuh90*m))&)DXZf$RI)bYFpcBGG9Hqidsb-|$f0Sf^&AMI?BT|3JE!;qC|&vH7WCaw|2r+E?E zqSTBsb~-an^;LX$o)->CpLP8%^njP6`;MD+8ME-RODThActh1E58$8H%%8mk1TC4{ zee3>k#~IZPT>h>OmGw(v zRqD{ac-5SXYkglsPbs^i9`58j9_XjYHa;^(6dnoLabUChVBMBCbOBYUM>9f%Sy%N+ zc3etXuLN4dXh&3fvwQ{tTEFspN#HdG&WQ(lAk2=T{nn&W(~LX~$#1J}sPZxRmKFKL z!`Rr~yoRhtH%VqD=#2=ykbn}sEpHBayS87cV^WoN?NZCKn>C~D+`T8ZrXn1u_<-7E zq^VMHg3F8U**PQAT0js*rSn_hr~9s&g@E+Xy9Yzm6salPp7+THdk4n31L=Q9r>zhY z!P#uIo9*PlN32Sjz*rpK{0OMU%8_pU4u{xE?lz?b>8-=`>`Zi$>iC<%=wkP*d==+b zEL>U%`J);qD`$=jBOMEhqX@Kmt$Yw8893V??~FJ8Y@u#O>qo4CY?HlmcSFevS-MPU zO>5q}BW`87_HXzNASIlUn8iJDQ87Bgv$ch@8gLs*dSv)*Bn07hVI49xtSvl7w8LpN zx1j+R6l{x+KHQ!2aPY48O)0NNy52ayD!iC&R&Q1eBFb>jT;CjuGgyG&7OUHS{ZZke6itXFPxyltSR%m9P@#!DLXjYKk^o& zodTD{S+kR3^PmMo}uC^$~ZpbZW*gb?{SOJ?I}ol%MC(UsQz&3WQ)~T zcfl#FTujqgx3+r6SzAqfUn3C7Zo=f-oK^{TuQ$At_@E+>W`QZro6^Ip%NV*ssavzp z1#bHU;NA~yhR?h<>XcT)Ou%`x+CM08yT#J@J|QqpJibT3B*3BhEtTZ)3kv`;m-k~6 zVoWKYYc;Xr`l%hF&~xS4(Mn(MOqCKORlWU=lVtshW+SWAsuE9)ad`_!CvyIA$ zLuGlgLPlKHHxSV7w z2buB$Dv8o@alRv^T7kvyIZMJ(7#vrF!`~5xGxn5FC(aEK))r)4;&Kd0`e60GzA|(D z*JPQBRCN-`t~q$&C3ojE>4E6vnN6(l@f>+VVS9|nW1YI~cM8p93*EDJcM5-Fe$jb0 zX=P{u(`jgi>)bp%HW-+lvMqUmL24OV*ar)}K>2b4@z_ZKl;hqaH2~cq{|A!4TgCKp zd1mx6*aM%p`Qh%P_|7h5=@wwJ#gY@cX8>ECgjd8zA63UFFxaDTeJOf5{uinC07wJ_Gpa;gC!y`k|^rC)_yj{6vlh9z{$<8w(g?*;4 zL*oz2un>7`FOwEMh7=zWwdika-{0LguPf z?h^AM67R@>YpcYLb)6ek@#tlnqv#7Cd>0sYuRSNN#j>XV%;1%Fq(<9a{UBUX0WT3q z8$nl)LB2AhQ`^cR^s|VfF~k5fiIP;3kdJ#b5$VyHuB`Z6%$j9x7?qcu0=lHtIXp&h z^_2wOTthfdeT7dv!69DB z7(Ul-?f@x2eyHQnu(03bx~}FKSmJFp7;$5U=a2F_H=s&7YNR+a_+{gnOZ$~}N}SmC z$e=H2H*I5+XQA35fzy*idqX1&BOSP@l7)$peuy?oX7vZLZ|fdW!Z6W^P&ESK7gnhF z$1=Ym60mLElSVDf9sN;W*pUHnDR}|mMBYrp?Nr|BX8(o|g_TyjT{D5Dt?NpM?cQ&w z!hI}=$@&c6_P4l)Sc$mhLu~ztyL?J$R6Vs)H~M(d!&Tf@8Z>#)S>8PHp~K$Xb_2w! z$vU9Re(ctYG4=LavUCk;Y(=Lph}#a+7eNAVhyZn0^fB;3XLIXz(P834D4|*X{o2N> zJsy=A1sO*{H;96@AT~fB-A-DowHLqNHjY?H8%s&W%Wac%v&~R)+O_d?X){y}FMsE$ zR8u@dlSC1m_a%3*ZdrDd&H-K(G#SZY0M1b!NEFdAHyBn`{R;w}_Ue)mV!{oQ+}jlN z>9|G7W50@PRWT;b>v}6Gy0j%|FACI>2WZR0!pY38U1H7=OWYO~r;?9$$CTvNg>2=i zNrUD2tZyOvXIcj|QisyBFWPQSzQ|zaOu`YIm)T!z)JI?RkyDRZd$uOp6u~%f_xWu9 zIrWr5@(9%jY&7sF)##L^R=$)|HWo>_f76;*=fo5Egi@DscU*DqWj_tBT;2ae*L#OG zwM2WM$A%paA|hQy>4i%v!UjtXcD0Yi4%#si51+VxJ0ez`)>D7LrN(pvl4%=@s^v_IN%BgdsO1)mb z9(KpXuqKoatWxvaQihBNPz&oxbxF9{FAlESN_5PHNuvfGu8?52Ehx6-n#5lf_pQr5 z3<-|5^UZi9TS-*Pe2AwM#2tXEXIbmOVy0a&tl!?twmd$I-?*O)CLg>JN6r3;+vgJ6X3ls$-o%gurc%e)(o?vzA0*2 zRpn#ed>L_H5RE2QV%7RG)Vo>&3zy6vew%;6cidba8vUgCk(1O2YQeLH-^|j+?r?1d z%*6s;y>YQsPgqz_TG>&2q`b+jKA9vGgl9LAwHTO>lRQjl|MkAW8JOEC7?#Ppy32R2 z$?XRDq=?9<2AZBttg>LfU?ra}v~XcYR4qeRuf{)aPik2`Pi5jawkp0b zf0iB1Wvdj7TH-@~#7>P*tcXF}-xa*pHEP%tjI`dsG}ieZt@zzY->b^1K$WUWovKp0 z?WDKt&om>%drR5+*_X2TG6aZ6m4maswH}F8YLL^HidE6UH6wP@Z7FB+J0&#K5)poR zhRyFBQuKE#7ay*_cEH*-23Hs8cn=!vZd`pd9;Yh3o<6*0DFD~b<(ft)%Eg|}SeM+N zaYbIo%mw79xDMj+@op0N<3C-%G07MK!@AjDpEXukFOE3p=f&G32YmjiEY+=SkHKe^ zx!T`L3K+jB<~g8L_9RAW*kgJLEDeeF+K`NmP#R``6~lUJt(Q6ZFP@qVC;PoNN>n@6 z>@=I;5&!XAXWL5uhwIJ(E-Z>LF)$uDE`c`)azMCl6<|XQvXfSG+aVynd=Hx2K z9SC=zy6f= zVil8KMa-~GeoE_*SY?KNN7l^>R%dHes!8b_Pu?f9`V66bDRrg+K?|6RJEFL|zKIoN zy?XsZ?UBFCN^!;uMT1qP@-^nc;ubTuapY86sSy1R|T&U?Jz`JlEVWl9rB{%eW z2!d7SQs`OLc?Y%D`2vm+6Tg`H=I2F`&80IXR`Q~=;PHe&shzv-{@f!rOKKK(AYinW z%YYJY@;yhbINSL;ix-t22mEf8IoEJ$HVF0mj;L14X2|>mwENj1#_T7i$-GfRX;Smi zsg#Z2MClBX*?RFojLR8TMvUxE7!MlaM8+2D(=&JE|pP zvs(2U)s-=9^5Q+h!#(ki*R+l;dZB0Pc5vCxu7q9T^jo+U`F&ggdQp5t^QHJBjU^ju$1mGw=0Q8P`55 zZ=9`;GMC8fR8`?q|EdQ^tepCser;SQu+}(@4loKoe;-sUWJx=PsT;AeNp@HIem8F7 zXP^9DJn%$g+E@-;X;-b-SmZ67`$5*EhReQhN~*gp*E1iXj#E^T;JJFlYRc|qG|-?Z z=p|*Eh{xmZe9E@ce^w%>t0G_E;=Z@9^xFI9%VJ|@w($_R`(E?lddMx744pAQwHBR& zv7L=!zUh8JG4VO3{P}0a#s@(1QXYQiSTIw@2zVPJt}y4srqKoR%%O>XEIAw57ZG0F z)Cdx31srx*{|wfufz2djottsdjquQLN@^am z9)7-ItPYYP`E`R6uJb_sQHCBJ&iAn)R&j6D5oTO52glAZ*6fj)dDNL1Hy$%HF|W^0 zoJ6K}pPUJnG`~}m0S~_IBgq7tt87Mfvy1W*2pOIQAcZyy*=t)Ecl**t73-$~#N8;d z=K~a_U(HsNLTY)zx=PM;t1jcnCMz(`ul-7yEL|0^6`wVQFV zcJKL)om1`Kavpz9;zUEg}LQ6}Cy#Edng&j=3|PY-aYb`V}0+bN#PnjQ*V(wfxzEOw#T zC`G=~$7FhVWjjgf&cllpl62nAPTuZz-fh7W*{>OydE6Ys;>v;LyQJfmz7$A%V)fz0 zf$Qg-Ow=GSwHkCA?o};%AR$d)dq<`?ya`_K^*9sQzQ{-9i8t96wp8&k*Mt3bTjXyF z9q|*l6k7AP;~%o+%@21K$F=h;aCItuL+XvWEuh-uw!>p3C4TBi7{n#}zKXf|C9mQ~ zAina_vzI+NwnjCBuk{<2Fwm$PD&3Id<{L$|>Ug`cp=pHI-DIzV!Jqa*7Ac-<2wWxs zj5~@N8Gq-DbcIuiy1pT&2X0bax)M#&{dnpxgBBteu(OV{W8d@OYJq4(jT6AYBoGtQ5st(&s3SDpi@(Y z3nuCB8cl@xPTONFRR)012E@ddJL6o2?zbt^p!c0UmGk5lHns!xg-cfasV>`c&SR9CrFTP+JV!lE#rvQ$bD z`FO{)y;Q3>O`o@ZJ16CHRBK(Ad~v;MQds|0)wOe^{K?(6RvAy# z9Phugc^CYCecmY29T|F^4?m*@@{I}0xE`un6g^SdZPKZ=ECXgEl^DPLoNQ_UFn09O=UKmTxweZ+B*mm%6-wE*zFLP@pjlF3dudcTY>=}dv2 z{}Q}~4fXp`SKS@&rZ5x-6)EK1%v}v2bos(3B^l z%Fp7I+is!3z(xP-1u|8vfUNUy^0XTg4m(aYeu-S6l@{RI*QBx+NV*-PQ$7P%OjBTQ&k*-qQ&F9Xz7QpIAL{#5BiiIoP}u0~hTf^RmP4Yj@Ss zd(H2R8j{Yr-ogEoaj!nFz3V=w*NC^KrIh+Ut7eYIn=0b7tn8xVq(TF0<{74Ia!+gg zLa&0qEjEF)^0)};YwrBZO6%teLkA6{p~YTzL7#rM#Uy{c*92$JN!_hFg=gnsU?aVX z2~gq>DtLc>_dR1u#Ljks#tLIbD6qj9qhmKE;uXyH4R7Q3$Qzj3nR^Kv@?d5jd)&R2{`$SnDca>wc)Y{k$HH@F`R=vLfG1ctvyXV)LcH@48_av*gf-Y56lQ%^gd@uJj2gQLhvdJ7YGEBRNFj-SD%gHT+Zc{(f;6IIF$lcC>A5 zB=ULE0?OI`NQLL_)$o=F#?eV7zJ$aNXPipO=yn>bgx#|hvS_~d{TH>!6*X3gG^xx- zq7@G(wbd~~G9TEZ5?@B_SQNQ_<~k)P{YgvK4AaaV9_Bp@wud#twh|wVK>!dJ%mkOt zm1yAN2DSotedw7GV4UT!!F}LUz&EEw)ih-Nl&jSqpZJSWoG!Y!)?1tpUj`}lF$hRQ zO879Xb@S~H`L}npTbdm-{8f^~r4J)8bP0%xd6RT9hj=af4(Z9v<45Zc_RX1h`n0#2 z_-1;C>d;fHE_!6^RXS`FC^)`Xg+prUtf zr-8){ZdzS6gVlSz=JF-!{s4cu5Y(&!OLp27B82iR%`ITQgwH>gKO;w}%^EA^n3rPD z$W##YAdE1&IrMnxm<>FVX~&tgD2KmIZ{evYMWi^pw&AWZVppYv*lGT(xANz z(Wc_}A=lP-!CrmDw<`@!A2q}!Mlp@w* zyw0oeCNrsy_A<)G&SiQtX5F3${3vN30u4Z)#uSLySH<%esyml3y7y-nn{{TNJhFenJ5(mQNP5yt(i_`ddx(1N zO6QYNT&$2Td$D$%Ul%N3JK*=mqkl2(DF?9Y6P{jm-*ZConS5gdD&2MPqw8R@ERK1Y zXYH-D25^|eJnSZsYvWzh{G<--$NhSStctn+p};jK*<&{6MeK-1aR`@eP?z)c6K~y> zxX@f&e=iuv`PxpK`uL4x3-NgL2LbiYWucD*rmNYm2}(@7FJ&0F!crstVwTvEH|kzfmv}MQ zrd3Hl!#e!9Igm$2`QEH|H@Ip>^rrlrp5(Hi8HFAV4E3*{7Sczr#ZbFfHR&GJI&cXH z+^#Pz8NR6#?ZvZidSX1B3Va{P2AiMtV6|^EzpLnr?zL;w?ZoHDn)4zry6;~xG}gOO z=A7s9%?enLlzOXD>60DDjk3InI}s5_ZcP_??`z54j2>iltZZ{!q`qx9~q} zTbhQey7_N4^$~T!$(Y!X*|@D{C9$d>O`>3ewUIu$q|~&*3V&eyg$nF@o1XPgWb4F7 z&*%gs4>*A9LU+_d;nk$fV&p?U%drZQOoE(;?9-BStj6)<&**aQH1Tb@6vuo`v;yCoWiB2Fey|Z zLWvO{mfq1-?YIllKzD031LmY`N8(!EjQhrQ%AzrF6~qs&hD=s}bu4K!L44KwiDbf? z3Lo~`&>j6R{TCHbZ}x2Mzsr@TGqI_Gj+KnRyy3g#;x8fLo_Ko47TowA@th`nXQy?x zenj`T?`Ktck@5RKr#*#Lk$k$Pr+s3XCfgq}6?`RKdRytyy(u5F+PhKT6D{+1N-6Q@ zg{~wIOhN~KXtez|$+0AG*z}Q+3$9%I&IG-F)I8o-kl3ah_p|B^vF*O3vWd9mKC!Wx zud|0QLuh6$6jCJZm<8+`S2%~}^UeNbY#M$nRSgFuZr;p+p75kg5b-o)7igZ>Qar81 zKMxgQGS6PtQ62wQp??;0Ly(hA)G5Z!yW(wxE`}~f*{u!1ByqYj@0BymY$!xgJ4Mv;9E_|t;?DKqhT;4*LK_`|NJojHXuNA_6h^!h6J+K# z`7HiTuz}j2l?+ZDa>tF>R+)^qGb(0`c{`RoEG`SdSot@16K}n_b4PHp$GllEs5v9) znXxK=+2*WxzF7O!3KwUThlH#2s_i(_fHYJn!*x~7eqa4L^enb(ien|nKy@SOvyAF9 zwZ17y$IcMr5&hPY4t-`$w~x=5PYry0#>gomGWyV&aU|mcnDH4Q|8g#oz`K{k^*AWe zD>z};d`HKu)7_;^_Z&mblv!Q=w4YD0u>QtaWz4YqXa1-Sw3$_VN1$!2wJ~44JLzxc zgL%%9ssh0q!TFE)_#dgAHR8Bj9QA4~?e;9V-2b*#Bj4%Z(_hP9o_@sGc=v8~)cgL? zOTfPeBFQ@TYCn4eYr~5z)pwlq&ge6GI>~SyizxDy>Fq%XQDysExmgL4S|9-)t7L|>tml9ttLRALVLFoB8Bv)f{ZVs-T&AW^5(~vmxS;5 zR4)$9>;Z;I*=tp!{idW!%cJk%5`rgdX{|LM=4QQI2MY3wb9VR%{~2!qJG6O6Xh4$_T?=eE?U}T;b@$!p~hi zQA@4~z1bjRt#b&^*!tS(@AO~yBax%wX!tw3IgP(G$@}0doj+Tp<0$1DHwH<8CiA&k{wIUccF&3^YA zWPfl9Ys?{yvkZb60-Udy=({s}mOm;HF^#uSsK6Lpb#eW2{3+-U3GZtkJuh)|{s!>$ zFAm;`u~i|$>|35WS-aSE!{oALg&S=e%atP$4kz{tB4D6C$(V|Cedr9Ol-kL)>(^{k{-^zL;Kk*=DP+=stD za4(yWAxRx12n@G|S%-uWKGyHG5vhp~+93%PMk^9UQ&;9EVF#Ie)O8Z>n{Xj4h_(%H zrK~xkY5TDBoy;1K@MY5F$E(atPj21ABXjvSF@IU8aV``a?uApv&n*Tl!_Sh(OFzSm&KQ%nmZ}_B0xgbhZxS7kexD~blduDRl>*dl6nbI- zGwPxqgZbF`t4JGej>bGdncOsS@F$eX-<>!5U=p@EGr|U%P!|yH?6gvRf~u+EsN1F( zc#&e^A%r6q2=y>l22I<<%=$xhEnI9#p6Bj;JeZ8Dnf-9!-8$-9EY zl2fIcy@Fi0HMD}NKyojk>Kf$9xK9uY<`csZ3LUl|jSL0;C}iqD8EK8l8N!LI`}sWz_2q;DbQN0p2_D;bkHxkYWUhjOJderB{Xd^Km<$kCMlHPzxA zZFi5BZQN))`7L6s9@|)1cbVD&3Zo>lkL&1=5D*xZ0$+i~=Iwf7cj=QeLuRHjSbmub z{fV&>T5Eyt)X~>@E!~}%1clan=OrgrJqU{uo1+t0+oUP1{HbT@ra~^r29Q_~o+Oz_ z4l2&ZoCv#)MRILrP%Vr5M7)(Lv*-%VdRYJMNeSDz6mVOu$%?9Le5=#akX0uLLEh~%M!oRoz3fwSUs5`e5jb}jt zXC|}8!%e)6W80iUjx5hDDAN8g4W2~{UuDF`TlfukLUFaT+PnQsgBAb zGrck;q*b>L$u+X5r;23C3cBvuz>L04djCb|#^1eq=P@cJHlJJ_x*$e#umEX_*r zr3Sao`|bF9g}z5G=ZHOpiG0R0Bu;OOzCEP2+XgA@o7e#9DyOZ^R>1+0%XkOfn>e%|=LDfsx2Kmw&hj5%*GQkelTo80X@H2P) zZJSoqSlbs=&*wMLoH2w(3-}-ZLc5mt`IXFxgGg)hdPwTpt=MuD0Vi;T8yLceH2bY! zRoAEoqDrKl1Na`bD6wE(dVz}lROA*YZ5=lC$$we*3k9=4)f8?t819FF*o&KwQsd}2KTdS8gao9YO4bilrZiOb-*(9&u z>+Jk;MUeY0^6pJ+xy6hM0S!_9b)z@)oBCbJt+wo3wFzay@aFub%ge{N6nGp~S-0WX zr65ZQA0g zPvhTK?p#_kec53`r_e3nN@C~a-U9h>-UMngLD?xAYNM{LS0QLyBoJlk03LcIgA6&? ztwx46Q}=fPXe13Sgf&uRCxV)#4__n+eJxyd>GN7QKa+16+H^twL99Qd!XCSA7_EEs zqVSSOzmLkO1-apR7aIrVN@2E?_$F{?%(jk#3fC?3O|h4jUxF|%UE+F~uW@S!+?Fdl z)Jj)Nej~gB&z%e959S-%eIxOt-OR2WW+V6h4VI_%0Xsh)-WE)QOu%UDn-kbdd*JxQ z$IJeO+a(|dwQ;^ACN6R7!L5^+#6xLm+5aU=iv34cP!QFzcX#x0x+Nwl4$url)nB^$ z*n8a)Rd;>iW3Os&3$?RXQo8hCu>n~-t-T0`?%LJ!AJTi(-`@Rjtq`Bn*S4xzc;x|S zsD#w>n-3H`QykP1OBYYawVc)BI!62NY~ajE+V%%rTk$;{f4T@2O!EoV1+tz?B!u2> zJcFJtH5)|zbs$4KKqB;Lt29a%s27Q(e1cN9WiU^ZXZ9_<6>H#fBb;Pz0Yd#O^;8(aVdJX{#whD!~r8A+)tf7h?I4p1L15uBs;cX#D>qxSZGW%)} zWfn0Bzdf!3qpl9gygq)f98>Y>qw=TH-0J|m#IlG&LJ8whb*WTd5|OwL2|Jj6@_AK8 zsdf?)N;*J?k(!5K2jhETG=e|3$$M+{W0i9RVkaoBG>Q1(as^>hlc1pURqoWJ6`;a1x@{P6#EHHT`e-DWEzcbv!I=|k9SFE z+Fx!f^7qilkfW{6AsA`dgiq-AZ6UX}qrKWY&>6!)!c(mx2V|Pa52S!Bl8X7dda3ZH zH4N9u0-?E|3)e=#X!An|4JAKiOmDMH!835*oQC1_#Z}&?J0%Iq^&dwW(3Ho(C+?d7 z_hYG$&N>vSwSscG4}p=kw&5^>mx8G0nCaDyC`22P0F|G=^)A3rYr?LYJT5X>>wZ*JNqk|YD8M$)LT0OB%|QV%0?!w$Nwf;WHXxyuG|=eDq^z}l!~bo17yb!06(>OeaQpF!NGN6w*q@ijJCE ziWV(x8?p|H@VRj|c78-G9XNelTG)Qc3P&Crv3?9R41F3z~gxbg?@vZS)wQ@zY(Jwa*p3dt2e z2)LwPO%6UHjBDHk=OF$(&J45EeAA(E^if#6$w4$cthDvN=~0u_^^=3EJ=c1ZkT~vZIZm&%*oMm;;*SyZM6oNGkUY>Y6BR zw*zzk`0mkIhQfe+Gyq8rFIFB!tF6b2l+QiK*GRAQx4{ZAhfgom zs{|uOH5Ge5nr6`lDAl_kQ7Y}|?|0dnqrP<)5v#M$r`x=RnQ=AINUd|-4{(#`6GZl4+wlB$3pKA)F zXOKOUr+92`;@u)4kp4G4AJ0Ka_G?jf;CF2W9JT(wzCjrJuW?1xhkTg^?eyE6x;P3| z;Im{AH1J{nuYt!A1I#W>CSZG$W#U7(IT`&;APzSTT|FX3v&EV$NDIV1%;o9JfeuFKNxGnpRt z=M4Cn<9Tx}IZEd?I+V6GwRq-SLI?K4)`q}t6kxWjLv@-wb>7;M!@l$YFh3qU-F(lnd>nu-I=$nk&)B8)loZRc2kZ z?f9<6>IkK}B8;S85czQ8GPH4K5&d)9`6}gt<8~)#fY&DrqoEtE3mo4>V^!$Rbvs?W92Cqw;hTYfiQ&3x8l$p8EPR^NGvR@mrbr)_-k*i&Rc zAbg&of0*KCZ6!k1>^oa_R`ZM9=3eSzf!A$tb_cq*Gm@@yI(B@Dh_-73Nd(K_&fjpup?I8Po z?}i8csSL^P&5;!plH}niXYO!QUkalQJEk(g6qeInxxX1HkDc;-YBQfE6!hwd=rCIp znk9PCZBWdxq^XHE*uCE>0p?zdW!#S`ssLLB>fK64pQUV`x&l03q!Em5Mzv4Fo8XMAZ`o zRf_f~A$-sMNr8tN846czN$i$mT7I_gQqFXIxNeW?R|^Y_xW{dOe~%YYvLH z3uQaP{n|KoX^0>!)r(q(Qkwz0Z1eP^154#bH5x`D_yZbB;ds$=LH{bXuMhf(Q9IN$ ziM@hmK7uE&*#2@(_B-~J_&Ie?jr;VVB9~(ncN$+0+2t@@=}=;Un0Rn>17yHGe*K=1 zf9HBxqJ(x9bVk9aX%^^44DfqIV$KEx@5B8alfCBw_YiJm^- z8#}n{jSZ#elWfL(Gfqoi{6zLRw?gJxsvKCi;)MJ;3DpRts_E>V`1_r$F2x6xntLiZ zrIj6x@EiCvhvu2+5CUNm>$g{?$Nq44=gZDQ=KOX7O>LS}y0IVv`Dva(*s}MJ|~tbX_2e2SYH6>v@9V>)+Q&BFRSx+l6HK!joSN=kM) zvg9PGRr&j5N9ivspVto?L>H~%HM`83#ahdH_TbI;0%^4C_CEFyMVM19B;@$!dVJ+> z+`-`6eHCroH!TET^vvTjSA~s&uc3MZK8wc-_ED08kJT?6wMB+ffb}>{4^1W1!zq9C z;1vByX0&|XlY4`{QT{so)JbI60W8cghl+%ff2El#YVHZsfbAjaAe)lkVxy(|n}P2# zVy^{};uCGlhg>72XHg7u=TY@&yOJD!q5Djg&jqN%zkQRm{w|JExf}o$X5Bj{__#3e zN?g8hps;!VRG80t>|n0&ig3(Qy>OVre(v(-_-H~)B;m*NYDQEm$BkS%eS!{3KU}J3 zEvg2Z)xOd(i1AQf7-gC}i~PNX+R0Up`V`FT3IQXY}u{VJY`Lj~jyZL!5tqX?Fg-(7f6B>1R<`N*m4k zy0#EEr}@UWY-6Q2wyOT-TLFKID7HUMJPya_2LvnSUQ=b`=KsuY`@;w10lh1jekd+7 zqCnzDjJxNE%(tu5|C4X{frI!KYj=x2*+F(Fo{Mc==fj+9r6tf%<=aOHmcwr&pSD;a5BA*Uzr1Gx%1n zC@(DZ?4q@tpbi~o;z}_zT5nQ|MTI>V9nlFY^2+8h3jwBMJA)oaS5>-TOt;^_AH zG0Lgn6AKCvjjBiMN^z339&{hNvsE2t${(k8W^T3K4Lk2ff1nUSNs80xCyYP^*FGt*y2~iIV81jG6^{EHJdDqns*x23z}J ziL_m(iX+@3|3AY%bgzLjI>nu1cy5mRniTNr{TVfno-nkY+%~+x`U@C_)*X~_&>N`i z@ow;Khd3=_zE)u6J8a9wz(GFW&^L`hkHNqAWZ}?1qrc9(2N8!>s=9+ibv*XI;1|^P zTB|nQoDyO5wrs&~GP|t(12xHh(XUU29PYE{U9;P&hx*bDNjkCSa5G>HGhAMp&{d=_+iUMHao7 zS35^I7ln5JHi}2bE!wFN0@H$vuxJ}pZd)M~X zXAAyG2CWVHtjC1Zrcxowu7 zB-8xJ@!95e2(9r=)!13QVD{re?K!~(i_(tZl)>Clfl9~JuWvAio7&|*bSYncpY8JY zcEF3<>r{c-7Fbtqezv^yk4}G!!*v&A1Tz#3s1oQ_`js3X;Jx@`&{fCjo!GdzJfn+L zrE}Mk_4K4U4bObOc2>~FAJzOu>1+#2@!8kXgwev_1{r+DqV+$lX#yR-?%5yfT!~VZ zb$`{u)C+o$lJ2s-=PlQNo=D7QpD%1z^dXnmxxJ4|&dN=1KIwYO-mq*Ba(rWW(n?*= z1NuF;dU%FSp-{1r&V)sH28TV)>2WSk@nL9LrFY{i*76l~%ihqv(4;ii$yjRTlPAwK zDo0k&v+X?$GvH)`En5G*mlO|me4Df~(6EPRO>6g4hu;nBTXMXyceMI!*Lm^EghYPE zWz>^<7mR*V4l`Wbf&xN;&Xhlem}iC1`P0EAk}398kIKpe?66jmmpvegltZJ_ABSJi zezS`rPM3aUj$Mva|EfIq;g|!qldY|B=lGFuZ6JCJtsrhfSo6MkIKj{(Gt>`m3w)6yf@t81#SXB0 zr$85gf(pM27*IYx-&!AR*QY-^W4ZbaBw$GS8^{c#6fBe`B^$${WYz>DiJF$6p4Hc$ z!_=m;@ifojFmOT^IWe}2_AnsK=p0K_yOUu=qI^xJ-;vHX!0lRd9O<3n6PNS8>O(n#WbgZJdN$)m_>fNgk1f!KBUG^ zBX3xc7~XFmL|U#A$+8&uqsk#}uM{AyU~!+hlETw-L{O>p3Z=e3>5HsBWyNWW&#@Gq zr9=74M0QN?z7goBgm;Px<*%XCA-cXJl@_rR@*4565_j4UYRS}k*;kn01c(`@*GVh_ z2&OcnW5Ry~CCEF9;WuhY)kzL8PH&u8grb(1)5fMiQWmgyiedE$&-s6OM79B&?qsE{C=!YY6^a9B1wxU? z`Q09nn{59O#}F+mndW&dVRWEFf_#L}Ke1JU=eLtV8HAODMJ1m9 z;$W#%A{kulz22&1DJ8FRG}1alvK!-wrc?2pE?9GN;zhY|NwMTlKMQ@1xZ%WOeift2 zKFNG#m8jtP+W8FK80fpe6i8-qI~{_ znO$(Bba^d}3to1q?~+L{T<|jxlxS)5>PU=~wGJ5;u6}Sf))o|=xURwu5cIB%I&ARg z(RUO|3nHq(oNvpFUCwNbJG*WC1+Fz`e}n*%PSQTfUk%*;c2fmX1qN=nQkWtx`OOr5 zMe`5yB5v>#;|->u*8^@;owY}lY2R?th5 zC@*u^y7Wa4=nqR#Y`T1kd6cnANu{%xB%>5?H|B^PKmDdwd_++GRL*J|7w7%{$#;hw z%xQ6!n9zjx)21B4aZ;!g z60vbx?6=aoaOhks{e0%eRp6oj>5cyX^hO`(%>=r)%;(^<4_0tKAVt@@kR34!5SGDm ziUJOF#&Urv?m5>p!cyE@wD=G%t%jFYgU-sRR!zkjP-w z^OgMI>2O!&SN2x_ldh2`UH!hL1xaxLJA>cYQC(beV9q=sp{Go88O#=#;?SbSgBXo7 z$y>enC4FQ3hqtPAXSvSQdQU(KY}fnlGD9Lz0Zwqdz@#Ln_0nkB__r7h#1BcPR&&m> zfI~qY4fz98b{$|O0Y>-CEx`&?u=tYB|IimLTJR>X&5=@Xta zPx{OgBX%v755Mlsq@Rv|o|1beXH~huaYoMzHTS`|DW#;bN$V>jBQ93;we+8f$90Y@eAt&L#&70j&DY$?(0&1-tN2H!Zy zm4(L8!{mo{}Y#kklutGbO9akUmN(dk>=m$_-+mdWIY40xzf+~ zF&#J^OPUVZp>yIw$_atdlff8t69{Z9%VUD5uI=JqAw4~E--0Njzr_eNV3YWJG zde5_G2jZX&Tu#Bn0_4WfvGXJ^QYiz5r;6fA8a*k+am^G)l{<8SaiHySv|A7bU+msA zkw(ye>-8RL-HQwlIrss{t(tb8uom5TL=*S`qa&=nZbZr8`@>gvXph?{-h8wyE;7uP zHeUfl^k=TV3#_HWyP?0@9)@Mo^|?YgkWa(y>!5YG@qN~w_O|bU6#t81j@{UFx=n7K zC!PLBqF=MRp|?y(KaK?zgA-sDuokrtmPk|dAnXIXF#GipCq&}`kTVQ_l?#DyedE` zJVX7ltI>gV3gX?w1FXf(3=rSrI7pbrXMNIziYkiAFQUHepWR_qoex}Yebm&#HD*_g(nT1*$x*hvu!>7W{hNZe&gUT9z)qFUiWB$1u| z-p|Dms@Qi*M_$}zeAs*FeZ~k2+AVa;1Hi|^K8kSReh zfICN6OK3!~Fl0AYw=e>duU2*^og%Eg1H}Gs+odh9+%Q)rMWjx*=YRNj{8MF?00BqH!&Dk)SQ=5|Z9ONFaJbKO ze*$A{Je?U@27?{4sz8*?K_aEu?-29{a2%GDS=q@Efe|^s8IC6qPLZw?}{Y$ zf1_@34nJLpgW=zG!GN}P90S;Kc?RAE%bD>6PgyhP<7ugn1rH)|`cq-!*b^5T0PM}Tm??Od|7!|T=0HC1cMMh0l*WDf z1RnpvfS>B<$u-#nFO;X!i%bdW=X!dML0JZ_8gdgJO|GMZMd#7 zD1;q2ggUTY>2hpOKr2576jL;A=+VC_3dEGFS{~Fd*ViuU54ZIL%L_nZGmlb!Zp-&p z4t=g&G#qK`2M`*d{)^Cv1Ai z_qVPdQw%*sPSCth!kelD+=iXz*tbtATr>wfPeiK!+83C|yjVApoenR|yM+428qbYn31g@l_H}Pj~ zna~m~SGQ={Ver4aj%Ypqk{SMw3_0~5nLALzl0y#b-e?pRM*BbFR|T=eh}d?8IKAex z1XA~+;`HS5vC)-?6O~3RJDxxz>wJBZa~k2caT8(A2r6I`Oyku09dn^n)8|hRhLyXYA>%uY4@&m8pgrLodeYM!2gj#Zam;!_>j+gtt9P4E+c(kpZE~I zuV*!?ac*;M8E)KvDEX))nYD53Ajg*I*1!0XM1e^jG!q_QKgK&zV8SQ+2fQ@;e|@q+ zDu@@@bl9d%zSTtTT}qV)tR1yh!auc|R)5f3#T&PE0v|5`_>efT3AD+%ny3&K`yYIa zB%p188;Sql@L^M>@E?2tK*9MBP{{WMk{u=;QRaUHoFFIm@_#H`&N|d*fhyWZIL%2! z3ZK6*2YJLRi}eDG?58t~L=5f!q3gWknn1|M>bZK{Z!2Aui#-1N!8Z~mcTR%Y=D_%;g^C7(+Sbj5cX|Q{Th_Q z2-A_i!-Dspk_eY2so=dy78-p|Xp4Pu-)s}@c*2N)Zn4zfq6L*{#p!8!qC=6eQBL%V z4Mb1WyWPIFCYEz^#4(d|0Xn&XD?0R!W|Wg5`(~@tnqixTXNxW+s16(i0{`l>ZUJWY zAQq?c`vS2o)R>Io{m##_CtcDRRb~_{%#xA&$ucc3Yzqao*vpefzteT9ynFrcdUp)d zySjnoTpdY+!*qGnskQPbwR4ajDAIo~@F4*}N=kn-v-B8!)XF&cLq<=ec|`_|HA_{r zRjFFC@qFc>*_b%DQg_5B7&}RPNK*r<_L#1e%!@=XkAQX>E^tmJ(!Nyy)ya5e88ka) zh`ut5b7+_fpGsDq5KF805UiB4WYzssYhXe+wD%|2e6y&-dF7)W@cuALHhD_&24a$z z(WexvTK`I3?g%D%cm9&R+$qUBqZMrbC3z{oByZ$z$(yB=yvjb}!@rW(0E?=vTR3x+ z-Dkx{aQ#VM0$!I4ASuu8zY*R3B#d1_$uOZpOEU^nyv4EqD&FYdiWf_1owsP>jAIY} zs|O`E(a?ZgO$V&iTBtEv-IpWX{uL8~)NQ0aKPB^&0e!Qa5wa4zrf5sd)m47Vk~tlV zra!sdF|b5+{FFsB^cNl54Sg2SJ&qjYRM`0IfI*hP zVon8L`6c=IHUHD7)y}Zu!$v8@d}W{ZP2L`ID!nP%0u1(d#i>}dkeU=n&5UqtK^s5%?JOF9rlUtgsqj>@wDvubAWdD3TCeN~F#1#AQC-3X<=4Q8&ZGQ)Z; zX2_HPe8ZVqhomYqGUFyD{KaifQx@A~5;#vqzGFZNR<`71()e)imJ?p`%RVF;Iw#=6 zRL?dE8~)pFh;wYQw)Bn8h}y5}J*YUXEYC^8@bu!VVVIJ`zK_&&tx`sB&_+{QFezqU z2xTHx^}O(Zu%ME*fVjg0*S?O^8x-`40c;E9ypU6y3US9Q0;m|c3w51clg3H40MXr8 zo_CMaoj4WR{#QVkdLT_FlQ3)R^JlM*_UQTYer+vUr6$tF=TA%SPgeL+0k1UwL58UEB z>`ZX=L^TDqMpCTqqSiR=Tr86P5O(IdWA(HZ#YTxDOu6mhveKx5td3+HNg}qt1H<>f zf~3PEyy&=ttptJu{aSbuO?{+=^}`o}`}xk;ZfDKIS`2f#GnbJ`%goM*V*jNfn)N>5 z!|x?u7WqM4G*;rYaxDnVah~cDxtwql0A990nugcvqVXbe_}}YlMy32=)d$nt60zFx zh&}7a<4d#>hxyHB1unTlEELU?yD+rezum)aWAo(xc(UzWmrFFY{)+Ro>|R;IzCEws z^RDUSz308w!BizSzI{YKxvZJO3X4R~ z#iqjPInmyu&Uv(B?Y7-;T-5{Bf%*Wp4g+1Md32RL41J_FwslzcxymeYw(uQ*^~%2p z#4}#_9Zn>c$B8Pj6YwEZm$lXv$C!Crem)ycsuL7p^1^tdPAMoSuAs%k(#7G6@bva-lf>znTmm9rB>!K8tPe`bLC+?Y`)<#OcKO-F8YY182 zM^!8W+HkwDG+tNphVv+|6;)9xiu0(ply_;hNpwQ!0M_K_i0vbaGuv{OVeL_B9<5t~ z=PR2JqgEUXX#B?#ak#9=2{w@TNysa*l#~MM%>rYvi&LzcO65JuRL(CFl_?)b(@w~j z`jW~%YwDucbg+%x$gA;3J(wDB8;(VZz)G)KbUze}(FSF0NL5%>OpS5DQz@>^$CSm} zeg>A?zqNve9E<YktyAt+5|XJ~6)SSwEh?$06ay#gxJ zHa3;_o7-H{7s)Q`Uwsum2RO+YpPW)crrw^NXEf9fZDo zA=W`)HDxM`dn_KHb@dWn%@iS%ewu{x?ko8jo<6FkEN&PV14kEOBQ(|<+tV3@`!l!@ zx)%KstakuJJC*Eg3PgGhlwqeBCL=uH{o${$`v8H&Fau?At+vL>s-G@;#tKPN`N1cB z#;DkgUkUuET{Q|_iYc=T?cq^a%UpOL_EY2-f1vqekACusCg!7dNBTKj)JP((EzwJE ziAmYF1VC4oO^D)c#xf9}YAF?8fC2B)DZPW~FeQAfQwj`&fhIJ44}uwZO2X4&s)cRc61y*;Z?zqY{+T1M{M;&vqa>OF^GFBeY!m7Xq1cC>=iZe zG2@9&-WYF_Ff*^`RT1*1DWP45ZSVx4`JOQR0Pf{foCl6Rf%ngnQ{pfVc^)`crfj>; zqs~uY*ComqiCFP{FvVfMycLD>Rk03ALqxCC@bR@#dD`b`2i#)=>N(B;I zb{^0d@v2wlgQ^&>z?w7hTV-=b$ol_<)|#=?;6gK!3kF_VcE$GIBPjmI>7R&R{I4eL z9@bQu5tSOD6lmqVB3G3&BSw>AK9b$#Yki=_70k-EsSxL&yMG5e2i>Jy8f2(tuoo9F4+qoI zTP7c!`OuCoEnsHOQ|S<~8&4HYePu_z;sPDriL`%2FQ27<#t5z#oTJtcreMr>SiB26 z=&{NwD`Q3|a;SbYmFbP$xF}vP1A}Fe7KNn2ErcBwel(z?R&gPar~~0B%3~Db`Aa&0 z?uvr6N!_?GxQFVV$;=E{El(0g_$z+P;B=mR;A$Lpr>zWYEar~bcqQK*ub0kiKBq9l zkBj5COsR(urFsy2vz@C{4>V9Spg+Q*iS*@H&5csl+;pk#lsJ0?)oMO1Qlekwc=aFp z9lrUL&WOW|*I&}iOQ|=`)58U$pl+Zkn1tK;1i(<~z*!owd>)gR+^0$&X>XZ=z&;nC zv!ieNOz&f*R9PK%rlVj=D`^$I!V+a%`R;!@ku z4qVC;TCIAm)Rwf){(wBq)S48yBan$q<5XM%K4K?Pz!MX8!Z30cOOq)zkuKc3c?^80 z6@<=Kwimg;PRr7_@>r}j7TJ4sK%b2NQ^Hu8cK7Q94cNqTIJB`l9*ZV^jmi%=bd{=x zT#gl}aNdlgN+~=j|6>z|+3g^$eFwPO9QSrrDh^X(6SQ3~czOe~K4-wpKec>uWo6$4&MDl?g6m6G542Pu-6;3gpw6V?Pt2)Uup36UbCR@_?AOqGNW8pE zpmZu6!XjkwZj9ah8KAT?EE^E-DeSInLLx%4sK+ZV5`L59sYWW}7BOrP={`^DfUMMy zw=#1H!7JW=qP@w^7$adyek!%|2`m1s#fZ=EgP}H6#;c!i4+6~pRTN6_`ljdA1N6WT z2@gBN`ipv~hlfGVO?3&+6BhU86HZ{5L|KCmaPP*T30iliaD&J`7Io8_u5>bdMHyjO z!uz%0u&E1KgvSbT{y}W&fLdS&&PLVR8**1_;a+9uHl>Brc}7^Ss=w|7Jz2nAuU~E6 zq8v>fbfa4(Qu$ja7|44th*^O-3h2hVQ9VLS;#5U`FzVHws4UsmrYyKo`peAF$IJ}K zItCzOl~WP@Q*Z;;b|Mzsj`LK-YLF zR)(iMj9s7cQP7LxKikbtV(Q?(QEh68g8_;c#X;0rzvbCAEeu3pS}h|g zbZipWq?vDlVct4q764k^Dd`~0Mrs6QWvh9(dGxc=f&IqG6NjR#-LUcZjmw@Iz41S_ z1RO(qH)Bi)IbjAyncy-eE{Oq8Ov7cdD!4u+ga9Y8Zd!@Omu=XMDK*UDARDVhJ_`Bd zGEC%DU`-g|{QQp?wDf^r=>!MVJVE-eMO7{e=d%WK5G-n&H4~ol~rqUl#?+yH62aBftq6a z=2P3zhxdSFjU|e-s$%ptFw;T?IfJY0(NJaEFQdNEfyt+%*pxHq%YUDGbC43CcM^Bm`d#9^_pczECf?> zq#fCXP4B1&1JUb|#lj0*1C zCK3q!jA1+>4m8P`Oh*>e}-<;m7S}*V| zqxFg9dr}3Mr27fPGP_Zs&PifV3u|N5K(84y7G`HuJ@AnpV@h?#7Z04kuu+4|(IOz5 zQDw%Mf!%Cl`O0kgB~@s#YP>WpQ_+O0z+R5Qg7;p8Wmw=}asOMa7lpAf6#ITMJ)pZ1 z12svD780#3rjEq_2F$@s?uO za0xXG`#%<)Y2i1?SY_XJI}LTwG88Ix3f9v7HstJ4CvtcwtT&l4YWdwOAq_Z+z6qEB zhJa&H2yrw$puY{NRrpzDmFlzup4eO1^J5x_xT zi_!m=p!BcT=q%+2D{avTnD`0N`-uLniLf>S5Q(u3lps(nSk8!)QkThw~#uB>bXYM6#eC=J!n> zizWzapBFbEgLc!!qlyJFpR9n zir}a-j8Vfr=@TrFn~9h8N>PL-<+y1h^-O!E|RZj@AjwrU}~rE_x{^~8uj7Qf~aWWSON2V`e7!%r~J@K0q>Lq1w>!lt*)jleKD=H_FV zJd6{SXVzgQfV#BNQ6&PipkrsrzZ91**B3$WW0WFR!NfWiBPF#>rh`eC97xTT!oCv_ zHr`H&T;==XeC0$%HEi0*nJ9=MvHB-Tq+SlP=ftOr?D_~SCI6x*eTUjPj3_i&7v0$P z4cUSuE&AJ0sS=caX5iP?%1;r`5mun@rhI)9Gto^Y1-8nQ2mI=)-7N?hy_y!}0$_j~DftVop1-+&$EG6Z@`h$66-3n-x-919( zEN@&?9aOvXvR&6k9|X+v1tU!ioRu=ywkx(Pm*dpS-Y98d1b5R`GR-))v*o2^XGL{(9g zCgwyaSwY#84!*&!mMqV_(29vsk$>liU|B^yV9t{p#F4vhV?9MxAqKr1OUh1Z)Nw@% z=UpH0miFmo%Hx$F- zMV|!iUR2@*$ZB$icpQC*=)rLdpL#8{KG>X22NoYsPWbwS% zmvrtdik!Wk@{LfZ@?%oILdtyACpOKrGq{J&BrC?{qYiGS!q<>yttc8&t({S(H^7^@ z74BUJls%FxA`s><7?qQ9BPr7evyxus<=grG%i64w`Grsmr7~^2}Y|HMx z?UsFa^@$pNlxTh^YmjxBr#ZG()!MWfj_+fO7FV|tv^emd4fz0mplNJY&qg;X6L6{U zqUMEd6~bc0HQn!g4zsvZqHoo@LlJXI6fqVqOA)jFmWGRLViMH60LPl=(f^F$v=^xK zZteVM039Paw}$kuK819{=$>!SGU`Val!4+>g4(k|2bg+T7O;9t6;LF5G)q7Q!xXi1 zr>*q$OC*wmZGVjJM|M0>gnKt=#y4m_e=KJs*Jz+D-2X|Gn(;sIX|v?9DT!tVNR7!yUF;VNslX5Wi{-wj>hq@-C7~ zBbr%mBm{W%vL7|DM~^fn&J@05IO$qEp18)+zA+K_Y{FDVsvLKMw2Onn?pEIbcaJij zS^g>W%DYcS%{a?hgvi{tq+*4;!NW_`AL<#LwYhTA-y{tx#&zzVSwjgc;z>?b>yFnI zcB~rDv<>a=Mmyeqs6LbL_{P))X3hO7B#{3|CC?8@mz-97_;cT5=EEZ(Y25*xp$Pho zjz!6~jxJifOXsynocIK7de^2&cX#H|ti^$>Ao-62Lo>z29aC)v zszU4H<4A!VJ#Lj%&5wI?0=AZzIUaG?@WMlU>eV0bKt5=$pvVOSf z%JUtabu;p^?FN@cPrJDDjAy`Cw$=Bd-KU@RXDnH>xVwv5!nS(Wm)sk8{UQADhkHJ~ z#gR9e%?Mh$U$-Itp-JcRz=EK276Va(O*{4H+V03dy^p@WbIhbO)x!0O*J)3mp1ljQ z)%Mh1?_JwIxOKNlNB8IPZZpB@!}#La;^CWIT~|tNc}7Q)Br|;?wu)lZGf}V~^plmn zAlTb@9UH57vZPA=-PZnhSP!20I(yjOG5hkZBP`kZp=`>vBVT3Lj(u4KJe3vyUL9kb zMlE^Bebrd7TuLgQXKlh>j0THLfId5pA~L}`{aU)eyI*$QgH!X;o3(2jp>F#w2J7Y( ztLw`zTpKczW%^uh=H1-9f)~ewKKKlmvL_uc!pGi& z-c_1|lq7o3#NGWQiR%(`yI^|%tpXo`UnCfL`s6mJGo7M70y|@2dunF=Ovyhw{26#ZlCUzla8y91@q#NbA(xAcw<>o2NT*MGqT(R zurf-j*Rv#P=@UDi2qG7pcs}7{GMN6?V7hk$LvgvyS%V$k;WrxEe-g}u*8-}01k^Q} zi2aHSe_LLS>D@U3OnwcqJ-it)vfy-;GHjkRj=mq&3jQedNd|p|j^;qwK!zW3rJRIiD@rIjj!@Su6XsB_r?A^z9$w!p)>-c0Vitg58VY`$Bew7++ z18xc(FCdoO41eS}?8Y3@5)yRlT(_PWn|*xiHbQ2TUPck;R^V&tgJFq}<%aG`!D}t- z>}t>oKgL_^p?aFi#bTnqU0McqND|TO6Nx+W#CXb)ONtzwhW&uOYG1T27(nigPHoV< zS(T&EdWJ{tHW05h5Pv))NJHMx`c1SRn|8i2^SHX}vazU3WJl zE)xCwk;1X69EhA<5BMuukI43NP9pn|yFBv$D~7Kj?5*I6B@OA_pbBXc0518rYSEAR z9}BKOqp`{Ui8R8^Ty&FEX31C0!k7IzB9of`zYS{5`5BOugKZF$v+xbQ=Us`RpOHDb z%q|s3R!gTlS8k&x1Af1^iQbJJOn)T5meiwI^83i72%F7;H*`E{Qmv!8jWHDRYAbf% zvM#5$0u(d+-2|SbS(g&$OoaeneI^)G-MmGg_g;HT%ZrD_~ zSJXt%FI#OJdit!zrTvt6>?U$`-z>T^)lbDYHe_~Od*Xax|5iq@uSI;wy-NwgH5S!U z{`#n$bwkjGtiZUZpMs{Fm1P>596360q?#z+RX2OmAUL{@<%zsXofW^p&>(AYHY56A z!f|jD-{Y)0yYyjrgXzbgUnsY>I6Az`N}2y{&n6$|z1RL;yf4B!z9MO1h~Wb>{W~ZA zY>ji!zjO3yh5kwJ=Pplo>6N|Jzf*$ujwp}2_4k@^)H}k{NH^i!Epo(*rGIa^#X9)3 zWqSO%`cU4y^AQOr&!;ckxMKa;jSmuMG>(bY^&Z;KFN`H#vCB>7&4bK>@npL?F0PAZ zvVGdi9V>?BjAjWB5i*jkzh#zwdO0RvemcagZ*_ZQfIjc4PDgvtq|ZR!`3F1P4bPZs zryiH;e0L1k;b0^Z>Q+YS4$;quSNJa34eB|4Y@U9zuSNUW#`Blp@=Eb-i{aw_4S{u^DxEar zOe5|EZ1Vsu7Hwr6u&7?^b<$zG^PiT$KI`OT2M9pB`A@@_>@*{7A}d71GMP{@OH`w* z``mA`G>L%S@$;!f+WuvHDQ7%LI`4RQN=Tkve|%rK?at(QV%z>biu-`{#Lks~pQtzO zs>6-d4e+!pG09`Ph1&@|+XVsEu6`+gXQCrDp|4u(J zvO`=@$_YGBZKmygRiY*g4tvbB!e4WQox0df-y%Xcc&3cmcrxWXKMzu<+jx^v0bR7| zB_4z*OX;-L!Mpa>*U&7b?VrW{? zJ&iicRxRI5*MJLzfF8Qq5I24A_&cuQ2ixdO%khwuQMHg>uK(E+jAkdD>d>7+_jaw} zA)#e<>cuz2^1|lKGm{}pN;Z#unP^Ud^lzLRIt_S7RDhNq)d^l^Q!Y}R*R_L-6?@;yqaZ7F#0CFvu@ zAk@r*cR(~&-jy=a+1ge4zD$3849^qTW_ z#9Cmr%hd(stN_?5118ucOS3BtQDwX@NgY0eoK3r}9Rh9Fw0l2XWhI@jo_nuzUEm{A z0!^QP^-*=QIGMXN(loSc*h&l5FyszBYS!+su2%Odv#8e2wx_RHJ4-8(chm&&OKUG& z$bbe?sx#x9TNj_f=6VqXhE7f#HZnZ^=*eHSP_-BG zYw~oRfv-kiYxrJC2(ZI=dA^nL<;xf(exc~I%5J5rf(z!@;zEkz!@d?KDUQ{l8*uMV9<3r}OA}`v$=gAyJW!Q;{P;r_*5Wuk zszQtUE;3?SO?h;Wy(hqr<0sp5=MN%ZeJ*lu9RX|F_m6)k-#|Q?@B&|fqXqhWg)M%u zi%*)OTA|v;*cY4&SB5)&qtm)Y1!JJdRou5B=gb9$gN7(xy0iu8)Ep(AJ@n1?Ox2lS zmMEvc#n$z?Xgw5hNG^;+kGVX~-s;UjR-?itIwc5OEhSh&6ZlNY(cC!guJto!hc2^lN1GOaVv*9 zvd_q({Fru)yqWgjNYZ?;Iy{UYU=gk&i!Rm;XdYG{n-G5Kk$S4;m6CknT8Wx9&XmqE z#VojL@vTMZ47R21;#B`JqZv&uHciAA0{gt~pL88`;xhT6)S<@f+NZkO^yID*%YHXH zOGRilnkHq4PLFK@9Na=K_F?WUOY>SerG8Oj&$dXY$zW&hwjygwZ<5gJgo{<>toFnt zh~K8Llkct1%J><Xjm*t+^1_?l|(D>AuZ+mpAE1l#nqJ!S2x*z-nFK2kV2#X6%wKfj`%8gHz5X`ug!# zDN+NMu_S>rdvw;MYo!u{{OWq5NFiJJtUZIO@%U78pi-$!U)Pd)75YhFsnlT`5HEDx zhb%n$aJ=4i6@%xyg8oZriPB*?jL0z6`za~tETCf`T9)yi>&TuGQU3a6&& zlN5*G8~ga-7y2}H04hb1NVF&;t%KhR%Cv>$)G_mWNECa>I$Y&Av-zeK-6f#q%qlDW zwD-Ez+p2E+lnie_l=)mUQaMRX9?W2VPMmhGuK^{7a0Oku$e*qxWtz z*h!(YF8R8e7uR~-8aw`ZeYh;XcFrNn`3kqN+Xd#^G!xmURJtA|YVS<7pf4j-U4NE9 z{G577>Pc80N8FZlNZNGMnW-Nxs7&3wj&TooMNNXFwR3wV)+Rg`X00hJV^DQd3!IX< zATID}5cpct)`V=9o3|ktdUv*%Md249;aH2_C+Umyjm)^RW2}tepcm+T}aH7aVqeqtH}P>krtiBb4IEK?jk8uM|%n3!n){DPcX$n(K2+m8tDK zIHTF4dJBz4`P>j5SX#Yoe&pUhCm<$p74cwRYNJz=WG9%r$IZ6boH{dh<|UX=OT=zi ztli;ayE>0F@?t(z#*3oO8=KH&VLx%VV0Xu*MW90*^9=?L6f^Y?N_+0!I7aUtRauQ> zBDvLQ5!<3_@eRQa1%J0H$I-Srn$H&a$llYHw1OX9VA&1#{EZ9FwcCTHF8kQvXxpH@ z{4%%n&Z4(fEL=r6O7x48_e=_w-w>M(t8j`-p_>f;@SN>Pxo}qpv`P>lowprnQm@iu z;buI?aqcci?1qo7)b-|x;g*L{$=$|`#p}+&74Vg(yJDvO$Qv(wY87yg1Vx8v15YM= zas~0grk5`W<;T~;$JfD5;6tQ0hwk`cxjgr)3zSiIViOQ(c|)3{K7?qD&T*IDE`6R? z#QV0AKI}EC2ky#WZHKkOF{s??n^nt4+L{4G<(k+BT5>~Ct9{iz3iynV#QsTi+qSGd zvp#Gr}XHcmwIebhbXQ~ zmBAG!cJYXZd1{Z8F_<3u=Rp^eB6|-udBqCWb97_d5$`&74r`x*g7djWWQ$}ygMyky z8+$(}LpU5yu(5y7@(jtth7OD55=+9N8;VM;cX42dT_Yo)mJ}l%P6MrxdVRw6eCFnS z5(PgyLt3T9PE&}JC)ewzs=&4KF-$P4n8jo3mC8c0bk-jeM$ zLL-c1JjCK7aUh<{^~^0xLf3}}Zh5YF2p+b z5ROe^y*Qq$RNFtVnDD(gsePmc-ZEG0Z#AkI`@$tih16j!@Tq%-)VbacX}bBgV*q~$ zIUewk@bW_|;pjl7LR|Xp80hEz!*JYYlg}~xyD7stoiM>DKW?Amy$9^BFxtK!eB)A` zL2bf!;w*s5e)92$1LR$*QfDm}mh8D?5r?dA50h6JPu{hz4I{IWw;^>txA66%o0*Q3 z>PyJx;f#)qj2E=IRN7Xfw;hW!@$Tm;VEB3zv9Ol(RPnA~CmMfWQ$C-;(M$)NC$+QCle41o(fzOWywQBa z4XH@TF0Iql!oKJe;4<{y{05$3v%rWk>G13Ol9s%XCe@!tE-MxcC-xHKz(+b9O~aAz z`0+ft_1eYxbRDuEe+BQxzTo)5xr#cLj!C}UYL!vGVm^>>-6Ao<(lHDQaS{?Z@A$BQ zt}4)?nMi%&1vxKb>&pRQ^XmvmbswOwDvb@iaY>=upG?t#co@qqo-L4sLI9w8wz7wE zs%aLS!VDoO4v-$qnzbK%JHt1*M@(tCd~n#S^N+&LRe}TjKHddU$c-9K9rnx_S@K=h zX|^uIg^*+x1wNFpW%n+?S7PjBoIu!Mh}T~!J5mZ2C%FIy7b|VXOR;j{2d8||Zx%#@ z&%bI!ZwBv;TRGi@poq4BY$)F@Z=d5SpgiK+A;mayZdY(K{!BsDY;U+qZU2Xi!l-AW z)zJ5fH9yBKfEzMbt@pG97jWgu1u6sOh*2?ik*h9XGk$DsF5qGvj4&=#qT%I4y zfSYN6MKfU%E@A$|b4=F}r5_z6tn9_Fh5K-yty>i-2w9a65A7SL*JXd20bPHmw&@_! z-TosU)4~rYcsb`BGM+^un=H^8X`Rz13EPV{K`}ezlm_6I71KWuHvLO}IW^=&<)@VLN&T~kUf(v8-E{l^mlKN1DqJtOPuv(#5fVL@sP)A z7`UPYj>IY;khZ}Uwd4`IA=f7DwHXQNSht(tCDC;@fYk&S1daIzb<#vd zCp6e@SHp^cR>p-4VPB=_C|lVbr;+k7J~gZhw&=m*q!7l4UV#pr{G-^IMW>z zY9Z$X>bAC&Lhs>F&Jq3m@#(RhY=<+*eSh@k1#|W^Tc?D+uYTy2>Y6@vW4Fm$d8q!E zFo>tBrHXDPAhueml*>KxQW|P;h^E@JA!hmN5%iUC{Nrv85zZpbMc#}%fnrP*C5NYl z)Br5`F5ByoRSX%Ql$ooy?4@F_X41)kHV79{t(Z&vv@ndJ?JFyCyjB;{lnGnE0D#*b z&o$-t%`gQW+(Nky@mtJxG6}`98(a1OB7&;aiE$c82Ce$@aTN@DE$HeqZ!MzX^c1{WB4=d#b3sWmy66 zD+M>C&H**(hJ(EVuTWq>zNjBxN7873?N@Yg5(8JJ3=q1y?K?r^TpvK%^R)LA($@cV zGTLhPuBa z(^eMch50Q^CZ#&N|a>IA&MuBT8=IWRvJFHQM=5Rdnt*)vgcsb>sz2{6~oER#e@lJnK8Zv1L;888do}k5RGISQ9nb8z z^nqCWhJ?qvSBDi4xmD$|?*b3S;O=ye{g^r8MBfi2pp6ZQGucSEtL~HEZWkMQm0~-> zxUVk#ks=P?D}o%YX`jOn{nJZEH;4HZ9w9m%*ayO4g&HiLCikY5ZD#;kmJ=?qNzZ@? z#(9CaASp*MHI^Y3~bne`6o-=2UAb^Fs zY3Sok5Q3tKH+M?-UW7NSw4lF)LM}5Ch*2+J2#9o$)E4j(44E-b4J&f)mZU@wd}N1R zzX3t#8G|z3Sbwg+xx?qluN_!?db&(l&5xvaP$uC7pXJ@FI!2z~OJMV&7`fOV*M#Y( zOYQQsQkxf)Euk;)>GTt8z5O|^xK_p!S6a=UBn$w@*jhj9I{$fr`$0FFJ-TD_Gp^PK z4N=mZE^)ZkZ8qZ>ZcJ)z3je{C*Ua8g!jY)EXMX<6ujI!$iq zS@N9}=OPk5Sr87J`brEgLPELQ1+-YYvxDLd^<57W5w6z@W{(2Z+1RWtx?4MNpDq0e zXJ`ijkzoU~3$NV6iHQ}e@-`$)vBl&w8qXX9TkI-8&!3;s+S35mT|3)z+RR(5ZeKs*4g=7 z-a-^xn;t!k#>($as*VCnT88B~=p~#nw_p@drJa?B(14HqxwhR5_i6HG?Lr|1-c`7u zpH!-C){P%~_tTIGx$u4D>$f4>2esny#<-5@i98CQ&YM2Kt`;Z8fhX4k8y_m(N$?pz z%1N8xM>+#+`+)}`v1t|Z<*8XAS5l)6R0S_&jP>XlJ!3a1CMN>iMr)umc-dJm#O+FD zVpY-Fk}sTFV&133-1bs_`F74xKAEt$GY;S`|8+T`bkFjrO^tP%)C8slBp->PJVpm(L70m_6Pi z_F#A>o@hOG&vk9Km1uQA&ENB`=jctcPu+K~`1|1XQv6@N3&KQq$PM5^bX)pclKa&j zjsBYx-dQT0?(`W8qa8Cd%%$mbZUdj_c@qvY&fk8|m#LmyrSG=iY?;UXv*vuY`@y&{ z9otvbhd{N#aAGjTH+=QA7WuOLfI?*+J#?O`7U30jA?gVqwtYsbO8@re<&L_SyXPGb z*ONyt+jJJaN0hECl%;b5Wr*OFVtskd{;O7Fx*;6PR$Y#(L=Lo>la-%xRmWt*9!}DA#I5h0I~E=xC6{5pWf5=^I`+al6sz*@U2N>2tG+!Ln1r^D!kU)ePy}3dI1gv8e(Imm>9e z+%xHl$dY&=n>xdj?l1ke{1WklME-#e+dd(I#9>JAN^>aKtc z8c541o)GR{Kk6)S2j=7X;s~-ShsUv6I2*6&aK>%rhH;Tc?^gHQg$!(xL}8)VPS9?n z9pC1*+HlRF!%bvCuNfd%bq&3hsi@c0ITozAlY7M>Ei6B*Zg2b%XhK6bR`}VmHY9e| zlB#xFEAIY9JGqR$?2K7>=6&9-Kk-NFTcstM6;GDv{6}gdLomkTxjpW6^lM4K(10ueVjP8d& z4={o&(bUm2g1hD(1Qq1ASD6UdB7^U{kVhFf*pjR!AC^LGT45Wj>>F~Bk2uAOtDfMb zCquG2qpWYF|K_2b0^3_x3#_y*51DOgA-LmQ_FQ~(?gNljYtNW|n_i1t{i44py=AsT z*b@3=Ml`Gnr`qf?F$F!y2UnM^Js8%`MjlyN(HB94B+I^wnzN$6OGHJ^|B~`Uh@z?Yo&IP*xjwa$Eywliv#G+;Zm`^9(-hrCmt~DNV z3%0dRU02xqmc*+oLA_>tQPpfY=n{SG6Y2 zy#3|$(7J8mbnqkJ_%RjKN|=BbLenaNkuT?@NRO6#_41C%lD@$tHG3@iO9*^orIgh= z!w9=vD156^-5xo!y#L9U#3w%5#lwHj9o?wm)EWGNV(MvU$iH%V-B8XDS4K)LP2|6V zUK57UK&E2vB&jS9$uanW=G#u9k6t0ePvhB}mEsWXXMpv6aE z$BIc3I)4)C-h<8V0tGIpe#uevd2Sx!cpg&wxPSQy=&=0lmCG^i_6VV|8h5tTcg-@H zfk@?z?j;>mI1%&YmdMFjbsqC6;==!16gpsbYFzyzA;X*l99Q&+zdj???T(=@GJrkS zz#de|*26LiUC1ZZi8Sj-+#F!b=ceNJX}#bM4#!tGvNF_>F(>F;AyUlEkr}7$_&ZwU z)%zWp(ELbwKN!OMLWm!w41z5P$KR}URp-VJGmFjs8gOi4e{{X;xo+(EyuSt>0AaC` z2U6L3T%T7H_D9OMGPiC<8Ppt-sNAs5c(BX)dFI~y<;R<6;)k2=x-Y6Y`xxzM{!z#cM_4`^+WG*=!9kubdm($9Zj+jWMtLkXhJJp ze^OwrhEC+g@X&4bgO^-W-Bo|$thaqzLVgP(;fU}fuTQuHFE0s2M?V;#bITnsac{C& zpw}97-Q~B-6+>)J-`ot~W;rTX?Qk{q&zGMC*6BY_&+Oa3^0Vz}QdebH5QT{I)^}@; zYy~O_bP}XMd;D{*_hh4%5l44N6A{Bnv)2S=`*T}Jk5)4Xo)43Yu4y zjk(g!Fw<$B)q2~;ZyrS+UzJGf@!IQug7X@fKBPb|`ZJ_9c%(hwTxu}qAqfW?v?tOa zf;_+412um}bLvbKO_mj8=uoOJ=q;tNE+kzpll5{upytx^B+?>Dr>0gqjkNW4iN4C< zNW`eKyfs^GkQ(9peKR;MA{;TQlTij3(a5GeY7i;D+TP4PjT)4cP|XT%6YJ(tH%zPI z-f3j=s4>9Y64bcZz0dXhoV$;^QpW7puBmCBct251m>8Q-lPB^fvV=?0MXQTF`_6Oe zZTpB;KGQcqE1tSK?Trj~P(S3d=RtYqqg!W1)IWcwJ~fSjas$q7m^5@hFS~i}(v<*) zLCUP3BdO;&G7U>iCSc=6d|aL^t&@ zuaC4SR$Kw+jm@j4lMeIXtvX!Y|U0#(2j|v z1$)x4r)iUEj;dxKzo)-}el|u%+PZFhLUc?&!Z1!*JYc60va;!XGAR6T;F25UH+IOt zaRnF87^t+agJyadq{_1@h4HUC^FQaFkV2a9cFudTDOHugGv3m}QE;L?&YyK$Al}}~sV{KVltMovWyjOY z_XNoE+5FOtvqSLvudB?$6IZTrTe)Q1>Yi~|1b)wW=;>bK-a-A{E)DoiRlORQe;DZg zOfKaZ1>a1P#7n1FDC%U+b%fT$Wd|ym{CLc#bH3iqW-<9z!v^vdi`jDfYFuZ}7sXXz zt!;qR1ezAX+VoM400A}O!o-Pcu>o9_Ntbp%Bdr#uKbr`pPcXiYl0tmZAMP#BW%YGZ z!7F-}@D;8maq)~cY04xpq}tlNtcpvO9wo%k9PSU^YwuJH!?y z;~Cf^bx2b<>;BZwX|^Wvl18){L&Q(yIfvoBqDaVz(9EFxRiyp>q;2Hb!L6r3*XDQEB0iB*j!%dMRh$*lAqEm}0VO9>Q;dvGXj!Cm{M&-=XRe1E;?EcYzCn=>d2RCmktq0jm&{snk`cs7uIdueim})W6SV_m9<;rTP;v0lLadGo$5% z({UP!H1jInd*IED()GLB-YeTSe{?z$xE>+g6`TM=Hx7%JW2sM3x<`LMGBsu z{rxX)FD~%$&9+{M6k30__@HC(~?QHYCM zteTJSWBIE_wnA_A+t)5ZMt7S%fp{7f_p7UY^8OE!h+q$~c6VVEj7!JKw=!EbXG2Zo znUeYkh*NkcN0rS+l_F+m!?a}Q=k^9hI1@Feey&hO1_X~G^P^vOMGaS0%I<48X-7tI z`|_9C@e9ei0kZQ}mRaoTFIyViXB*akh!zJ<%cEM!K%%9Ra{Mz10YnG^t05&*K6DzF zA8V7pTPM0}_T&1p(p5}yVbkH|*V*sWbf2;ZVcD5zHQGhe=9AJ67+v~;60j$6!6-ff zbxGJGOgbY{Tb6uY5bvo!Jlb_0?0;9P?|Bx!cYb=d$rH1ee0pY@;m@3xmY3;G3blQ~wt)w>@z3Me4M=4|!1C`;SA{a7_#&y<+Sea7kGK9|k!i#^!+ zww>`R_bkx5M|yZfdq61R-10?1kyW;>dA4l^u4Z(}0H>JRj_~jOc@FZ-%6tC7X+72n z#L}qC547xEQbw!9M7rpwTg>9rch>{Tfk&ZDy{L?(L*)~QN1*wXRd0zmTU)^kjby*? zu^-PIh9Ts+X-ZF72UH#)7rPi5~?ZK>x zbcyW6(t^d(wMA7fO&1i(ba3=Md;VO|spc+uuB~eHe6Da$*!hM{Y;3Bq&Q!{&J?}rV zpw85NPFMvVuu-?vu!p#Z-l*H(3>WT6741o7*Zs|_`|Cd&sO0qbs^%_!HV`AzW&?Yj zmX0+<+tIqKbuLxQlW6MgK?2;o z_pxtTOI(2SRNuf~TUWZtzJY^5(@9m|vzGJ@EHREB+%D-Gn2;|C6W9qBqhBS9-r29DH~MyzWi?PLrL1%Z2si4-E~)+ll7~Tiqiq#bVUt4(I0Q1xc4@?2#AWL z7|} zS-xOI%_EL7Y4s9Nf`6Jj_HE@2T~`|wGwin)Gp8(s5gC@=vDyp_-tN zHla|^Hp?Wz);)H~{YSx|ZGr2of#UX#;&!us{~$_K2s;Wp;Hk1X=;-XZuLQ^mrshBl z#nLheQ3Mq)rgq7u;hPMMlm5aKwBh&fkusT4mNO$Nxvj7Fq{h#DnmKNplA zde^pux@dczxdO@A@Ch6I%v5ueeoL+%HV-1-PClMHZt>4-aQpQ_Ud-9 z*!h6No4n{EF`>`MGDMfM^z>yHCkq%`-{P!=UN5ppzEq~-Iv_+)(XrQ2sWh;|41jH@ zfYAgUmH#WuKG)rymAF$nB{Gs6UF&m4CVjpZmQV`OmkHaB6Jm@4r+$l z2APXkn9ERVO#9CV8LA(JOnyt~;AE-Gufg+xDy}`{jH#DaR_!@i5xys>{@63YEV95vaT@#%#q3+-fHhv zWrN;IKMZ$DYS*OYzZ|aVsN%ffTv19r)c-r!_cl{6gu9Y^2hd^am;Ys)6r+^=1FR;x zTw={M0;aG@>lgQD&!L1&Wzu=ATw{GN2V)HxuXl@9b+i!qe1=*h~;aNZce z_NZ~0Dv4Ojy7c!*3fJK-J;Z5(=%+SQvb-ma8;q4P%w@<5u__yQS6{1$g`l&5-uu2( z%m}jQi(Nd7`g3Q!r!f{AkJ)5>(7glQ2{V0h-HB(_T*QLKCX{j8T5+AqNtX3Bpf`b* z2tH{iOPAI!I~qvnZV+tZp*o2?cr`wMR8p3GigmNh`@=P(8||Z2hCgV40N>Il;t&Zk zQO2AG2ufm2l>jGuZU36Dg8cR1M%mh}T8gDZA3sj|pgZ<;5YM$TON%zxZz~A-*V17? zl<;pJu>?pW%6~C3rlxO52mIwcc}bdkrkCs}nS~U-?7s_ZT5i`$e`3K1D+pXIpkwbP{Nd$ZX&lM>)9HJj zLH&<}MoVR?^;^^FEPb@}WKrK@ZKliN+M1NjE2p6GKpQN9_Ui%N=XHZ;Gs{b<1DVm^O_YB3)8BR5 zda60K#~e{3J)jiVt%M;zL@9jB9ArZ(m(>mv-MiBTDVSchBDdRTNn2cAX&Z#K>rcl0 zk~w6s_70K4oj1n>n>?hecg_MY@h!)Qv9DHGB9#g}Nb*;zfHGL5|1JGt(vOiKkHHHJMGcAC&I znjhSfb|7FH;Z5-zW;&>%q4&P_tzt162_|Iu!TJ6puM&(p-vCYFxnfXlNi0KEo_p@IfsHtEaz;&f1L93u$ z@+53tIcZmD!1-eqdCAXYaxtc4q3L%c<11#B`M-KCRA|s_TTo$>PvbRnxwiVVX2Q~< zg_ZAtHg!XqLIlXo{%bYWkM5VtN>D0{mzS#3e1WFyb>=2btY+vga@77s)F@4>+=fuUNp$&!=xlxRv%hcu~Y#T1}Mlm|7xQ*U}qk*bGT zv)}$($gwrg+v&uYoy&2ur#E=sWdqJqTqXVT8OeWKz+Mi<(NqS)7H?lhyj-pNs?OI^ z8~Y#6l!wZ{ZA&0M*MAyWkI9R)$03h>WF0x4RVEAdDUX*643U&TI}B!HJcQF=t2(g- zgy8XylLi;}kIu79?K3+KcfT$?t86kSwv~DEi-Sqp?UN!2taOciU@mj{ZS0%dPXd%T z%6JODE~f=?5K}13hWCdBp;_@!5$nFnJWN@;9HKU2J%;sUup{N7tcp%{TOc}Oa-5Cw zF)NEq4+j+YbTPoxoE(?p`Fv^z^tI9Lq-Vc3On}bKmt&;8;qAmo2ioZ=>8LalHm>jH zCn>7Ft8VWxb*_J&z4mqfbD8S5u@8zsbJCn#i*f#1oZ=$mpigQUEcfkSW(o%T{f)+d zr4H8eb5-S$KiZ2_X%eaXxpkp@O+2_I4E?gc-EnU6{QZ`D6QM^Nql(1WIhp(@V z3V8qG=F9>=ZTDAZ)?X!WGFIcQ_HTE6)eF`!6~I%BVy_G_47C=1#qwF8pVwoI>+|%5 zt;AI+pFc`swEE5@rfyj={m(+0mwCzRQM2xj&+QL@GsO}k+0Yj^{;aZONXgM!t!tnW zszF2?G;inQt=~^SsR0W*pUF@OuO~R~N*EPpQTkp(*8i20_VMQ`hZSH{1<`_Yr@6i0 zM1@-c^u_JB(Hfjz1?V?F{cm33yh=6bcX8&HYLvL$c+K5Imp(8<^62+B-fyiZ&#$mT z9=yT6`xu-Rqw4tZNz|XVc*@;4WlSW^_p@!nE90A;cvr9FS>o2EQ zdlw`5G>U#EyR08{_8&e+`~!`3Hd>?tF~T zdcI(Wg)Ct-=G$*-LqBZke@DnDz|-|LznJ*j^4=wu#hCq}XPuako@j?+DeVV(;0zrN zdNxv5Bmsg$;HHaJ5{3kEWW02rC4pkqCHND8%?Y#R`0FO#=~mePD5#<9AbvMl-B^09 zW<9CS39?`f2`H@E0VYj`)8VXm!8JXS8#HqSI2oodui56~jinyI0!+Bq>xEJCmbg0O z*dXgkzg3q|_zWoeMd@{nuhJRDIX86WF8%0Sx6(y-+87Q`p9B?CF^s&bYl}40Q>b zV35;DE4ISXp(0i%-Yc)lS1U8G#;>qutUd*hjzu@Jm#jbSd=!bG;@mWEX04Z2ZuV9# zakUy`o-2d*7e{B**ZJ2EFJSUUSIvh!dkiD`-dtGwo(3o?4UlO*~2BqW1oGg&{khViq9SmSGKYXq)^^sthU7NHg-I}lIqscnJli?}Tl9HEgy7~8=tjK!zQ;Oy0_SVHC zs-dXG7R%nZEF@uI(XpcV%RrYwWSN|-BzEu3_}_ErfQ05S`5akq=FZqgQ)rZXUnc4N zhUdXlXtn|HPgG#iiR!()BE-JXldX3N$NF437E*P15t7XodB9^0&RHPhjeH?%$t=tGhA9`4g;*A(>Q=PL^yr9b{gh zZ6ruZ$;YN?YX>>BQ>OR)LkkBF5>$Ebhk|P^g)RP&lS>jdaO3JRn;xWaG_8Acc_Fig z3hgb2h7ISkG-D3_xrLeX7K_@Wx@f#yuU1DT7yw&L%8|$RJrSqmS4SD?Yv_q%N?e!7e+%kp5}aGI||#tfDW8^&1z#n7^HyH zpPqGw4vubX9atNT+)TCdmb@M!Wg?d^)59rq+`6g-5Uu5~O|$j0ItNG3e71o*CD2!&-?dd?AMQ6;%IWlDKm7$a zaWQ!tFFyu{daH14#M!Xxd07_HE>mIkkEZ;(Xhl9wdz$ftW@+l!P%F?Q_Aq++jEV*_ zrc!_WHW2u2F2I$q2Q6<$P7>%_@pQ!F^zzdYyqXR1liCw}69ZNnbRn%lu9x#9vv$Kt6lo`Ky=I{)Oe3w9KW5&Pu;p!owEe)E=eo7Sp`g4lUAZ9 zoEs;LDikB!Pf$Kyi#IA_=`1HFdK5gLW7x=|&eNNu;=Ud)-pJCqKLGQt^Ao@~lWRbm zsZ^ElO<$RNh^lzUh(K29_^cM_PiU{c(Zz|ign<6UWsW+~ssBtnRONySncF4HPrZ}? zNAT%U6ZcZ=1Thi}js^CX<8_t?I$Pu?nIo2~fYZSIq&QN^-3vlQ4U1R++!6B8Qp12O z>oz8bC2s%eGW(Cp!z;X8I_PMoopluKC4qciRiV35pB8h{8vKaHAk_TAKFe;QjHMZK z1OS^o*qT+UAJnAisfV=}`(KS|=Dwgp1L~kj-%m=j z#ta5=F_SQZaxXO-OIg6t935zZpSgnzM^@_&m60eab~8I zp_x$(m+cbrDT^^(e>JvDB}UWYdU}R8JP>9>7G_fxO5-KF91MBoQXFNsL4{tYE zyf@F=dwh6qLr1~Kiy8FdHe=#G8{PkBugs>yjrRtTpJVJ)zbknJbwfc;be=CCWIK9&?W#0CP!})uoz>mAD{O8_u zTG!k_0Z;gwD3}BnS)%9w^F|p}4~uP5lv=ym4svi0we<2Ex>pIL@S}vw`A`Uf2WFzZ zJSgD$jIzyK&bi;hn^0H338Cssx*a4tVa!gL7zIQGWoc`1hGs8!up8A3?7!@cA7+cb z?fdnS-t?NLVNh*46=8*I1Ppyib}!$8y&Va5P@L5Enn9Ly`||56Yl zy^`+wu$63ge&Z)?Z;r;X{hOuA6;7|m-_Q3vm>XgyV-~Wy)ba$)P5P~2g*DfWO4SoF zF%KrXnf3r1wMG=@ybY`M)ksB9wx4?RcP%QRG8U_5&iSm;R0zY&K6~$e@Be2T|7$9} zCUob0JJ@K0g%8Fh1R{*E?|+Z0G)5&A$y=L=88dsX>ixfJ*St`xCoeR_-(Cz#elaA- zGh@h6zIy!HIX)u1%z&84uQ!S)8GiO`nL!t>T};ckubb@>LtPvqjtCLISRkC-jC`)+o^zwz_UHwc9A-QEM@w`X zC2z}uC=>fXZ(iIAz1co~&S+71Ua!CMBva|S+wZ;LZKtS^N{Xns7&Jb_>w2hY`*d%R zNde2PZSS2oDKKvPc^$=(Y|8wyb!LPhQ)R&YV8^y3Ep?94$K4_$WzLlD^_=)G7ioR@ z6TB4bTH1{QJQR~u?|F-i>^W27`||VLIgEH(3*DiZhwt1qd1CtW_mtPi)?P9usu;ri zF(AlEAx9De&eG8@4S z@GSR?Ec&4OTfgRGeID=WDih+6*-;%D5)e-6yZ2_M2T|W}__(gUl$S)$z)?8exWz1h z5gXfUb!NoqF>-&}@j_p$(ut*+d!3?Zf)|}ZE{uaQD-?G!b}eE@rJs~NO`e{ zt+@bC^fd-XuSpj04*tqQnJ4zsh45IezRJNXZ{F9T8aV%KWF2qc%V;!7^37*=c|oo6 zK?Bw>ww%F5(wIcfW(|4#s`@8!yva+)T&w^RCXmWQdee^fU%0tUD)f;CJ`OKds7{TM z1uf4ytSrOT`iWxJK6fcT3x8568$zd5yFBt6b7iEE7_2VjeKB?`cp7i=uAu8imL=oW z&|~&e__9aPJIcG`MB*5LL|*<~#dygkATZuWM}0iHs5mUSgrwfU!k-TmMhseFxIVoI z-0j4i6)7Af0VVQQHfd#AJiYPY%}!!2Nv zAnCHrzMf@}H7LL)KRe<8l-BR4bn?2C>ZM#1_9WL)fjl8jGo?8}H!lxda1um|EhIbo zIF(U#Bz;I2*5`8SRE+1g!L2Z?y2tLz8v?4$-O47&2^zB}ztbM~;WR4C!GG1dl|B30 zD)(NAQ|46t(}+~j*bsp88aO#4nm#I3WTmvNB}I0ZkfQ@(U-;vBjDP3!?XuE=q)l^2 ztR4dQF;^ScYMv=tpo}{Ag?|A9A2JuxiE_`zbl3@V(q>i;0)Nt{GMN!-n*TB+-&36R z5iNTXYNOhkrYadR+4y_AceAi+xGU>J8aU9z^4^N?`Z&`tNv1}0T-9;)+@5PTqr=Wa ztX7n}#Lk0SDRXvS%}GsHGkvacaBqGCX77?>~fu;w!5Y0$O}6h^6M}X-Zm>CAh!M{i~IS6 zRf5^7?NUH^y6iK^7gobJc#Sv|juK|gx`ydqz>c2nD=1){zQI0fODVFKfM)4?s9XgY z^c|e6)of)C5Sy&LjBuhIr-eQ(bgEV)a0u<>Q#2RJqF{P5%!NOKNO{)eANW;Qcfr9i z!Bk67Mw!YlenFCX4a|iIc?n67a=F;0HUd7u) zZ@bgA(hfZ2-V3KQhrm^;Xe26rwk{`$G**&2NGcpi=!&e{75eNSxAEPySbEY^1BI)< zMoCEgaUq9gM%k?Gy7%=xhSP2mT6Sjs#bX}&XQ=_$4qX%_7q}<-KZ>q zsVx6;;Cn!+jg($6POb+$yYxya4dNJ177F=-Lf4gwrg&7?*yWAkz-+*K`&JZF4^#m} zyFl}cPkMv*L-{YYpk2$volvd5&woL zE)ta23R6J&R}C|73fJAsTfF0UA?PMh7RI*3_99Hm$W0bZLGv%BCS$FA3K7M&y_HO4 ze{j-K+=+K->Cgl~1Z``HS3gpq)zC1nVb+yH&)7|3W zH2>SsFgcGZH%$7iQ;OHlrJO4x*z%ojp0Vg2=jFE{3z*Zd#Z`tVWb*OcXdeLvAR7R` zxe#4U1y8H=xs>{*Z=?zE8$SF3E2wd*xAGRQn>%ct6Hu5<8du)h{XtWu`qN8RuQ-7% z+RFqG3$TLoqXfpLgvlMS?x2wpf|Dhr$foo0zg3z*m4=51<#SGx$08xSNgY2RtoeeJ zXT=kkv{}}Oe}f5C^x3I#;|684udbxys&BrUe5)4anX>Mv^C$aqCI0G|-K6|X4yOsi zY8o*zyiK*VT$7|kFp;xPTEN~1DWXcS2A8smI@FTYyvI^zsJkHu?lzL=iDzjGCHXOg zF4t?HXRyC;L<8wCg&;uGkZ1Dy+TeC!R11 z)tBa_&PCrNlPxL=$G%nu<`eJLCq5J7`0e}UjlIk82QPkjC3#-4#hwZys!f%@l5Au= zHpzY{Vu`wGhqS%y`O2FL``8-nXMat6f?npBaCA{O!WSY93M+nP9Cq@QC-fbwdbwx% zv)|_y=D#i)PJd@2nE#riB*h45xcnLlvwY{JTAqN-(Vn@RXCt+f*YP94tH1yvYPUM6 zie7nLgjRJZ)&WjxOelq54B|ikyyLF~O)9;&%G}9AUdm&hF62X5_JkW3seR&`RDz$T z-{rk7awSBmV%~0Lm|ZNLv!!(#eb+Q*u4NFSy3S65EnhumVrtmX9tWJ#36Z*#P<53w zR=Zb$)uuvYX%!C1P8(s9S+i^0$$K{d3Z^nNQs{|;-wgJ$X?TSL@^Ht#ykahX0TsWH z)QU08tk$%D0&|x7Pb9S(^p@On#011IcxYhedX;R<3VA!y!wiL6yB!(Qs|dQhfHnB{ z5SP>E#=8!RHxEAk;zfYa?X2r7!qrvhUMne6#Ikgyco$|f`|u9bv$=Q(buq8rm7Cik zQ)wmtbO-dT5PVrW><%9$U5xkV;lDBhR~h=ETB>Zwx)1j0)b3xuoi)%>Ql=F+Rxbbh z#W;lD;KFRsW$xSLEdKY#SRk}K0qo1mGN@kVkhXlHDEf*LW(`({L6l&u*Yv1t-mZg= z{D;5!czzqFkl%Q^?*Tv78b_EiCD(1%NC@3hQoNt-sdj z1o5x`k4`O6jzpqR3 zIm^DxGpld597O_et2p1*YDoylXqp5aMsvLbgD03NRD|zQqdD5AQ>(bTb~lpY zRiX+wqkbp5wy%vZ^KMC-AAVtOVRE?|L`wqKf4|tTx^@05v4s>{r+@)0q)y>Xs!R0` zas>F0)Lp-wi!qR2oqE96X~@a-yx||4I^RLJ<(1O;FI~70iu1drMqi8da@N_vY!4Z| z>5FXMs03dg@o)Nz;O=n}$g~FwZz6y5>u(jLFBy+Ph{m893^>Nz?#@fSNmb656=JA< zHRU$De|>A-D(qo?d8=0E7wJwe%X$ndK77&-7rUY@m3}ggrY>NS=$=w&$ugq;gOGi~ z7tab-EBQS3i8GXjkNi8{fW}ipu!RcV>@j&X4#G-!8u?wf+(w+iu3|qiw@Eve7}g`8 zU;r2NgEP$HiOCq3xIXEsslgd8bLJC!u&(-1Ag0H*5rKZsNdMO-oXsX(=TFb(Ph%5^ zf6V&;p{={>noktIrc>57_xBdjCp1jaQ@hqS`x-NkmQ6#-cX~oi>ud)_7AkG3nyVJH zr=9)S=A1~KmXBu60OvDj{cO#TB9pwk$!;njWwy-*73L7~r?JBWfb*xrlUu^}o@wiM z@_;f`Oe$N<=NtMiQBz9NZzGdxSGg|E$Q^(hhWso$gPi~tLuM39gEl2aozU}{xH z{3r9S=+W)+JDO7aazzl5TkSxxW917not#$^)O8XLX|QT$eGlJ!hDi86gO+rp7=B?Q zD=FJHvYC^NB_3pFTJZ~iYD~+%Vobo*WfzItJf^i1Y7C`rAb~ML!F5)HM=}3xP-T)^F#%-f{uLQ0Ml~i{DZj@O?h1GPb^2%1Sx&`a?ng z#@vByxko=3D=wqgk}-1cgV|lzA9&l)h(H0ZL4AQ2PP5MsJ+mHMP-_d1-Lv9`t&y|K15H5p>`Hhi*vEX_2} z4vH9U#JE<~|h()CRzrU#o`KG1sp(cgerau@2&4 zvQ*=Txm`4;F6-VH@>U`3I{Z3V0qs0%=7;5Z-XV?(ZIx^`LTwDMnnajVddy)xBI ze+)%ZoRkec@e6ig-b`mpGDutv(3ocI(B~VY%3y}>hYRM`nGW8u3{pOQ*C5WpeXYbF zYBIsl{pw1dH|loiAx`u6_a2{%?oo^_hrokQsSx_xT~Zs1jE93jhUpNDSK_Z9Q?>xe z&+6+{cg0fb0b3j~K-2*4y3$cdW%Jfta3I+MQqgZk@U1m|Xb>F+@*+a$0Q}3<&6}~! zA@p&!bu0)1Bq-J8Gvwfz(0SjVjYg{X*2T#G@li(9q_5)kcIG}mKC$)Vd^Jg!u~X9& zO!ob}adrD`8O@PMP9+@_kkf5%%>Xvf%Y+L$EKK_#N2V1^_%^(>gzFS)sxa6WqZek% zB`K`tt!Mr7vCu!*?LT!u^%27ek>w~t3zg$OhL*8mIuoch&cE7_{Y#Vl3uCid`|`hg zg`kJvAJ3YCNzOAD9dwUsI@8veV3J#yyxoHv9KVRnBmAwq);_e@`orfiI$xbLK$a;j0Dj&A2KEHr5&;%uq{yYwC0}4<6)1#xK4m_~i(INq~ zZ`5fnaJaa^-4iipgP@ABK-&%%5VW0aG@?dHtxIC$e3#f!!GKI&>Pkq@=6{r4v!u_r z#D|Fl_^w|U(O`K1pw?u=b4HZV;Rh{yQ}F*)x$gXi58j+0PV-n`Dt9j^mO1}Xa2p4=e8jQGRjR)$--(x3g#o%X!NZTu|9-3#%K1Fjx9O~>FxIExeY(gx128}C z6R;!*z?;*hgw;9|8^%NMIze~y%Sqs{LuGTHeB|=vB<)EIT6frFeQcyj8n!9Z;k#fb zc~M?h9Oe~BSREyKE|anUqvX+If)rir7JQnS&pcbRVS@<9+6DZNt8&ADE$|2L@8LB9}sS~tr=;!OxM3c1vwarXn?C`Ly{_s zcNf3p3T5Xt0)F}taiZqS`OtkGKwiDPy9$vTH~3M2uE~j478-PXSNYw(wR%C+#CMi%JKGVd7Ey4+8ZPPXP32-L)yS ze4s5S2(Ae({xr6q2H)#PN$GaAYCLo38a5&ERdxuG%G z_!2Z9Kir1x1qZq3!5^di%RvF~Pl#{7?#;7rV_e;TuFT$0(O7PZaUCGNyMwhMhA|4EX@vHvFKO!m5RjS<7E$AQgBd!OMVTOF&z( zP4P!vS&<+w!SMjS;1c)PzTyn#E{5i`Z8e8}=r2&T2sTG)DNcQcP`9*K$TSfAK@E&ih&0Cm8bNi^OW@e)-uMZG%bLVE25;w%fjed7{-O-CoIi_yY00TSQ|jt|hj0 zMLz9WazOX|4PIQAWNKM2Xx_IZP7<|VqUy_jXMGj@deCw*CaiLRL7o-^Cq2{wZ*b!7gJiB{yy6^&rDbwOi!BKhi2r7U=IJbf(IOh|F^G5=(CaLXS z(1N@1p5fwyVV(d~fh_hAJH6X*z$p z{X>@z93;_Kaem4VYNm(hTzcay#ou<0wN4NTi?@@6qZsyUQ@=MXl|c=MG-GbFx;Xva zW0lW$dPRLPbE@V2HGa8<08j6R$_-w>2D%uW1*c+r)Vz1q+(#!&X2>uwncTEJ^FzD- zbU&vaH?4(ra!WZzAJbT%UvHnS~WQd?FFiH2+i?y}{gIP6L~e#q*NyKFKoXr=obP9Zzd%5U<&zX|7)Z#Ur$>pdCUBON9+_ z?inFjg+33APZl}aMN}NaU|ltn5V%_3XcmWp8{%Dcy8~wo-NnV;c5eLG%JL(RkQ}^> zF3xBL9vu-3AY=bqq3Lxk%~7)Za)=jlaPLA!>K$jjD!Y;< z*CS7m5~{1KV#0`8Dk&aU0#bBG2E6wP*PHSACHljG9n5PTaa9#ag9(?{*@rTWBE}C^ zb4({BiMlcuGe{#NNJ@#*R<@sXdLg9e13cgrNEUky=ppYqFj^=*h$KRwJU34*V7 z1>W^{3%@eY*i0$2Y9a74pO5}*-wnejSQx*zf|T=rHnz+)Nj+5LvKY0SrNYpz53Y>A z9=wa5v9&Oq=ml2OjP$>+OK?9m-v<8*qmimN1I~^D7K<`&RM(@)IWO3->EkB+$R0m4 z)hF-X%E%2C6D;P`3o%>2J~6DQ1Rf*dRmBduOm`-fyNYEs1N7xy-M%mUbbrm(%FGvi zAxtCzSti2<@@CZG#>ItXnjheS+^sO|r(u)#2La}GLe2a$+=?ZL@MWI3 zS-Q(XXXNEO$eB`=*)QT8pmFo%b=uua?bvPt#1o5!0i6K7_Vf*81KbHPt#I!BIYA!M zp@z|ULy9k)V)_v~+JyMtOEGQI`5ny9hGctDujSax*$ib%T+K@s+q_(i=V9jYxLPtK zPagx6O>Kr=t9WoF=G_v}MYzE9CosA$A$}YO*;b#eqz>%l?4tjS+r#Ui3utdv!1*iE z<(!6la{$NBP7sg+R!;Z4jPMGCd)S^UnY;^dsb$e7J9Q3xM~ZemDb z{MA^8hX4Dv92xKD|`Kb#1s`71wVSHrg@yNuH_+tR5b*V3~X;$+{+ zwFnaDtiIq)3g1leJ1f}3`)M%|-nTTg!=~izvWSXdTy+UdPQ$iBa7NI)ro$H4Y|YZ# z7iLVx!_oeA(lGF-Wd2wM{pfA%j^fojv`ECIC)}@(H?Wi1-?z_YT5$P``*WCJdyGoR zUK*dkGl^2H=2zKydgN*3qauVBWk21YW4?4aZW(uskg?&*Dvz(fre=zy>MD8WhoNb_ zso*Y@d&>|q`dD4mGGu8e`MftRd*l{ximH^JtT;nx@daN4lY)Q2R>+0mRkE1UB)@q91VOkEbNK=h+z(qNc{2dQ5r&#+rd{xg+x-SzM*ZnFUg*_A zLwE@~64UAyk#=CVYivy#))?27#8s$AUMn){M8y$z>uSNxqlI(VdxZrhUBes_F}lFQ z(D~NiB?MoXX_Gz!%vcB*Z1A%~Hb~jQD5k4Z1w}eRSMqIBFx_B5+4}&5jcI?YtIiNf z4x33tb9ez2lrR>Z^!HIy#YAG=T1~@=oinl6!?XFb6Bl!dx_(n1 zAvJ|`KKYESnXK{h(nbi0bL6|ta;54g+2*jP6WTNQNDLg8%|yY!WKII2)D17Z%zX7A zh)(ioS1Gh|vAmcKmK;Z9;$z;yfC+UH_F@zC(GgTM?fqRbB<=mrEhg9Tc+c(8zf1@X zDpU2VXUlpqBi>L6Rw>Ie+@tok$!CU$z0~L@)%4$_Lha4yCF?#59(&?u6$Kz=1UakQ zBf1@zlKM}ufiDD1L`htKT8JGq@G5P&XVe`ZKZ%NP!ak}wu-2s3!WBEW7>My9*JIoX zYYg`0AsG8KKj4VT|7PcxEDQ2m)0pt{>#Fy;h-m`hzc`8l*uKp<73SSaR-%B2kzBPj z8(*{cj~18OL27iAD$ls^y9`;9;Z3B5t2dt*Yox0U-($Jg0{iso<9ZBD3wBbAO0#;Q zAf`wtHV6~-L?}BUF@E$`ZS)r0B5dxOpQi17T&$(vD){sWueA6r%gc~y2?1eW4Bcq3 zOXv-6uR*76F!yBdr$sb%-xX`!!`Fs60y+(O2vZx|LF?|>-{}Ee_)SPn@QRhbs1r{w z>9a7<+^Z-G*Yd-)14DO}$P)rKBOeLdWgh>edd@}XCl2ZB4{zNlZGWUyi8@u}Yh-)q zf0CRn8g?V!{pqEVojaGsEa6<=o0?X0b21gR`5K zX7xcpY_~;>WDh!LxY7BoXuGBIsVL;cS8En4dp8HeK$|9e8F9zlFOxx~Eu@w>8GfDN zAgl*>Bd?_0@hIoCz&{gBCJ%_UuIQc-hetHo{8LKINsgChw#Txv;M0I1xDGhvnzn>f z@Lcfu%34d~Xf=f2fvrv>+mba>aub=n!{Q4NPQ+NmcgY^T*AgX2)_^-wTM{{s$dl0c z08Cv(`jj)?W_yfp#B*sP5}i_im9GP`ZUa=DIkr5?UAJ!oMmG~r)K-^fdd4SwVlc*$uueM|C5$zeg5To8Xo4OY4kNCxqG0{j4 z+KjhfV#L|&HL^HoB0z1u4n}hKUHmU}2D0L)lMR<(b@9Jx+p?9woc!X~WkyO%>>`!1 zfqg6iTN5rizdwVS(E8~ANY*Zo(+eV~~w8bD&Ll92KRS9wT8 zTRv+Xer=dP0gC*C*;~k1e`$6Xz!#wD5p@`9VDn-~Y!lRb#a#XpBYAM67Jpl6`u$HYvOFI|GjMX-uz*`^hA_q^Nh1(IFjm%7E?fjRD zoF%UK{9M`6FMnGUd-H7-miOm?k;sd}U6LwlZn??yuBr4rgP`=w=AqYYfr{u%C}hU==i;@hVbV6X}2Iax|dOwH>z z*mIBZnlVUt=H5=lR(lnn8+p0yPjq<+o3P9L5GbO;d?MIQrNn=YLx?WWPY;~ zwq8Y1?NovJpbYT;sCw(Lww5nk_!KKrv<+S;P>Ne=aA<+zg#v{nxD|rCTZ?O;cyTWh zAi>>&6$_Li!QH*M_1v7_z26_-^UN0Z-ZOic*;%vJJMUWK*(&7%A}AP;KCUQVDSp5B z-u&|LH6!s|+i#lQr49-NG}8(pj@X)kW4Xi!qZx~0k52!_ZP!6v^)1*A>9RmTHrH?) zMJe)O%IZY61!NuXiVixgxneIpi|t;&)syR$Wf1c2gU#4R-H^8aAmv2E6p#c1)7dGR zpDQY%c)fg^gq=SOok6SO~X*I+W^zXUpiGxF&tcMh|V)^R2&%aeM4sot{o#2A_9E>14%&D^!)Gy#p|qGWe#^0G+|DUAVs zsCJycV(;CSk1N{h2&eBqUp_=g;93?63$D`JucF`mR)pqxV*iRnzgvt%n(P65=^aus*UP%rd;UzJOybf|u$J#yLIR@twuqsz^ zfUbiRW4~j(j#D`6QR%{%dPNt&*dZw5kP047K|1xu@6%3@HQ)49gszrfy@ zCW>0!saWZ0lJ$fAtn|vS$}x?ArCbw%UKOp7NF67Xi}v}03#!8Y%M0R#dIqSb<=YtS zpc|+gR`^EeKmQ!7Q8v4y9VD~Qlk;vVn_)G7K!uE){x3St-WYN)EvcsNl&K^Z^PY}h z%s7yVT09eEIA$wlIQ0$gp2EOkwFy7=-dx%u`i&E;YFm?xveHdlyPRH3hqj&UoD3MJ z8a^*EOl8EqMuwOhlsTJ?y8KZEdS$&4Hd|QvG-^V9l~{#54y|I}FE~{!p|!>CN~47} z2wZ$s7hix_Ff2;hBpU1S;{SZ%k8Ra)J-)N#DPi~2=!mf7J))-TJ*t%LU8gs2QoG?w z%kPG}sE)okGD`36|=S|m1Nh3wzv7%#}g=)Y& zcCS2fd{rE?u7t7blOc3s!7VT<`zyLi$E`okmt7Acu0+DY*`HXC4b;;wVVFA*otPXi zS0T4x^LjM}OU))-Wh|>^n<8DfZ!h;P_h@2suBE8_z%m6f8dW!WyVNAAtCg4$mTJ4m z4V>kh-8Q9BdNQ74_95%tG^2XfI}zPUr=OLp&w-%X9XGPP`RvvbMul89mR{xsGGW@o zo%FJJ-N;sp9{vr2R&DYM`$=fnuWim)rl!uqEyjov6N6mPT%g`kFp9u1NP?nbRgWG}sGL z7xPh&cILyrVOygB%e0U_5&p!Cbw(QPt(wG5OZPPmb9goSOPKROyz{>+B(2(`t4xp@ zq-$L1NSFku449vy2*j^lObcQyIR3x$B%nKxhcM;x>KJj3z;DQw>>dA zzNWxQ{Ru{PJ$-XEwSWrZv;#M!EvN5_uQs`Tf-a~=?V^I_+bjw9 z6}Q&<{jdA%dfOTY-@l@&x;+YQ$FRQKF#E9UU|ptXF~@eu#|AZEhValzo>>R!SzVC> z?kBBOljiwKuc=GcMpcq)0UAhpeq1L6I9-Ufzov}U^Zg65B3Rl`Yk$AEA-Xq?XvbK6 z0W|IUx29>`EsQ4ZjIrz`)W8sZFcr?S!FkY-bHF{Xt&(UoDzMhZ#dwN8rKz$hbvmrJ zEW+9#@D9_55IN(nW!p<30`v1&iRPvCzZ)~9kakXqpuw^+Dronbq6&>y1G_E3-0(oA zhWT}dbU{qIo{u^resV~nzWZO(kCT{3qJfSIsBA(S{z2%%B$9E}GpgG1W$rq_Z}eBv znSt++7BZudqrN-Nk1@57Jf`&q>|MmjBKHd$kU*VE0Ovc{+n_s?p@7Ra`z46QDt7MD zz>%c4oaOyC2%$d-(FN2S9Vpg4(gh?C$M*TJ6O&(-uf*k`qN@!^8d8%cQYTHOIItmO zdio#oc(OX2x*f`}2g>SOD|Sa4I>}Fg>y8QC^p*A`nM2VKo6h2f^r1nI^DzSWguJ znAZ>_w(KShaSimN{}icRbNoiIQ@th}nIPO>9YkgvQ|+>UJ!KscL++aJVb)aLJP z&5#ByN`=4XA#eHywtJboeKV|aB#MConAoaoi|TgoE`O5*gn5hWbmAybPoZXGI^_n` z{6R>+_+CZH#Cs|p0lniVfjO^?<_n{bH3rSl9XmJdM5yB;HaO7C5|_hy zb)syRaJ&6^!7E0O^-6Adv2T5W26|*jO-KkXijWwx{rgz*_mxrE)sa~AKFYLMgG$nC zD4{0gZo31t$*7*OsY+dNMa>bVo2zE46zSt_wmrQF&Dgw*Trbfx^~4Pxb$FCOJ39Wo zj5IFWH(clwEc>Ia<%r`uOu(!8=Q`*=@BXPT#3vjDreO7rT-wJ9y6PT@5FmC{6Lk%* zezd~A>k91|)Q37+51^>S9_HP;_oJ@~VG}h~M{CwvfuFEt1gWjzW7U8Q_SZC=*SS2B z{O8L7NZ*LE)gI8r+-eP?QE(+8^kl6hTBp7rJXo#s{S^z$y^w2rVZ~cuX>7KD%EU!o z9YKuF$rRLWwsF0kiknXmJy^FxZ=q40uraJlkXwyWb4q{3LJSMy8H%Uby8r(fULVBT zv~^EZtMai#omE|a(&iLJ&rN!Lqf^fmxqqLR2PxY1dZs?D1G2tV15}=~E`9p9CX&x5 zEF`<>2eq{XR zsP6p|kt1u|{J$rzSX#+|)Kys){dT?t;G&dIosr%)#w_|j%4`!ZKQ~Maej{;t3er8~ zBn^wauW+<~A1D$@Q?COk#xUfyFsMI^=M6^fyb(g14aKG30DX+j5jpdts3~#UaViNX!a3{$uO8P6B zfBC|BwSw+KmB}ROn_rXy!ZnP+KlPx*Yk~Oa%j6;z562ru6m*g?is>ezuQdtW6cVuV z5;E!O(*&FK6jSPF9eMx+eph%>Ff5Z`;zH%ogM|dGFCTYw0MHSASHLFnw3p@-&Ca*M z-Q^6Cn(kqg*p*~fc6RT`dYc3>HAd%M{rzC;C}69#(w46O@+C8`erdON-^Y%XNJO6f z7cqxkTp{lX(LLH(K)>IQIcHxr6Tzi#iQ72od{}V3+~V_0;yseGex11F{DQKSP{c-g7frG^Lg zJ`uH@yd=-MZ&pvlv|ymaP8-VQLlH1WBeMC0SrZ;nX`sS7s%mk5Ds z7hwq+fcTo(OuKT{}*z8eT*w4)%keYF_#dv5<^6E*$E09~AQ0HsJ zxNz1jGj9RHWR(;CSR=S^!Qd@t^@Vd>tOB`zSkKY7!NJuU{ZrS{&w^I6sV2SlTB5-~ zM3??tbyJo_j)lD%5DF_zk|2@bRLS11>y0ZFe_SrrNs{on>I5Y!5ycnNUc(5pCc#4A zGA65o%hpq=m<-#yk!8_>upRJsp|J!6#c{0l>PSw9TpO$aU+s+aX-<}j`6hw>Nbm^U zIwUbnAZF=+xP(4NIo8T6Yf?BiVejP{`%;~SYv6#ggR|-6_>;Jtx#KRU79K_q`GE%= zgyJM71LBa6+c`YK(?(j(cRt*39-tJ}a@wVWq}{F4pn?|%G13`)`j=6dcvS277o0G5 z$sh|$yhmyy=I9L3qym4eSI;wUG1O-*g`XmI5~b%%rK6;uVn2va2Ht;>4$S;;V>@&3 zZHGf~Ae*rjSaePmC;}OFQj?~F-6?G|l%?p>19ij#@aePFY3i*%rnBs&e<3&e1+6BRh)EA#YZCLb|P=99(a1KiE|`R#Z3XRoXwPgvL@Pu9U&J&li{s_Es> zdAhrwaG5LOUL$j5|vdWTU^0xHU*IiDDPp`dJK;|!@GS1=R2jzWEX z3Zl8Sw$!3U!Yy5>oh60~1bK6v3`Hj55-?2Tg@P*^ISOWZb*{RUzb>%YJ*bBB36Ht_ zK`JKQt!Or4j2^;rVEZf_3D=@URT0V8Dw+|t(uV~>vWRDSma~ghQ*=ar_WvvT z1sgZcH$3lYw11!a@72fIHnK%NWjSK*cGjeSuyB!Eu~X~)No*>Wn=d}hKZ-_3N6i`V zv$=)yi}&hyT{2oivQdn2qE1T6Fh@+$j`}1z*cuxM>MTPnz(4e0b)(9br3z;e6xnAu z+H;TuY`NYgfN-rAben>ys_m04Et*|yr|?-{DTAj>k1 zF~xniasmsGd%}gLIn`|DRqaVj+38T7jjUR8vkJ7E6)5jUYd#OQA)l8G+l-YN{?qs9 zMAu18i*1#I(yv3*lw_?ql*eU1*KXD=+2hl2|BCgN8WBfGH`#Q{{9$;?c5F%MI`U%H z=*^#{I+;JltulYOdw=@1zx(hy>YADjG1vXmuaf#@fNE@8IQ2H55S||Z!FIIJpA6~` ze*k9vMf(2kzzsIU?#rXzpKwM+T&3#dOpwEcEx;YmmzxpLPxqf8WQ7PoezO2w;1C%qscyNpVeQT|VXQ9yhH*SJyy80=) z6-}Jjy~6V|UJ~N~GI2Cv+b^{6A-4w7|K1-_%SjpDFZ|!egZi01qr{Uoqcrd*pLA&R z;@40mqlU(q+|?5NSJ`XxOuM#ma^$Aye zU8+~!+R~owKD zAhNPbXvd}yx=LDu{7YSinnQ5XCqZ}3IapB*K#9;O_OU(mt5{jeaCD`t3i5|=EvSl6 zz=W;s;iYbu(LztvmffU-d#zx@l2X&%twieH{OM~pp4n=T&D$kCd*gWLGa%~F9H8-E zRWNgD#5I==&naw9%L}`x94vX|EqSp1dinag#Gplr_S6D={L7)Qy;iC*U(KF{Tm((c zXzM^MX2yT%$&ZOsxHxfMYmb)~yfD9+mKZATJ{kg0Z!($jc#(KLNlnl4+>@UM@vk!I z8g+!^o18&0yMoFhT$1_s$C6B~AKb$d5?{Ihc-30VHd0^{eyJ`UTk}SaK|FP;i>DYh zt!YA1p^RK3kxZwh1cv>VNbPs(qw(Z8Jhwm^^E__26M`Ui2TT?4r~sE1oCiL{3j0~(x0$en_c4LOY7qzvC{8Fy`VRXI z;(eAzb<=wf-z8_Zfi+$H?n@JDKHBll(0C1h)E6D%4>mhk*NVU$UCyftcDf>q5l>Yr zwYZV3dbR~KJ3G6;GmyVq0}=^6Cvzp6zi(O5s|klw)=rI$0UEc86c_b#S1k+u2vS!! zA6lZbf4n;&>=onlZmu6-+MgvZ<#kjlbtc~=h_7y7vI+;u$2*)6Z%Q=a1ZU?1^e^nZ z9M~sIQ}Tp82h5=sbZcsgbcuYI+bwO&RnE1RJT@lhGwlfl$49!`uXjVd@HmzjK<~^E zPt44@$QU|?-lBj%6mof!Cd`W%&8+M7O}Tgt2GHl$eCAgV{um2waV52(@=P@k5BH5RZ<*poQP z8D|Mx*+!Zf1%SVNR^R5CP9x5ct`jgfe%?TkeOkXu{o~`V8X2!#d>Y+s$3MF8sPXkT zJ4~3RHuO`s=V0eJ&B9rESoj6BD&a!KN{H?G>ZaWra|Y7=YT+84LbKG3kmP26*wI0K z8~WO4b&@l7S;Rhh)H#Pu|Kgb|fBsv^RI{4uk9sM93Nv1sY03HdofV93)E z{Bst~8HXGdU)|UsES$j-8d2?wXwLUA!2ubhc&v$J_X<7G4xf^&9i5_v)Z-Df&&NOX z55dyJZa?Ep-Zn7ZrdX5_UycO7vy-K2sKp5q-Z_}v8Y2$vv+1I|gzNT%WIQ%DW5iAz z4UL*PUfG{X`f_nb{52c_3ExP!K6?|4W=D_3S$>!Q(jeXXIpx2DWMjwStT_Cju0UPF z+_#pV4l27qBTXA8MJM-lLoCx>>t20gaD_OG3i!YU2t_@;LqfcsCTIjcLQBk1Xd$01Zq zX;-2PGCCMaUvw9L_^Z-UeFpVTasaht9$TA#fWyCjp`(S?LD^MvFe9xY2mx!e+0jyp`f=(*&1Jl#(@HB;^9>UO6IDx9Y+ z!p4bOnS#@sh79a@mOXJuIlRPR&6@!w0glay#BK7p%^_9z?D1+g;E zft*L*W8O}xI~>rkM%MWqec7pmIesstHth^F_YA#hR_|0R#!dWIJ6e5C-)d17d{J}5 zEejb4u5M01W71CV)~(e z4Lx?C-Aji%|G5|iP7;o(5cN9YJ*X0N|1jn0Wq}$TW-I-iUHZFWFdnzqpj|rM#f1m{ zH>k3ko(tcg*jgpaRQcjL&kUlyGJMfY3Wd}yq*u?aMOnat-2Ns_#fpO`t*-|83=LsY z!}z+(90T-AXk6$s^X;kj?8ldP=Fow}Z17boBjz|J`}hE`*Bah<)-@PKoTjZp9jTj z8mA_8 zADKBax0}dpQy(sXkOWf1yB-c+Jo$c#|M4ntJ# z>#}Z8zf}m-XlEEUj^nN0DRB0g7PQQ9S93ayyi0$Jq@%FEsvM_`P+zHF*{18ylTki> z8tSn0(>wT)joXMg)MS|<=DQVltX^1XwSms+YUL^>=g}DE7~6n)7DeAdW5IZyXB||< z4*7~bqYUCm@ka%Ue3MuGmps!y+XO&`dSm&5cb53mASmT!t@W|+PAsA6fuoOzz&mT8 zAVFEo(yT}uI>7sF$(7(!&xIWse7a%VCVH)tf1lmzHp-}EGdHeiz+%}k3m=rVcG7YY zQkkz;tabzM-akVut`fX}jDTZ2@tU?hA^zqp6nx70jjud6xhonn0(??s2(mD>SJ|YY zQH%2@kEx|E2@4lw@?Jt>HN%_Oyk1CgP7}v7#h`PtS@?RGDzx;GSRQVoHwI*yBU3rg z93|MHo!eF>%fNSg{p?uYBQTg^Y)rK|&d6bVAsUX5OO8nim?-q?e{E>j;@XIv)*f(` zwF{$X*-Plcx6@q4XgH1Arshq3z<%fV{Tvme9!lOfS(N!&VI?!OkUbCFY6=C~ZLs}d zP_2MUjJ5Y;eg>tDax)`Spf9n+I>A8@R;hDh)}h@@r0=gmlP+IXJ5PQ3okYFNQ=N$4 zP{1S^4U@I%QJzJ0G&-3`PBub1S%Hl6q~)MKD?s^5_Vd8xGJ0EBaP^na2mj(R^Ky_k z`=`mS+9@U4rAQ>tIqYCA?H<0noz*3B>_D6qh@Qo-@0x4t7N2?MDpCode(l*%t^ zCURc$r)AEv=n#yiiN6*)V4}9DKPw-e-6yki#=o!TAhxpb1SCGzTuOpw?kC|@1~d~3C(ol|>rTQ}gwU|c z?!;@k6T*la+ttd5PnuDwyt3QA0EFA2*^{+C9)M!=B67#wPR1BIt{$txN~B!79?zrD3;A zv(M}%qkr47z7q8M>ofHXvkD%JBIHo=-s~dlRQ2_YPmU5`gTSKh3Z%BBkfVsir$QWY z&gwx9fhp&_@KxJM(I>(uZ8gmKPaCZ@U^UE^ZnFy-1~v;;2^FC4Hyo_6SYys5{JF>_ zhV~PZ6*CW~V$__X^e6Wxbt{z9pq(;;R9w3}lX|$^cZ?2`-uWP#KMRyf5h011BMy*k zj{^Y5_k9uh9rLq+rs~_q0Rhb~?wPo4H|X8bSdnvvVQULU`^Z6~*s0vnJ0A8JseIw| z2Xw(lCbK$9U5ZvBKu$+Tm0|daMOmJQS=G905_ZXK;!O1p#q0XyT4H<>2%kUYU;H~ z{y>Ru*q;$hm0tba+8jP~%z3FKjbBN@F5jZ+b@rJc6iqk%VtqkxBnc~us1Ky4e{QMl zf#Zs)>*$FLgtXsd5G3$sVOnnAWH#FMlL=4}cHa3Q0$Lcyc*o0uV zSIDc(+-Al0Tl(Sy>GW@@Vfnhz;-B_QDD6Jm5!e6C9<5ev_;JoK|4%&V%r?;Id)nWE zQN2<4P1d%@%``cd*6Mddos~8Uc0b+aX5V1Eo$6IHu;&@0G8B3HySNFk1s&6t7~9hwOR;HHED5%)@y?2b*$`33OPl=i3;U<{vlQP(*;V=f)(8;9tf`F!l!T575&81%8~yJ z>NeF<8!3gBAe`ii%GmtjP9zug^uP2TJb>V%uHiNg_}cIyN;)}arArB;g!hLx4ZeLp zL6;b(dCPsApl+&wnfF-%SOC!ZunI$BR%mrxSzeIQ-gLAhx0PLC4C{h=$rQvF>X(-J zA{OiDbd^*4G(7v?X;%aCO$p$eZOh8zJlo9))n=}(g#t9!^vsp#m?}Ddn>UnjE2p*s z_Wh7A+0G>$;+@>(c@D>}!*MlAk?=LsAT0k3RvCz<^WN9=jSI7qLFNDV3!BcRG4gfi zQ}z;|6#gCt?f0+0Ua}(QMG-Ks?$+0IjVaOUyZqO*(ioox!N6mCvWKDF5mdF)hxX&j z3-$aCP@$ri0GO7fXA73HaEwko%dYp34Q(B3{`mHcyv>sX)gG4P23=R)t%l73^z&Pp z)Hd}$G{FBUuk_EVW`Ycr^V_kt#EAKEisBngSw2J`z1z}UPPKn!A7q59IpC!Becvj; z6t1To+7qh-rBwn}pgRmH$pQ*f$3?l$fw^W`aFV~`i}d%#r`(kUgq6qWdeBT z&}VLsQLjePxM!Z54I_Dlk(>{ytno2{38aPVz6Qsdpt&zS2dLij+Ue!Ek`>NZ>rfnJ zsKO{{)(AOr8%)qjE&sgbJP5q^^h=k;NXY3ohEH7ln)RRa@ImCwClNkD9L#igkzoh( z&9*D0=gU%C4w0l6ewcR3sw0tI>W&462|h`z;bHeP`uD`*Fqz1)E2R@;f~+kthl`%b zSX=TS7{r>@ortUw<9<@v^uj`q^upCmg9N3&ED7)IEfaLNGRscT5$n6f;ze++Rf7uC zD>QulqOoUs<1qH>bEBXoz`$&haR}I;@eWe6WxCCfiPg;1m(WH7!Ng!Eta(B2U42A5 zfNc*pJBb+QGhSAztSs>45QN&eo4(1;H+iIIhURMFCC>s7(6Fs(a^LY~h_4&#dnS$F zT-|^XMnisXL3$Jku>_Pbz zL&O0!=UWE(5GR_|abj#qsXXT`RDO$Clf(p&ISPR?Jj8au>)&R#kdPT_ZueJDNYh2d32@x>O}^Zoo! zU9Q`3>rXHL&JW{3^2K(4mW~)ww6r`oW`R!j-Zo@8R7(+ex_Z(r5f)(Uc&+>z@V>!_XM|z!R(PN@W3bvltS*ly z4Mn{=qs_$SC%K~(Ee9zq(p=t}ksW*eCbdU@0mGi+n2_@&d|tDP2R$LAT0QDPF3mpGFkTCgeBY|8FR(&QPx@&Hd&mlZX3Xy98PFic$*Z%1hsh;8;S1#AbGVQpk3Y1)V(#$ z=anOgheV#COEMJ~Wx;HhDs6ePh~fzabxVBIC%){!U;QHj6lZSg(lt*hDso_qk$TBy z0}E(zuaPCzC(OHqV5C3$>*N?haC$I(WtC(eDHpUI{DpWz@+Mu*rc4kb-L1L0>Ja8_ z^0+|pSq^Kmq!vZ>eN|jF{LZyF_y^!^h?_XpEA3U!F*+h_z&lg`izh4Qqk86Nt3N}3 z>+RVAStXbCCU*!66=z&Ki{Q>XU_g%ed^xI2T7ci2qxEY-qYk{=NL|i}g zJ;Rt+GS8+z%6F@t{t}EGQ2X@X%Bvt}=QxoSSh%uYu3G3PGVGEMPC#wPiJyDQJ8ESa zCKO5NF|mKdE7i}o5D*|OiPb?3?L(>T^I;SuM_rUwfnyr)vJn%2A$9Pj_`)~E$_tNA2D@CpK`S{xa-^I4n(WTvl?4?8l%+;Vz*s0{`m(<``OW-Y`cn=L$ zH<>4k80FhAlyBZ|!Lei8jOI;gt>Uo`Thds(3#tTSxGhqO;vp{b@?i$n`1{~< z%HE&_k>9TQJA(8vvCN3@5B=3qi0?_}l?I8e{b6#&jM)P7=*m~F&JvaCv&b!Ky$-wV zJ9FdMUW5MX(s+lQMbHxiw}nEop_fd_P_AvEQMdU9dSJ4oExCBw`24B#-C%R*bZ2#w z1E-B2iNw`2_kM?-BoX}VQ-`vmzN^KF8v_zP%o?G?;$3KMrJx)o^n+rwg-uZ%K%ZGl z^K;R{>`_2?)UkP-vt7{P7Ct^`(t5O!GEn-N-3nN$Os*X@GOmg&s@6V&9d@Iye{5|S zJ`hX7XQK&>(#@WLGU-YcBlBE|hw@Ey?M!Tw8SF}ImBenSd4ZV9Z){gAsek!c9tV)V z*Q3F5Ipg0@JXIXJIKabW*n(H1_^nooEgZwDQGw1Qs}Hasng|~n;VsUf=YazyC$RxB zFFi5C$JcdvzxN4@B|v7K>u0==-3TQOP1tU;{zb=`l7iU+=iN8D^kLVqNfEh#c|!4d zI((>yMK!JjiYGZ!-_(t)@|*qC_pi({4DNAtR2`E~BMn;9WfXdwcY>PMGi=`O%pN$= zlfWH{P%_&NR_%5fZf;C}%uZpKl+&$0(gmgn4HvtU&~L8m@yT>A zWJU5xe)+Q#%Ya@$IPz+2=rs9cOJ_dP<>}3OJKm2I@egXzo2!(-&RYbxz|fz~GxULT z-a@*l@_H+QMD8HFP?4z8#BH2-Dec{Te`Bi`d&6yAT(Rq^+JeeuGG^ocSA&CH zJbpWTvdIu9{o)syquz3!_5|p^^Nn6~vbV=-Q z)!#oJUGHN9SQxAx*xbGbmc44$5F&W|3^6m5Ob`|E#m8qAuqld@VZ!JPS zY12L`ZC0kV^N-diY!z(MfrB(2Qd@e1|7@OpSjzD>()#luKagt@;pKYK}mR8FVNCuc(^v)$L;@xd=n*X)n@40Pz)v`krtW51B z?5(SfBgWtEoykHQUo_m|K>1I4|Ik*V8kBLi_M;Pxn9IW02~fZQCqD>Jx2|XNx7OSJ zo|)%nL3pq{wTl3pUtUen)e?}R^o^&}a3f!b(2CS^a8O;_+Whm*CE`7n>>L9XpTLJq z@3VBuK#YZfXuFXVVpUuNoCIgCnkS;~kTP7Rsk_Ix8{%tg%#5<>8Rf9YK3+&(pHM>r z3)Wp=Lt!_T|78F9R+9G}YAiEG?>@N1ANh*4nHIdrLx9@#b$=N!pSp1oYBJIeG;w^$ zj4baCn3>R8<{NC}3-%yv@&Dpth%aHM;n-oqCX&0MEuXVE|1|5xi1Z}cwW>^49qZS@vQv$eIRnp<7u()|+}4c}Qq$4|#_m7PZI2!t1dD|j z-nK3117A&7$Bgj^K+|@&aZ;o<)g7+5S_Pf#+Vr^+r{>AcBgfc8~2Q^aJ=DqWL4SMz#xZJ&p&4t zHu}pWR%Mqi!1NaPU)S#QMbnDgI$2fSZIltq*)=P6) zJoAdw`nL75mJ5~lbNm-Ml_e(tUT4ZJorXF4b8Myb9UtQrQdeCEh7UUgJNKh}f-Ugk zT!nH|#jfj;)=Hl3pY^%LoxJ(bfw4s3cX z2X1i)+b-qAoyokJAvasY<6C|_TCypX)L63K*2%NU?)32tK?lS>M&jnvH^V}1(m8og zs|ImX-Vd!0q5M;^c;|ngJac}QzBy>!EPbJ zqv2^_wl!%dKYnMGcW2z$n@)b8PK}`+!=*M84njK(l0YM(KqJyXBeMS`;{R{|e^0O% zvA0eGpWBgkYV3V=Ne(svhnS=Vo1|fL`)D9aZalcIJ*MtF602?ktpbg(x&Hqdvy;>O z7ZbMgX7CyKaRlZ3=L5S#R=!%Gb7?KEb9Lxe8@*dNvfX!n*vXO5Sr_!!4%Tja<5kBS~jAZMtP&&eb@0? zr)3!tvx9kCWs!*SKl(MCc0~uF3Jh{iYlPA*<(!b<+9UdpYxS0-Lrbo!La>$ufFmrbTN>pw}SBz;)d;cseep4WB&tjIF1}UZ;??2mkJdB`QN^@uRXf9~Z{E9-`{C$49S#Cdd zX>An+mY+r8t@8h5M01$8c5$pxtvn@?`Mn>Aj~a{40uH zGL+jyxsmLvPgQ&duh?77`bzyWyE)AFQD4l|u-QRDA766T@%b09?K(L4M1MZ@F<;ye zl^1C2Pyd%SBTR@iS9$N-qLdl77@S;X)iFnPkIl+8f7aC>29hJk2~yCD-Hc=CQFiR! zJ&kNb9Q*cDBGrzglc*-_R@An!A1B2l{bHQ|A-{Rr4oj8TX?1Q_(dSwS>|roZS;Dhc z+~}Z701Do5-H_^7%EIELEfI!1qWl<3e1S8g0QfoUJk1S zJrSX~-JrLjvmKLC-K$;=l7>vw;!{-oZ7W0d)#4t*8&SFHYME*)b zlkuBqdxA-N(ue#{`X}hU^I}vtI*0G8smHAhaH85bd2&$p#Zr$Hu%?dHP!7hJ`6$0u zL#0G9;c(9z?rhCAM*XfxA)py|o#db=?webqi2156j-7M^UaFs;NxqXi1IZ>4C_YHn zn|1pUd$&GA@y}Ea+vK#y&){QKjAz(sjF`OkGW9@U>kkB?x`5y%wXN~}6Dm;o07kV+QwcZxN=18&WTqSs1O}ls zPyt|V1Eq`qwuYg@Khr;_5u7|0_)8e7gV={$owt>%l?lTqHk7*h6ReKsX4xQoO^<$$ z0o)6zjNc%C#E54V_+sC*&*dm+77-#-NqFZWi2T1=@<$o0MHzBI+%Ap8*Zj@uDS0*6 za{J_fSbW_8#^!Gn1e8qcn&8z>yYQSKc49u|z3tLCX45^`igV5l%HA2{s$rjuGVdbXw1tl9h%{6nGRw$C809&=jN|_fLcs-I2Fx01PxHZPI0LPm%E2r5q zL$X~s{_JEaAr;BiY2M;9i)oLGZ+02;?Hpj9lfOg1}jG*j>5)xkW!4|o(vZtQ>ACWx>bp2db532I< zbVUNIK~8DHVOuG2B&9b7J1;)MuzEW!D6F$D&Wz6x|t!x}O; z2LGhA+cOWLz{EBj_bqbco*(^!x$V5a6jtbmpi@Di4)rapnUA#C8q*Bp?JpdPY~Mi# zsJqq&QUSgidihSzyhLh@eoNYIi?e3gKVLw(-TD+_e8^}vAlepaCd?7^IQY1hoIz^J zyoA4wjZ^sGy~w#FP8d{?Ti7J%Fi=U;>QplLwY-x+?cMVrXqnS@wD!Z17aqBNdN-(@ zKy8Z$S*^(CGu9TnCOtKJE8eie{&{c+D<14g^kLYUbEhRI@b#(=vs3T$)nUXzalD}1 zamC@M_n15JVk40OM+`hSR}GQ>@p2EmJyC1&g&FfE7$ovE(Ye*tw6GG3zRX!ldxG<4 zZT=yNs0|Kw`oa#iz{hq>opbpXgp2T3{GPRwoBVs`;P2lqm*E^l^v#bcq}#m3T0S>Z zkS2+xjYrwa_1Z=+D9>_yhVY&E<~q6kBI|S3f0iKPuN~gU`c?e;(}+#2O@$Fz0Y60> zZ^bb&op1&92BtgLTw|cE5C1z$P|PxRQ6E7UglT3Uoncja*dj2A zh%HxY!idU)sNUKqu)}9@b^A`HIH&)ti@03y%KQH@u!WP`wDNyJi9Cdf62AR$fgkW~ zb<4hw;!(a@M$b$ni*52iiobq1^#|WnrD@yuKjy7Eve+eGy>onRflsd{E}6Q56r9q* zADbw4KpNtM`Q`og%sl_r>-!xjFvl1WijtGt&3p^1KY58GH0X2vT^Rf*q8JDI{l&4( z^IpRemk|}17@gR>Jv_V^!FKwZ$^EV!QkvvDX>NCi5*@kuX}18aw;28df||bh*?R|)%vMg-%^d7`eb8-*3ncdy#mePU$e+rQrhcGu)f^MW)~yQNntg^inSwO zhfZ@GnVOHAu_bOHC%-Fr(x#fKy*<+;^QRiCma>7N3ISnZAlYjg$Ydmk%I_PrOu{tp zpe+OzssNJ%VSQQl_pmP>_OW}{NwLPHRYjsXYZc@jSr4skKM_ExiTWf0aEgdYKDs3X z|6!gjab=wT$ePN<8xDGp7D!G8@M;vI+@Gdgzz1nD^u;5E(4N>W(Rc`jBh%%*JP#@un% z)n4(dC8@m(dg!YweuDrU<5d`jg9;gjJ0<=#*SE?B{U5U41FDIw3maBZxuBA(pk6wP zNE1*HX+lu0BBDf!A~h-?0z&AW42p<~6p^A*qEe+wlb)yu2na!X4=wZ%NFY5kf4KMk z-v9mne=XLm$(cEApJ(s=?8B_H&E70GXraF7JIxO7J@s{#MX>P$z1-aBzOG%&*;}U< z$gN+j){6bWf;0w6@Isi~hhDR?Y101XbAl<=L86W8F>eO$hbbGlKE1VOxvJvoVq4BfCb& zlTw%KmjqjS0q@%QoSpTp2C;%N?Ay}yl^Ilp598*Iw+0M;2P=`{T82I%@-B5p zY&@zNtU>7ZJl1?uEq2CZ>%jUMl5p|Tc-zfP&9zfTi>KV*Uk;+Brbgd2xm$vj0!1oy zHLCTh-bcwrhbBLMlfEmzo<*7GMBxE_#3Ed;25o_UK@`1^6yBksH#W?PuPS- zr`z*QXRd;+}Sol2q6&1XuSw8`|*)t7M> zSG_o>@gwn^mhr9qOw)&vF)F#ixgrK#EJAq3=@e5fw};oK3|uQgg=YFssr(z@rJvaL zrOx*id<4GWyWFKsLHLo;V=I|155o57USDP`KTYsib+x|hDL)+z52xkhd+k_cJOm3V z2&g%d)$wUFto>14uTI3P6&L=WTa(goy&I~t8CL3~42eC7G7Y4T>dxGIcXZVId$m)R zr~Nq_mCyz){QL45jGF&$tLLcoSy%q6KO_F$>Oy|CXxNO>n2`IkeQY0Fn%=Ym`xrGe(9pRMSpw&~^hm;DcIcVrg+mhbY0J4O$Zzv&k( z@!ma3b|8@_>;G$9q?d7Q&d12F*|w@e1*j9=KZhPAE&pAfC;{WmI9cB39;;>`14h03i&I3)_nf#twhhX-~0U~udnW%XgB9~&Q>G8lnwgh(_go@ ztC{77YD>CUQaM%UoU#+1?c#soo83T=gMy!CJ@DLreZnR$$p!QEed zf2d4LT2nqqsOR|Upyy^9k_DkVWN&yK!C18&Op%C{TKXCDSI6%Ihjab~Yp5@8SS?#& zXxq+_D|zX3RIdLAzTB3-X%NGoku8KtT0AFS`_6&ap{$WgoaHAe zvo^nTl+~*HPOy5dXu|-P;(ecQ{P88wAWOu1<_ecF@<{!YNui(bDIKZLd-7xXZk_}* z{4E3w|B=R?|G-C6yn!Njp4(p3vuA&FZtC;I8~i8qN%w~5zS>)4euX8??mRJEIm`0> z^hfPBUiJ0<3p>5pK!t7Cr4lWN_m zt#ii=2_I3lLdE{yTr-ZU9L`W&G@Q`!Q3~0$F{fQG*lVdgrEuibwr=cM?#|KW_2p_w zU9AdiZB5x{E^|HK?dlG0eT8KMvdEC6A7^t+Fn+YPYi|2}8&8$Efm^%^lf!rukG~r1 zYE{kOtK_?N3iTiAR~`72nQv(aQ%)G|D9?NMzrcIRZLFHV-ZlBXVQ1alT@M3p?LWAO zC7bzm_Lr%WsK30iWMbSd&&V;eq})T160r0CqFW*T-9o4PaAlJ+w)I}w9Od3^{*P;UtP$pUKy!PJzkQTw{k>XT2eotRQJYwc{WX=^v_Ig z{H_?`fm=RP9cG@&aqKJVMo)BjMx?8CEr2~O`bsp^CQ>|6UN$Z`a?KT%To5Cl!pM?0 z4)|Lf*|=vY_J7q*p%sm`eO=DJQpMNHj%q?me(sCTJ~x-K5$P+oY{>E~ zErto@6idXI8+_U=WBe%ptVzJ;x^6`|=ELCqhZ0NwXvab#afg1S&J7_~v%(p{Z?EDN zcErotVyt#0^6byM9No}h$;@(0%HaLgJXqboH3XLV=7|GdzREK>Vx@_ER-WDx7nnJ^ zQxta(x1{C8>41L}s#7lW0#e6qM~VGXR<(miHu>A!(HDG$4o_uocUPY?lbc9ga1?PQjzF5b-J9L-U!X_V_b6A{pPWryIwV39;CW6k!1?$nruDTRs`dAx<% zlhu1-;CJ)NKk}$7U%L6(cbYHXWGD>wOT(O@!hAy9vE{s5RpRv8@F{MJi{}Ejdn7xUEt61vp13Jo^L-R zcX+kaC%fT)ji&E1ecQ;WNiSDo;9Uq50OH&<}~ziE+s}VuzSle9s&5pU@WbzjEm-QDMDe zrvMM>USc7)cq!u(EA^^P#e}`1p1c%U)OPx>IMS-#&1!%Dls7X~_K(Ndo>d!Fe6F(Z zT^Q}-o81n$m!qe5&fg4{xzGQiRA!e;Vun@4gA9rC$HnI)htmHqSn0EwZYr(V`!~WI z%)$?+9pqDf@<`izAE|~5gC;IMhMF-lBo!wRK@<37{ zYR%a{@`&%9L9)!XzSr4>Dn|P*NLD1$JJ&(9Kv9D_`BzG`N|`{OVuBvPhaUO%EX`9 zk>5e_i=GRPEIbfzWu)|6sIPHUA&}eaR&CZw>N@3vjvU4h8Qx9}5 zDDC`dKBaiwf@hSC?PM@%gSTa_dpe#Kq4<6jm3$v9QIIzM)GgH4sygKN>9vmk_41E*5v zPMZ?3tzVKm8FA#r3lr-ef8Fabeg3<*FS^&Qdp3RFIhyq;KM2*iu9m-4pT4Q1@B(?` znkCEpg3Hp--mWMpZd^3eSEuE74E1rI#3`e<=Mx`8agBdvT9^eN=iEa96z=k6)8F z=JJ~P*JgJX#r?}4|FKlf!-4O=3o1AdY(ATfw8$6@J?m?c`~2dnVf5kC|DX1^{m40| zS;I@)<58~DO?+pqm#yKTefE`v&->$okvzUrIF!h9+$9I{|I5S7N`k9lJa+Uag|B1X zJ^%c567TS*q_gU;c&3(z+y6NF>KWgM#QUqRxth}66ysgj5rRVsFLdE-8S4vk1lGta z8K$Cx#ZTCsB1}i-gpnQ@1)6Z}FDhfo7x7(Nu+aajnQF zR=4Rx_U9nM!rD>dx8Jj0{RY)Ob<`dIspCHWand?qJjMQhxoNKpPd6DN5Aera3pN(c z2r`@Bj&BM7wU_xak*|*__)%N;!PYMa^oqo)yqn~gkX zLp?*;xT+wbs;?V(huDTSyRyWWbm`~F=bwkgO>WNFBYaOW1Xo8_Vtv9Q%B^;v+ID3; zq8BXLGK@!fFz?KKlo@ztSij))^}vH?zdHH2lULrK7YMg7+28ZpX-Msj!b$Dg3&(6V zQ+CV+zfV57&EIEvwMMqtIO|B(v$czN%SFx!##UeO-BEsfcb2vakCA%6k~laK?Z|&7 z9(~{N|18qB;%~bQ+q_pMsI4g%lE>MvSIe5doamJ?c+ZL2 zirGQmPlJO0ge$`}ym;k&-_OdvQPn+P2hyZFV9A)C1ZqU2?mYjz-=#;EM85+U&h50> zvlQd&&5?-9OR90(hSEPA&^SnOiWfcf{lVQFGAl;DSjJ`^jgBJ>si@x*>Z#&?(Tuie zKRDBFju*De`+1_n<;As+|HtS5!-&N~(6)Z~JgzJDY#x;tA-RV3PbJwb?6@8_wV@_A zz^pa(?bjGF!ygeHs{jA_ga~^$nr_;x9?Y2D*_*p7niJ@zFRdz-IDPNnwdFiV8p|^{ z=W$-+JBgwH!A4^|983}8ES(phR$85kF&>UlF1VS$i!a|XppZVTbP1YOTAe&RVu|~Q za`pEr9NzTS1sT@v>-oYPQip}soB8H~xI%Mjs#ei_@1=}xW?H)ChChiA9B{~+eYZVE zk>IP_;m*)T8_psMo*9(k5G~1B|0675PxYMDovD6Pvn@x5+;NRwiS%(>+`vBsa*w;4 zhc?fv{xdccg2Zp@_+7j@uKW+({?vNPCsw{}=&(oorp_nTu)}fkL1BAJH%vbMmupaT zj#quh*+s$I8=Pl*qgB4b61+u!IYIcn?T_-b%y(yvwI*G~U1`{#I29mjoF%YL!*~5B zC;>Rin%al6Mx=}b)|+MR_tsfZ$M5PIW@ktSV|x>=J{juo$^Sl8U~xrmhmPQX!`rfc zLuGqE>S2R_>f~CudZ@l%)H3AnsLV_%EOuT#Op5$i?`yAkomWf!Z?|MYUYxPr)36z$ z#6RvT;ea4dj;Drpt-3&X-A6}ZJ;A?sA+&#GE{_^s>@26py6vJli(Z z9NVO!s|Uc%5s34!sccgk9r*)dw_zqhG61e3p}33pnvm70G#Va?l2Qr*NKg)kHUZ-P zETNW@2!hb*gch0XRohgBS}CxpD*R z`2kv~goke}uauz~o#|;P16F7(cew}wM{lhp0F2Hd=?HMf8sMZktWD0*=$_rA-3`7T zdcp$QbgV2+Wo%om496YYPN;~6@ATC08V^$v#oSq z)jKG`a-u}Rm9A=Ouu8*WK6U|&t|1(kCXKxl%?b;R-;%6i%&<|~H$xGRjF&GZ=dfm0 zP|wfYv%Y+I-1C&!JpGgBfj*{&R_mH{RJ=va>qfH(v|MjYu&XVq2;e3l!`UzbC;r42 z`bJh(ng1>%z#gc$(OTCdIF^hU|h1|5q-xA$x{;{kdz{_LNL zQ+H)J)CB^XE3Mc!p)*;JHIw{Ki`86VUe3fvkfabK(>V&`!jht9NB3C>4{2)xrv9ZHB}vRY&vp0pN2J;AJte( zQpd5k$T`Y$IquC(Rom-wucQunW_v7tnqEu z`={y}Z-x7@xd_XnBkbscI!;-1fV<~rPJ3RH$4 zKQ!J~(;3tNqphkA{ZxWndjhGj_^l9kZW#VXO@K~j9H22b@@U6fScq2z)u_)s1g2Slr z49YRYhxKaynqW|!n$+BU@KrDNO|#Fd=7w;Uf#k`szM=}$N1vJnYvnrYY>c@t9-J+B zs$rAN=AeoPS8(OFYS}m2^K-}qnizBLjX?IDqPi@pm=Ebk1lJq*L-dtt*Q3>p;6JOh zdyOwG{ZbEFN-H1gxzTQ&ap>OTJ7-exVM!#S;)a$1ka_W{lxuZkEFj9ya(ulcKNM6_ zGJ9#f^>@(&!{SF;XEx7?F4mv!l@anqKCxMvDPMmwoaR$HtC)e-m{bN|+hREklqHq8 z0Q2Rxa~z>KX4A`1izW@@bGQTiDNt`Gw1Ojj3JybkqRrmA&v+es>IzIF*?HyKic?u{ zSS|P}Ry?+$ApMbyM#8nbY5uV{{JSXoE(W|p-BbO&Z$t59S8;{3uv!$ov*wJ5-#tw6 z!&iBwy|D<6dXr=oFp^#*a=r=SwNfr}(&CG8=rK^l?M_2!_7ZdUAm?_**Ndh{L4~nv z)N787@dj@sV-)T;2lZ)UG=?$DR00>JQ8@Vp2_DnxQ_r~F< zSlRT!uo`B>#Miq}%x%y{i0;9VYt``Ra#ecnWZ=tdZ@Sx9^-u z1T_uwRPt9R;(Mx3+4JrlYJ|U&t{Jvck9pURcVhz6;`*Bc)IU8B=`J%W(ygqWrm*fixal3Kd|9j? z>me*DEj^LJK-@VpwDXrj%hqy*e0fvRsW37-wzALW@NY!cq2HEGv*^h@tqyG6?A!d4 zeIBmUtaahzOYM0^$C8Y?T+=vDemZKuLu%7Leh^RAS0ve-?~^O`JrZv{sN)E2d_w|v z@Sg$WAzU4UU*MhY_(|fUh3?Jx(xsL9O_`){T^0a&+N`Y!gAx_3ddw_~wSZ z`Y&sOCV7j)6*gD|nWodjwCjE0TU+wTaBwR>Q1JKDywEL*btpECJ`RAKm7{8oRKm*S zVo!=WlUjtr>bRf=2r!nr-_(OSEnl*?>!mwU(B2SX5tPUy~=8 z9n~#8>|iTPSMCeQ4Pg3pAPgc*^d7W7am}pidbn_C?7r(Ts*I{X@o`+zBg;$SNIvP7 zD!OaZ=76r>ugzE-ymPe>KS?E(KvF+c^d-{Q`Zv?bS)mUC!)9ty>KLx??%0?F%UuoD z6q85nm%IA2Y;{^o)Qk>rn3Yf%M2Gkv4)__kcscv|`?}oq6y)8Z?zsz|Ijb&nT4ww1 zoc6hMXa8HQb@o5S=g+HMbMbQZe;{*4OOsb-p?2Brp}&i-jN0Xgcl}-dc5y;EyBHV< z{-@dCjK-#RJgPlT?x{s?j#cW7*W&{R67YOe{TG+s$gT}W-hDqEH&*Qz>e77RFS5Xc zkZFK$L49U@9X-;K?^q>uB7!5ixBbpbt-Y-{b~5@W(J~33CqUHBAgCGl76XzXwgY$q z0yj}Ob`YUNx{zZm${_Qe=R+G9Ex-(O6`WS|3ui4rY`s^sUk1j^%^1!SY^m>IL=lct4(;2;x;D)$WU zV8$f#|0s3zD>Z(oyb@EgVX_i){~iu1;VjT04)pB0^dUH232%~aH}NH0DH}Z9d1eWN z-XfiI9n;O);G)nQ4G;y#+C)MuGSnvxG1&0_x4?t!2&fAMY^9?Bx<@rC2W&2M+BdHA zhS&#(kan$QxPyVhlyE4Bz~K_OywMnas)lZi(s5%yL(vLN5l|U8#-+c3SB-p8#;W4v z%A^AgFeO@Yo#X_d9>SjN3(zZ0MR*iTv%37xGLRe!&hO$WR4(TRn{ImpewRg#Cx%3H zjE(l^t?`EL4O7zdgq>QxvbvvQYr14~6B@v~107 zJ>i=+SZE^w$2y{_+@P=mbMhY=>&r81v7^S2KI@_tmI}B#XD zS1nohi&)}C5^$#wsj+%QHhFa5G4Y;9*fI>^W#JC3C*ar!a9SE_fpgc8U=hG<02paV z>!20;-sbauuAUO&L5jC?C*p$BEFBJ**f|o9+%q-uAeBmn$b_Xb)vDQi6GP)l+;1GFMb{D&f4uQW784p)5nq4Ke=Y^WGt(w@6YnTY6~z1U?!;IPJU ztggT<6pq<~BwzLDwGDH5I20>C;oI48fdo3$2>%?_qz)0#Db^GX^zcmX$F3S{iq})} zwauFzznVu=?q;IrYH%!CIu0B|fy-oo9Sblv5+H`=;LyaymIh+}OQ>%2b4CAfwZ|#< z<^+JVrPxPtv;H;^IO5RM@J@A+l(6;K11A2Hp=LI^d5-CZz?yU>pM;i{gx#Typs-)e zF^Gc!=Z}UhGI{N8A|+rnjvGC&4wkYR;|aJmaF+{eqEjY5pae?tJr%_|OaH*7JFifK?H({!Ubl94jWh7~@reE@gBQ@nnW6EeE0{d{Apzl{CJ zR}rd=CH!L>@pAx{Iffg9=xxYw2fYcWu`FfaL4H`M$$c?X<*J1p!ITF}l&v-NO zsQxcF=G-3tZr7j(4UPK`SL97(OH}1(g|mq$K6vPDi@43LoEt0elFctSsaJJJ z4$ii}^T?~Jp1bS@3+0;{GMY=zm87W2iNc_@+F*W@)R#+a>nzDQ$ zZaj110^3%)$H;N>H1yE+zQLr7mC40EPGr5~B?mG-=la6|FLSmAYICejY2-ItBV^f6 za*x6*-7AG=PKCs#1P*_= zdgz_O$5LzaA-0B_rD4mJcXf(O8d_&4ajc9R<``j+S@nyktD6_?FwfI`?y*+=80@9#JMteW-FCTH~u|70&|pgoD7Z) zj+oV-Aw@6jj1kPzgx;=C0r%m-v5ZbAOz8*cN{c#5ldhCLZ`|0(NwfSx9m64h0^k&M zm`iQsYRO_J8P6Eu?4Y3Yc&Z^T4}l8b85G#;vcRl!3cUjlPL+O-85WHJc)7=q_)rp7 z=M4<>WCL5xw+kdTD6PB(_aPBKMgR}!^aNn}lSv^vL&!kh7s_BJ3M!LWPjLk89=qm!vp#rW)-FVy zj{nuo*u@h*jH^*8j+I)&yv_7UmM+(rJZ?s;IkNp&`2)C^xKYDy zpl)Nt_78!ez<0PqV58658wWPYKg_7s-!n7k%buiZO&)h71SbTCP3s<$G%)Z0L(qS6 z@T+G5ISvs>PZW|yj*6?T!tduZm8XeBT*mP%0vK@-r1C>$iT{g55K8sjKMX+(X&){kh)Anq+h7@;*!dqh$3aY-1CXt}Q zY+#Z&bomEc9COeWGKngk#OBmnml9NFy3I(qR+_Z8`R(l$c88wm=~V;Ysr!cZIy3>M zsPH`*!=Jdq|ALc;ZrPc0?{8v&!P|hRVUYp0Y7r3c`2%1Q_Ew3t;Ud*|0PkZMGeX&F zmpCD_I`dEzWXeN2pgBW~t9dq51MJhaX9WFVDsFABARb`^of1}B#=MoJ%JZ(gnIoe? zCg+B%)Pi+CTtjd6Jp2Hc$~<=IJkEO)|H};g^cx7kZpbt8vD_gZh^#?7A<$zfu8mW} zY0ZX4^&k}%u8BJo`V$Ca|Mze?xbAebpOtU3JDOWhh8%x>_*(Z9d;UALS2qiBh10ZLG#=x62UA zZKsR06?&QQi0pA4zR0#ANh=_?P(S!Z93eAX$Qn{N$c|V(U4xtu-RPz%>WvZdtZuKW z{q{Q>+Ju_3~jUU8&7JT7w? z6?T~?P4?B_;KEJZSW!f74Oh7zp&7G+N|&7}V|^0ZU==Z;XW5(s2o2WIN?Ao41*kiMJD+&qy&P)M#K0rLV94p6OOmlABW$pVX z_;+}ubNJs(M+kE&=9bU>r4a&-_h^T8g)sK`VygUZd6w7D0ytNgt42J})eN*oy;0B6~w!=HfDjelog z;g`Et;cwR-svk5M23pNP@z5U$>!MsO_xn)1x??S83e*gwku@GigmDCk9`LEvv zaiq3fe){+KtFg#v3Bo!}fNq?>ab3y{ zP&i0VNDogq(fEy@NzIjBLA6fSqMsQxf#-k2Z6Q&l@QI~1#+~J5Wk`U{j>$L!xtVpt zw{Q#m^s9wn^sa^y7k#msP0QfnUgXc!Pml+1`VdwypW;%aY7I~Ik*M8H)7WYN^ zG$^K5hWh!`(1`0d;KH5-)Bf>`RHWr1WgsIdJ7Q0bMRHSeEqGhFn_tXi!F84YCA*_X3b zqJOLbp0SnRiJphc&X7_ZeZrAaf$s>p6~I?|!gR_iVEaSkN(C+A z2?Dg44Xer6w8Si|nsbCtf=?q>Ax%nvN0@|`JnWH;0^O{cebP4=#0q*X_OJDA(-+`~ z44=*Eb9X#kMigakP+g`d)B=)*``((W$8$tYm^HiO%hG@YW#(vfdk}d1y!EaVgyD|GLvmf1crwY+2RE?K~`+y%gqMHa;E&FpX|47@~XkF>_ zz0<&^zs)Fv(Mk@lhAnp*ye1P8R#bpjXv-l6yXI2krSPDFB}>m?IJxN2iAEOZ!pOd9 zl^M|!(BH^~RzM1?B>hZn&# zP%|0#vdjup$3CT`?R?FVZ+6?nfK#*-Yi{z+*IPC6skmtcLbDljX!nzZ+o16sA<8FC znnb}Sm1}BX&ESCFP#|oHg4oq|bX)JqV5aY#EG3JCngh#y79kYDN`b`uqSq&PBX|OGzxn&2eKy zHbfT^_{(_nPf-2^xNx4+#N)x=S1Ry_w6kV88&fJB3A0DL@H`tvEsK%`E?a;qJJ&bf zVWb-`GnsM(E+OmH@{KJ=Y@M@X%ptO)4nS9d`c{*cA+C3pSq(rTNiv@3t88@DP+eWxfVT=cJ zFKm1UPg~r^Ey|W6Z|_243P{xk9|&pHyP4mxhX>`)Ms$6HHyW5@vfhpp$MXhFQQx0O65{VGRq9$<1ApfDSTP! z@j~Fn@mf62EHq*GH<|bt5a0K*V->;oRq)C&dba{sFF9C*kv^x~K zWF0LxYHhj+qhaD})ty*YQCxq}9vD8X^qOOO9HP3t?M3$X&2n0|F!GjY~|#D~`c&tRpS%&?=c4O&47imm5aLNc~}CUu+c0mJF3y z>rN=lz}>C_0@1b9n&vgItOCt82koFtxFpStwPh%N4;A;Z?cm92ovDz=C%DfZ-(UV~ zNP3O=)0FlGs?uG6mvB0uIE)X4%=R#b?7(NNzK#s2>I!55$v*B6PE0;n$4wuumDW1XcoEFs&Ui){GsUul7Jc~3083cTd$eS4A3{Gdii2Mp zAWbWi3B9e9Ei*0=oMLC`Bn{`fV$r8DqS!gvx9R`%ez2tuC8+elg=s{ zA+kJ}F@OqW1zi7UP)(qc-Vk9T$dBuA==#eQ^0zba)4qocWG6oYFoW#y4oK-6;L*rI z1@CdZ$JG~*C@Tl0c&w1bQx`q!Vq8Ou=`c1O{`~nDlU5hdpUy9&M+Alnp1~5Isv%?; zt7`Uu!WG=OM6(@?w!|s9!79CQ4#gB}XfrM}=|&yLev_MkLhme!ejSsgg>XMhuW=SW zt0iGDm_(c-%N%+c(Ma+*6A?CM{V{^+iHxOH*F>P0D7J4^S>vjm@>J{sl#PCudk<7; zyJQ+Msf~rb%%QpR=#z{n(i~fpASaxI5VqK^nT^PDuT_A(*rwN~9}DFKDbJ zQTv!5!0X-c!++Q5pUB1khA$oL?Hhy9(Mxy9{qRzn@HRWT1l2l%DO+7OYb^S$v}_>o zu7-hJhpQqp7mk0AYosJl^V1~GK-z={Be~QM|L~PEu`M7Gj$|26bNZOI`aJjI#AH7beT)|POvF({JH9pg3+CUA$EyJ`8y;r)qOEa_@o7)WP``LzE@i-ECaAd*mw zkB({=?GvP%f(V^`{*V8kO#4WAy){wJVf)P5K^M8_0+GnliuVm02YVMTFrs$9pdSUT z6ga*kA?OD26SKE)Gn}jyjEM1%eZ0u&AjKZslDdkPbSs>3h4KZLGmq~dGo(umM^(gj@E>#CSYkEf^MXK20m zn17ab#jRS#)NySk4yrxGl;_`uA032VhO=E^FP>9s+NI_Q(rfgIH;Qv=Q#yHC5?tzC z)HfjP2C&P~P622oYI6$ko#5zWE~@dU7p7=v*uWZL4;;;X=L`O(6t9c(D{61L}6O{W5Sj*aNHH>}qM>pM>DfHYVA~zz3`vZKmd%)`RClKz|cF zZV1%{9|F4I)3%bqoIb(X6%?MOc)libK^yqUDqFP&=8Mq!%7dtV0JzRkoYCn=b$Z29 z% z1kG`FUdI)XE0we`l z4t-*l`NJO|lp+Eul>_|nff7vc@J_Wq7nt()c;x4BeatkA&Dgh9bTI+*X>E%A zyAZR!KWUTAbsvzP;ky=+yl=sYh`u(4v~`uw9QH&7&=3cpNYj_7VQ zr0|i1p^9`>YRA^I2qOWC^s>gmMP`CVUy{ zy7LltGM)6b)rh#ur< zCst}h%BKlx&|QNa8Nn=KaX#o;LR*Z!N*j^03!Cu5Un>lK`yMNBB`r6foc;PepS6!a z(0iz=omTC5+|l~$O6UsS95~LL6<}n@z}5tIORq$)Fb|C5hgL-r@L}lMg)o?23%^3B z%#V#pL#c7$aGm+x;dK|Qp%9*fRv8`g+e9qABXSu|3aox>Qs)Cz|KIZMp&SGo_6qXS=UFr(EJ8o}%1200f`gFIWW zISe1#hfyA`aj$}G4xQdh7Sn$WtA~TL|Oo`IftaQMHJ8MDJeW~U<%PB5A&=Y~aV)CRldBZ0e({tE=7 zKEu$2Z|JYwUO7B}9~0KKejDWWRMq)$J9iScP^Un&0-r!U1nfe{O(~|5-^6wXy)F;u zeL^?58IHCmVPZSAX5M}HK@97h7Dd1Jt#cus!wLv zAYNj&8hN*8(1X-?O!YlZ@q#3L<@rYCAn%>;^zsTdEFim}mi3o3DWnY$| zk>P>Y14sFJTRJ#i(Sju4S(0clPIwb4b8d79xgE3F@rW-!k2HjSM#a@coB>A*%K>}P zpywFo=wvN`l#sSrUZ603S-_9Bxu((%u5);Fex_0?&>engy>|$H0ACK>38zcP4#B%X z`zY?q&PSt5EIIB&oetN=NB&IVjjo&MuPt}Xph!tB-z-T5XUv>ggR%H$R{ml(Zu}m` zo~aFY0fx4e^(Nr``xB0Ev~1&u8t>=;H@ZeJS_^WjdINlygTC>klg9Z+I{a)Gqc>}q z4p6yFEdZMCAm2qFhs$8&%hNWlVj9DhuFw(9+)DxcWe9ifarf#iLHJ@DIwm(f~^I)YGsrXxn$H=IivqPdt%Dm%MPtVj!SMFT3tc0jprE=F(VvYs*l!e_3$F((gNsjaN zM%1lJzery}TpfLBGrAKgycU4O^hksirgy7;M&a}^ zG)9siRtrW_dHZ$?37cQFM&#SR31>o;(%#_D;id4r-Q#w|LA;?@DVwSdyb93E>PB)N zvW~1BJ-tMsYTQPnTZi%p)akz$2trFM%``q1-RRbL`V%yDC{}rCA%PU*Ka?P}#cz|-AWriai&X=-8nSfY4=6Vr%&l!%C2_}JYHN0U@sTS8<_T6l{C zw%iCTr~qFuy9y9O)YvEjccIfjf){pcB|ag5+%nulqnFrf5KN) zab$tcEGf)}>*hJ$z!&zBxoN+Nx+Cjs4SpPfKW&0kx%-JVuYs-Soo2LwgT2b_6uSgQ zc)RsDQCx4XMox0?sB0xr1ch0M34@rQ*-UhEp94-iCjs(oXIhM_xm8SPErQPkB^YS8 zFXKtpiMV|F>rG^mcMZaXfGL+gIYN(gKJUj8or51NlM%(3V+lBi(5a!VGG;GIdrsVh zrSfQ&nM>dWHfe8guQbNAD4p;{q*~Yurc>kN6Pzryrd9piX#X5;0~Pw2{H7aT|TFURU z@9+6N|2(hP?m6e~o^#K=_tg87Cr0J6F=*>=9H{T>YUN(^ezDwXm=|f@-e^H;#uN&3^jXwPu zOWC(}yH0!$^+f>=w#_yJ?M6I*I2NgI2|Ities+i|*-dKGQ*X~=`*9NX%X+4jy7K}E zs!_19@13nn^gT;ocYk}>m>JXR8n0~>ls?`k>orMRBeUPE)lXmSxa30zkku7pL4wGS zx&_zj)&7%fb9%ntA9)ZZ_Yh4dyq|F15SO?&!c2lV+m;q03Wu?ys}dA3(uXw3-%VN0 zIR>~71Xnf7ZOJ?f*lHIiMK3^6mjRMMZbajd29{}F?SemY(b07OjIOk>pms_Ji;mNV zavS!i;$zI*@Hg91FmUXh(kKoI(Zc0ps_tJ&qX&rg#`=?uZ_bZV94<@aY7gMoLVN&^ z*)f$>S>ingEKs?+nlW`p|9~(WBodGuBQ3B5xUI89x|0%%dRySG>7-FAeLB9@=F=6t zmq&2gy%#lXhv#gOnEHoU01C@8m#AI#mDrmpEzqVn1DM?|l|EuClU9kHzO8QM~op78At zZzVpeVVP16QvH7(DBELor-%Ch8I}kV(x5VndIxy^!pZ*ZuQq((N{3@Fc>m=JGXWP9 z)4DMhBj~3-r}@X!?p~4WH1`2pY~sEnK-C)j-@Ak4D-xwDjKp&L@kac^Ub}pcM-vnK z645yTfFp}X>mIvlmu9%ZbKrJeeL-*pe5U-ZEk1St6&CF0@!=vb`BS=L{u*`UDCbEf z_Q8h!TueNcueppx(N0Y4U$r=(jjIa;u8J$AJ(s3U{M;ZWBa4xIeKujOmLqEGO|7v$ zU2ADQ7MQY|J^n#zBbGyM<8!^nyPQ~kVv`uDjn9Un|4LvAgLGI-MT+H)K(&#}vpF8h z^xFT_k0#35QDXkn;7|zS+1V2`eT*iVYWf_aP4I8qPBG{wZxm1;3n@zvVvdn9|HNDW zl|j(heLt>Pr7}@^nj&|>1Xve)Rnj+=+J$KBNV}vjd=u6(k=Uk#;L2#VjH$xpbdtx) zz4^&1?kTr~u{!d`;@Mf>^h;|$-pk3YH4h^xwhEg|op|EtK=o-NG+$d^RU<{vH~VSv z6#5Qqsu|bC!uH|h7C*4W)pMu;Vy2f(RUQPG!4fc7clAhKvCG+hSGZ*3T$~UU| z5Hl5h+$mT7GxgJ_D&}S{nFWQOTt&URbGHVq{62BatgU<)tsorU6Gfn4+4)x|M~%*x{It~ zZ5w7_eDR@Y$#pC`#Bb;xF76YDKFA|tEX_69q5xkT3mA7r8q&m?Dbb( znf;afhz22VJI9{XtBgq8&akYNdl^qJPXEPnIE~B5LMu5@s~EgY-{*R)76i9P1l#{x z53N=2k1NGr9l8wJeXlnu6;W@)^Wncztvs2Z%y?`@Fvap)(?mvgJ`tN0Ko$P%uy5C) z=6+8~h9LQ%&yDM0JC^_9o`2UeeaA}=J@e2pdGL@b3B|O2I-oh#eCG6n1n(q6fwRhe zMQE_eza9sJAXOQy<3D^RG^ex})=#Cw>a~&C;^M|nNvo1H&JwJvd^ARWXlYQ*YDrA& z6efc3v#!~RLfTL2-)0-as*FE<+J^p;DJh)QN_lxI?O}#{v5)zA3S2%aVl$PsreL?$ zSP8iZpHD>?8fu3k_4ieu7EZGi07$(b?@f~MKUm4>FtUVAFDmAL-9@C;NF=jag65DH zrQbz<%`~g7Fqg8P4Bs;Uirkmm;B7w6Qq9*LD1EQkQDMAvLva{#dAn8Hs-LaxM_XgX z<|TdFfve7}tr}qT0B`~TwO63gDkUGirYD7Yru1eMB%IuCJz1-oL4oj1*A;=n3W#W%M@+ip z+mm8jYUi8RAW)L<@8h~HuwkSTX7$+wXp;43*=+m!dwX>16s4>bMR2^+8|YE5r{R2+G8`rQ=Eb|gCqo^q2U^lav*o9CAuhqFFdr4f@Wi3+F}g=tzzS0^@j5 zbSz#D*5g^LX|BKP6lc~;)azQ*bkvn`aH3%bySzGTqd#0(}# zJBh8{m<<6&D`?Y$ePF`|%hb}hSWWp&N%SUcRDA2ZW0Q=4Nn1a`H@1xf@)cPqd}Bor z4!7@*tOAwr?%w85=Q7-JK5+_GkZ!@<>epU5?JZ~|#a)n{JV#SxKYGmsV}wD6x!s04 z*#GEC4~5`9L~Him3;4yNg=Vle5QUs4yiSz*a*%C8eG4FkKIl16KE%CEJ1AdCqie%I zQ}u-3`qGG;QQxcJpdFr6%%|S`5gv4w?DI`C59`B5`tgJx;n)PjXN4Tg9z=N6{A$KgLh1mXW#~>s<0RJg%3_;WNYO&d6QMs?g@p_0_YfEO1On5Qu>1vYgc!rO&fPrQR$ zjX*)67UkN$Q`Pkp;SDj}bEvcj{y@K#Y3HnmNp z;KYki4PfUqyu3;>YDlbRTa9Yv-mD82bx5N4)rM}H;c-39GD^Rpl2V`2x}m8cI_wzR z07R9Yg(L1{QurL@XU|ab_YsFQ>f!;e@HFL4Kb^{bPMk2KSYwym9AUrA1zoUWtaSKw z{dLbvjRvCP_Iy{2H2RJa(2&GH;_XW%i1#O^==W&bpBRf z)RFMpADzlL^k^qWJw746!HpIi1g1rg&vL@7skCoF`!{!93Trr;)?B<_YuSC)_(Ome zUuYjE`KvoPah(FqiK6eTV>aYE+0(EEDXWd&J_kb{_s1wu0QKud0bn)Aq7I!|6b4kR z?q*DoApd+iP0LrXcC{Sd^omvFQtnaJ%KAzI0~K6+*VJ0f1{!Phx(yR&DPNcS0ET)} z8uw^^l2ST1g87eju!-K3BS2&g%j)&k{OeG{E;jjxnsBMc2>o_XImUfxA{fsFO4XMl zEDMVf4!i4XbdhtfLEz_LWoMnl+|k6OfHq_k_+EmN>bH|9s>7zatD`wRI#D~H7Ycss zn?ahz79E1)@hSK<{tDK1Zz^9s%6Z2f$HFbiAE(aB| zg+BFy2|R8H{T=)wqVa}JzrLeui4WXTllnfNT00A&vA#4LVw#(SIpfkYx~-7+oXR8Z zXW1cf!gwz3w!VW!c6kBgD-;=HtkW&wNnFkeRZl74_%!R?`V7`M{w?e?|NGAmy-eF~ zW1TiK>e6w#+SVKXR%>Trl1`gP8Fi1g3BIo-J<}j|y_DEipq`Nyb06U_Ky4XjS81p* ztC^SWdbPh}rgV5(SoBP!(}nM43}%}{5%an*_mZI(sfb7u*hn2)Xv!5YYo(6c0Bk$b z#Y#_^98l=Mf9Aa2A;>gA+?Qjv%{nOE-r9UDXI1-y51Gg*7WH3FNC~Cip8hQ({g_|K zJDIh-vx&rw=;1o_=yWi9TP8HLZAi(V7V8({S?IL!7g3Pp)h#=SCS9##22emVy_}Yp zAJUJ5sA8(Ww+$d(&Rh3lYrgyhaAF%fYDoA`OT)STh^`_}HEQpxha=JvgwZtt%pZ0JD>c}?x? zhRApRn5&O#gA1BdSv89`J$Ce-Q}^(W{{En==JdqP#dQqRQO|2Kv+Qfz(QXZwY4Uoc%rc3iK^%$#lmjNMbTbTKg&2U&%_sVZvgX3tDP!19G5kO_!f zt-tj9$}?E)0MO2tPk6!=Xv)-zk$qL!SZGvo!!}<>v}1N|Jzuf3@^cQHQ6JlOe3Lvs zYmd**u-4i=%8Dh15^k_^cpqZ?1tovkeQJzmO!|n8p|Zc`l?qCyC(S$|Krf%G|4;Ew zt>HxV#&jdkZT_h0QW!A1B85FlOtUgjwD9hN%7WCkD?r=U=#k~al;D#jL&_*5A4^^C zxAfQ-uS=~SN-T60J;F()1jq0hQuf?a9Q;SE$i~?ko9iG-UUjd$@7l- zmS8Pw@)^L6@RVLP`+xnfT~zF2<4wme_^3dB`i8NF?b3lvjTWSKwUjq(!}ybAzCydq zkkWcY+h|qcdGSRGCXhi*%epG5tUG)E$S}j2i9#ZA+yDrRlRG8%j(tC1SYa!PmM|k5 z0pcYT^V7;W2(q6X{FClWktV-UI=!V{>!Osn-+7+bn3_kl1aKV+so*~^->TW3;aIL6 zCH3Mtk|eDJLTJIx8X&wBFv66_G7Km^>Y8 zt5a`b&rLGhxmxo4=u8~r7y$^p+AJ?TNHbi$b8B0ATRLx8N8jWP-HW*%p%T)G}$}u`-C$ z3EknhkOT{GLd>Bt)puX>m~Qvz$WnmjS-r}odo;#`%Airtg-UFU`NElp#6exatO(@HICSE#k~-sC;7Fc;8bCrkr~H zhVHvj=dq2(3NtcIV4@-1J%LkPz28^3c)JU1QfBOJOE5ak2C1CRXPhQLQ%z6Lq>xM< z1iUnT|J_X?ug;55j$y|c8>pQ%q)!}GP7zAEmyL(-2W~{=Xg$ieD;opU4zv#(_LbB{ zNxF(_l{yJT%Dkke>MhCVEd)F(Upnf(=WJgsi%?^`4F0`UtdT@QgY@y2(xptg)Fv`U z%p2Oe)Xw}xM=(4Dy4Gx9yYOH^;HoJ(!CI~c7WG>#Gjc#cd!z#JNVB(TByI& z8_Uc|VAhj238a8}`UTcKqa6{(lsa|SgZxC7b5=zocvj+AeFbIFbR343U@RIG&cO|f zi1ajMVoGh@{CMzM*Xr`}w@Wg|*m5t7KWupSB1JPP8)SV{C*j!MRkmVN@5G|}6wuBY zpvJvahmi=Yr*PWn9n&=f59WWK6WpT|`u^~8qVikrg-l^%GDKyeBR+H*Bc<HT_wYt>EKjC3IPZgjnQhc#7A?4Y2Qk>Ta z#_`^ijArDWIQ{~M(?m{4sdG)~YbCc4G5_1GGN{!hjA@{6BL5`iWFpsa={K3S_3-Zr zsWOY&E$X5lMeX%b`6r*-nnEX}7>nSA;YC@rsefyWJ#NYq)lkKyqpN=eja7d>w)%iu zS0xgmCvmNY*Goya!N~=sPJU*IF^*m_t&Y#)R{SgfCUBzti+Nc0NV!k)!SHzLDDH~9QSSLbNTw7E<^ynD#Ps>kwgLPBC6e4=y>i%L_g@2>AlY-`$aNIp^b z?4FtYRukHDkSsPKz2M9t=L}hl<>*Tk5uT7eJn5_19x)YD;d2ejpFKP7bI<5`TVE3=9@^tB>FvL6MZjQI#j;m1VX@3n z`TD2*Cvgx}kZ*1#pO;5{NUM>L+-C>-Sfh(_J*|4t`HwlEUxQ`@O;G-SMCV_q`+=@w z-tEG(Z!gqdPbE})7Tr#v7i4)Idve&9RuhcLZ!Vx7vVJ;mjr1BbFIXYLmFQ1J=nQnt z&z}zH;2dkMg5C|C*d`nH$6T^&&hmG&iGEBI(iNWLC(S`(%tB>b#;}`i44YS`AVUA*U4rq^Z$wM+Fvf~H zx0hdBAlJAt3D5?S913hOnV$-#+OV^6gpo8jvOQfno?LWzkUkQX589wK(kVYF}q&?t*!d_HBys|YsrTsJ>`@|XSQkU zW}8{{Q(`RtnT!rJDBUDy1dP14>M;H@AubBetnqqnU!g3DVZifstfS%*X=k%yHQ>NX z>08;4KCJn7^wk98hfr~f-%vxm0FxB2ged3bHOyus%qcya}`mX~_=pkO}Rd} zc?U6w{iN^ZLM1^iD-pg6@uZL-_ZR80$Vro&nagMzZ5lGJ{VbubDRBG7OhKD}>)3>1 ztdTh$ohtY1!0hkuL^;GZHZg6T3znlt?-Hd!f!?|6jAAZCAH>EC#z=?U0r*Y>F9F_?{Wx;sul{#A z{P4{yt1Z-%&zvjG>WT4G#Zuw)CvD4ViwtA^t8A{`e`ib-WXu=XCJFjG#GMcbyAo$V zSInu1j^RPzHF#_oSR)?ay~@^7wgIPBN%^m&@$bTd(mww{p|KUer_Id=I#?{TSyVjL zlk_nfdTiA?zo}~WiGmSon(Ob(kH4nrxns;`e`s((VEDn<7jS%C(hggmd z_LW|?NG=m~k%cC5qh2KK8z9n#fvJtTgj}+p$ieluaVWMjoFuTF5cJztZkO5Xxkg<- ztsrYWUwp^5ynHa}%tC;1p{A5Kp`@&UM zc2a!mi%Z$N$dc|!el2HpM)xX~DF4UBcO5@RXL*3ULml(*`L@Nf9yl`ZP;kCsZEm2H zr_Tt)GTY1W=7~=gcLiF&Y+cW1zGRteq9ILE4$m}3c2u{FUr^uo{StceC$!|ZyaE7{ zyRHpU5?DPFgDtHFd49EY0)~60x|T`uFY}kblgT|9o6b%JdKAiKXbizc0re|6w6At4cs1V zU8PE8{XF){5vM&O%f)#VhYo+!2U&#;$--wJ9jCTle3v`JH-5E3{4@3oAC3LlMh`0& z<2Y)t7VCll#+y?u&>0b3Z-7?sOunFbgvG`Pg?TCR2z@nLg`vhEtB@DU2SQdy$Dpn0 zYKeW?tYghW^XNZbz!sf zk$akXP7dMHpMnddi`|ru&@bLb_#^c77W3^9T=zx9;(0t)7B%(C+ByaM*)+vw$QaLjw z2b1g^SL^6T9zpfgPTsR!5=4Id)AnD^g}ok7So~CJzMQSgB((U^{6RP~g>6ile`?dW zQu?vK?^}(&P|Cb)1Z~c|nQzO0V|K; zgw1AdafS{C7~?%%Z&ie?SU=ZTOHN+mLKT?Yd>!(|={_C3+_{&^x>>G#P<|rQ^@IvH zQth@+O!Yr9dKBxeH^Mg750~0h)(JA-NSHgA0R;QW_i8GFio*Y)NKt+7XTFgKC>#zX zywXxUo@d5Ycumak&g+Hpiy0wLryg1vOFP%Fy90H7IS5#(oG0H~gu=c1FTe6Zitg+hVRTZ>BRfwZCp|s zMa8HUKF28;^D2ZS{N08JVHkk=|C)&tnDy1*&-x=)YWFDh=}e8TqBeNs^;wfvJ5WybH6$+;Rg?NXqTLM&^U%aeie zZ6NTMZgXM;ryHvp$31`t&oa<|fot+?q~>ON^zYO6{6~%6u@=gnQAMLz&9ftDzsax| zM4q%i!oC)N;;$m7fkR{ooc>U|GlZcyN?`skm9q_Ny>JV_*|WiW{h_)EpWW{Tr7mhNjY&ZeL|&Bk z2zR?c`1J_WWHHCdlggyj3+>{1`NCTL`v~L+T1S$JpXS4&C*RYuoB^les_hXr*kS1 zx6N@DKM+pobJ-)WA5O47z)ef1`~O%M5ub`VO(x=(K+!w~{1!zj_)x<0j-o&QHNZG| z37o*u)A1!>$+-4V&_XTg+0^9RdFK zR5@7@thV`{RA|(mnb_vep7tjqZeTt^n(^&_cyOMa)$izzRFEvzkxQCir?A!{ePY-r zf}m;DIAOv}YiYWR?ENsk0l@>o=E}97_e3iGAQ*Ejt?7}tfD}Q9ryxpWJ!1TxM1x+A zSUJ}slW|4ccDHd5WD;#Lhps{HM1~)`-Z8nE5;xX%nd!j8$U|1 z?@yn})nPYU>k)%f^>%S7spkA8Mnu8jb zLjk{s4*{hbWdTN2K&>;!hnU&bUz0nqL)1Iu1HIhlW8&vK@%`tsV$Jz_RA-WsK-%3$B4^45paZw3vGpaHgZ}CHavRZoO z`Sgqo_w{prjt%t4i?M*bzgQ}n#HzOX#bz6!*tZKctRo!axWqZLvlp5nmGJJPkJx|E zLpw(j5=a|)^}JN-=gX=@6N%}?{YdwDsRUzq)cN@;+La3JA^Hm5@_FVtc&kz$iijJt z`#UAb(|pK<8Ww%Wz<(%pU%i@8eC5#gPF^AZ>kTTcYS?rn-L7gc;p@LGu8#Gks)^;N zTh0cvnW8%qQ}AqduZ`==Y@=a#kG#&XFoq3&hbK^iinVc6ydBQyctm@=b`2|!W{ZT{ z4hx%4V>Ss9C)$CQRhTjVH17Fen2pZq)}usbp61x@3&%kceSmOt`;R0GW(D~!?gP07 zMcmlHh6C4wX>~(z(UF~H{b0ICd)-oQwF`2rMYf^q2JJ7z7x>e_L@pojtELmwPD_-$KV?}f{L`QA=7jRnr`cmSG!}h ztS*;4pC>(+VviL+9X+Q_*pRvmy?!jS&X>$M{yFse$(h*V8!C+6Z>TnRd%Ei~h&0>N zRYG`1_IRzn?rUyYo}Ln}h~?N`Z-fpa4Cp7ptZAt=$ibGT&Adj~g~aA|g$x_0JT&%2 zX?10hynp~&F7GgdZbHBe$n2P+^--~q-f#PgjwC*d8M>p?WZ4F zD&P5ABV2}xLOD_{s-YJYLPdpR21j>=K>igBgeBFu+H)UUGK|5nCvDrn%B&XI>L$ZN zAQ#4wmoJ}ol$GpV_%Q!2%|o%)9v8ENVa5tQrLrp*3f2I1>-5lCIwM=|mx}e23RT~$OVq_n zjs5{2&w}Wav+1S{S|>7!dM`c;^#|D!nmHKivnG{=Erd^EsuIgzHA3+Dg7%TPTe(vV}auaj6Be#U=7lFC?w} z&*9FEvOWmWex_c2{uS1Ed8g3uZ-Yx;Vu6a}Hbu)bub}T(UYAXZ)X<-umK9GMF+BUu zT#Pr}rei(_RQ>s^Bici+yN?vE zxy&-hEee_AmT_j7ZDzl}mA^8}N%)RF~hc~e(lu=5lFI-l-KN^NyEU(XP`59-RA zeD)N}>K8NyZ0s-6b53DBptXW+GKq0OGY@4jBC{=Z0TU|{UShT1j1BC-hmu$)C%%Gv zm3syFgw?fE?V(5YvWN=)l`>6Yuliy1Zusj8uhUg{`c>J7yZJCCTcb=?lX-=qv{;sA zmE}IeHqrKsrHwoFhi@kP`cWf&6{J&F)F~FP5_XOF#E7^|P%j}%h0lHnx3QFc5i+|L6Y5dUXuGDJRc8OGKZI|ITm zN6)96QfwNYBzM9pAAMlXn^Z@&4f!gbh+5b0x!eDYiADSWu*U@&bWS|JY=m*=5jjyS z@9Gu%YqJC*HY<`+5tfGOV46+K`IQ`wTPR9R6Cc-QSkA+7+10N@OlY2%JIKW!5Ii>H z1Bcc{<;B|ztE1!tv#`O`@%hdA5axLmytwMdy%m$NLEoPZyAHZp1s?4!;5xVSOn?w- zh=sN(7>c^n4v~WF{ z-da(67YFiVSi~JLBr->^gK){%(DZJ!N=;vj8*GwP)9}Ly#g#A%E8j3#_A8K|vpC=n zogZ={CpM?_)R}>*Tdz>HYENLuxNhS&8W|PVlsSX9s{_Cd<_X*Bbx}aYM^;+YRVT$p zSHK3lk-D{0^Qw0$Ya2a5T-7>U-r|)SmQ3;7s%RTp{C(xyVi?a}w&g?7u)ma55DUV< z1<0^eds_r7gg=ihPAf3#D~%NMG`qNQ2oIs*ikIU*eQhP37t#yRc&ONbEI)d_xUdnR z#2yc3*r1^G(z)d($0g#@8zK*838V()Nd7cZopnHz3?l8JCNoi2 zv@75R=3onifswgb9!TJQ^;{M6+`X!@tf8UVU@^d~jhMTa1;NJ4s)Hc+y6$-|$i;{63TDpDUYz3=FP-ruoIklHn z{3!ca^D_HbgGfBHr^wH{{I;jMA;Z8lVkJL!O3uKLQ=nCG89O-| zudIo>5W^bA9ievL5*JAMI4{#q|@Xl|>z<*i{jnz42&19+In zPgzA^jcnvIW`F)qxfAC2n~v_4UJ%nbP`3HRkfnSgbIP~zJLAt8m!yC612azY{91lL z>tY{h4VL;XgkY2|g_ZxH&LVFRY=yL1`laz~Jm9erJ{D*f!q0jYexS?IK#~&$m5{L0 zxZXj{)0Gz5)FunVcyZZMV9o)ZmpR zKCPmj_4}Q70OLhWMKP~(FYX5J?+f(jwUZV36oBH$%^vXR_Z1C_XTQLcWPi_ zGoHh+p~`qXqc&!wqv(e)TUmb8tooP7)AJ!v=Njm=8#96VMfFdI*RSx{wDJ85N3mKE z{A&HS*>X}(>+|;MUPikSk@h8jued_}(%D$$ho|mWg58(;vRaQ<%6S~YU+gEB-AildGo5# zcaqP5=JGHocvq0BIS}r(8$X~9&rTINosXU*lk&QWSV1gvA7pi;QMuzd3GAjXnCME+ zJ&0W*mdM3?s(Nt99h_eLeX%wZF+NzAnGDxbqcao*@Drxs9C@m%|KN(?lD{m*o}3De zbYGl0!Cja}Ncm)PH)Flq@5VvT*}+=>y3}1auXJ61BQTDCM>lOb(YOy^ zga=7xhNnuU?^o%m)A0j!Z%UwbinRVA#Lw_5h`eIoF|zriN%qIbk5^yk7;4l3nzJzx zde6Po)~_0g>G`F^f@=mR2Wv|)1#4LMUHKv28=ib=yk@!w`@$Rd0&*PRrW+vn(V6)0 zD3b`}OU!+Tn}p>ZXcQ6uNDii^78oq@yfYi_YyL_dsN|28j#v$RvyVx69X`u{Tetn; z$=Nm7FbX}$0K6@M9@C=3y%b$@PsfUe;E9Mx)C7k0aX?ZOzmM8^`($-cnPOgz z75DZQ*Gnm_#G=U=*@Knt{o6c!!kvOZ+iG*OcIpaex{dCM$COb;>Tf_gvhKnz&K`f~ zCuq6_#_GR*uyy8p{GnLg(B;LBNEt{7`7!CNQs2}pUNg`6P{LzkP2+eX8q4y45MdW} zN56vA$8O8yql~l>%a(W0L#eM!r-z%*3R1RQ^&_TB?3F{$E@H6fKOvr5x5&V`{Yc}S zH`C~_u?O2moP+@+Dmkm}n73p|Tw6gb25k$BMW1wEC$=)!)YGeryL4kemqus^P`5?- zotp8|oig!2pc)bn z7a%62S3hOf4jXkOGNl=V$>^YWZHdR24d2ZU`QPH-;Ea3c&sIgegY1GzsSoo!Egz9q zcqK(ZV8F-swbhmu-1Yp@;Zv!Cdi?hnWHhH`zX@*Uhy^Ge$eoF<9!ee1u0&}we z{q!npzia1A2bzdc%gSFm2A?z(sM>lP!Lr&~hC;Dxh+Z~59!X1V zjF(4KSU&nN(tlAQVFJC6(Vb)-;x&XQ10PRDV|6|vmlM{WM*OjNLOgdP{zu`i|AL~8 zKVq?9zE@tyOYogDZPdl|>yN_*OMm#=hx)3fWK??ZN|s5u#J)>2 z*38uCgHx_&hR?ne5e=gU8V>OOS{8T1G=Q?K=q3I+0845dKMJlb6W*LS^p*J+Qp=!}!bNQj&jrUIzlmr@AgX`ooI@W4TVi5|- z1+kS0XJJNFH3M=X!}}ZA1;LzFsveCeqFn^bi$RroY}YQ%NzJ#);Qq~G?T@Nbt!KZb zqSElGL>ml5YZ#lDzK}b3gHqZ+{ChLR?C*}FziU9>$%DO zi;gt#ZsNz(JeisC8Rh&t^6h5!bfC->=@@ho;9XJTROVh>zJFDIzL66K<#&*+m@hxo zzhCh(-E~vlzdR|ll+`bHB<=Wa;8VF(-aS@jPa330@V=ZLal??h|o z)KT`uh*$oMUq1d()8SC;iu}-_j|zZ%LOZrXx}I_OkX39(gJvFKPrFG%QBR)fN`oF; z8%K;rs$6|<9&pg0*f+Jtq-Tm8w^sgW`^aRvg<0hlh^d~Nt){fdp(Bd7X5Oh`y{+x* z>=QhJ>Sr2Y7IHrDz>=z>mb3v*qW&hBrMk!B#n_b!k<#|_?N7V;{-JAVl`kfWb>6yp z_U%~2+{}6%qX*J8)qj1`8b14eG{UCXnI}Kj)GSECYI221^F_3YDv!`g-&p-^ILOs3 zsC{u_P2N=}x=)Tr$cnh1wx7D?V$NKB^-{3B^1X z9qmK<42~E^&PlygL^n8*V&X?$u6mg21trTx=H zUhj~=ThP+$d(AN(Tz44WR5u(0OOUEqogEWZ5u5=?hfR#0zlj(5Wqu^Onv`yuy2>e} z+sU8xVMq+6bS&@Tot|j@dc^J7_W3JAI?OK$X?mF2lsNnmf3d?Y-+H}3k0;hqZxp_| zmVh>;GN8iw+nnl=@>{M1LbFuVtNCyQI#<5oUkBY}fX1Ne_!YJKl*fzch;?$*+$j5c zPRDsp{mk%|km2}H+rkibulBq2tFh>1(4LfJMzFo`>axKTtBNnX?c=xf*t~v;UjAFw zlCj$037~oLNoY{nmZwEaGFsio-0>D49`I1Hk`&2zHc<}u(Bo@RPrT#w_g~eG=q?QY z4Xr$1Ker;a;~#)D#}TeKe#u{o$Edy2cvB;aCn}A8S}wV<+pgr{_5d-)s7cVSSDc)_ z#!};iQh!g(FD7hAG1mL@YVfv#`JI77gl6gZ<)wYhF4M0hz(1xk;)vL9% z<3~Q@o{|QIbS;WW;>?Sb~v9ghOm{6ZQ*@&_BWs?obQz%klLBI zs?Eb;#AusIa?6l>%h1iRU#1-$)2YvnTzT^1O6xB0v|x4%k!i?Ya)7seUT;&{M)N6~ zbC9gW7+dbmbC+jE-Zn62cs?ZyQu0acWpJr8Kw#a~wLX@AImgbtLz@ra*gKY7nk`ny zv1>v$VQ;$r=7qQ>uJZ{|FXu|A;7NA$Y3!7q*pF`c#~y2E)P3Vpd1nfrUjO^VlQ)(# zurs84i_-9hGXB}=tKO~K*g)k+B|B1>U%iJ<)%|#<16cV5nxBytv+qUz^E3Ih7&HG+ zi`}rKZM8a5@lZluBdXe*=Kj^t1Cd}{4n@XsF2$KFgI41$xRL$m7|5H_dm$eCzbEfzdha@D`3`sqPaad!6f#m8>@||v*pG>kb0x7Y zM;;uW^muADKaI%qEbb1Un95-$e`PEEIq*TlhezLynT=#lMOJ6*}@qKdwwX!u0L?3Z(MOI|A9ei@q{cxxhQZ_*&}2M=-&K_ zy@j-aZlkYO>)r&*a?L*PbdD&{ef&zP6s2E?)NRu#KcCoc$?Mmlj4$eT*I>YhG$Y z2*SBsy0o{_-IKTbxyYM`OlL(s+L~!x-p3w)Fq{qK*fh{kKOnW)%z$4vc$i;bo#qDg zznw82|Kn!%I**6ZRG?4Esv>adE}mAs9OSrFqkb!`+7Hl`qExD$XI=xnj~MCTX&zV?vy-a0i51e?tFFujQc>2_i?s>>rZb)pP$m=`IN!urXNv za@RZhj=6i@G=e40aGs|xgP*;TU9VD)lsip)6VTnFwI9LsttyL~;iFtColxL!#%N`; zsb9)+mXZzy>PkYQ$x9|L{7m93=0Eqc31nu=fAJk%`f|tfb5gI=tx0>?!tz;0p;Z5K zx-pr;0c{bpJI(6BLQ)eha`(Ut8oE(FRl9OLFU%jk8ocJ1d0$uWH5gi7+~oK;Z`_Dg z=ripTMz-jCuAeK2xnIrme9!3A^dOZnZ~rwU9j0Ks8$lm@w=Xte48=lJoB2W#EX{)Ze2Rc2atwJT8L%kUuvfPVXreear@dc zv;V(UVDLR=on4;)hp@MfYHRu8M=w^27cZJpyjbx<3&GvBKp_;TXn{fr?(Qzdt+)gz zZY}OE#fnRi5F`-brT2c{TkoykA8)NQ$(%iV_UzdsXO7JN9Ow8LR(c-lZ-@vVNUiI% zOXa%!v@SC9r(Au~a$r!Q>N#kZ1?%2&Jg7h3t!BCh0msP+ev&>-Tkt ztkG!=bWbu0E)!u+3h7TceHIq^sAgGx*^^3RD@Y&8sDE`(SMr*~8m!^~=rxPR^(oo` zk9)kJEH#HSzTf_W`Dv`ZJ%9_hJ9vnO3Tj|)*uJFAH9bC=Y6J@n+Z_D34S#<&USYH+#X*+C*pay39_z#4CUif=?}fRZC5v<#RPXoG4Iv|8N!lk zG{W!vCMWi8Tn8ltMpulT^THE%A`=JdJ-Gkag?3o?Ah2*TF_tdKHI@UgRS9b>*LUt^ z4!9Te)1P@)kPSKspK3Fqks%YAOj}icRE6=koBB$;0DpjeyZZQv=@E4D9xwGyr?Y1@ zC7>M}Per^m;hjZh_&W_gqVr!KtfV3=sp1qAuFfDPu1?2 znUPYUP+Z7B0u%Hc#?Fepz)fhcA12Ez%>ff8Y8n47a&r_#^IiGfK#Q4}olj?H-pkf| zvL5NE9XOI;ZdP0*ESJigUryxstXr4!m{3?1Q`6vcSillIiK1W zfBNg9Ea9<+-?Z_D+9F*YWab~^w0T(%ieW0fH03c`Jz=Iw?RecPt?MxFp3AAHk~;Cx zk}}Ne#X9*RO*aPapGby9$irXo3plfJZMSzaH*E}ZPX{UDJ+0CI!~UaW<;Sp^7*^js zp~r6ve6+9Zo1+YueXD&7&GF+4BJ(cS@Xe7GPwu;x@x@%QaS4-ydzrKCOqW+&&A@d} zhzOlqS770QP;$GxSMmkbm1+8y67S}`laYta=r-}h!LKKbS|1$3eR(}PGfO0>;k0Ei zzK6)nuQoh49iJzN1|sMu+7)?w!%M|ql9x7$-`TvXgq@hiF`d6DGv%6lRY*o8`g)hh z{I?nHpVZe%leJGC2Z)1`)R+CZy#@;l6NpqMhQ5B_=VE-8wR`s4e&S$I2&$nHx;gw@ zn6aNab7+$|ha5MKnZi|6i!&zYNZ_-@51Cc}t-x7|(P~r7HTG-y>?h!4DiA>NA78pE zP>{NET(2;enVH=4#uuDp%i=8v@071lZ~$lq)8_z+_B`GKXCST)!2q!U$T$z1y4TD8gIzD zOgf@Tkcio8Bb_qjX4^Ic!r3ADNW{_-f@{Lvv{IETZYPQ|QP{D5z@z!KH)PsxfRV-R zXc=~&(;m0f4ED11of$4Vz%+^BvtAEnyv51cz|DEfaNryNo=EzIMSX>0sr2z4sp_BZ zW`?W#QTh{J9^@!NiJ`{8PZpfyCss6HEu;gQEGB#y1E`6Xmi6OS=2ZHA36*SBb3W5e z2Qg=WzHI{NT8mxa@`ufDhWL#=X5+a_ft_BU-%TglqUn8bPau)f7VWOR=eYDc%sA?% zXEm0`AY*@Qu2i@}ZL0}SPGwE%hR{Uyc(s$`XCc?ivr7b`p`w(B;;7*?^(^=DTAycP z*}Gw*qD>6``%_ENK%mI?GsQ{8v*aBgH~E>jll?VB&$vwu5sSwnlwbww zPpRa#0e?E>jw|Ef);d3p(H0lwPI<1gx_Z_h(e>X}K>tt7x_^P_{$kegz2Ot!|9>#+ zMEL(t%sP?3IClTPn02!qBNH`44)o!vMp_j{Hp)#F9PZiP5tOzBdHi3x?6IHYKeOPE za4$4q56ABDz?5k?>jKKwD~Nmhl@?!F#p_AG0r(L`S=5!kPiy9W+~V>X+~Jz?yB#_7GR$|5Wk*?EH^?yHEpp-U+Ub#T zX!t^QUdax^X4i;dh~qf&@Ix+iJ*ckV^;K?VO+0KK)%ab9)pR~wI_c3INgw>v{O3&f z+FB)-%a0A}kP7>lT5*Dcb7E<_Hts?Uoj#mp42hO3pg`To1wCGU@_3s8auSL2ey0#P zh}Cs}%4)0_XW@12R%X|e35Y#CywUjWv0VqazZI^c#jd_ZA?V%w0wK5KU46@nQ69rs z+bX_bh#OE@>xsE&`0^at{rou+|dGj+WtnVjBRva&-4H!!0 zea8WEb_16{#MJ47^S*Y^z!Kv_?NU`HGYQh&G4E*zQlO%H;39WgUTL3<` zbv-UTo>g=`3j5ume&@P;yzV@@Zm%D&0F4r{l=ESYd$c8m-_WV_<)PRgc;RAD!hYs*T2)~1dL@iz4SFNil^kkZ3_{Cu&tnF;eG1_|2v0@+D^ zH^&VVT{j6}QJ~%KkQ7&Dd+7|A4e=acI8V|>c*8F~n)GVecG%!(K^SGzA0pGgn-2qf{!uP#Tr=CU3+aeRB3Hh(h)Lc$x?@6Y8+J&{Q3uDh)XKRBJk zQpVwJCE~{b9y|?*%e-L~3csb(ai(J5eq}wdj@_SXWzlqW~UT?&BGc zSq#s2;A-8jO`%-awur!U-|JWU@}&hh(5srq2M#VqEpbrtwUTG&81rW_&*adORh}=6 z%?1M8J3g^t*{LP>)#FI6x9S1wmpq-qQzt)<@(W!=Zly!^ zy07b@FP*euKUvTNjK|N@3`XR4eyQ(=z(~-2L;m=%RkMBlcxH^8x(++nQ-8#0+}yt8 z>AFQ)10N9}pNA!)$Jfdpe#Xx8LmeJwnqS_oxUTF4EpB<_y3?DSX3E34qkrKLa)dJsO?vKhC?ufgEm+&F7q;M4|^)1d2j>n>&Z~ zsEvLGjF5hGeOP_8S33h1V?Szx;lNUlM^`ZX5pf3*y71$=p$w<{!IH^#$M*O?jK)1F4p|j9TkDc*TB?Iqi(e)czs^wJXo;q&|P>)iSM39ULZRL zd5G>k%^#{;SA4IHmewBB+*1S)mV5XxB9TC!+rCEir5fan5m&f6>pkvH!^@l)fyZo2 z3?%92`coM@h*LE!v<+D1lXgGf6?l21Vqt#&>9_e0ANGOUUk4q&pTV4dh@KVU2J<@; zx+DFpJIyYw{#)7eBi+uluK4$VPkt@++%f~<@xV%u{aF+eHkm8DOLVl5(QA5Na2$Fo zi<9S@@I#|(P`na^5;`{y(C}OSQ0LoN$7n)-8HNeIH~Yfz^E&V{3fg!33&mVad!#Rd zan?HeDERHFt^1LcKRj(U+5$N%rc^87V?qohh4J5f8=0PYe-nL3yDsoX?=HB*V{!sm z6yNEE&?$c=+%u5%EnHpuMsw*GZSy+R{BBAd(F4bOyU2=uB!DO)9G2&^*x|TZpgN!V z^z8Jz%p)XY66nyhpQ|15`kr~UGfU#0^xPJA4!A&j9k()YB5`+vy}*NVCU|(ZD|0u( zsrhg(xdU>)Rwur&k0Xlw99$iA;`8vDv`qp~K0XP9$@~RkH(mPij=Jy<47V&=G z?*hH=H;47z2Ns^*WQuI7`~5&@yZ6R{lY2*JnE5i*^&1WZ>m%XuOC%4-ibw^ zoKpL~7nnI<15v!^5l4iD9`LZ=D5d(JE#=(24gv7o31T06+%5V-;(VP^YqVqYAiF_O z%>>-A%kdWXK~eCA2O9Qxi2vZkd<&XxyFWNsC%ezec^I4OLPbgLvvMD}rn+`ELA;C5 z3iZeN4UiSlRq_5h9PUK$kOE)q(hR8ibWf@qrrU9kKRkKA$(XRRD0WXvJ~PqzPbr{j zg!KvwHuP|Zv8hAHeK*&o`r_y`H~#c~f!Rw17+LBhGoRiyCH)}hw|y%6@O7Vu{K8`n zXPltFpC@}YpCkFcPWnp$bZ zG>kUci4Ns3mrBJ9G2fB{<4CP33xZX-njs?Juz}Pz9=a^zZacgUXj5yDlR@5`pr-#- z?LKH{gzy|@>G2Vvgw6pXP6Hq=V3zKjAfd&Pvf2(mdG&|H^d6~Ol`OKMi#ZT-ERZl;n3aU zi_$6#@vCgk_eHykdDm?-j>Y{wDa;7(N;n*t-D#=59&XR;R~3S6yc$GV8!?$nvs=@H zyw^$9&ad=!aX&iL^i?I@S@=-kGdHgvHoHzWlSVt822%hjDS%9UglhQxV3!6A3_c?0 z%Ik)H`*-sB#yGLA=mTL9t_WeYq1@GJNH;?rgIf0KHhroN9VbB&R^Fd|M^<=*b9~y;aW#^ZT zie%kqRo(9iGLGY_FaAXCG!^<8ch_bZIzaTFB&6hKem@&=SwFxVRYl1?x8O((ch~spGK&Sd>nW ze_;Lb{`TB#VVgq#-`SqP3phr-9M@`>pt*WBqp_j!-7uB4&umz#QP#80deFQ zdixwtd@fNXQr$DltDJH?$)@|j8xJrX1XMq-?css#N&7jb13&QQ5vp6&|>*ners9$((%30xk-3|a5 z1#IY}v?bo>ub)!M-iRW^b?a02&VFNV9x&e(-r7!o>xy^l8b-y#j)|CATJ5xdyUV=< zxb=}upYuev180fh3#b*)`DG>sH0vUl)A#cO>G93SfT3lg3gy>VNdQj2Uc&P@@<8Ol zvHoMh1+@^PNvZD%`8~=}F-+gR+d-ZzQTS10F!vsm{^0iB{5FbFvHu*RmtXT{10SnPfc*`NUZ*|$G77MufWxq@WG0Tdo^Sb@Sp_kpMc2m%e zo;1Sh{{H;C*CJ8ysl{|*i_c+)c=%tX5rmrr_xrl>H0~JI7Qf1 zgm;6}b(lAW&8;lmvi^l_{A~}Q>@9d_4N)L9cADPQgwCcBSc0DzlDW?1uvF2TU2xpe zn=R#AD-u%Mm;L9atS@_$OcB>LGHB2Lnqn7Ue*^y(V|<0=%lOwp+oBD~$U^_9yf3b~ z6|s%Eqb9bwquQzYC$%_K`0xKvjVi}@06z^b-gfG3)<0SEAq{Rk4U=9lE=hyy{^(@4 zTGH=S7K&d#;K&#kx!a8+5ihk28c+Wi;G<-64-cwNq<49aSe<624leUcj=rHPa~sS} z^gqg$STZ^z`XfSxbK@x4dD<&R_?dAtkZv=O=mhBG#4^y1%3t zFNJMo|8r)=v~imvwN&Lje&c2aW{~vVVy5lZPv-eC)OF0#RV5en!U5oLX;!p>3_9WK zI2LCmeRq%!OYFp5pRaz+vRP=WWO)0rEjlHeIQNAgO~h9PAZy7wagE$d@j~RE8zsp{ zsteisz$|YD$u1`6cR)BEicWGN+znh&=+^E-#$}s5JgbpI&(V(01&;#uK^OVCpVN4b z*>{P~k&`^=D6-kTXi!lM!j8(h93)6XxUH%V#GhE_gS8a{AYA{zFS3s{yBd74Qf>)K zF~^A@coH6)N)N6)ygtKNGo|f;51kyT6AWCB)}o;Grm+aM1>R@@?=j0a@sE!i5fqbc z{S!G!3I0dvMoVIyis;=HsCZ^vy%+NMOE6re06EVn4uDa4AbL;kt(n8rzg@5&e0|0G zf@f9MYcQ#62YR<%N-B=OE(gBNASuaR6KA@gG_FnbJq~-|kLrQjbQLX#OsSr_=J@uT zIaTQPO=LUt=$}PVHh>lx{7~k1{A+%rCF@$hE6whQr2+h_Tmau!TrBHK!s(O0Q^VVXSn_+xC*jOUc`fQ!S`b@pMEg^h@4S-b7eK8~S zn0sE6XaYKr;2) zJgHa141-h3;N=b}Ll%PKXP9O2+@5knq|C0Sm^up5>>n^*6_u`X61TOT)JhCbH5kO8;kNP$$^pcvRxxJJL#6cz=1GYzOBpf{ z6suvPsAC*?RLb#f&m}?xl0|tWLg3V1*)wjW@3b2k_1Zp`5|e-P%m(iNs2aE9S$bW) z;K*^Q(uA;0RyG;BW;dB5{&cMPl^&%`Q)jNsRiK+76u4qnAZ4o=wi!-@t%gLlBCw>YK{?{ukZTSz22?y&4zCaNLY8X09ZYT+L)9-#n9C zgZdQB7a*oR(Hl{`^@^z&CWu_};CIkBlWCHc%Pg5^#fgCZEA0p-&xrtV^XkEQyvkhL z1i6wQH^;gzhSK(QN~X0TOIURSmgXx&0AbsAf95z*jni6VW=)rTRg=QsJFF$(?$*d1 zG~x`X?=ygg z%kXQRsATd2PTqEq$!{)DYBM>H7-m_eu>oUenM4q`Ee5!#~q;7J5(c*rW#(@iRiX1>fs&$N;6ERk%2)G^p9aN1H zs6pAmfrUDTzF-fLE9Zty#F|V`PcuE4#y!v*(+HFTFH>tUsPe?Tkf@0do&M)vHGkli z#fNGQprMqVCz&)1)NOcD!IJ6(#S-&WZ2p8&P`yo0p{>@t1%ReA_&fnAB*)TLb^G}# zx*K+~^t0dF3PpvqfwZV;hiZySgHSRSH5rBwOGLOOVKEJ75bVmd^-=aO9!#ZH}Pw(TU_?j3}U^ z1fjQTNl>OH1fv)*-D><#HWUec{^r0I{!0iYv6$8*3v3#kU{9 z#H+WgcD6(r96nGEw!u!eL>=9#^%Z7l2HQ%Az@A*wmwX4{g!YkyytGWF|N6uNT#`pn zbaJHVNETMT9AxroJTFagA!_^H@E`DZ#Xu7lW1d70RRCPe9J@oM)r9GEmK3fPg!NyX z3=2L?`wRZB&>6CHp2^a}6XDLM-@WM2J@1lp94y02xU5TpK?zl5jKJ_O?INqG>fp^t8(KwR$T$ zwGkZE3s}~Sz&D8w>*Mv(5@wl+YDUOfQN5AA;z^S+jxkDSy}=ulXr$AD`sVE!Bbq`m__NaEcmpN7Bm^cs|p{ypSqPcc1jlF-iUvT`6w zXxwD=E4j*m;R`k%DyA$oa^++^t(Tb4&L3~-0e59`@#3+yb5&S-vvUO-&|UBvszd+8 zim?5F`gV}yKhp`T1(+DBVRcBwl52EH`n%t?nMBIu=?~UY;DFgtX})HCm$)K&5~x8H zEy*MM@;?LgvoDN*bc|1#b)8*BIXh0{$)a--gl7OAAf@A3Y=R|4X0z zAKfm<C`-@TgLuEL=i4)Yh~C_Jlk+*Hnm#Q&@WzewnZ*h!+iO^vtVPtQG2_ z?3ZWY5`XF0=F;pDg9(tGSGzjj!D1{wsJ7Wg-t3IibcV@9e=lHFNw_F+&B)s!k91s4xulgrG2C`$nC$%6#=aXtg5!l2kEs4m=(Vtg#mr|d9e^mo z8Yf1}W>0b>89`TzE>|gF7 zDUt_2K)7C9tkxtzS)y2ni&kfd(*?N{p2%hj9eE~7be34wBcfQoDO~iEGLuxI@2>bZ zd@J;n%=p0KDLYirxxL^dxCuG2W&+Jhx;C(IQ>H!mr1gl1kW?luDz<#|lSw1HrgKZL294v_J#;__0ZyTu+ z6^JKLq@z{{Ux2{MKhXKslvvhseqjX>qagH5=uA58l?Hy1H{a^}a7ocp6MJ^PRkUL* z(WAxzNrhqV-2QOGPRhw*R62EdRc+X_T2nkOQVBZK=?+ypTn#N1u%eiTVQsLe|Np&O zF~Kg-?GWe;mzW@5^TIxPQ}(UiE6W{^g@HkrJ~GK#GK_L!%hV`w<=!18hYTLySv%JY5O(Es*oIIsW&msswFO= zKD;q`6uf+p6a8*?I%zJ4O6|~*+O3)3DGSMDPg@Rr6k#Iwo#v{yR})s?WAB!jXm~tC z$nRQL8k`u%jHkg|^Q#j_8)m-3d7#Gv_uCmMui2;z42$3s{=Dy^p&;$q3+1NZyXlH? zB=9f$Q?;dW$h_U&A=^~!)2cJs+%Xl@ZxvfP#NFW-oOYCge4erHgjEa4Ehq9fyL_=; zco*JF-6~|Cm#k#7!jX~v)=2E_sM04@!`Nx&1#MvlycX*DDKqu6b0zcnmc;D2+R%U$ zn4ac8h+WMI!kfF+MM;(hwRH<&&W{eCG=k>CiyUJ+Mo)Q<0EH`S=JUY*%8M5p$)ULg zj&eA=Z%c44xTb>Xn!gMrlZ;wV1S5WC)$+li&wGQ;=e`Nkq~Ea-r$>Vii-f?E$_2N2=G@~@dY&&)@F>hqS5PJ|Ihce`{~}j1^lj`Wy6~0Vni;r)lyqX!RT`dDz`qJn z=Z18~$;E9{?BD^m3BK|froT1ZNj$xq78?4!O#rm-Ed%6kE_{dHB`n&P#Si(&8nAKg ztDfwk>py)e3Z1rP@F>%7keU6%9+f$F_{4b4RQ>fjWd&4J%JUSQshhEGJ}z0WirQdz z_LU!4eJ|m25IIEdBA`^JUnt{-2_)(`+YvACkXNu1471~WXTUVNrbSWk!5rFCc=vE6 zl=Zz|T%(KnnlCPXK1Yvbkh!(2rth7yKIoIb3nRklGcZCh;WCjN~Kzo)*~`<fB>A+OTZniUiv9 zgOz3E&-i8deGAqKGupp8?W}wXx9YV=K;P!+n2VVV*T`+b6nQB{#jqZndotrVc-t%w=ws!W zbh3G$nElrezb00}&Y6rXw-lGLx6|rOrU#OkUfqRjrmyajPa4KRkhfp!q587}Nqy@X z8Wk{HJG}x z+d_w>Ncu}rt7ir9#|tL{G%R;1klB%YHk(1eUzTHMX^#>sSXp&Z z=gL|_TI{2s-U_C73XM|@X?z5&Q;VhY!$O0IluNB(lfSMuX7^Qc(SCACpxf)xtpp^Rmq^<+6%<#~cmaz2(FVSx^n1kVm7+~q32KT#Lss2?YZZp5Z( zBW6iBl{gQzc`>Aw7y8PRyO8y;F?Q%##|ZrE+&2L?Fq6gXEy%F&>?vnaT!8hj=Uy3H z&}Fo5Tt63+dC{A{nP~FdqaqD2&Z)JT;8U!7~=Q#?rvn!b%|JU-RwWDHBp{d{$nx3hCdx$^M8}!65h>jj!9t^ z)%~9#6O<`-+k@7kg`_b{AkP9;s2R{ppP3IOJqwUM#kiajOyW4al(#XckvEmYJ`8y} zI%;sz42%@ue?3JmDCrX^d6wWin(tW1XO3`&6~I+5dqw@M#{1sDuE_)MpABv z4bEv0dU=>#LT-cU`iSF`s(ajJ>;m&pWGofTPM=0OHWc_oN~Asv^Vh4d?cau|Tm+El zSZa3Ib&E+?w%3XC6gMoGdIv_xaBNr)Zh-Ou5k@#^}-cuvtW>fDXu8V1BE$I-%}J{ zQcYiNSo>>#6FBbyf<7Z7UpnQy{+hIT>PnDC{Z% zOSkM0p#|#7a>m*BGHnTwspOy3xJ+>0g{-GHEjAhI=~&5ih_CO#E_I(GB%ZG%)I51A zBE51=%-FUnA04>-W{=OBv+z%xG+skvVK#=6rOv0aFTQzou-G8%Pv?hxMpQesa-TD* zqQ628*fP!sHJkQMnYzRv^|VF?^cWXMjB_LJUU&J|Q*k6qT`3p8!6R}DR!Gmkr=SIb zcIjb9Y+0y0ID)JT>4jv5N>6}c#Pw`S`E-^QG-lfvRlM@N;JdOMj@q~tte85n=4ZJ+ z7Tnu}Iq)gL0a34Vc^SmuhrvIBtOF1n`Q2k$fEONBp>P46{O<2oZCt?t`2~w7(H*in zy|g`LIWCyyP9z~VIOe_yzj?uZi&;+3&JskrbUWn<6CHGTEKYk~NxY3kP+capytbwV zeZzdNJ52o?@zIT|OjfEqDg5MP(SgI-)d+`ZZK*c@niGY$1-DHPeN*M6)<2fh0~6PC z*Le$?dIAn(+05$A268Q23XVNA;=|-aT*C+c|oveRa+;tO{c z0;XrG)gh^-y8KxgEizeORKAjn3|lQShb8)~P_vKLhKNkzK{V?NWtLro4{Pnhxev9qjW^_Cj0kF&8wHzG`}PWBboq2P?S%lK>= zXf&4JO=2iuZ@r_qqNpWn#2A^$zupL@@Kf1>?aor5zuOA5*b33GSCbL|DJtyyb6e8b z-{dma$Ue0xTh%w4rifW_SixywD|TgdSIlY|Rb)0sVtL!vcl{pZs0M~OTtzICPNjL8 zQZ0E)4iD~9o5|7ils5$m(~^^DBz}+{wH3q~TJAI9>*tUUf!hTeMF+FX^^!4uBuLPh z7R{7mQsMgdG&13Jh{9kZngcIM>T?|B=wJ~UDa94(*cT+bSCL>Q6<(6v=Q!Ho!3Bd; zw2U8d6ExDr=2K9Vf5p+hWQal+2vJ}w1CZJ9k~BScRY(}1{W#vUTPJF0Iq?NFz4Zh! zTsUkg{35ap0D%r=N*Qs6()XL?7=5~y^x&_`g zr;9Q0eaND-!RY}$u_lq+*y1`v@HET}sHv?i$Ctt{}(mPUid^eAMHYUf{S{5?yzYMNaIAM@q zoez8?@8dSA3DB&m;=?%r0odkyI>k?<9iSDT*P(A?e{j9`add09M^Iw&VO(AE9n$y=n7m@#=tK{&<~ICb@9>QBUQl z%1Gh*Nd!!2ak_tg3=vYprE)t38m?(}lw8%fAXl)OR@T^kGgWT2?mt+!CdJc`W@^(F z6BOMD(%im#ZU>fydJS8igo9ToXP12|=vKtpM!{?3hy8sZ~a1&!LKciDExbw!03 z6fgKbizP|V^^@IV>>(~!acnR&ocp^JMAx3Z(aB!^<*=rn1vGr7*F%)0imr~W2HMDK zFaHJKUS7)ZZMm!2TawvjVk4}?3`{4T|7aZ_m0L!wF7P`npmau&Y_OVC{;q-tV;6FJ zc@^+qcFk`XE5vuhc5SG7)HfH7*cVR}fZ0G!@=~o9Q$|51cJjyWPXF>+J-SQ@H!HV9;BnhJ5hmE_OI%D51Tz}$cS4RR=%9rLll@Tcz%8n>+y0&?;ou7;GCVywL% zo`rPGM1w0CHGMY5R{}m4($`~m(9wTfLMt}U>cFmOJ>4(C-pMT+;P_bGQ%+qqT#`l@ z+}mjfHYn5|nX;u0TjH5NV2Pa6)>Y%8L2Kl>F_T-I5<`B%UjF*dR9`5+_Oz9bf0@>X zKTqZMeMtSJ>7yJW8%LlIXlbogs6#xFp}so6_K3Qy`L@h|?CktW>7^zb{~cSn;v)7O z4nZe+@+J6G#dZXDt!%TX`|OA*PH8ho}i-yo5zT>jU+gOI{F{;);6+ZIa4aQvFrUzW2d}_PAam_E#;VGC?kTxn4 z@eHN4>~hRe>|%>t7XR>(an!eEj*zXk-?o#~SD~`}m|S?FM~$0wH7M z>JopfIwEg$+DY@w)Ae~$(F&^8W^3Zf4O3&Bqq&l}VRqR2$E#0oE?Ff1?~444{F<6S zPw3V2kkPZfxkW4a43!g(;Xt1TivMnM=Iq-Uil9N%v%Vb*rcMGh{7+r+e6LtNxf}M% zsJ{y}r!yvxf{iA#g2vowLW-(7hhsK@BX+FcQwD8O1^3;j$uA*P))> z_JT3?MSQlUY<-9bR#vV}tWaMht%pRn!$|IRb zveR-8&C){W55|84XgnvAbX)m)t~6iU@{f%YnZEbt_Ff5$k=qxIOw#j7v6jH=BKlYy zPuXS28)$NYx?hqFS&}2;9JW?37?fi)lamnxgLa9l3~h9qAB3I2Tzv(R@f7AEoM;Za zL+uBucq?Nr8HK{$HOekp9aELjKWXNT>Jr2qtu@8@(vYntFKLo_c$*^-4o%JS@a0iu z1vZdbQ;IsVWkp)S;8n|K_IH{UB55tM6>_!ZSin17XDP#)9$!bH=GIYu1)=2o-}YBb zR4Tk(LULyO<;ID91?9&1j0VmfDkXnCze5K-f+@iHrOOJTGvb;@g50iUB8{P$+ID3k zf+3mO4gkKlrSlr*c2{~rnlMZ5^z~2tU~bR?N7+HgC{@)f#zNg+^LdNt?ybBnUYp&U|Q3MFYJ)lOR zspC`N^7|dW0oo;c1qKH)CMtWB|71@ig>aV+mku*moJ*=p`k|qbaB}8rrVim$se?T- zSXLe=y=EVOYIIM2G+S=}^K>?9*jvcepB|^=guj2V!A^2dDLfu)IK4}mpkKbeZ%dfa zSh_4-_p^-38~3l?K1sA?%pLz&VK45TH>dGYsAJTABH3h@!eyH+YcsC21oK*874p4s z`@3)FXp?rqbiSH|E3ek28dpzWyUApVDA@eAZ~j>HTQU8tqO`+aw`G6LreNQ-&obJP zm+|w)EOMR(pTdcDE>BE>V_J!1$MRucSvE0vcHejau8mdE`%qW~e== zxz+KrbE}N%J3_UVH9(XnP6&}xpcidWrPP@lpt$&D(i8yGP5v}#G(}_S zkz9%WI%-!cZ&!{ z|INBpij=7bDlqH|fab_F5|85yYS#qECg5e8q^s|1X7Mj9>S0xCuUfs+l3#~GDzM#J zgk5@Vwg0IILh80HwDOW zgy?pgscnw^vrEA6WxRSc6GUuzFSSV&D7xNNq)gEvxIdS|3%<-0A~rB&8PhMmSV*O< ztY0-KD9ae@XfJ58+fPhow3_F6N_knKWsp#4FK5EmvJ?wa%py^8eE28jweZL(E*oe~ zjN{N@zAv>7G?^nswX`$2@P$29lR8|;jy-M39dE|yUF#rIa_r}WuajBA4*j7!mjd!D z*`MExWHsCM+g~thuin$V28UxQm0)o$N;!R9lmoh~VH~B#mW5tEJ+@H0IK+Q$dyP!6 zY@$m1fcu_iBaJk9?Hz13@;MzdTIHlUme33K{RTs!ZS^;TeknN%yl;Ai1rvyHqas zc0MrpVO>~z=2=ICiZ!_ej!<_D%(iXkw|H%U z<*WcVPU#%ZCar0ydFASGYHh2gm0*{%s8jQ3%j<7yOCg4f{pD({OSyCOie{Y5vuV6U zSBe?DUg@?m{+jg1%7S)0t;aw5@$|l&y-be9_#rnx*+`f?L4K#%Z@y#QXU(P(ElJ=(DI1|uX`beem6Te$`7Kmv7K{+U@?@5+9%G5m zY$!dfb?`QyK&)b~!Bkv~Rfn^0eNqXhm0dBC&7Clj_eg|kMSWI?gyt+U;RbcgpGH?Yu``)fapb7Q6-#4kJc)od zR7YJPyPu?{e=+X06_j~{O?~v@=(>O#L$rp#IHNe&T`cdewTMduuca2v5WBy#kn}*_J6e0ylcphnz@h9It%6_jHl2=!70&t zI?)z7(F)ZI?5<$xpU($HA*|;4^GP`59%N#T;^fyk;?AW9aI9%>qUvP`->FUww8N!b`EE z40^dl(GUA>I`?Uo*+Eg4KK^WLRpr*}53?-f`A`(2xAw?WTc`qUX`{M>elp+$ES!sID$t)%31)GkB0nBSvjFdlCi4>14qZGOLoD&u@-;Br{Q)rw_CH z`^r@1R;n}aD#AZTWm)ipi#ueA5*7mYSV3@vacwu~cEWiy`Ni=sk?H;0;|vaRLpPH4KPU7UeVa1R8|K1utK5H; zdYGuFuWVKE(}UcqqA)tN%Q)rV%JX!UD8GsipCfomD>Z8h8GXTq=QA^rxjAx3xIk|y zYicx`FL>Bo?;XA9_8Rrd>o=72M08bK=aal=uqUEo!2>7+b!f2EiT~}cf!a!e?pd|X zudRG|(OX&q%IVn9D7LTW3+i4CYs8Z|=Np;Z3&Inzh{)S1=Z_~gDF86(w9hZres5Ac zny>pY9cc1>@;%Z{#{_Sv$IHB2Le0NR_4ca(p$=sZx->o;Q_giuQ`CfUN)$+&H&HH- zkiNp`-YI9RtC+HejXI&LC-%O35R?P6I2B{73C$jJ2m6a~5vd`pxW))JAhkFyy`6de zMA-r%TdgqiJq-s8L$_d|d0U&}9|zyq?5T)>-$CWnSN=fMrW71^i!w0!%FsK7zX_r}0S)`9WRk{(qdzGu_9jL$dYB~MWy z_uo8dO*Mb>utHEH%6TyF+Idhy1K0`dWxznyhMtg0fdV;vNKW{F5%!j0aV<@|Fa&~o zfCP6BoCso>D66b zT~%$>brXdHhZ)mrW&uDRAYVZHx(s}9GcXUO3K~4*Lkq*0+a?4DCv;OG;3fqP`}GA( z-cbQg$UrFrF}O7hk8xjieRKUvA5g+DB%M&-&tMawEJ&c8w7}xIx0)CvbpSAEB#lE5c;ZI;Fa(NF{qs=!LmgwMK{CO7c)Y@T#9dN};?L;< z2Td%k!nK{vFp^Yg@=w2OiOiJdT#LW+>mu@Vd$0~?H;=24Y6HipE?mE2i{_LXp26EA zTK(U#c(HNUU-XRKfDQC0P(JQJd;+hGIrfymVX1}N$lRww&UiPQ`XO98ZtfWtIwx45 zk=P@|(looPOfXOrGk@T8(2}VPqtieOKM9Yv+x4nfHm4r7xz;gii!-dBw5`?)tHfAN z2^j=5?!sRGH*oZuUhC6qmluWOsx~=7_Hc+TkHR06^VkJHGQO1O^SFo9Z-Um5PVxsV z%DZowVOSlPdn21|B(3Onvq{U9_;kqGImRBP4V{TIjvXC3oHaxTqw)w0Slt#*ed}?( zmXnk1Oib??6<%l?^5axS+ufJOOaIF0PrLmPdB+|5Q*fO5e#u>~)5QQOZu*kG%`DHc zrTvHWmG~VE4^tEaI~Yr=OH<7!CFs5^WRS$UsV&cuSX8eKFM}-0Prti2BLq#GKjbTg zq$&_F&rL%i72M}^#^RrPc>vSK)_z-4f?L7-ln767^9q!QA8E|cJ@kGmdL#CF^OI*# zs;WfH8s1B*>p?4?SX3by$ru&$$H{@Z>-fO2ldQH|(UbRWBP}@U)3P!W_Tx$_0f)SvL>vghwd7p+F|4?yn6d-sD zLWg~%oBF%;iT>s`uFClHI~E9}FGrK&0JMm9F|Hm(3*D61M~Up8O!_FnROvUZw(XFh zAlVoninN;|88XX(MczrAcsQ&warRL8?!bNPxCs(&by~gW{LbmGF%e^nRyPt` z#c0^NZBA0+{<1yk+T_Ckb`sn`fv)CWCbf=~az}t>Yrf`B2dxOky0VE8_%Z!JBmO{c z=z%bP^e<$yC)0SoM}=F#$@#!kigjjI6NS?Im&-;ERdghUcP6brFy2&Z^G+4hFwca| zd69HvQaZMSrl;g75>)WF?lv4m-`_dC<1mg~8ajkA((xA>#`ILwZ2CwhYLRw?G zmpW-_216~L{~nZ;pU*0A8^!1Jv@1tCSLoVr#VGQ-^0AxFHARc>4^ zlbo?_%jXr9V;6Ug(lR`0kfGRfY0l9@R?}~*OJ?Ovf$@F>pUrnQ?Wfi4NTR|q>4eo~ z-H9Tu)nb}+|1vN;yxD|+CH!!Y5U#VssTb3J!hFSelXFiL<1rRS62D%<)9nK2$eKdi zB|3hvvpwP~{((q&seZ88@@Wc%o z!}qWIvwD_1P#3&~M9o4mQ|R zDr`$O6_ajzMO!p%D;ytQ-b&DUO6#Ad`=B{}ZV%gKe;+X_8g zWvq#+M|n|jg>QkawUTQUhMZjh;*Kq{eWd{8iQ>*uiFjNWNMhD%GGMhex0)l?Ss0?7}A3@3xQvt%wZ*F z<*C$svnqi+#H!mWfqcnM*;Hk4@0RIWLT0k08N1#|93UkQ$Z>-nB6pp1^_Ocpc8K-rJq#|aYMS#6*7diu(c}5Y1vQc zyy;w!U6A|jCEJ-GD+9W^Ik2R1rToYJ?zCG zCWr$ygH|+I1+kFMdo9Mt@xUAz>_Th+y8^0$mLE3Z4`I3Wyi`G>Ngmh9Yq-H#d^EeW zmoB3MmBC+~5#;~&tq+gta84ZP%O>w!=>xjNl9!itw-DyA`&#?MJzdk()7`-MVF83ya$H?9uW*h=XCfwjCnc(aoPot(z5Xes>aLPz zvt&di$38rGz`@I$q=E{MTnZk<1XU6B=25fvMvs4vn88_`#sHx=kQ{G@3o{>+skF08 zrCFaQ#cBr6-+w0^b6Th_S~7T5L**Zc`8d9f55^w{PL!6c%tlta=|=;1 z+)e$!sr6Y+Z}fDl@{$V4yfO{MSrklr+*5;$L`#k4Ut4`vTId?Zeiz31Tocysls6Ty zjn_lMBvbA@rT^2To9(LACf2LF0#xt;O>Qsm)8M%k@~)TM`CN!yHFL0{};04eL;OWchdX>`RrAi zyKF}OW)yI5DTXfy_-=^(!r6d*vL}n1YteI>U3DTM9yKhjr+1w)^Ue z@9+~hRQLvfpU*Safw$zQlJME{3hQj-}c5L?8p`IVHE>jO^R>bdX%CE_&TDlQ87Ab%Wy_ ziJVQLZ449DqtwZQ^i^#&3**10g8V8}i&#$&Sh&|NgKHAUr8asOm4Dgh~ zJcf6f<{Y$-jGbR*9G$UR^0Zro<~!604bu%xYZcQ~R&vzEH!Q~6A2O~W5n;0ac9%EW zCOy}0Gm0k)aATM*+fcPU(RMLmQRki_`MvOjCh#ugQnQ|ER9H8fj{A`LdT_~3RJ(+P zXdq?fSNa?9i-k{bnKyr>{@HO(^hD|h4xSwexOZ?T7VH9mr3C(=qH@j!;swZF?3?kI z@0yeS-K_e2d7_+$iJepB8WNx|=#T?V+90Vs&RDwXTQ6cc??qkj7KQ!U3GUwGu&L}U zy~OxnHfmR-cQ#@4xzKJeP$h|C_Q>eO1VM@ZJ&l~bH`RPpfEx`fh_gN8hCo{5Ac+f5 zRb3gHfh7(0Bi0v*j`H7=M(vYkGP?zh$JgZE|1nH+)h^{yt}8=K9Ihedtn>B6pP0G( z#RoPgV)7ic84j^TWYmA}B9-OVMp8;=L(`~Gr4s0C0)*QzeJf495Unw##J~c^N+?fS z+o-g_orVQ+y?(pe5Ttp{nE!!LrTLLXXL|D2xi7?vUpKAZ=zVk5+wBs)y<#$zj@uz; zTANxgx*^nYEiMR1547`kD7SHVjir_Th=1qH%$i(l!y#A7TE7;97lH~;K4QiJn+^CV z+qmV1JA`x^hSsFP}S{_6}ZHq z8hvY8L^_+iWm$#t{3QkY@==Z41|G-|!38>_X3~^-j9%Z#tB;&Al>wSy-b3~6DyCe7 z`^4@j-+{~QV=nWYz+GD=d86_~SWo6_sOhFQ_exT8Q2@`OI?i8he2k-d!Q#32)1c+# zew)#pC1cQB;3N6bf+lXg_-2k+~F?7R*$>9Pxc;*B~p#I|0{h!cf;Q9G8(G5ak_LRs?9|l)8X`--&Bl-iuNa$Pht?$B#2n6c2-?2TkkIb5 z6KJ5KSdK8K$rYG6?CLQ~OnB~^l2_YsX{3&i`kia0ff;FLz57fBD&|SdzvQ6SUx*I1 z9XepL=;b+LkjyfH(Y3Y+F0Jb1@rmTE4%@_;Hc92FAZ~@{XjoRNaUbqU z;<3NMU`CsIRcA?#*|GZ&@b{bk==<=34ZvM{FrjQv*nw~$G1ZWLa2-&+U(=# znP^=hm?Vib(t8OZJ_(k|wCdYZ>Spg{%KiXHADl<|Yr=tqNsI*b)4D8JQvw;C$YP`U z8CU`RYQc~D44fsTlO*m3>P+KkjPgap)UGvxZwZap1M8jJXc?&p?3E9pU+7z(7Gzyc zKbZLk^QAvzE!zuhHHPt{GUm*XQKe6lL*`$xc9C4WK%iXYe*UW`q-`%v$G0*LN0U9Qj+zkU#&^0o~) zJ8x!wm@@@{hS;&)4QC{k48{@Q>bCrbRZ&FSAIX-{ptq<8}I*r2;;IisuSgsIBeZ3Swdja&T_{dx*?OmHz6 z=`dQH8gE}Sf1S<@uHmaT0cTrr^YsGWyVs?W<`?fW`hmC&Kr!+L#lSW{Q*2Qtd*6Q*O_ZWmr~v@`@`n<3Ajf|#~iQHl)eZo z>_u}dMtD_zzbaQM{*hCX;^h8UOA7)P?vfat|60Uah9mq43)3$-us1F*L@1o|Ry1p) zlCwlT<1vQz`%lVJ(!|(P^Ad_H(`ZVyJQvCvCHn;y49xs^lV9Wt6;(bAg-(-t;q|(? zMwSTI_GIf2**WA;b-Mk@XB3ALm6j|olnix^F6YZbdLdqt7vk+?5Sze@-T?aTfE>^gvFP^qUH58raeaH@2hKn8~2SRPlylc zf?gWG*Y7mj5gaEJoR^kTZXgz%7q39j7%Cax))?F77pH{^x?77;unAw)>-F z$!5b183yjUp~lzzx^r+}V*((=B`C@Y3O`a+M)T0!yUBcwo3kIYSA1VoITyy}9itt2 z_+{Z^@W4`ykNU0z_J#Q01H=h5KKX8t@XK^TFK~?4Z_>i3KbdjKX~Sjsbn1J>xZq78 ztfZ$`!vG-ApG)M0s5Y(PWsb;^%6kUgDKXXaB@zXZ++kS>-sH6<^6K5beymzUxsRG2Egh| zFItH6`sFZ*uVt){@pdA@K5pti?rk0MEh8$R1*PJJZ7R?+QdY7^$#H&eMjQ~T1%K)q z0GYB(i0~{9Sjgs71MOzBK*(zsqJaXySAdwR^VKngr$zW1ydLwo4Shj<;u6>4wYJqwPh<%NCWn&_ z%PV7{F??Uk)r#M;qIL0+go{K!Z9&G5QnNoE7M}P`8+^CTlG^iJ#(7k~A91JP4IP(n z%vRc>zR(j`3Z%E1E0t#IV&D%P7QWcPgIeb#&fAk6`8Db=l>EkI>w=ockkU`LdfM-XgrhcV%Yc&Rudq4D-kM> zGzD3Efos47fsOhOy_jT#LZC4d%SwaSkzl{#sx;#XYSRSmSOGoDR(Hwj8{)$q2D^Jy z_PRYwt3^XkV4DWclH1{=kVBi@HywMy$V?1`d~eC4toB7oz;e_oN(X#sD{D#Kr9IPa zWL3_$=_U#@t0R<$cStTDq)r##3mSgFXqeS8#qzt(j%nxAI!$WF${WgKz0LMH3q9h& zpBnjvIxjIy)F5%rrF@B$>!Y2fFQp5Y!54%*1)u}rwvCGmoPT=(X$;oexiLYh%WA?^ z#jV#S20UL@9KBQ7!BQd!@>Z7w8%gZ~RF+fL;jVsu>s;O>n=&gBizmZlE#bS)=|y4B{DppcF@Sux z$vTTXuOU;XxwH>rpq&R`ZC^Y>rV{%tA1Tqv_jCE}5lUkk_pK;NjK!FhRaG9DW^8Rm z<5b)#-o9qN5R94`VtGW#XC5WQ*fS@=cKO%SLzl{kz-d`S+V5IyjL^=3=)+yQU-};| z?@@A9J0`zv@u=X>BMf8l;xT%s;E1y_4}3D0)-@DWy67>aNj96h_GHTWQ6}gk^s_^# z%}437VK?>6H!w+W#x7{L2iJX5)%rlO3#b_~%%WUD0{=T{^lJ}Hyo#!Fb?IlqQ|9m1Yc^KumAbF>u3^=S5G0}fi_ zHj|@d##Rn_;m+4GZ*~HP-&uJ;=x)&*tbp|?#Lp}SVTzo}>xAR_y1jmu#Iyo$46W6z z8iKzu9Ruo`(O}>6C7O2Y+>)kU#N?wMI3`(Nc#^z8@yZjS-o{_}Inu@Ky)Kr%8Fhwc zmHsm-e@P<5<0W?oxoV_rohhnPD(kg!F88I&_4nXSn;sO06GMEzTbl*41j%c&MwIMp z>GgvdQu>rE%+U;le2C()#myE)PDfH61817UQ_qMIcW#)&wQDJU3+k8(y%1=Rjz+$q zc({%h8Yx@jIYKtpJig5><;~I{5+>J*ro^>L886}F%Eadimj+Fwi$$53Ayb&&J5xfA zxJZs7g&X{56KH)jz`J zeH?*0OP-)<{3x36&>Vey*W&Pp=Br4?_+DsLg1vMiuu<)XwhMq#ewb0AkM)ph=K3ICpil)enY5Z<6O!1U zA?Xd+NNFELK*-~ydYv3387Ne5VPmW)tj7lRqE^<1G-*(7K8V~b9|l{ zB0q6-2c{k<(7$4OSG4>zgY9ZHCLQjgybXj0p#62UT#KI?%q0)FSL31ziLbCuMN7O` z;At?R&+~yj5=*50nxL3_#krTz%th%XE1N;62h{bk5E&YL*QVab9-o>Y>6##C!;~l{ z>E7dKM_chtQC!cCI2?;KE3vu8kptEC2F3RvSw@a$^YuPKyvicDyCX?Xc2SR!hPvz9 z)F(JPJbBa206f&}f*vfMSE%`%$ElQM6kLl$eZYO{S1QMfLPrcLiUKtJ<_p^R6G~o2 zORCgVM+k+E#L_a+vx!#WXAZpIvJPqP}Mp> z=V0+P7Jjkw3{Yez9Mq0GL^RvIA_Y-Eik07m5G&BmJ@nynb@m>vW|OsXs*xgeLgJFW z+%7lKXg0&ofAmzE;W@DVW*Vk=*3!6zUr2SN3Kk!R{w?D( z)~#JEhcXOt-_|n|A)6MjhZ#FDRLF!N-sFNtrtbkj3E(TE^TPY<`N??>8L9(Qce<`st+U;)#%q-+xT$M9wA^t9IN4No4=kxvGJvr2@FI%#bzgk zRq&s)0TQk~#2Oc}@Q$s~Y&2zdF?*&9Ok*ZjtO`Ia#?@ zuWrILS0e|^+7*fl`9wX}kM&jYU1#AqLBsyk09zuUB!jZsK~GshvbShI!Td52!LGJX zMQaBW=O4Rf3Ss##a>D?t6T;3Y$v@zWR)Gyh-Ygu=!CE``K}E%SbJ#tbJSyU<|1O`N z@5ocxsJ-q+fDcFX!F47j_-ir?2Ex8sZahuhq6BSj-$SHx?3!(ak8CIuJMoTKw3#MU zmS8+pE1hqs%!X=^fss{lwrTgWZp0tv-1c~p0y`4Pety20Oy6D1_HBMWF+wui+=~uO zz!2*%dcMGfBJbX$5!7d7e;1T4@qSTN5}?%TA31Jnt?=Rk2wlR-wL1ONYBj3a>;3)8 zkj-+-DhtQzw7BTAi=G92#$>7aawYZm!cdz@UgmSuvj8Nu@hU#F&E67OI_pO>>VhLW zv;ujacX5$c-h1Tzv8v;bQDcW19J4D{43W+K2$4hXMsSQ=tL(8cNZSGpPHy6{#Ztp7 z9BbHwek_H4G~;PlT&wdX#g_e+`ZDsWx;K)8$RdrXAKSowqVX^x*%k_61Ge-}J2P=4 zcRGm8T|>*`XaI-Efey#&W6}96))*mD=ZtR7}Pg@NtXyw>;9uICc3R*cqcYej? zE+ZR24K&kLd)VN7VQ*0}febmDXlU^$l?-zTyH>KP$K=PWO<646uFPdBqQ5268aA(5 zfe_>I-lDliOJ+qaXlI4GzSVJ;(FY15QXi3bK1)j#0Y+O6#WE0?0y`rhumGV5 z&AEBGNvi9I07mGN$@cM%H$aEsWq^goWh9p^CN`*z(gGQL6G>g#94+14-xVoP6^3E@ zbevwE{{=RpL7vb_R;bKNnWoht#>L#yZTOelku7zkvR^lQ86$fJ_Wp?=-mh>mn5|S6 z;)IKnkV7vb2`|o*_w+QVFz~yJHwoi+wIo$|JhmAl4?}_3PdcvBg%3#!@H{|<=j+Z) zswWYD3f5=;n4N~9zD@?AjTZZEA{;5|wDMMcP&N#xB<(v_Cq2&DdJxfo^1eAeyZ}Itwx#J4-h=N0L62aZMzPckh07 zRrnpOV4+t3nYkXp!NS@fyOQ48dSdPZTJ_z5hWjY@_+~mnp^tj{q_eGHDBp=WZOAx6 z9EZjb(^{uG?!TyI{Ct0J{eITLON?U?)E7c7u6~a5U}*`@=_sJiWf-N_@31L<_>o8- zp~700E?Dk=YD`Rje5}(moYx@UT#~T?6lhHD*acc>7NRvix$hBzdKjaB|t-*M^yC3VM&|hUMucwY~wXIGu)ZH znrAEe5sTge4d?}C5Yv;Rg%8uRl%EBANLSg*D@m4`7b{{@6kBen?uBz*A{KHb=5;&X zE@?P(P9~-Dx-iC^6{e)iJN|h0zrC@7>DO67Z(S{g-sXiqt~bxvGSIv%fqd@Xc_huq zq%TLs0Fu&b2gPY~vDbUPS5xa-WmYID4^cNbGI@P}b8lKQy*kst8a8$ltl(_hc>l0S zS42<~r)SBSL@Lyb7e;W(q~7Zlq9L9tNv}y#tS0b7y1Mf(sZWIM#X;yWHG^CCi~0v4 zZjGh-D1u}cV3Hhy+8Z8{~y6*pwf+^|D#9V&djAdzPSdD7|F*{0pppv~Lp zD!&$C%R{G0Ni4npNH@r&4PmP%bw+4FE?A(ET|$8VD`wAr*p)oi_lG%{DhVGaVYhVK zFX~*${nh(1p5;jihTI&mW&cYmU99~(E4Jf5>k;hBew>^=u!AU@wp1_#v%*k4QxNE; z4H@wx8p2cE;G>l4b^jF+(CZomhs5}^n^8NXx*v3UcW#;ORHTs9T!oSZg-Cebq*Sd> zu;e$M_Qhr{90O{c>v(AzpiKEAI1U_G3R;RH zB)K!NV)>9bFYwrK&5l0)ueu!`@}G4pmv$fcl`aQ8(SW}L;C3vf`hYA`)b(ms{v4-r--yPAMW5lt@V-cb zerSH?h~g;KCEqu5&^4lAn1e~XF=wARGl+@C;ZfqkeWH37CtFjP^p<^fPSha81`l1g zo}el+$Q03>9sB*Emq52kxy@n(Ho-?)FZ}yXCjerHiDB@sjv^y9M|V6ug!O8 zk}(>%j_}jeT7J{~ch_y5(+q$sh!DnU`DY%!ly8{4>6#mqz~q*7;&WKnk-&-hP4j=1 z-@rKVHQ}=q-?uk(lY|)ET^t)2&Yw$6k%)wXSTBr}=w6L0DN(B?QKG`dDXa}Kc_Ha1 zAJp3!C9+yk{~zvt2r?kuH#=-A88q>pe-V8NdFlUu(bSd^xnWaAn?>Ns0>jS5zYVwlNQh6kI*1`=w||m+*^z3ZK1!c zaPj?IvbXe3KtE>`Z!+!LXCPIn6+w(){mU=)^B*BQJ7UyXm7~@X`q`G&2VZRPSOxU4 z4G6-HS5b7x?dDGF+r*fi^nw&6?c-$sOVZlGZ%6HWwyqQ*vx*sDjIg7heJ@nIL)p>Y zJrAY^wU%0DB;)=^Qv~t11F34-Y>2d>+!5nkAgaHtbG&84dapPevRUIgRoR4f1c!!K z@V~7%bY?)^l3V?!=@6bZaZ*wmrPOY%p&c^_l`RS3oZP&5quX5r_bao%P#j*XgtVlhpK(2d*j*Zi0`0NS2p_M7fC zt+jkW1(2+%Pwko+@*l|f_{(xh5%O6v!>VI|6Y^K%-z@6 z0SfhDTjYalc$5vEp~(X2h33BsWrIj&KmfH<(iI-?_*o?39;$4 zqVH!Xn2AI`1{_WEylg=i37wmR@)~g18X&58ZPm4x>KO%9Sa^zk?+}QT^bIOXxOLN6 z4{TIbHS<-kZ$p|t&ona-jr%LgGhCz?=H6Ri-4a_+Y*)h;XjSEA+w~P9B9qv6wx|ds zK&%eiR;JGf7CRjJqZ9zaD*7u}4fXASFm4r{U%>}v^)70(`9u|?0i8k_flP(=uc46L z7LnHNc`2EMLTiV>_N$9?!+CN8P<$3vzC*#4q7C^LdK473Kw3CBwqPVYRJU~Y+BRqQ zPg^enX@6#Ql?-zjZ~d$JGM@)#g;CsyYoHqwTz^d-?b%d>JugbGWFRIl%WNCYo7XtB zwQ-vICIGKDR5O3)g@#Poym26q_`1)0ce})<%_!OG0WT})il=uHh=-R1_#*In^KFaZ|h#op>5kpL|Axo{Y~z~I579p|0{%ze|!Uz3Mk`o;Y{ zbA6?jLG#QsrI>u&FB;)&!&(G@SujPat=~VI%u?l|zSw?ESVu8LZWf(_+6|j33`U}} z$qprMMsx>dTiXXoe`rF!+U=)7x35qES6n;SDBP@!e}r7=Q^_z|5YZ+h@H|o zA9Omr!*el}0q~(26Rj-7{Ivow)SBKM)Fg+sR2-eDs&|M@HS(!Yr&H3FCCH-<*7qSRd@ye*AwYed?o+!0;e zpf51yUX&gqayyQO%xCm>_H`*?MJ>vu&8eCzRxBj%$Eit`N_&ldK zh@@{nZbBo*o(&(tUI_oXqY4RcPm`yTdT=u4vn}tp60*r6>h3&#bHLubm3--k4L$E5 zTTnvuR)t6Lih!5(>4I-Mokot6t(Lm&TTw=ZWSz(a(!S8Gm%3)d=nY3#{VIXUzV>_m zPFHwBwA=ah+#M0lyADY;6LNhyxCqdr;^`H41FI%#8O7p=cvU3Lb-5oPNhBQ!#uxc8 zftd)K*#}B7UP}0gMw+vmW>xCt(#=G3Pd#q7N^87&tA#}K4;Jox>`DIIYu&E+T4w`Js{bhY@c}o&q5>w#4nH!P3Uwi}`6%z$Q z+3YtsG3^w%h}m?w*G3*M@bKm@sP^dyd4AjhE=mukxOYCJTYcG1A4((cN_ig0@oet7RPNhRxHA?M z$ibVctJ`4K^msa-b+4YZ^KG0zp1JRyT|Mc%zq_AxTD)@fe+KYvp8a;J`WHJN_LdLA zu6nVJ{Z}h^7Gq22y2H91ADe)zy+@Cir?6N#CqLW^ySAH{gOBP)WA@M{;%~k&KMB#wjl*47jh=Oa@;5;+AD%%1DQBtiz#3 zC}`ETHg7))_qWpqi-osc_RBk>gNJR!U(G0;9XrbEx~sUA=k*2n&;D5@3#GoND%9Bh z`AKbrgkUb3U~VNougtR%eD8#O?^GOLy!Np(BTZGa4-1ZNJC$u{WiiigQSJS3J7leG zcL$y2<@ET&{M8Ial*n8M@1qH9{Iq>J9*mCj$|`ANo(|Kxn$Sdu3~5m02zgNC%TBQ| zhi6O}8hCr;UL%wDk#qtZjj>@;>A}um5o~pRJi3Hz1UdO`PPHJ;*gl;eVW-~UUR2%B6(OHDCsVkRr z+`GF)PPX6ASGLA%W2iQ2I-X8l;Dn9_b?3O76S(>3qqXvubKISHl1Gj%=AkW)7?0qD zXJBVHPX6H5Fcr}HVrI`0g8P9+J01Cy`&~%-Hw`A27`Cd+`)!Zo$LFP4>&v{Zr^fs< zqWsUq-0*lP*rfYa7vd^(j5qFrlpMB4f+Dictc8&ReA)l$y*eQLR#^^H)82aQO${xCq zGA$U@t_XhZou&^T1*aNDuyDM+)@40$;@2bewS|2VtG^-a`%$gKj!8p+m3ud>)H8c$ znGf0T_mP0N&}WQ{mUH{3B0ciy$vGkiA_vUC-^1)oH9ZgVD0x9_3bcD8bf016h$^t@ zVBZ2f+l?wo;?F6U2|n@EMHo3B5+o1xiY9I=Ftt;{W|a~-y$I_|TY}bGsL1qvzyD&^ zuS)(-9$d9!D8&S>6*IoLzf_AP#?0;EYAj52KHJ{M;du8wYH-JiL%^ry)w_X$m54U+ z0%w-DeDA{(s~?T@M7}c}wY+dR!<#7eOCjv#3FG~M<>c%jfb~1UiBt4_)JL|s>Z_t1 z%-UMvlOp17zX1*^Yp6$y?(%lP9*S4QsX5%gzx zAgH{f>Y5kSupr?W)0DDg@$nNZ+r&$$QUA86VZi5F&Nz>!ouNE=A+ti$&$aG$zjC9| zH`PF=^*CP937TN5qGEV;jEQB_vUg@Xopv4FAQ0_ZMe3BBz9RXucHfm**d+)zBU=v4 zR=mNDRNomeE2dHTdCpkEM6CYKe4m)34CLk{ctqwxBp2CgcLa7m;LKILrOcrc{2 z%<+q?gz95?il*zDh^E z{yvS7Nf8#}?{%}4)U2`;WePa!*S}oJ!V9W!0kcfw`GMD9VL>KU1AFOgkesFuqsq)=?|-jV2q;&Y5VC1G9=reK{Di60i}E*q#OQ~^Qu3)2E7>_ zuB6%8&rL|F2m_9#H*-#fNZ95{v>@ z@rUmazpGyn@O;_}nz0SnEzVOAzA`+wy-b+IDO2(-;Kwuq6Sr-@19=raMPwqe^J5yG zm!2djo2U2?!`*lwHz6#L9|pL-I}U(0slOEl*V>u|jqg6SRe zH|yf5bB}H7jg~)>G8Z^C2&g;`R*MPtmV=kmRRtj5=)Ve6jLQ+0qVtub_SmX1j1KX> zn0WEaDucFqX6tff+pqJ^(O<{fSWxkXg5uod`1ES=&vIDz{fYMd-X#BH?eltE3*6Fq zU$N-qTch2++7E`cZ@v-8#b-N)KQxU!#X&}e(3rk)#giLnSTY!kz8>vOIXC;5o$Zay z)*(MbaJ^qC|IPN(*#n!F;uE2@aFv79hC@27z^iI$L@Y$A&HD^Bgp6u|@iQ8V0lA{I zk6$>qTcK6slKyqJmeMze;@SFK9sZB!$E%b6&&U`#3d#R3g6%)Bw0{w7xjDEw-v1AR zEf*Kp|AS!5#q}4}_Wu{bwyj;R+NwXEE;N%`h67nici8Mq^Sv%Xy-DAWDT^r1*lx7m z5)*Vklo)44A-7cO*%xNx7SnFhGiVUkFB{~{+u#53#P}hWeIet+b0hxgD)9fh|Ks2oHkFXaa}P|7{OSDJhqLYg zB)hi`AkK~+Q}tdJg@$e3Wsoj(8)tXib{hGgu6I5+BR&_^-`?fNig;e_S*w$y+WSAk z${R)6?#|1#b53%c8LL;Hu3l4g+<7sevb8^LFP`|pPM&X!pC%cXQ>;U72=7=03V7L~ z0YJNh#K(08W8eET-cHZ^Zboj{Lr=)%-L$s?O?s!}`VQQRR?RYe$)rM(zKAg8!`@^_=2sCNV%<; z>w9u9Y`mBIW~R@;c>&0Sdib&4b7 zSv6z0meSdD#Lxx+(*oGQ)}4=jjmhbM4%kH=?kI#Odd|DFDSTkV$gBPr`yy>6{Zky? z5~Q)kOA~$u+kjh%k&pzu;9Yl?siVJ+h>Cv zt8x}P``UTTJ&m?$-lM!&9vo6=GjO6$JRdD=gcR#@*KbV`ZIxn2HcZ!%&C1qzT6BAR z7i23#9^virm_$hA5TC!mv_fr9y2QQU>GO&>iSL|vw4~tD3wohFS;-eguNOumQx10A zEuN+DtN%Lc`Q(G9h@JmYD~Ciyf<>IET^Ul*0q~TkyyAt(BLH42;`jdkp(vHYJ&C=$ zAuORzZKYcH_R7hKb?2?|^z8HP{fR$-uzK0M`nYH8|LoLxKP&Pyc+&CQ4J>E;pV|Fy zW7!@0E8B=Fe}w7x?1nsFhMn!K=REJt_6~h;@SHSLu(R6#nX^)_dOr^7F1Z-l$XR(h z?c&X8yE|gY>A2g(&hc?anZ~Bx^3gjF5~iczo$nG5NjGRyT9lit8<}z@E%5y|V=C^! zSjT7N-}$)y?a$`ThabINzqhD{lWQ`1cWo$qp7z)Cohbay`m2-AN->;b$%Qh7%kEO1 z8`_|Pm+YPC9vIw5eViW|-iDwG`&EuKS#}b+JsM&iEqdoud%?Md#BIseo*Yw*%5Y5$Kyfq^Fyou2kdI0i*%Cs_0SrPN!nVSp52Yj zi}6JYfPG)n?nb-ecFf6epN63)ovfc!mVPW@z?{;b?v50))iS>@KK?46TCQLgE(9nZ zE;knRmlxTuaQWzpHEYO>Bhf2*x}^lo1B!%;EI5sG4a>seIq zWaXkOh0iT4jJ-6M;!pScU#Gk^k+a6Wyhw66M2*id!Gap`UvKwh9?y)}h(-_i;vY6H zocvie&I5Ul=#NHaq(EMhtts!uacdO3iV=EF*DtIqkA2@q(%fN8)~EptygrC+QfuUX z+%Y}aF@8e(;h#Zh{mjAGv-5r7I!8v~P4^gQTlTkDRmCd%6)wo$t|4CTj;u?M$?RZ+ z#kdgndnwx}+bFg$%+C+R*B(yD%PFTiiuM-#UfS{uNAAY{j{t7+`Zw*)R@2R1h1f!s zar4cGuE9w1{7lYTTkgIbXs7qpdUdDQ{2w`AE()RSD>zEG_Qozx5i1g~D7SY8PgCd9 zhL%r)sN0`3IT`XN=ZTG?*#%jnV0Bu@uvF;<@>!jef=W6*cH74cXu?X7U&2%K@O45_ z#K2_SQEWz{p7FZn*b>p=Pn8Xs9BJ~7H;EU=sn_HpR-J)*4o0M$G=nix-%K_<9E5vG zz#aG3bcE3p+pnHT2-|x*{V)`J{y*y8GAfR5UH1(kK!UqlAb5b_!5snwcMtCF(nuh< z1b2rZjk`9%Ex3Ddmj)V_+a&+B?^^rpbH@F2$2eamHS3*Sv#RT@`J2x}SC!uu398Ri z(a5Oj&DYlt0$BIyWP;b@T}xF@mjip@EZt>B)bH8O!Pt3$AuRcyiXGfYQ048SREDON9Q?>{v_YFeZ zd0iaj_7VI5lD27j%D8;|h?UeT(qgcV*VHsBc4FEk7`=B`NgYkPZMv8~`ao0d+;4#P zd#akn`0)&g$HNxS@t7u>bonbq@bR^%o`VN|1r9!xT)RtX<2?Bs0^>^@c zo49h=#sAn2-m)9NjrR0V;^e&K$AS3pycCLS=q`mN_KVP*3Lj*eRJd zXguiVwcnQ||H&5qXBNcUlJwQ;vDWK+ulW$Eouw0EmNv6%Qm@R>y^OpovS?J6OPupn zA`+i_gWzK`jz1#NN+HV&wb~(VW#ZG zydT&Et8i>qsbiP2dJep0#(b{%X$2Lp{VAHv=iWN#j1-q-YHY{}7eG+;8IxpuEu(uq z+~%szLy)!k2vlZv?67ZGw@qoldY#G*Jrbpno8)+ zB~F(ML2$vy?T;5j(Sos|2hX{_Y?+%6|Uhk`?3+cy4;nAa_ukB>jUPo&j~#h4fFuG)9^U6Y8%Rg4v%bhw#) zN9g^jo2hRUne*p9@W?p&rWxvgx=Kfxd|0C9CfOj-_+_SfvJ)K2ne_1lI-{$AH8ZmD zSVaVA7=Z~Y)8&eejqbs!p-t+%S2x?44^q79R3M)qZ@bsAPvD4oP*4#!lp6$ zh`Xc3_9*wu*cj7d7lBT8y5E7-Xugo;oh*Lur-wx{pL1sB{luOM@#>FUV4wYvym-x1 z{QUx=(cTR&6Vg?$kh+Ltu09#3Wf2MM3R@cM`g@JOC%YStAnu6~BhU3O=M%`Pu{E;X z3FvL6td1eoFYW6EeU(Upq|UjGPvWIOtt`I*WknZ_dA4zi^~cMF(;S@G%zOb-0Uq-sgIS>slD8pY!kW84CP* z3-ptDL zQrP)w)3|#&g+msN-nSayNE>zZSuNwwEhC36(|dJ*1CMeMD;9Sk9MMmoXjGb{!{AB^-q&ONWt zvHf~l8%G8iASHDB&z&%tXA68uBR0m$p0-h3*i=hYlN^*Uz3(5C*BPsALaU0z*pOWm z!)A9AIk%`{aYke0>;*^E_An`GzyG^wv?&@-2_wI6@*m*QY*=ems-{_*#VuU4H5c-w ztQ6v01O5EUMl?*UCAMOmm{TU;EnSvzKFR#~HU9Q5tNlSQZ=`dN@RjY4-9a7jS&3!-aam-K{*=6go z^(7@c|GM^D3J&I=DiNsO$0{CSo!k{ISh3!TIb+bPZ4TPSFk>e?R=Xwh=l%W3B$Ovg zbC73H_`g!3w}}SR?KN@1K)Z>kTV0Xh4{7nuk4 z3MFipx`|21((stjPL)CYnh1WCI@;H#?X1K^|4*ueeWTtyJrO%auKlEstd8cEl|8aV z_5ejtC~Hi^sZg_&w2XH=sL}|PAnn?WWh0qWu)kagN`E5@&-gWrDLY}za7@Dd+ilcs zQW%K7SG^#+LYl)|!Y;lOGA-4#|56%6KalOOumN0ug97iOvAQ0~#glXD-A7wSU1mp& zIpY?Q6g#8tjHdM(XE|WzEs!frm?$ULYfR2ul)VX~&I(h$Dz3F>g)o#jfg}fGnzIh)-h$y!uD4|ujNFCc{nkb=Fahyn*TCMm;A-iFM zrA7e;kiCmOQlo%C&0Bz&8uQNRXd4=$Y`u0hPrWmqvs)%-RtQM4Pzg>Dm4PB@5+?$SfV zBlt=e44+FnUdN+r(o{aYgG9Wz%@((d-0X)d%pktgq?I#H;0z=Cl{0KaJ1US`h=nJB zDZ6@Xk(6yywe-jNi)h%t#1pe#0>+kijU|MNPx?H>kQJu+Y0q$WNo&yu zy{EOPYd@42)g44m%@Gx6b19kFy&yE#X|POY^@JVQ6cr+gJWxZyQPqV=P}resz20J3 zJA*Ebg*a4xj^E{r4v~ziWZeHG;fy0YIGW4AQcFcVK&&go(n+c-M43Zupe-FJ5%3&` zVGJ}4P!mDAlipD~_}B(c7#UK*Qy`A4{goH<<6L+eF5X-Gyo1h5B(lO871*qxPaMgf z+9g*T!}Z}YDuk#G;()Cc) zR)YkgQp|{omsQdqN-;xJzy7Hs17Dq~{;h*m$<^LGw};06w8xPwT}KA~?FHkxmne-M z0yT$j`jN^o=ne#_5<@7VJD@1&_=AjlUvi{U`p*U^{&Wzknro2osT30hC}x;ou0e$f z6zif7GC~2~e~!|ngvhaF+e$z(Oof(z`SA?uB1U8` zGZf4e4kht3)E{&u^|?0~PR7*BiKg~v97Wz*;HbgbnAVCZy{kIO1?xr7jZZGvuTq#7g+8{xsR0$b~ZJ1!FQGgD_?xO#u zQGl;T*G=D3DV^h^0uAj;_FUwNJQU%pg?7`AS4zKo?f{x#?0-7^_XO*)FEvQW8mMA| z`&hBAlwW6+#CPcF$CT}8tnGrf|78}|872W%qXbG4Z0jfzSAHS9u{&>JyfJBW7IVtl z>I!2?>zfjM{edX_-IuB3M3t2F`wEyj^VypWa~O+`_$$^6Wuxn?3Zx8*utU%261F_y*>(@2#wbj6>1SSpdtB7l1MIkkG#J_`bE6N z^Joucis`?Y;`t9a_UQiN<-aop%8>tH#$Tp@_Wv>jwBJp?Tq*sBDNqJ+l`4g*mi(WX z61FE9r0U};IB2hD;*rM&+$F?*<_C2DnbtzF$8hY(p@*!7f^h`@4U4XbVMQojKL4{F zIUzaFJK8nO~b~oY~C%h6nV{GZv;<`d7R=iNSzhj5l=itd>%05d?+JCwK z8WJ%W?wJh)4Wa@PA}XpS^-avi{8sui57H=eIs|C#2PsQ*4C(F$!1NDC3_Z*f*ukjhrKU z={+eqE+y!9BUR>!{3f_Jb-Uuni2^-EV51IPQD7hu68Mmua!<+_u2?XXCBKX8NY9ea z>DeCFz3nArb){bDipQPR6ea``;JHx2IK%Y34rh1+Wus%D4IKs{3cZ7Z%fHiPQhoj! zaofaSErl~I4@1X9%f;^|yHNEU&JPcEL zXdu@-e}2*wM~EmdoD0dbOZ75nXct9&?$1@zmG-7h7&?K&FLw&`?2604pChP!OW0!Y zTiV>K5?IFw<;SElYt=veFi7}|mVG)XKd99PeiZ&2WkR3PBJ~Fq(2>4Z9a<;+r~Vfm zH}nL5>euubz;|8r(D>harS#ip6d_hgvy?*7681l!=kmG>nwk=%Wa~FMvMgh^EIus%LE`RI# zE`|xV8U=siP$UukyB49ZlIHkd5Yux(*+nndLN?Gm0i7AEjdRfc7e-uA5S@F7LZC;f zDk{$3&fH{KRD(ZyB2!LCF?gUpNfaU>Hmf`6sMk}WZTsD5N4aU7zy&73wE#NW<7cSi zDOeCeLH`c>cHDb~Fe4*$1S!ERzuE6Ooe48k)d4P&wu?}mvLCgifCmN3&zQ8ln6zir z*cr1J%LctdcpQ~=g&1b=ByDAE1JzF9)A1m8m+vlAXK43^QA~YS*YnMLY4@&B6copd z$AoAhw(WMf%Ix^q_-|ae7I<>HKlvr9Ga|e>_C>Rw zVPH=}Afu!RcV~V4>qz+NkNr^WF#RK25VzGr)l$?@4D@g<5-jpQ5?Z84Qle}JJYz>1 zlzT&7&gx%2^Lo3ob+FbdBrit7Ndp1+IU6|6Z3*xw`y#$n1YxWttfXMdcBU|qTq;`0 zzEXDWhKt|1gF+`VXC%836&WgOV76R-<7B`@29^_cTF0yuE^-8>P*(_-5x#`Z16)xI zP#0hSM+S=g$-o2kA);@s!fXdcJF2eo|MyCm|IfJ5Q^!o#l+vIb_Oqul7+{Cp|0_|T zvSu8)h*1BpM43>fo}RwtOodsakIRED!^N8l4PTc)TcrHaJbx8VFB`cg$|*DrGhw92 zF1G7c+wB}W3D7ST+U@+HfAjnmc=N6T;R8D581@H~$rKkXbd7w3Zq)qQ`k=b$zY*qt zl{3cM0jBU5H9G;i(B#V$A?BTDGzaiXn(chx0hZUghK!UvV@BLea(q5zm(N2vuZC^h zBd4DTJEQQB7n&Ax(d0ZQx2rLWmkDg3GgQDs$2!FcEJ9?&8k#9pHJEr)`7~8*n~d?EG`O}kdao{% ziy($W6rnxhv$f$sXl9`kqRe_cPtRE@x!y0laS8G#%Jt@*v3-DQm9)%f+43*!{82Q@ z@=#Vh6N&#Haq|y${wNx$zXIb~u|NgK|EpMH`_=y{m_Jzh7k2){q1fU4-(lyEqM3?* zCelMuvX2UsKwb3zd+hv`%=l3prDts1Gh4YkszKdWvrJ}l&#-CBCUD~qN_U=xb%BZe zO1%X6=tzNW6V-g+|2(UvJoY4R!qkGAD`kg3rE&G1>k{k>)nfSJ-AJWI5EVu$%KvDK zU3>E$>NGBy>v!R}Yva10g?V8?<;;saBxw1`JiG0UI%dvzw&rMh_OUS1vq>gxZs0ug zk0tiNmkpIM*ihRg=rGCu*|WIS>L`DkJ)TW)IV7asrZ_+}?VcCQ^;cWSK3iW={KeuX6s;RsOfESs|#bWtSY*0B#5E977#B#_<65{=Iy-xr=Cq`2=x0{|ZLIO?l5 z2h@xh)u>YS&`14JOE~_Cj9qXXHqJ$?o`5YA(P57Yt-d+PY=jn3Ms+$D@dIJ0AH&nC zk~B+?az7RRv<^>_xrxA?Ht&cp}dx#5VvOTW*+@ zXiLzCH2OwPX9Z%>+FwQ?@HLGQR-y=0`s44J_7>3hEhE2dr`X%bLg2HV?N0tCnp)IzqV*H?xI^7BbAjhWJEj}C z!2aW2x&PylxkEiN&wq@tJ-WYk*?;rMFrl8dt@NQAl2tO2(g>K= zL^a*u|KyS7`D{Y%GpI3kK`HsrPoVbZc>_=j1G<6#gN4yoWi_|#urlG{z4BbHO#Dab zz4Ff*Z=b^Z>>~UTZO<>rJB%4Sj41yq6CNh)S0>z7CSFdpfm;jKS_|NM$CxAkw21sy z>~9NbX}&@ccRs>)m6>DZzfRHuE3vwFL_ocg?cR6nH(uJZQSjq=QAGCd3V~hE<%N#9 zj1QVqO-`Jd4JzpkD*yZPG#%QjpxFrL8-`C_4*lUZP0~Ve;yu~zqU;&6N?++{>ERzp zDE4q+4X0MABEIGtj;+28Vj6erCN>%)BljuaZ$|ZTIK(^o$z0C1opZdR_~0${i!I0p z>|Yg#f7fHyjcEjTkVF6s5xxsFO327t+h137|2m>l&Qy*MsIqkd)AA|e%Y-PtJ8*Bq zqea9MTMO+^mW;=Ir}(-s+;v(N$fof?srN` zBhsp$#Czy@SZ+W%v(2x+Yy>FrjJLl==zLE>Vs#WYW$K^XW^)pjVCrAmX8Tj`hf8T9 zfBW1hQ0IFx5{09%ar?W?ul+jTzawEf35!5)LxX$s5SxsI=_qXY9O?e|2wW368y@!N z*G?UU??{MF!op0nzu@4SvOoN@vQiLw>*&{q9D85dIWlNmj49+797Yp4E1uYMALubi z6#u^R0~#ydJu)e>zzsr0Uxv4}XkeVm9Rfc^`xKajdbJPj>}$l8M~HjwcoH~(^wX7G zcW57BuRDFeNj!%H2HwgxiE~&3_a+Qq;U=j_dkY(P4_{A_`#2f3o(f>2E`lugx3&r& z@57pu*sx&V*Bdg73AA7gy?Ic8%%k?_@4hBWbNb3&pCHvU=m8;E%;z^Kh3PZ=-Q??? z88T>e%kv7E!4paoU{eQSU-#795<5OQzBiz5WM~JO<;mnvBR9+#XIDc49Xkw!##uCX z6`n9lEToYS7VrAqUTJK9t5fH@l;?A;6|}lr#TjYn%RKz~MDrB*xOUH*ps%9)0PAkX zx5Km0Aclx3e~?A$q|x+J}#kntx*aawuThl49VS&JaK3CKc>F8`r$o% z{5#V=>+@qxr^2IipFF+q^b?b2=54U`KAJlQ##wvKsj_c)(n{6+L`$*YX2rbl1J2dJ zX8V4{R>kd8{F#}5!KYgc{UGyuWx{!NEyE{rJ+Z(uqMP7Th^Xlu`D6L5KcL2kYVn@G z@XA-xoBLfcU~f3sVh| zN|cIE5O|jLV_^uyh)>49!H1w%9S9)TivSG7Jg^Y)nN~A%8$Ho~Fn?6$|MI}M1`2=u zh;%6Ub7%OQ;>KdDf_+HpQ#vKOp4ieg{;5P{g;O&awe^!HIv1(zP3m$7{~Almz37j# zyt~k?ii?;@gj&1D?^F*;qvrZF-YIE7upO#OyY*2VcsPB~;il$iFT`qiVP{^z?&#b) ztKrh4ew64=i}J4M-Xtr+xBmKl8_iP}&#@di?}rwi${n^-feo^0v=QDT__u|>4|yZCgL-zuJ~B(``MkwU{^e_<*Do5&9(oX`X(bJ z|4zyx0zkS@Fv?y{sszJM$wA@8GW&zDjQ9$ z<<@&OS>2JQFHO@msu`u0)~XP5RY-4%gqn+yDh*JJg*#8RhPbwbS_CWaobE!#5@_SB ztE??wt1qo1-@;(TG}XdO$vcSioAb*F4C%rG$u?!XVEU!Q^mHx#>DV#K*tn2q+%HMk zJ)vNR8vB6xk|ea53;Td0PLA3^cV}a-v5pBj^a5rw$WNDWrZ3AB&X+d&C<;w))k>Bh z)>KYPtUOanPj2gamtF6_SNVL<6m;*)JTPD}quK4`lH_-KFlKR0n?%{VR%4y1Q0;?Q zO&dI<$|b6Ez4E@amlkXxo#VQrn&;wWnro;Qy>opuTvaQ)M@rCdtE#9049{`#zMWHV ztE;F2EHBK?gVd}ajaTVWkAR`z?#59El8BZafTK@y9G#*RZ)AJS~SHn|er|&2>nQ4&R9tZ_;94qUO_}jcu3QlPP z?QIrPU59Pn3a_eZ@7rrwJMWn_(JCv{LvaGHjJL;K%w3pXRdcI@M z@I-_n%q#@s|Ey%NY!fZlIQam}GUN3`4q4eh712rV3NpK)Q3hRXm7!LAx6xUVn)}#V z#OqM@*jfDO6NQq`g36+7MZ8Qc>_nWKcj@jkc9z7`YP-hEIrrAp)+Hb~M-erB(OR1e zeMQ0k-9VeHDZLxwG&LIZw=q<+M5guS5-H8cJlW|6ry!Z;w}uD%-L`otrGvdCpVY+b zOR%fyiGUw>3`@g|RgHmX8Ku_PQJBHpbf3?tEzJ+Cs>fnyOfqlt3q9dyP!1+Adal}X z2vu_@U&$*wksGpoZbYaAFb{euri@tgk>DX^;Qr2&s6(<-*%i@ z`?jE(JwT@Qi%ww$V|AZ{h_41PzkoLnGCzz9a+<2C8{O0|1;iYM_X=1DAQa~9259Ai z2Ke%10(aEiUNtJB^|T`i1m`RdcqtTcVxS55e&?dA=g~Qgzfb-y*_6Z_Y46?>*}@_o z?G}1d)YYSJpnLrOYB|uwj#bBk?M^+?F=<&T`ZV_D)?DvXYqtS_g0SWpX7*?Z()Mc+OdoRaiGR{f%7Vr*i~;5ose5M4G5#^VlC4*t z{^BV4K`=QpDe`!Dp3gGEPF1LXKu(!3HMvh^KT+%YWevc~jpptCLd=T?< zB2$EAAqnTs$kyO@x4m^pI96of`WECMV3XuNqN4RES{^a=m&vzDX?YITB0X!E36HNj z<(u#1(G~l+5u&%s=TE|iP)=b&6L2f+wH(Fu$Hfy_u%xqSFYjP0-&l&#>b|ejKQaY| z>D029(Vi~s#friL5xW($)+Qm66SO=#NVlO$j0RfwW2HOc7K!yf)<(|exBY#wF2Tjq z2)FN1K#Y!#$2#Si$LY=Xp)2^n2nAmRT+lCvK)scnoy))(xBX6&cpuQayxmrz?y8Cs z9jB(!%9W7afiOuWGnDBPO)u|IpGw`a@LKqJxaIO?hQOOy~5N*#WxiUjYJGTjN4Pj!2FN(F>e-gREL$G~ zrQ*xO>oas~y18#7y4tofa`^lN6a!JO0d(N7Rt`9b;72<-;fEw{jVGI|DPN%I!z^O` zj9u9m(FY0MOqrE4)q(lan?|P;ncfA}B%X)@Kx70L2AUpK$G*zI`Zov8;tP1R1wQIFt z2m5q0tG0-m4L*Vc17dHVMoIoC9-U&+Bo{{n({xEHVx1U*q&7b@46e+ej_O=Cu`4E> zV-^XA4N6qSN4aWVcWQ4^j@*0bB!E^hA36Z@4K8lsLK>r*EmyT6G<@N-H_@+f_McF7 zK>8uMQ(0$ju^CfjV1>_*9m+RvAG*)f{m&lCkD}IPZ^DA*B=_WR&QM}>1=elyM;*0~ zaMzTREyPKij-12o4UXOcv$^D=b7Dh~D#{|sHW!iV_+>JZ(jXtojk}1a>mcuS$qu8Fs^kc^Azs>6<$DYpXP970|}!3cSgwOrITLWcPR?Gz?L zb#08}$rMZtr}rdwP5$c)Z9U^?5eN6KffxM>RuDlcip%j?H-Qps}@9< zrS`Duzj`eOJx7Cf*ktMtZ=lU5ith=1EN@WOR~;n5KfHTpfqaBdayLE7H(?b`(V5FB z$_#w-H9vSfZOvr^PnX70>Gzs)IAS`TL>b(;x!CKtufCe7%kKnjmm-YyCYDJ>Y1RJ_ zGS)O2YOX7dGbu}68n4#G)l$RC2_0)(N&M`_N;KONFJ)GP7yXqPW74fRJR}5fgiK-y z5ZTBq(4OHe-fbcV>Opol;+qV4SWh{RruHqoCI)wHskW7$Yg8kDG!w-TO#a~e+VSLA z3GF&26W}Lfdyw_~#?YI&YZDc-5wGP}VQv^073u8~@9^l;&5&#(=D@8BJtrZjA*cR< z8A4#w{RVL74NY9TkCjVCZ~%rA^SRA3FA)uN^z}Ny(($UN(%pi*Va{2`{LzE9WnHYO zr3<*A8>jyrYm(dSYyfdsFmQqnLZ!@1x{aP=(NdwMx+nu{VX0R}(n@t8WxVpw=T0R0 z<74*2)=BS@su&qcxhOm_)uvqk3_y(wUqDJ8i=Pe%W+#Ji2xf>96&p#bE%OgZBuUD} zE?BTgNy^+J$aqSFk*hRXe|q*S23uiW-ECWD+0~Ld`h34T89CTn5ZyMzkAJ&w>EqZj~$!Lt3M!gpA~no49Uqded*rc5o zJ;hx0RiAvOUJoPh6EA?;pb>0FrVe)OiI&&Vn_>iMemp#7WMQv^yS(cCIJIZK`Kg(0 zO)E&0Y+&M~c&2)O#CAvp1QqMH6|#OY!Q+2m8m7P3DG_ z(eSG8h4;;&jJw4aS+sI`I;&|8*fe%8d-!_>!tqA*CA-T+lXZkiayNxfS|rMpBls5Z zj)-$mQ|Wg4xrdHI8I_&W5k}cRj=-WDJG}!M{%~Au4VA9<)kdzpTim7(w|=bm0OCZD zXTRGYy9c!~dCEebZaQo3>JPe0&^x zynS5XSv6c;*P<{tzkFsBr;WGW_!fQNeAcxNWqwN6rYpDFga-|ABkFht6wb>_<34Gg z@NT!d)1*8mkC3}O#(IlMcWyuzqzwF_PYLSUG$3-$Yerho36fnZK=R7Asf@RcDX$&# zRYx&_ZSWY~y$G@%wH@vF8W05q3wmcVHzM#(@f@b(?7XZco$eYpv!2)`|zE)ChUhl2w3Tt~ST zZ=~>sdKCvM6B^ZbNnDOb>4f~{)m844E^rQca~G1f3kh3w#xl3BaJ=i-FmFC|C_?q1 z)3%H|F8H?;W@%`3!}Yze*?Sq1%NINMct=BuQ_xL~5%ekb-40j6ZjDko(EC1+H(*-3 zG#>V#1mC0@^BmVTa-eE2+D<&?YTNa6F|9wY)wk!BA2FBHX-#rm?Ae<8)|CAu1f-{7 z#rrT14*hlk9(~R!adWa)9*{5vu985ARI;c z1J#yyWaISDLsRJ-kLe$5U2l4&RWPnj`+dMu{=z|CJDy;?!e3$1Gwt`b%iH&0-J((p z-G+U2mC9qF$uz)J7iF#)<9fxUvSjqC2&>S>^R`2B?8+1(rwFG63z0~Z5?qq=Kxgp(!@kxlQXN#%!UaahU%>}zq5zZDyK!{3}QWrRN2`NokAeY5TXXk zoTK>{obus{4H3_p5zh)(yA{pC=nK;NrdPi_4lGA{j7DTS9e3`Kxlqd; zGbEZ5B}Sy`QH?MxM*Zm7D+-XxhWcEvRrFdS4r1-y8JwQTt#q|XW(Gx=?W>)cM8^ka zJ*e2b?S9{yNp?coYjauvl&6 zZ3wJdcyi=KB=-}Ys%U;(y|64@!ygnLPwx-z>HWS>V7z_W|9)D|vneQHp8SM~k%fw3 zxv&Mxb<EK_qH#G#c2u>s%+gVec$qH1{#wD&6cys87_)kbvDb-$7r7UC?#7?`H7 zw$5)2Q5-R4K@Hq5!~#~$$g$rRY?w-?j>(LRFN?ZRU|PJ>+UfKYt)iQe;N{~<)vVq8 zsVV_0b2Q=glKcdBnOjhI)L8$`jDSa#!-jpu0+YhA?&9`GqxSc!w!|}b&;bnPs0>-!s;i?R~7bb?LhV^u#?8pCYz1Ltfp3>xF72U{qFWiWFdkT9ZfGt82)+al*uy+;F{M36rHa*#p;|0^J8P758 zL**sA@0APh8+bOe2)D9QJB$LaYlnib*(C<^L>^aOh_0`~Wfg*qzUNT^qI_Y~Ni_wp z?=ZfV9YZMJRL#5qyYSsgfH`JvFc?BB9DMQ-Hx60_N!Jesz|u!>M+LGaUO%`I9;vl)`Iw{PMA?cRmeum`$$R-|hkyxe74mKU zK#Kf??&$Cf2LSK&$M$(oWLF(Qvwa${3sBU185QpCN6T9fsSYZxjn0O0`Vc>%eghAP zn@2sE-RJ1So!VYiWBuSKum?Omvmy7frS?9+o$Yd3=rYOjRA~T2IQQs+<9A3-im_mq z4%T!E5_;SI(tg4%<_!#0VF`xw=-{Gm5W~RI!jBS z9F6$-T2$vdz5Pko?oQuse>VeOL}#cdPrSJ|z87EbJpW9-(U3e#|08O3CC%r7e1jR2 z!)OUx-TdD5l-H+uA7+p_P+fAPFwG$Qeryv}>)Z{GQR!5)>Q0%tX-Cwpg?JRSZAi|3Jf!NeyL6H`BE&Qc}J~1Pbu7Zra6as zLTqMK`I*qcw=oH|Vc`#ROAL!k3>tMV9owPQ^S~_guYgCY)udnBKqj~q7Hy6Q$8`%& zSa}OZ6+I~}%yidu?!FevhSHE`=4KNQ@j(l@vk2>v(4n>@VH;g=znET?Gz?Yiec4K+9aGdp>rxnUl>-x_18IG0*RxJ#CjdJB~JH;|s#|Gzh zUutpl-ha|^K&$IVsnLE9pY#yT=!wvnMOF|KZEmY5&$a1d_x87pm^XFN)%!CH4p0W! z1*MtH)O8gyJ*y9|A^YWx(9NCsnOnx$)oILF6HuT%-V02%F1ZBnYs$S}Jz@6oz|sDu z|430@MPNOElFjGUm2ony?|sNZvcBOhmEoh&PZ@XC8)lvJ)%|8Ou8K_?RzZgI&K3Cc zih!Y+Ma9{Sri>R*}P`uT|Ev+~Gr zO1f2IKW=9zdxr#l*2tQt)s~u@j&FBfh~Fxt0hrnJsPI^uHK^aX-9Ak+OXgrVxJtk? zNH)Z5)lW5Q7TrW~CqKjdi4Q^~_^#rpJ@mTz!Xy)A!frzL>6P6=`{>l;T8l7mo42}o z;Z>7^r(53%FTb~paY0sAdEfud+2?7qfTXz1_btt`h;HuyEbvcglyC zzJ)G^x0yYfePwI!M?|(h|C*V`Vw2Gxr;p8bG&DW1TuMDLw$MoHt7It|X486f9i+7Q z?C3EVqfqBTDwPQLKuNd11E(Pz=h_A~T$nocpQ?V@*0m6Sm*EHTka$28O0gm~`t3NJ zA;T%E;?;Hk+5kj`$b8@>qB(ootKpf+-NsTB=z)Xl{moz}%NBX=rc0KX*SBfka0ksz zm-t>Tx4ap)m6cTd)xB#SP;c&$*2vGq_jAlqG+pikM(=Chw!GV5+kG;gX+a)kUuL6H zldNEtg$|OLRT^bM=y?n=8zH-I5fIwSzX#0w8Z6;NH!@JSoyV`-h(PRQdwseh*?_*!lXYD8;3+MOjNWsrDpnb3rYs4=vBf&kCD z%6wmOE)sZFqsdifB9qMH**v6Ij!K{8T97f}em#cVoASzS-JDII#Fqzlod5w6(1F_<)-zA#VrF&G7 z(bz{Wz@~Waw~gTA$#myJrYp-|i^Ua=6Is* zNtP1ctnHq}%<&!cQCEN`3#o?L&%^O2w5C#3?O16QBszOBj#YaK=lMqOS4Q=#8I7hi zUl7ZKM5G1VHT-umPY~y7YL&6A=zwW8!act;oT5mEhHr612DxV+wl_1}t-;3!TidXQ z^mdAuDDRuEtWVOzt35Mu6QEBT|G~S&sa9}vGvb+aoA{2bC+gznlxn&~tBw6`xlco> zrOnKOlY6CVqvRMa zTaK_C?ECRm?$r_k?;OMMh5{RwLFob96FJ3nq-apTzzXJpE{S07;X1P-NbmFdu;v(J zJy8bQAmfKn;c&f9)EJ+~8OzN^?(oPYG%NHeDjGvgTE~c~<1Pgp@4Ns0;jD ze2xU}G8e0{$X0w`HNhBN=N8+EkWp@}<@9BKTokJ~Bq3`$>4eL+YDLooQLm?va z?X`1;(+ZM^^F7DQWnH|~=)16J^?20+s-R?a{&f$;zq>m_`|8W#bU8b2X>ACTqGyp* zicv6LHB%SO?XQ`;I`6cvX%6W zro#aI15J>g+<~{S2NAc~*cDzAZk(6};^5F4o-$PLI&tu{u}IN4W}O7Q_$)WyHwj&j`q8{aj&G0PVQiNQ0 zIuqwyxYjI78kIe?Zi>+S2z(`o*S1OAjd4Oaj(ZNUlx*dl=qQ6C=C%)Wk;H`KR#2W=io2iY1p0U~) zvx^HDLcjaeT6F4hf!b%6Gpeof?vlVcoRH4D)4feR6mU?c7Xmm3xyTZ2SG_13w~W%F z47UaMGGkT@L+_o}uk*p9ud)l*$~%$@1<0uAf71kn0<`1j@I>FB-__HO+vo6RaUX>F0~zjSGCpQ zmN}#ZYmOYm&}ETHYzxG|Iu#B?dSnNl=Psm!a-PtZib%|V5 zk-|*W-4xw*Akd_Jp}ux=O$F7!rj-=ym6kr{Y||`;Nn*QLiWdoZq^&jW>S&MGStkD4 zNh~x>=1_|%gllI+P3m4Vx0eiabpK`kb1HbI>!YHf`$~wNqWgBXwvcH!g%0-7W;P-e z0pTbc`5!uM4cNky$8n#<`Z zC9RE)iPxg*HR-dI%1qF^U{y>-zv6(X_6KvtMZGd>emPoeDS#|cN)Do|r#+X@ed~+N zb7`x@0EiJvIHcS_GYkE76o7=aYKe<2;U3G6d-5yiEf1Jt!}E}=FAN!uo6<$d%}OtM z;^>MH}{V@851(MRm2m+yE@fA`(XQ5c^!rTUOuDfQBfhCnEJ$2F2+dNsl zu|`^i|B>y`z3X_cwMipVas6fGkDPCu>L2%?TJ;0NgpF-L+NJ>u5XQpn8%Uk-XcQ7E zAP0t5>b*Og4|w3~As;isc0eNHH2k0BX@+2`L=o5ATR=UYuAVP`o*&`t!h8yxJBOAG zCveM?dKS5?zzJyj2lKQH@E3f}*79<@sh1MQzaK0W7lLJp#(4lVfFgA=;i#Bx3i5nD z+89JjIou5W0Z%T=jm)z6M6gibz}Haczl;}v@@UxF;ybM;plhg z)lP>}R4lXWuGsM(fFRO@0B@`u6z`LZQ>G!|@1{v`mpq9dZei6DSfh#XVgQ%eu0!l! zOBeFKszcIqVcNDeI=5l@HCdz2%9P}~I;LkzSk|k`u{lo$b`yLuYFo;;J7`K2I+4A| zzzLQ+obr%<<(M``MW)JFrRO2q#u?4|5(&eG6s>B;C&dT6im9jTx<>!Q+Qk>XQ?zE6 zK)s^uR<+2x=|6DcjSig=YA9o}U?E$zEK}u=P-$Y5X6)@}S`a%;xsPHLx#5L{A&yls zxlMRcRihfz#nTkHmWDc&Mz|tdQLdxqA)OEZm$h59>iIQAc{<^=@1dq538=J~WaX*9 z?Y)88e^RfT%IN=RL))FRs61>s=U$UtbteQAG&@E31D%vjrRz_3I7jxRRchh`XW)Lm z9f~}y!+hU3+Y}VHCRq#B4SeZm^nGK^R+^1?uxrLIf95dLglgKb8wipY7B$&A?-Db? zWj!fwXlRu&tk{E{)#dVr(|E_XrR+dZKCun>ZAlpeH09P#jx0MNIA)bXPB>-&(WX-eObJeEAmE$53vqWhqoSG_9Yqlv+CLw_Yf`JeV?)>Q^VI zVtVG*ZD!=1Qt>^tmGe01>*1)EF5-y9A>eOJKtNbN{Wq^)yeD0lFMZqrQXabgAJ|@g zJN!f97Z4WjYFH-iOXf8i&Y-LHQ&ZRYNJT0*Ddj&gunYT`aJqWMylj{{f%h8wLiu*R zrXT85k{qk7s|^GvU0G)Hd=9oA8YLL#Brsd-AT$8+hMg0P+{Bz__L8`+2UZl9U8xvb zrJZlEswywv?_aLpR4`V(%et}jvy9?BWA2HeurRiEE0XQ{ocgnkkSDg2mq}v9z-Vfd z?$KsQZ}4ZA_#GKW683q5QV$Gd^r|VNxgtYBR9m;}O+#PUy~>(P_5aSVs&)JCdhW>1 z-*q#+4--8W-s2NJ1W)Q5fj9zHSOa~AJF9$;m;?Ucsf%vNT9yC)hqHa?Xv$b0<=lcv z@u3HQ+>=E{PJ|QZO0bp{Hb1zukCktShTqL9>`hLU;8&NMfwc~Dw`H1Aj{i3F^$uF8 zccZ8WU;6zBcqdj;k*cWP6lEe^jvUTIcmSu%*k zeLDKuJ+|3x{$^sE*O~77w{Ah)Nh_bZDY_aL4+BRKS0#g&%3LC!5jAi`H%6m;My$T+ zVkBX8&;|$=m;!S6$KGyGus@PebmrTuc_EuszFkvecgvHV7TldH4xm}nD_*e8fZH*6gf!=sP$NE?qCW(-;^IQxDt!@+*dBFBX4&&2(%h|iOIV~=} z^n<3;hB>*$;m?=pshp%2ne2x=$%~BeFPdYe12-Z&Y?K$C_2`M*3npGmcZ*_dqLs5e zrWlHsv#G@QuGu9csgr2j@8%kb=uYibd%uDn-Ao*Q^QraLp=Z+38FV2b?)i)#)>}Q5 zis95yx6oFOPfjfjA<5euD-8T)hA}my7M7IVxg2AxQ6donzthkM0Z!Udx< zBPjoMw5d8xMcPZ7-bbES623(h(GjD+CGZ}iDP~mbbx@;u)cldveCum(x(h~agN426_(JboYnEH=cI9Zp=(Y4Qakh_uH2Ft6=vfQwT(8NNHyG<7tL&Ffk755w70nw zvdWGBMRTHuI3>9hjj`2g663OxQgWy_0$w#KGiUqVsztY)4>67nFuE`d zdjbgcj^Eva_^RCfR$`XMJ%})OkHg!KI6DyAj@XO1njbPsY|5$*=6h%fPcUS;i z*mI8Q97HJpB30v|xcz+!z3k?3)Kd4*yZfE}Y8baN_^Hk^pZk)}{@bkp>0iyMan(fPRGfji>;uCTh&y%EKu$gsxTInwy*LjKBt}gW+xH-rxn=S2`&s>zzu>C#1Q z9dN{t=%d4w- zoL_Z1*}fHj7vul355PC9vnFJaBxUKK?aVY98)d?ZrcXBKhKcH|WN{V6xw+N;wo=); zU1Vx=^LYX_{EUF{wq;-%+7R5d_BEvQl`*6j*Kt2yEPi=(u6%kFd=6(w4nvLFW60o*P@ioKuFQ zde+L@g7Ttq0T<0(Lw3fBvvw?~DWCYX#<6%R`l6J~i7Ca7<#9q-%XWzpgSAsT`e|p8 zacEm}Fe7ad$ZJP8$z+8t%5YL+Z`zm|O^?1#3-GCrKmAFL*rY=)LZLI|zJOL=?%8$) zOp5}hChKlvw}nUT*~l4wtkofWs}%P@1|g789a&@Ue`t0nk<9;fKWxH}>RTZwbXGSL zv}K%W27P%-(pa2SU7wuPa z?WtFoR#&`MOSEqch-cLwO$8bGYCS-tPYl3`(L;eQop-E^?&9ch3UYP{FM0pd`BgXr zIxj@o)O~p+GZVBBByZsuzb7xQ=jrd-pQ}!x8#cc-vg^ZThmv%HDAl|}=|_UVrv{$K zCZ5X$wQ;8*$gXsP;;OjhTg8{`Z7od>jt84%pB`8idm7iIKNiedH05j~Ku3XQJjPkW z4Z%33W=j<5Ww1V4;f?Qz_F;x55v%uh$f|hH^FGy0J;)tmt7kE@Ph77i|Op7CH=Uc`gSs=fqeqD4${=NwqC&mtrmuPxN%H2$FC2Tu zkmbMhe)q2A1`dy#hoCpVl}ar=>hWm|LfUNooykiqZC7CqVj);~95brc4=|r%4&&m| zY*)}Srzz#>DXuKkD_@*sIq=n*Xum4kQ~M0^W3`FTJqsyRByOpOk=|5npW!+Tn(7uj zwJhEO;cU@~JLRYsa~QeITY7H5gcgS3&-PUnNfFFVeTz>=^6$A53^qrUK4=MnFt-wG zFj#r>P%~;_fV**>ht1V5Cz$$kzG>74qf)Ig4pr_248f0@suw)33CwVcKwo^fU|gHr zl#ru{@0QEmE5-aa+5j0#-5HMCsz)W3#h)#U6AW88DnCo})T@qGNKM@It=BNd%%j~K zr<~&jZ5MGNfL1^3hj&&VRjrGv2BY9;50af0lcvRRADcWTEW~E&Fkeh5%!?-XjZOWj zh7ArAe3`#O-~sP>Epy$u#2m+oy>ls>kgE9D`Y z#Iyb*KdDaGQa<}Q@oCSC1;rmP*5dXo#DVCYxlweoIQIKxDFT+%C+-)yA@NvGBE%eHIxYp zi~fucH|R1WXAzDKtWN8CpWsUl&b&Dq1PTvh*Jp8IQAB~C6soZz*IzXH_MiSGn`sLM zQ1|X%^6Tirwru^5n*cCQ4}X$!%^wJD7`+(F$6X#Kd_oCD{gv%&HIdEq+i5X51i2tU zdP`xil4{ivbgvjN6`eLw8%e!Bn^SZ&u#KkS1Z(>>->KcsD87JlZy!wV8L4|jN=IC@LDGg-H?jU{7}&u$MOdy}E1lp(zFO9afm0}` zT|l0hT3je7NdS>}sZwsTGPcOB$}CX*SJA0Lb<+8aVKB!H&|1n6|4lj>XeQf;<_I?u z2V+3Whw1A?p15*~2``xyWlEI?xH~-+q}7{~%b&a4*XyrPO%!;v`;ii4IVS^~k7CG` z2L({Ls6|=H)-t)m$#8?3im&>be~X!*0(YJzRv;@84AV^aPPDB!zu4$Qjr}ZQySdev zGLQZm{eQV{lICbc5}!irHc&WB#R;T&lq)0QS*JKxu*=mVeZFl24& z@zJs`R(R}`NXk7j>wGul0*ly-s@u!hv%;HI?|C7A?BidN^;|x9-NJw`!LST|ShBMG zhH4NA%X2^?J032vQi<%Eeb3aPMMBxPsFS*7Mim>T-p_1`nq3d;?NX$%@|V)-7g+F% ziJ`p=l~(o`scfk**0b{Taf%W}9QhrKZVBBNU70Tg4760KF1nM`yj7t?gzoxZTclnF z>vD<*>LXY0HIehcF%@f)^JESPLD?a4 zpTN9ZC_T8jmGPYrYmwY1B$0zueh~9V*vb=1DEkB@LaMu13e%h3U`Ry@n5-U!@QQqw zj?`^~pDz9c;-*s-cO@IeO%8sEIwYy*-__>;Xe+r66#JMnZCP0?TNy03uG;Ohneq-b zxTRrOOo@hG!&rtKO#K~9BVU_3VorlfPka9`&xg9lqZ+VT-YDbG+?UDh1f8?-UlkW;N${9TDDW z2{eafk=u9$Oe$p59DwMjHiPLanM`=Z!3Z<&$-VL{==f53T1TO=IyoO*mF;m)_W*}+ zj*W|r$WIQP`pB=GP(a+!G^{Aqh#b=a8r1`ijEyEdOEkC#2R^EL6{E+uU3MEQ} z7d11j+F0v!@rIwcrjnF2&pEQ)i5X$xkW?pW24u%|s9|^9&io%zGyfl{y00M{i4btz zu;6Oiu)d{qp+yb|xd;JHg$o69RguMX52$c8a$>ki`F1KfN)LcekkQBo2NJqQFnlUi zm#?Z&S9#`sC23k}41thpDe%*9a4G0&4HPh7jp4YjtCL6m8gtkM!OIoFaM#FDjT`Q*Xnyl zXIV>XA$y8VBC+jc>ce42r7P>icXqRx9ak~@T+wTfHv}kWTGyMlu}zi^IgXPmz`jiM zmY6JQl>yxJ?qkZ^)j3P4!+e@U59m4Hyaelv+lBV9@*4ebvRPn;(Vz;K?CJ~ut0GVE zCBg37VD0iWo{}_TZSn67Rgb2_e=qldF3rc3qt&epnJi8Lrv7N)B)~M?pwH_O1B$YY zN3xX1d#=cq-%R%J-TMvljlPc^x?zrB3=r6pxYGOOm7y$t5t_=CL7H3@Dg)mbP5& zv4tzsG>6$hwz~7wmssI!uyAT>;6hD(JtC3C$&>lS@1xCMl@3&mWOPe$zS6DTA$zG} zN(P|Ynj>Q{79tCV3oqsa5ihw%v#m85V)5$khX*)T?hb0t7_yJ4F;0N8tVkZ6B-E9K z`qq_)66)pXgwBemhwF~(s?>d+25>d|FyTib4bjxg*TM`bu zABnii5eWB`OH|NF#+D~yoADnaC?}89kv&iu70{`A~XBMtx?I&Ba=E}Bb93>zUWwmk=^J80;+_TUv*rZyfuNabjX)? zQStD36y|~z%{r&&bPtP{JzNCr8BqGtM`=Sms(@#C%KcTIm`sDiQN0W9>0!MQZbNOo zYG{cWm-=+0fzW@Khl$wRg81GoLfokLLX+_{f2>_tS%9?^0uKj;=7KJBAk zcxHF`ms{y?f~$f~QIe3aG6 zqrr}q-P;W4Y(1T&3mX#O5cvVE{HL|_q^my3Gc&})kR(&I-=gkw zyc@35-vVInW!2x!;oN1cc!Oi-?SbgKGMXV5yuiYm05?n zNNGq&3Xrw_N&fY<`ofWQ)RLhQ(Q1EgPLWS$0He}?*{HlKe#7CO$slA=G5wtBBT*p< z2V4jB8b9$_-yqsfhQl~X&%1{ymFlXHzl5aUn=SN-d!|9c+r&SzNDHPtdn8^}UB-T0 zjQDPr*pQU>t)G_D`M`xf3@;}|9QgC{UUt1>OQ6i?o$rZDN|6CAAq%KKHYrt{Ycjac z84)Ucn^>?76TE5CUhbT9S!v;ytf`eUD!mjTllIrwfWLK9i??#pEBcXZAP!77US2Nb zHH0zKw0)ovLPNvX=uQN1I;o-Y*WwOS^HefnZ#MtEwPC->W_KfVDoBQaJRY|lY0;Se zDgG`(&5rmX!C#U~%i@Z#4@LZ_pn97)>|6$lf*~)~o5oZk?dF2Fnj*CBy4Yx+PBYMk zf_P1gd7`nDcB4PUrrj7%F!ab1ZJt{likzxiih|`DE}5l|1l;5XPct+# zRj-)u(n{Z!G=(JxQ3&@>*l8UQ7T_thhdERohIyeOyc5?4 zwk0ptTeC);hk}Ke1@MAjl7z}nm{f1TJ}TuD31R3er(4xuG_jF!wX2ce!9M4uo07y` zYzxREXd>u2W)RCka(x>-_A}_Gi9xjQCvsc`g#{gl0m+au(4EGu?K2v1(@T#HY#uh5 z%@IsLe&}$N`N#1Y$mmYzakX)}ht*=XOY>EEV)44zMIzPR{4M>3JBQLXmT%gkjD+6M z+?H2ac}A-GOgb*z9LCec$SP2nj><*$ebw(chq-g}5#?JIDlltg3M}(0{_X*Dk?jZaEx(FKLGAsqeOv;kkfe06CKGF|1GCOAdapxp}JI5(vVAS`SSU&0r%^|@YD=<}}4WDkpr z2{n{;y?i*s2*w1l`5xDd^?s9ZzW5Wrwzw~l#UfPHu@^k-R{}G4Xv&|mlhk_S>9ql# z&Y|2}c;T(XMImEs?J-q8s0n{(jnN_W#J{NpmN*y$Ne))sMl0?8kyT~3b1Z19Cz5Df zc=~?*Pl1<=Kf;0e1cZvuD%q*_+K~GK4GU0`R4L>t&974zBdM*vBlj{09CULD9z`jS zVj)%?pLc7wT}{gbN=dXdoM)PtHIW*%s992N6|^b3@6L9_6_z)iQ_@2i!`?rtH^M@` z%-K=ppXthm6nC;=Fs(>+C9#fAj%@BS+IRlQn-b38^Y-!k9W&2>N~fWU?`KwTs0~RN z)P=W*#)2~q3(dwA=10N`7@n>K8SyTs(EQTZ^ru>N!}xaK*MU}7y9Z$42-(im03S=6 z{X8Xsq+TEN-0?7*j7dLHZ9-hn**7~SGl)p+3wL700RUk#EpD#VdwbTgz>tntxIEZM9VAmo&(rol&SR%mdGok5xl7 zZ-UVAf_nOIVa)H}!^dFO;UOL|7)ruSONmQGQGK#?{|(2W`!LTmRQZXHu_e9OhvX_$ z4UKDqHoyGluYcAcHphWK@6A0qkrpI4z}fVYxF&#MNi)cN6ylI$J|oup+a0*|Hd(4T z0mh}m7f@3&(ZT5?2kqSt-N=6z5undp&n15Ps=k{vKaIZj8q>mBL|%fRhd*IB({QOb zGnF;*c^361{YS_L*Kbt@o{1m~lDQ-PJq?ny2rdlr8rHAslMG3wHLDj39u}E~zBi>c zm1l;ahA~EDC4#dF%LX+7Dm~<<(u6dFehZYvT!b`8!9#-V(!l_lVDSCIWz&aEl1Sx09x3_JAk^D={MqwjuedXQ!OY^53SuEaZ0IhN%l5Un!pIC=bY@t82)&mwx zUKZ)SsQRtJy!cSMsdPe`t#;|TleN)-U3289NxqvBv5Ja_ouRF|PtJnobb#nvLAk+@RtM1m@qm&A!lRB_R^Za!ASTF<|kN2cG7W_QtqK$WUF~ znaj83W>N_y-RUVnmO9HIWdC_xt+0+OTL|I%f&lr>n1Abi;TN2s;gnxQ?~LVq#B6YJ zCH~1Xn-Dp##I%blzC@yPB==}rU6N6aU6G$n4A|@!r78&CaA;}f1I_9tQRPB+$ejuE zz{OW|Pv0ij>x@|lQ|uxmym++=uwPuDUcAqurVs5HsH-`7w5Buxi$U22;)!n(kFFVF zh0gS^mll|P?h0uHg0925br#*EHgm_vjZ4DmGh8BpGb(ek(oCE@3yC4*lBDxqbX;z*~Ov(RkPobF`j><_MJ;y=oGn ziO^PAe97@kYnRrC@HqR)tWc51&+Aaz-$}oxPM##1fB3QZAGH-X6SKK;x6xysf8+2V z?vPz02t%j2`a!&k;b*V!drZ|P*L|Ve3As`n18xnvgbesdyJMFB6Zo!xM7~MU6aNzJT;A^w@*c$Oj9o&y5@H; z)PfF&{6e=Gh^PJ~%&Tgt%>v3u-2-|qH$>&$F(6)pFb3&Q``Pwd*B@yam&)CCUnSen zL!zBtWU<->W;(#KPA!o4GZHfA*$9Cw31I0|?th883*xCvyThVyzD? zW~ZGUm*K^mJ?91GT>a5$J(P3PE5TRxJKd_!Xsl1*98$WLQ)3(dGm#~p_CAk0+d2-9 zFWz^nAeNFI#Pm{$Q?7eW!|&bxAJP`y3dR<{ew4W7Hv2>aKOgz?f72>oWwk4`)ygQ9 zlcoo=EmXQ)<^5Fr?jvl{oneU>7VJ~O+MUQb)zLU#5?%tg51Zi-E55+GBvsn`cN0YD z*wb^tO2N$C+`5^+PtB>IV7jzMW6vvwdqp7MG`kJxxfn{@w+a}vk}lwmmv~kOi_Cv- zo6HIGCM|)8R^`R<97Y_!JomYLKfiGqf1j~UX)b{5o-5<@M~o(A9q(4@(&oSaJyuR3 zO^i1~IfP6lSWo?R-zWD36G_wqgjBtKa+VsQ+8eU*PL#h@>}M7|wtMqUr(-I3c=>FE zQ>#!-$;>a96j+%Idz$PSr#BA=Q!oNai8iOwN6zzMsToF#%fIgnfqgk$Il=ZBT*EBT zO*+9ND0(S=$dC~jx%?lTf?S|Y+qFk(rK#npR^*lFm5J-tq%uLT2Z}(!7|=8)QRXA& ztb*3OyK+cMW14Qwb#5^%@t3Y2qe$q4sI`7-W6}7wKzrA&4B)mTC^Ak4X`fL!T$C!2 z6_+cVCWX5R5^-`a>H5sE1qD-V>FFA)$uTcgPlIhg9=%#QWD9waT{>!OW_zW1ENMw(hOjc6C#PNF1HrMXwN`>ELg zh^U#p>GP&~2m3Tch|t8?a~X(kFE-&>cNT}kXo>;5`Zb_+*jrnEpY|WQ93Be@8Qgd; zVa&#*+xZeZraoUJtJQ_a5Q&psdDL?u*Pxhge`!T#);wg4E?s;$#V5W9`xQ5?DQ|-Il{-ed_H5RZ>4}s9L&c z?$=75E)S*CDI2-y)tc%y@oqrOXhIOSCdngu6!{vJIyaLlv!b#qf`(mGF1i)K?6lp^ zc^N5$2%S~gwjvAe0hGOKEXS(O{Vzl}dz!nqKhKeBSCAZV*{@$FUccmX>gdaak+uQD zB8y_O-`RI4=r}IUP}6uci9O=@GuG!^<)xr8-gcW!nWbJ%=G&8G`Lo|=lo!ADf$+3_ znSLvMcgF{UlAtZRk@!wE z+~ltnOrF4}3d9s+yd9l=#qlDA>`E5%$J1@!W{|O~mo2{8)(}y}dKef8OHwnjF~?$8 zmtWeOssw3XzGlFp{>*s2c3RT@z3S=hKsg1@2rRj;G>~PgCJ}QorZo&*XQ*FX-|_fp zCAinNPKjE0%RTOe4bK3^Gw#KS0seUj)r-%6wM1^>*T$~}ez=5FrMB(;O}3EBjMSpj zq88Fpw8!Sqb4{S$;lFNjt(g2X{yjxEo(L zZ=)}u&ky-%1ZlyOt*Kj#FA?XF{YAwBd^Lj<%Fwv9q27F*r>sBM?CY)g+lRkyTXKWr zN!1PcZ<2h;$CWljoFmSSUWGQ#zaPgc^hLG%ZM4(iB92n+gyXsr7SG2#mAPQ0Va^k- za4$7KF2S@nG$6+Yrw}hQ2`X=nXv^)1+A43+#RkC&za=XM&;t=&V3H zC%aq%wbON(>KxAry;H+C>SXc0o9?<3ey!{Ims%m;Xxaa`EJi}D>CL`8sUL4kVB${n zY1k^rq+9*Hprih3KU3VvaFC|?JLA65EVWejjx9H?LMclM?ZP%5 zOE@VFDf<_yjlSJezSTGbU7|LIcEd`Jn62g+n-o##gxOt$zQvmI8ilG=SU_NV2+OTe z*{lA4;Iv6Kqb}y)@|B-o!wGa^;R?>P;L8-XaM3$dlw)6cKdn#5eM46>VNN|2Cwq|$8aYY+*LVi6ip@)svPV`c6IxJ62u=*Pw zqIYu+&?f#{Or@L*5ubm(@oHQ~kL&TggqywdkcTiq(aVD4R2*QI)=T_+Y7bv^Idz1W zZ8a=L8~Z{(Nd*4L7MoU*lk8&`M!qOGerhVZmB6=|)i{R96hh>8l`-zB_cDuJCB|qw z=RdRt5o?AruaVN6son$DNX^EW&OL9tLTs;*(HAgAUu6#t>iY`M+go49gs8lUTw%dY z_%6Z9XKmSkw;>xEJTbLnS%#cXFZgJOsI3b!rvXxz>w7;ZM;E)!Sdhn^rFKQ@^ncVP z@v`Fi2C$fWXM9&2L$0}ZX8%DvAJ7TD*cCbH#8^_1zHmj!gT!@e3R^m7-5Q22WR2e% zGY48UzLWsHXJBsTld9=NpLnr3F;wwkjZp3CH^qs&?+e}Pl$ecRqTA}DIjaZA6Sst! zueIL-y-rl#2ba{O$@rEwiA?gQ{L2+8@hXdIQnM8+1CDj-p;$4)+mB+KaJI=wT9%b+ zGR}IF{I|6P#@pW(TexR@@}$5q6ztI81n(0FSH5j7goCYsPHDT9bH5sfdCD%b?oYE{ z7s(~j0{0d&di)g2$%OyJS9pZFMa_sc*iu>(cdmgP3s)3YH~zsQn==JJsb-e;Ss8dn zFD!Fzg%&Snjt9#Iq3#MT1ioI&YP)b7&V|Q9YX7{Qe?B>pxIO+KibE+QSQ5Ir^}Do> zzAAN&DKA`lTF46C347Z4>R+f_kN8Qt_8UErSqBL}Nx*0_q_K+@Uaax#z01|Wz%TTY z1#bvmc1qy7171u$T;MxQ2YV^r%YlISi*QyWqyDZnijI|{7YncrO zk3Urae)lCfke5?T>5mF{ae#+ujXc!_lNZH$+;WSKQGRhLlGEhaq^{?u8cGcvQthgM z!aO+g;ei;G_TF@;y2y(ku_!@m)@WeImb148v(dX6NDp#dlo2r9pR4TGxb~`Bsxs(EKuQ0gF@v z4pfo?CMQL5*T$y3%UPY4dTAgL6WGPW*PVZ3!h4U0)%e zoOs1U>qu|mnX-gmN4OmJC1g0A1BQt=uU$*bj&#xR26FSRHM_SwhrEx*EAHt_$@V&8 zI5}H_UsmYx+?0VG2ygE4}A_I!`rDjqT}vW8fbfRyG=OgUTN%rdHO5}v{h{Wj5bh7=*U@7opG zFHTj%4Wfs>(r&bCRQsrUe|^eUL_#NIL3c>B>BM3%sHOr=;u3KAASzjQuee^CB=CJs zF-TvM-_)6A<&4Gmks*ok!_ruTxd#+3>CrrJIyPhR>g)cU$DHNSoV^Wf0l4pV+ zlD6A7o{@Ggur}FRxZ^|WAi|DxwPr@l>bPfJE3nubYs%AqEm#*KNcGv+&9$uKYo`B2 zTW-ok&1NXeIp;v5ALCTl;$UJbd}1;I35)-sEeh9}wFFL%X)`Y#N;C z#-C?mBMy;7%-F3vqbXdBq+qEkq#rX#XDb2CUvpEb zNU2XG_3YeO%wLHy3|mN7IQ#mZjnjAjh~BqewiebS}XY6GtY8&VzZm7vSh_#dS101~dOjXt`{@|&gR@FrX_K4tGU z;$3_|Mct^~Y2Wd$C>?wM|8#h#Y_;jOfxv$*kvRS*mdfoM0s(QBQ}XK|i$VVKxqN?J zOS+{KUbW0K?T#?lo=wE_@C<^= zO_BA7aW<-7`6)=AC5thzZ@@$-YJNaeGLKuBZ=;w}(XpB>K2|kZP8Fd7LoR9x3A#x|?JtE4)>|6h5 zhwLY7T_5T6Br|;-OFv#_s^o?R46xyKNoH)%iJTuV7DY0)EY0_LecBg3o-U7?ks}H8 zOv96rWO=^mhEFTy&+$@k<$8PaneEEtck@pqskAr7MpI?37jrOxqlsw}Xo@j|hKL0Du(wbm_em*MB5=kH8 z_zj)fbrN`BIF45koim{vo!}Lc^&S=_;J*pKxU%oTFOgsV!@WxxY zq5@|{(RY{Y2~yY}$UBsApeBbL(ps*7sF~Dbk3fh&wDX`7Y#ZWNC)Jr}4$oh}kND0p z)~Md$95Qgp+~UmNssGI1yg$}AUk1#`UYAp+W!-kae+Ua7c{BIuGt862mm)Oojn=yc z>!hVQ;A1VF~Gbx%JeN&--Y0Wb%U`wop+(fA(TSLexith(CH( z(%*iN`a-gL%3*`wW0#o&N~WuCwSWP`P)waoL+QQjz1iQ?=Roayxl=7~3>O749s_}$ z26O1)-lan{`s;dlMs6AxS%{lIkkm(S&xG(%kfV|zpipi3?SjR)H{HDZCVjjV%?yS z>!+}$8ER3}H&q2nBXYMkl}=dqx^k5hn|i;uy4U{?z0IAv{2%r>Ce4r-i$+? z{>d&`Mq3=LOvB+N>A@t{pq>Y%^+T6i3v$h1D3^8XdnbI`+ z65X&do}o|^SsAT&@$Rn@PxBihxVtxk$39^4Xv6(a)mc zJ_x9>=^Lt7W=|t7B5c;z?eE{sIG2jeB%j(7dJL5o*RY!kSG4duH(C7AFyzb@GJ}U* z+C$dh^?VHu!r0Wg`z(acL*P>1^gF$GYJMBQ!I%lq!x@4ceph(iI|D5$eltiSh7P&3 zu4W+cMo0!k8s*S^`uKCkQCF{>4;8N&@3*GM*1w*g;eZk2ZvVITX})-@Uu z20^zqnm`|<%hak2zPTd8ThLI{6yVDDp{N+D^QEIe>K;AD|J7KC;74Ts(!IIFJ3S|U zohD!8`<~u$;T|e|g3vlXty#*_J0tzhpzq&(S$)Q=FWL4|lzTWoca*DbGcBZ=^(yT2 zrUz)omu+QIX!RyO%Zl18B#f_h#E6L`Q~Mhz_5TEu<0Qi|sGM|0z()c*J!EKI{W&w& zc<1)c4|Uq|ejKDqJB{>xAdAJ4^Jq4Ul`Ph@;mFhbinVR*5g6r~3?T;75WrNVmS|=e zrsqO^g{MfZrx_)n>@l1^ZkcXSRtgGG*W-c5!LgQ&-_NdOAVSVIu98GU5FTb?6j`Gv zR2a!CH^tp-B0-jd9w$JY|9EE3;Wv+=g*XvaHl*sH0xKBQN|Ea|G_9qez_WGJ!&>Sl8H})l7$X zmY}(7*^C~0^vWSAcdE+O^`1oRTJ4hCuuyGnu|?DG`5HU3?eTs|A&FZ(W8u1^L5I$> zLN$iMQ#Sfhei^-dJu;8*OVZ)oa=e27*ivKun`)lV^hOo zh_j<-XkuhOZ)O6J!wSUC)6F1ZGm3wHlg1LUUTW22r|q5a+RjLq_dLZIw9d~`ZBlcv6Alla;mSO)%A^b)_D31s|$e&`-g3Q zSC|7gQ``z%s5tIloi=WLl4ORa#YDKB{bH%6BGVb~tIoyHo843G(J=dy0bJz9x9FNF z1?IMRw+R2diqG=&cNwLr%x6A71(Mit)TxNu51_S{Ysa(>V7tovk z;zurUnb7p4WUk^s)%tOAFVao*axM9s?~&g{$~bn!a$jsM{&(qfcK+O%ulNkH=B##? z)YlG}y&k(u(IERTF}ebPlC%h!keBBbiEC%}wYGUrB>O%4KY8<~dAtg4v70j9vcX(8 z5Eu}ik~01JoB~~EzSk&koLK({>wTUAcY}N5V2{iJyFg3mlhilBEUZJ^;1VUArnJ0J zD?W=7FItoxe2PhZLM!ef^)x+zWcgTW)m|THIl+Z3=GR&o2(jM}zrBJUw%`P`zA;e+ ziOS@6hRJwlyvG*%(1Vb(ej(x*gIl=wt4$JPJesxhS%zYRCZa*6=ikZMTP?q44Q6NY zV-ijQBLH+P=gg#GP1ZL9imC-yc6@f}pUp$sV0yZx$7@d!h zH3RVCbXo={1|<2TB_5ZO|AmTHOSV#(L7p4YKXMR>o=RM()d}=dc4@P*_u~^tw(qLR zfb4N4tso76_zpxaa|HPP`PdMOel%wSJIFwTr%;xX&M@SvJTEXSP^W}CUH&2T;-kW-4PCjtcs?Mp>UBlrduAsit|}_OJ9!hN zJ$Vryz6~t`_1K8URD(m5ZwsgoIkqh!eU%E`PPXRUPb2C zM3ojpDaX}}>^^)u%kOzOfh}NrH<{#y#jU-3x5LMEb za*WAk(ofqK%YC9TN>IA!hxjiKc!Ay<4Ft)b?Jp|XTojR=PW`qz?s_E)??D<-^DKLA zH_~@3ZPVaAo8jmDkY#iH(-D@)j~yYy+aC;WAZa;k5g7ge*GJ?$eMf=x2G92gs z@$?m7QFUG0k0KzUJam_IcMK^YL)S<*44uLdG6+a3GITf6T|>hlDBay4(%miiAK&-= z{_BG442LuOtiAV{S!=I#-}ffwd)~I@Yn@!3b}#A*oIf{%i|`cm5{GHVZns+q744d@ z6=qbY0g;_PkrM<)AKuDTz6C%k2R?s{OT(c$wZj_IC;=6Zyh;k3A}`-cAe?bc;-+HG zCf9{2A2QnJzXkP=yXVqHDXY0K=ns?E=Z)C82szdI2Nc@{5_%3Do*?S-Ex}RR1NSVB45noYU0BlgCov)jHE(MuPhV`AAil=&Q9YxuwWT)4a?Hr|#5*`KEFhctr=VJT z@;O~fd{vWKSogzpJ^L=Hvj+$Cl}b->n=;8Y$;!_dP762J8czL@>L${7=`P*8dgV=3 z@TxS~48!_Hi%og2VSzrwvbe#L3U|#GYpAQ*i;r{Fu-Sh;y%6{I{2XFE@|4bUnGZ>t z-)=E`b9|mcR2&!Ub(v6ir@D7j`Zi(WD&suM{Bac)|I8VYk8}?hbDPNVkJHowLz-I| zOE_)&+Vt4HdARi?S@7X?+9FB?mGO$D@daW^?AFXBE^*53Eb`6DaoTl-|EVil5U6S) z4o(R+wXqkh8H2no8J;Zs>ycB2h8402@jZLKaLISv!CKhZi>ngwf`F9yq9-z|GK+nu zW+XSqBpTRes9cu5l7<5BOC%_nvhc76_rgkhFx0bEDYRP-*ka`?6lOC0zMgSK`ro9% zR1JsF_c!kpCKShG7mC9We@cju`?31RBU{zRBUFb*KwXP7S?6Vtmx1J;ue$j$+>I7%PA@pIHIvah#kLAUxhBIGWrZh zv5NMhK{knz%E7;eE|rM+v;VvnAGL9NRR%Kwuu=k5q9Fsuy%cQ952X{I50Z5X2o1{m zo8NCm3(P7UO|hT}onaQZQ)c$1kpb-zu++|w+a!?#2Pjq|jrjRo@8|ORV>}>0`VWv3 zvlT9q-~b)_d@$I2en-htt0vpiQ~9lr14zY$Nub_TnV)%>i~K z`94ZuebIhDDzLpfRsOk1GFiO3UxVk`)hZuaz3IMX95AJIbPwpG&O zZFv-PC3u{j#;^mUQUxI%`NCbmZ3rGI67#EN;%EG9qpqB>oP%fl$}$M}!W|yI^mLk$ zBRP1{$up50?;)M|QL%_ck(q~N*fW^2FUD}HtPcf+0#@B*%@%fEn8abE?LI2|8?k3_e) zg1yk01@b-+IzlY;PWrMINcSHZ@(>eQYn!;?%MoB!4JbELE|*fMIlJz^x>pJ>vtnPR zMC1xw_dhrFnO^&*1i>$T!m3c(Prf-Lw?8houecc&3YSDGi@}CG$t#j|`&o3Rtp4C3 zPaKFlwIe}6Hc~dGyJUv}LKJRIrjCYkT=_N*e$U?eBu=Wx<}v$%bt1D21Kx04{CTZM z(ZNu@hl#oGVUr;oJ;D(cOKO*l=iD?U?f8)hIdJyo3GtDc;LCNy{Qi8(^1yJaUsQe2 zQysovNYhl`gtBCFk=(g5i7joHK-*uvxE@wWSaBT-@d``EEiLPZAxWEKN?VubSVYIq z$`{b4zx~RM{mRvd|J0M!;L2Q3SILK0Sotp!)K4fvKgV6(fOV()S>5b84@I(Uk3^F& znTgeYKBQlUe}EYI?tWm+ZGimvHRE;&cg$pA~CGd5TSR)w+N>MWMykK!1Q<|$9> z4rA_IihalBgrp#izOM#Gvf|MR(c}-xsgsKM$4{rAP7n?v?5;l$r99GI@y*_I^jj_k*&$*6>_!xc(;*K9EHkbd zo!o@Zq?A}Mj@?S_P$k(g4Tg-}0P0Ry9{Ezu?g6GuO7%bUG;z{cE)m4e$O|awvMlx9 zO0+?OB1vrcTcn{=opwCKfHQ@5IiuikO=eKZ^K)PW1U#Okh1lnbF2b-53a$8a>9Oa( zEQexzNPr&Cy?E*(G*YtT#&f7R0HD^J?34orGSBS41`vJg?;g1ZY zKz{?H?vcN;VoPz4|4Y7A#D$h-enM4o4U#}rLQG~t7Z@d2_EY^wpYZ!FZvs1)RpGC~ zKPZ@z7g>LNkyzSOG^k_rBd67c?XHd60@UDe3MF(GroGG~1_`L-BS`>7ho%|D0CpBg z8JsJY2pwW8zcI|Zvk}@N4#39ij_pHLMB`OWnoEzsR_I*DIr#-Q3xH8Zu;86%!WdGD#F83J}nCRrUM)3 z*!IN_0#EA9cf}>CPgN)iZ$dpYqw)5{Hj}_yfO=AFLu_HdwJo|moAI6QdzL5hh);Mh z%P@H{ziUg00iDSzwQaNVqav{g^OfM>s$-uPZCI5S3N@hA_nPy*?7H}%(NnOgemZ_G z^7i6Z^uaIM{nhV4w>u*$E_zu856!G1SH26}mqm?Us(Wvb);G@HiQbEz&Hzx9D7S3R zX%Rd?lgRzT%rlfm&3H1j(*A&_riqLBayz+||uexhTPj8c# zG45)EA>nhx*cL5rAY~*B*8Z%gXn-B3kUUSgkv2*n5fr{rPw2IKp|iTR{?D}NxEZ@N zE8oZ`EPAr1ueANuEQywu_f!ZQU)CC9?2_^*ahk{ktTobwxn*AN@0Yn-*1|KUFtPSO z^f=aP?*(_HXt+fa9xva#zjphDFzB+Gpf}kq#S0WD!Sj-s7i3?lSWZv}nRGOe?SGhb z4DYsMkW?NfTNxu~X~eg1Yr40ZUt3dUy|SkcFHB-tus94c5z`PVcbEQh`&L>1y;;#z zp%3p%m5K{l*mEdpLUOpjO-Ax$Zh$gnXL{m{M5Q$1EM7I<>D~R>(c4A4g41e9cCUO@ z!#G};lwC&rChoz6WJQt`<{${VbjDY`#Xm`wZkM<6XdZt*xFqqo^|RT$u;pbrjLapz z+^wVMa8C`-kj6Ie4wtjt#s_l%u_pi(o$<>+3iFd-e#>$hUm)9VKYpEj|MOD~o0(DO zqN~Tz?7N0yA<>EVy;?`>656&53p*2_qs(N!-Bt!f&6q`^G?@pldgP+4!bJ4>ZuJ!F zcUq3h{_{Zy?4`LSqtAy5Lxqy$qLkkcmQuQrXMUq;AKGjZ0tUG=)#Q=_38YlFtrA4A zlV|7O^s*)tc`;V-Ze7O>IvE+0RA8B_a2ZAI=iuSvC6cBj{G$P|CTIVt&=?9f%nnrY zbIGn!BQaa8mkQve<%ots{C-srvSynhrIeCnieQCk1Mzo}XGC&7Js>4%szCDkI8Of; zH=^SWiF~buP=j}jwzXD6z2iWactT!iYFuxUhJ$mnQ`>RxE-i+(W|V4G8_k7>dRKub zc5B05n0`=sPBbU$C81b(N6K*(ZP9G~Ma*u}Of;-E17*ff4e$D$_-%bD|9g`}l+Ap; zoca1kchFnENAt(_Gf}+#pN^;NKSU?yfjnLMqMvGl9{JmWSxf3hhRfS;PP)d7D&hWd zDjH&RKhx%jz4hxUw;U6b#*Dg18fn`Y?XjFs^#iS|X1F7RxJ=fXE9J!xr03S8J|%+( z<{_)jD9lNMr*CQB?$|~Y_%Ab$_P_8`dO#u)Y%l7b>=k?uOuib0`y7=Nldf;vmbVy8 zt^a6C;2qDsLm69_jJZB^zr5~jY0{V)+}FQsPiW9L-&;FyUz{1lVA#QWW-%z;{}qgkAF6J;}&f-lX$j!7QGzHFzRkzS-uE5n=9@}81-!2VM#Tc1Np zil*iE3t=d)KC+=gT9&I>!nlV~(5`qm@3iDyrTI=P#|Ohd_W@H&Xxp)1AL)3btS8Aq zwzQhRK!)nvYX%18LjJt(KMIem`*>wIh;6NRD*sbhaDQ8ry7q0|*UYLDN+$b-oFy3( zEwgubPI>P6+bkBGjHKGsXy^%%YsPGia+qTDImCoeS0A(cWg}X7dX|zp6Yh4Q!y;O6 zM(^(7?0kZPT9|nmRA{YGNjDoS*lm2hJm1&6|ETXE@~5>)N%tY90A=3KX_ND3g+486 zLFN{3pcy+n?i}8v^>X@SJ1;J%^U*Ana|2#Vu9_H|zUSe|F>OIJzz7JZ^eP$kzA1Y< zGU)fXej#RrMd`GiS4%Z@dH3bEadO=Q6#sd2{h|g$&=3dUQBQe8gY@1tPfDT`_^`*% z`wV;e-j5xp^YK4D6T=z3-^UB{=@)HAJY_)3X2C(-BNmqYMOApQ59xd`W^gjGSV>|D z69^w*ByCT9Hn{>$%bDIxJt-J_nP&Tjku`^EU5fTtHB$CZ#$AC1OfmZTbJ(OvozhIx z1IbtWi{ZH@t8^$tFU>oE_d|#Dh@3k{;S68+JppPJ(KScqgl*NF&nG+%&im7eah(kE z`MpN@;0BZ>g)uToQ>;SoFHh>^8g|^M2lDT5BXL8G)!&~d-wo-%dCjYC2z$=JK&K(n zgm}knuD=c^$G4DI|I85`{wXSlMB>wPezEy;vamkRp84VtFhxN1Cg|>spZn?ykdE|D z^*$}G7#Yi*0aIOmZ@)9nBz@k$ZZZ!tq=sc(E{cw?UXimV_Rz|MSgi!C=FCABeECGU zVMBekC&vH4a(h2}ty*#BZ+7akrXScz2N%R7e&m}WHP}em9bBs~6*Bz(U9pdK=e3t) zln_!*X>RRIo1yO&S5i$(x2VtWPQgCbi(CAp*F4mm0jko-Rs$j?r;`lybh>q?4@@pma!ROb&HHEAT3qY#tzF7MR5qJ&66_Zi0W8no#gPgfniNJjzk_ z1#ms@o;@^m{xB3gu62_#syKz6pSVX|!U&h`Ypo zqulf0!88UP@BgiLX4Zmh&VL3oo2cY1cjJV$QjSBkWw1RRJ- z3MKn^R9G0wQ1c0#G*7m{SB|~p#j1#%ZiupsIx1svIbP7=nscJB{|{(a})9lYOc7pTamA<scck2>f3|fH&#ScaNhPzTT*oNa^_G!F5J=2j7O_gNM9#F^nK*xE7 zuNwZ=ybe|u`fSf<8<>fYoM~H_RYI${IG)eejYw#^fJeVl8csf+ZDgM2fq$f^YYg&Y zG+q-sSLJVv7u+M~V(E|klvaw*?U?CP2M&n|YkH}mCu50~ zN};|+(4K%dJ*4=I?-M^aPL73FkG#{e$w zs{2J1aR|qXo=apx-A>$f0hc|A^Az};2M&pL9$yS?-du@lHRbrEiJvE*tt}XhzH}{B z`vZ4rr{}t$K#E-CTNVi||4gAe!yz?;)9@a(JvoI^ z+YfL3HVSbpY}Ly!Dnlx?EN5+ZdK8=8Z1?N6zV@ylTW3mfv}adi42W?NNG*RYyNeXc zM)LzGtpEVjrSrGjp8~tdmpc=A|1bo(DgEvX6WT1hYQpx~Qn_Qkm~cLc$M*HtS_6{D zBcB(4DI}#2a4m`ag4}%8f-Jn&F1lvC zEMbvQ45$0CA?RPSwt>S1o(M&&5!{lkf}cMxi=Cg_Fv{c8ETww0lb$EutVTRv{jZz82bZ8$W!m-=70hJO77Bd=-`+ z^PJpe^A&fK5OxN)(MZS6{8G?+`_q96C9|dO_8{;?@?9Mtiyy;C)#vdzRKmHKgn+)T zkYUH$=WcIl`F@z``g56Ey*aDTI1P0KL2WD9-w>Hk_8k-L<&L%Y`D`KuF2!UM4`N|O z?|<=>y=JSf+NQ$yOeBweX4cHrFX6uW&G#s74Y* z{tdI-65+qAOEQvMmBH^ZYxZPD+LTmN`V4)6fm2QuvZkQ-N|GekrZeRKIxMwdTOvAw zl-aLEY(L;pM8rR1xK{+NOY}})unT=oS?6&+8pW4fB8tQ>wYr#-ge(9*8_QR*b7h|d zx_SFc8f#6ogreK{wlnTXyUEv~V3Sx5;~f9N2W;$%>hQMSC7(*1{fzKeXE#vw&7>50 zfPHZa>EE%p>NwAjXiYhzWxdjiZl>Q>=>d-{0k~j8bs*81v{+n360(}3(8I}I8Kr_8 zf1&q!LBvM3`?Gtlr$ziKUD>vo2T`Tc%?lvcDQQ)o4+&k(VX<1fdXZO{EZ}vSOoiT_ z@!fSy4#=ETJHdzQnUU@$e=h;&+~or!b2p4w6m%!rfOI!s!zd%0)g{+wlEy|Dn0{kO zZV5uVTw{Qwzpt{>i``2rph<#qmeBBpJi-pBqJihr&qM)%Wn*OmIEZI zjytytx;ka$N7HC)Z9|J*<)Tqpo>9+$v6TIUA+EliH9}8V9;IUhw!z!`i7ZW6l<+GB znJidF1f>`xJA`^lZ;Yu!29L|2G)~YEVQVfH;O`PV$(sJfcD$MtZl?j#SfD>AWU!^- zoQ|wN$e*;AgWCE}^fw4!8<%8lM;Kf%UT`NFaIgr*v0dXWyj263U>Uu#c2=8(LQDs7 zfP9BmbHJGBwf|7?AYyqIK>R0-5$LL?!J6pK{L!P|=pH_QV_6|>Gvad=RTDjjU^Se~ z5IED%G0BcY=#^=6FY-weKTRZwbXW6Jv`#z^mM!hgm_bhwkmSGe+>Vi%WH3rww2#i; zPy~8PUsf0%C8*I=*{?_@Y%l^0{*IC#L4(>1iYs~1(#)GZmB`?}A*sjJ@dL%by7FN? z_P?R(fEJcsY229NV)EdNeI{2kSso)@Np$+e1|TI1k=#N$gdB#)iaX@Rc1{jD6kS!E zK9q&MlHO5lZ=Zk@^&Y>5;Si*m+DHmUGt5bmliO|?dRF__A_E=vd;1%7ef15<<|l{D z9Mak?&@SC8?F)j5r{vB>vBg>a3^5l!Mmt&6jGe*G@Y<~?PjZtvF%A8-9yM=p1qe)! zE}ppESPK%Ej$P1GCsQ(G2QjOBj$SHRvSK?`WcQBm?vh)ZHw+Ew?kdZ8tw2U!3=Zcc z;pC9LtiO_CJKQrKT?-VI7HEWrIe&}CrddBzIS=pho`nKVc};R$@rHd8zU9twxReFf za#{S_=-N>H<%`t_FhJ~(P?fepYKfC?l5Xf~zW*Rt;;(tLf}=}Wt@PgN zq8~&kW-GhfvRV)Xm@;csVqRm8-pSmV8aNVp#cTc!VPhxw2Q5^(uQyV6Ow_=o=I4+= zKQ51Vq1LD!NXh1X^IL>@{Li6up;yT8Cumk90E4EhY!xb{#Bg)yJH&?}a=4^g3Wz^_ z><;>mLv|u?`sVF+F3UidA({hbL+<;Sp=&_rWocAA-5gid+W-9V`d@#HU`@G_khu2J=hT-8x#D&GC#_dD-G0k;eE(wlp%3&n8}}STgVLY8 zUV1j&rjEi>KI~Z~z7s(P`Oc6X9vVh+X&4H~6UM_iIZ4X5r_yIMfrf(l4dcNPN5~|d zJw0Ij%PY-mPKY=SR+IKp$2S$3vQmOlA{?ntB$7@fsT z3o9)nko3~7)wjD%ZLR2FJa19TyO?;$%^e3`bSE{A47E#glF(4B>qRA4)Z{o5k>D7D zENV{r!gSZ%Ri!mT?t{C4 ze!i8gdK(Y8;!xuAFx0ISh-;YLV&x-J2)3?+8O;$f{N3eng-bRu=nnS5iJxAh5t-9 za;1=mr7g;==3;nCv!Zrs&N1LV4}L?H$Nz3?hm?|$Daeryk0mF$=g|N4i-WibZkoh@ zy8XZRB|6kD#cKW#&`QOcp2j})39Haew|g3iZ|T(S`-ipOc6bfysoPR6`Dq}q>Homy2yzaLJ{9fEf{AWa&a_)DB&>| zMK8O$bES{vwixMALL(rddfi$)d#QzU*)I(utjj6UcnnA^8`3&jbGvW{Uq~ieEF|}?-bp( zLNmk>q2cON8!cEmd>=UVr(;p@SJ%N|LxSe?I{lkoQ#}rX5R^L)B2-WFh%yix7D+VB z4vygO)ql^}6Z8pKiM*b!U|vzV5)aZ2*xS>`Ek-?g=78DZPhe*y7hPYzMDi;ZRdx)g zdNCMCn!o6}#&z`SXx>aF@TDKV0ccwmvTJ|1k>NJ#0$LX;*i&<0jFFkX;-* z{r397jGy zIDr_%YD)j{?JC0mN^;QLmvsWDf-R)NsdJUD`kLb;*Zzh@#eaKcH}v-g4UFe~erQ)A zc=Gv2l9cm=s0=h6g42oXax0Dhz;9#)J>~AzHo*Zi?v6W)Ky!&kwz7^%4nvGUtx@0r zi`EAcefW1pAZ}#)Q8L$)c#aVD-vCOw!ml{{T)H+o;e3zHIR_FC+|C{ra8$!x9K33((>)r8bQ7pbc6)Zz2U{hf>#CElq{zDwwst`|_4rbV( z{WA6ubY#a$1-ksoe<*Z6LbDzd*wI|@LHY7>Cl;lwoKGOO_#rDv9I&QAs5K0E96W zQ}jC&mET>gh|Rc)aQm;q$a1d^d#f0=Dr3bz@-;x@!DmKYyWnYa0Cm*ZL0L`Sr}!oq z^*_DJtuM&%WpDhU9@gdS;B4h#R=eN_vEV$or!CHYEmn67Q#Y`x-yh?IuZ!C7t-a$JHd5It9SimNnBQ| z7yLl?&5c_bV@ks9c+N}E?}gWVvuR@kp2WiK8j-K#IbK(kRqxi9KR1czlruG4V4$x3 z=x4Vb3p5oCLL^l0PSXtT*i?PgD76c{r?q>7`!)_v-TJ~~Ywi*#dw*In1z8q_MAE05 z9)@J1Fynb}9MRPm`wI1ZIsa>$7cvLlaw7vUkN6w^nx?K=N_;OyTw8cmaLhkU?wk)y zbid0+F2kkL+yyFQ-x{I24!SZL0c0R*L{y^CGC^C2$Riam2Id~z)MGP2)F6$3Lv4to zoSinnfkzJI$9_%RF2h6AROQ-2)J8-@HPL-%yn&@{ajZ0JB8TZ6_vq*vYE1El2RXu9 zH*{idCz+(VTO$ztVDLWbL4NnX50Itd73=?Xohx~{9hl+|6r?=S(+O2@?&lPGyd#=Z zzKIoEshl$&-m=}WxN|E3?t#}MAB1^T1MZTVad%-D{#_mIxm8>SzN*o6$vY-$cvCMN z6R#If`F=y(Be)UU+ zeKR74Zp8!e7FU}dpZhkJJ4BGA_KnW!jP#iRJK_=T|kg$Bg%L;VpjU#Ls|Z~n z>y9zsi$XFDe?=@5|B=_&tKovT@-{9l0yBh;5ARALv7^BOEVueh-W20^paN)Sh4WPu zA(lT~+3Lqj3GPJ<>6+wKd!<;N?LDN9eHRvHCO&}vFXJ-TYd9K+UM^5npFSQ3pd*Uv z%OM>L!1#Nj-_I49_un;Wj|NfzmEPx$5CG#IFXfRBk1`oUo+#R@1qzi^Pyl9vJ3sHs z{T-c>O67|=N^l$Mk$=FnPqlMQk#dui`imn2zykFr5Nm1-_dAd?DiX%N*LTjVj-DRC z0v>+hayH*szyh<0F91hBvY!Z+Z5|4p`ZJ-zy0vITfX{w75dA*~qi&-iKj1Qn;HPWPhv?ZcN} z-7T>vzs>mx#bl&PuBc-Sl-g>O%cU+fM^>-Rax;L_PeZT6dZ{4w(Fc;7OXAZTsQ0<9 z_Tr6QQjHLfgOh_?1AKP$%=GaXpRDK*+kfQNhJW(O*;t41l=Lpq!NA+-SgF9Nbl1nP zVF1Bqcgbf#fPwy14VH_t1NcUp&k&?Q4VAIP*QfXZ1ERO z+&x4`(OLOTbLr7&>@3c|(ZqOE<9@GQ!{~ zMB?Su3-!-Te@MT~9Z?qG=+dP^QP3p4tB`sXF|w_66+n4p)ZM;ZT=#S_`J!?6&P21y zl*Dq2O$el64hGpY-*`>&t|B5t!mHYwr2^T6{5aUNUP_IqZ9bVK*jmW{UXFF{Ie+%1 zoTA_ZZHW+HJA!8WqMj z7LR^c-!W&koPIopOrp4Jv;5NQVg6OzgLmlu$NEL{L;C%XXWh113~S^2_q{8>_nvS2 z(;8OJm3^DkuGr+kUgeB#rn9xE1Y{(74P{=d;lAhB+6odXNK(faqs1QOiUuVbRZmFT z;GUzEN?+8`)*$==IHMt7qAYgU>CV8^;$Prf&};a3@U)Ygkmuu zFfpiD44N-1VXo;ilw?7gz325E%5-4JrQC>DULnabreB+%aHm-kxeLYA8cZDnSkGwL z(mhK}u9||WrL(ptl~6lCPp>VC61JyDD`1$*g49J7`9eA|qb@R}?WV%`09cQJ#8XL| z9V3B-c8{iFeOx^w*;dAOJYf4#Ra#Y{Lu@$nu7L5_&_3k;0~+f zllo*Q#}&llaHSn(81AHKG^IZLT+PdVjA$-YgK3|-uX~Gs3MVakqbvb1ZGOex=ne&d2tccc_KWOLX z2?Ih~sS{rt2Yt7Xp>8ZhuzO%_Y7MqZ>Wy4TVaUx3{C&+fH;!UH$BXzx5Z&x9>3z}C zYut<|Hf9>%@4?@)Ta}V&LA_Y-6AY3T8IAz{q9)sCyu|&DD_tVH%~)?o!~vzGRZZDprCN_wO%9kN47M+*}JXtY&icAz^jV#2Hm>Ud?S`#{uKTx0cW;i)9O3JEC7=Dt-;3*`)w5 zg7|bi)Zvk1$z6bx=S8;ry`R9@Lbf@72Immj|D4!e zxCa^1B^KE~cLE=m2hdA4Amv{2lX(>Tf7 zt&$bVN24SOT`LNcp0tx`mG^UkLn0~Q+tlP4m2;S2Me>%db&|@OMEabXZ5TET=6dZ z_4C7CtYy!JHR00Y_(`Fa@s%4;?>q}a*gvp)JlQrqp zRUN8J&(b+7vytC<8WgWTx7wE!d@jm}K7W%erLn`XJ49GTY zoH}o{Irsb7S$Nzp*9f3FyJKwu6c2%d%X_~;@+?}Ky~zRro(;}f4TijxiSvQwSGmr? zAt-8!{^eghhB>i(Xv8+}%K*t5Ms$33%infL!&1a%Yf!X7C34B;o*3!K?ybu0efwg# zt$mslcbgOCgNf+TX|%*gko07Cz!doO9T&lvKiHYl2gMnS^Uql*Jf~tq~Ro z6iI;`9744;ChQ7YC^+hQ8Wc40Xpsdoz?Zg}%lCaXJ0ngVTBTB{IBm&B*N&1U|2XZA z9aYF$)@c47gVOZ&FXUl9iIaG}c~uEwL5XV)c7H{|fMnJtb`qKfhk_@t0yH!HMPYk2 z&P6nf>U%XI5oFR7^|21M!`kt4j35}ra&@`amf+vCkupU`MdA3vm#C?`xlGBe)bUub z_hE_fPw&AHP9L>Sk<{U@b3gTEgl1tNdlD{@;jbYPcbh{AUim03u!EVCveXv09Yse$ z{tS;j%J7=mPi5_KXon>+o@d(?;K?#6=9`R*6y`AHX5RO-d;xy#>+e#UU3nLT6&0tO zU4P3=e%Q49?WnUY`_yDfWG0m*7u`?phiJV3++iCjKKxZ3{SP%VLyr6=9LyW+fs6=mnJ_eR&qJ3R?#s*)jFdD9F`vWie^}} z*=-S1D96GXVAbI8AoO;>D&3Hlradx)k1z=UNa_xP8jUH1>c{cKG%67T93y&~4xv5} zj-j}_jSRbol3sKPSJ7R2x3|!}b)sLn!P^L-iL7@BK@I;Q`xQFvG~8>2p2$WO-FQhG zS*1l6hldrPs0YO?s2(thran<7)kQw&I$2OsmnV_UK=fxymP#gc2wg9`&b6n#=PP2HZ&Ya1roNCI%in}%VKP$N zx0FM+K>9m;dm5}F0O7I+|8i&Xn~+Y0dM~3RK)U@Y`xkv4;Hp;lh0nr}+B{c9JvN5n zm5>>_)kmK!@e)MFhYD$p=KOJq%=-$e?Fp&BSeV3f>x^V?m5&#{(f=qmvqW{pF%o|k^8F0Fy@x>9wxsUv!KsZggADg zw>{8_$fs7ylRpQm*>Tb3jw{|h=A7FgEUybJf6SiFLboHAKYcA@PMzcwv)>Zgx+!$T zdccT^k74&hpO6n2@7%_P_2_GE2@;MdnO(5tvSZj^OmA?On5iu=^h@6FD&@Tnr4l3@ zF!3=AAWsa!u#r6tUds-rZRxh;MUlMd{@`g@2@@zCa?Ve4 zVwkq-G%m7Q&PYO$Mv}A08%Z0Dfs1rwf+9-nI%$*!nY1gJxKV=gW-MCVx?ZOqGR1M* zPVCpvEnPZo95EJqBx&QLSn-Qp%GD%MrFx?{2ht-?9F*+~MDr0J?TF8?_EFbBB|18L z`-svgIS&z%nbcH7e__0NyIjrbAR_X%7#Uwwwi z?xRz;%bJ#iN`5iQbbqwH=>?`E7eG`iA9CE64a3xBF^`22OA_0h@0;DWGTnPhnl`C` zZ3ITFBArAwjUgOAoo|R{<<2d=+b=6^a*UU^*1l*kN1(4B&s3S8-uEe%4bAmSY)u7) zTw$NB{;B)%X{U?gckEG_m6B?pk)L%kK00KKAwS#olNLtadOG&Y6*~@}(-zHCY2>?w zKSeFByW^Z9wQ|;;I(j-d4`YM1V47L=y(jG9$GtNjMZ$!$_A(dB1bfgJR6gGS;Tifw z$DqDcl|N)DqOQEUqcX+irrPP?^QD^eTLY}O zNd#ZMfJj)%00d?A07O2b|I&uNMwBjF8P@f#98(PR0HvG6wVTdixp=PYr( zd&ksP%V2?^-urIwH2UB`f}nxl+yD`q$wc3=(ZA6ZG$PdcJ1+B5JhN}_n!g5osL!I1 zS*bn~<>|g7!lU$j{_9EgozUU4C~lj5kv`FSxbREWMp4y8s8nWk062+&qB8pMj+;g=4EC>{!C|bIIdz5TJ5Mn@6D|lX4(D`+ zROzVJ426o6RHajf9mnMY5-og3e?JiTL>M6A^Z4ynDlyLo=`t_#Kadk8((LkhxMCQ% zOA?Ky#=j`4rAkB%GMwzRJ>|(RZy>eXRV>}{;Btnt7z*dmJ_Cq?#)^EH?5N} z62-=0KI0awNt3`bmFuN7$-qeqBTvqvGFM?Y>oE>>j(4rr!LE0DM{CpckO5&09t=m5FL(8-SHIufWf&kkG39QXumfZT-6$(xr+pm{D8@OUC4#6$W zEOlqK2?VO2rK9}211~vNY!npcXEH{H;fz@2)>Ea>P4jfa;5{&9rHk==nCDrs+kjpN z@MST#ZQg=gLByKt;K%trh^s({!w+kzy65TQm#+9qlrxL6>HMz+t@@R8wB(JsAV2Eb za4Q4!Gt#;mtA0zNBv&4V1Nm4c zl|AujqcYy{I8t4Wk?e11gN@Jhs%oc|#G1nmh17QDuqQUxLzB2`Vk)0`NkY^sXExKu z(0WKs{^4jz+)r{wAmu+fMe#L*(L? zISAdvSMJAJHoA4zX)o=q`m#*1?0vA__b{AqTD@(lo>2LK}VsPZ~$hR}V8 zRJi4iD!$GtWw@p@5x@F_wyQ8Q(SL1OOFp6gctpJPQywhSg+zNhGKaW!aKtle&(cdE z0?nITXIWr1(8!BV&xuXM$t5O_c&9V9CnMSO?kcfXQ7~_-^B3OsvS<8|_n_+yXgj&`nX?%h-NY zM&pMY=aY49X-+DLH2+Zy9QDm@T1tV&in$J*5C;7fa3h<2R@%SMfgr}4EZw7dBGP+YQ~j90t?%as|DGik!@AwIxp^j@msoUDgtZkFgXH@~pJa=V z+&ymCUd$rl1`&~A-3}Bc#TSZFTJ!@+r=3#B)`SZw977r zI8FnNq+GjfPoz0`iAt{aR%TrO;>e|`ahe`S?dvzFo0UL-a>hMWZ>CTR2GIK5PJWl9x1;kwkeV*HmZtSH}I~LTiqoAlbKDongqb#v10P&H<+$*q9O zrOR`4xP2#15%9R=<)puCstJiKE^^O+cfm=7j-pO7bF2rM?p0qDtRe>5^@MO53To!gZJbyl-`Xvb_?6xzci|KWa>~P|jN^ z=qHUj1O35X$6k>hi7JbDDI${`-}PBQE@0-uG4frFIh_2iPI&7Lw`>3gRRuq0LmnaI zE{G#pV2`}h#@W)$ADMLK_`UKSQ+7iAj4d~lLROh(RXWOzjlM<_x#r|d zRb-l@j+Dx2r}fw%xbXZEeCsl)z=&>LJX^8&#Je?z{@mneU$o}2euGzH@W4YC6Q_}1 zB1BMyH(S(hf5M~v)na9}sR7kOxx&t9Oj(3F9DlINbE=zL-CMQ=b@*Y{C&*-qCC|>r zy={`}n@vxBkoVWkuUL`kdfb-tT@_6SO{Iz(Ha|p*mS34y%28+BWg5#`nxwUe$Qz>R z#lNZ(R;7(827+!;O>eCIEAP*PBzxw5=R;gTJOO=bk@ag zwZVH?taBQ3l+`te@pz7OD92YLBgnm+{HRq8cGn4V_jM<{7J5BOp;M zYvZ0$HcXKJB3qC98`(R^Y6A^DJt={^i-yZHJB~cPrR0gaAg4N)E7gdGVR&c@4%Oyp zC4;&gBsmP>mLR>(nj~zTVYq$!CWm9uW_LK0{|L{t=_TwdF=UXZJzD7792v&~r>;}F z*25WO1==l4J^W?5xNkaN(aBF%*`(zi`n8;;nt5{ZqcF(x+^v(UA3;mi(L$O3fud+*@c)Cn%yD!5{u`lG7l6UJ(6SPe>EuAxIvbDl7sZkT$oWOV7 zU?x&&+~fDPc=c4&s^=jqg=L61FmGy@h15V+`VSU6@#J^lq|tX#H(G(cR*5c)|3}tW zhQ+aT-6m-8KyXb$aCe6Q2@u@f-Q9u)5ALqPVer9iAOv?A26qVVgUg-docH_Qd+)EF z?x(A(_Nu+s-d#0a)geqLcpNtulYd9MJp)FrD-1iLU2s#Pw>o`FjxWGfcFAg;%%75F zdmHvRzhTG{OU@!Le>BD6JOdloNJFlKs2&r@Uu%9a?S5F#5!oFiJzkdV*zPHlTprKo zr^wbb?r9`)qPPfta25M`T~4Ui7Tp>RfRc?7e( zBo}#UJYw=H@ypm!3DsvBp(Pun7-_~dotI+V#qwgTsSz))RSWKtc4j@-=^G0SO)x`7 z=4g;pMK*cemLjv8G4O-zXsRoaIb@XUODw98*&=qX_C1QL9h*-~bNP8SxozZZk|MjE zIm{oujU%%(>4buYzux1BcjGV5BPOlbQA~cJ zPh>Cd=RiIw8Wj@>yzGf&w479t`A&e}g}yYKV{bldNa?&QOy>XCYxSu+UF_GFU=w=z zcT4iBJ<)3~FOsXC&~|Ig!sdInDbf9MyX^#g@0G8#oDyIEp4@>?3F?03fbNYJa=u3t z>M{O_aLGHgwnMH78qVDnz0BQ>WcQKG4t_zwCXT`cH5aGOYl8UDleh=jCGN|FBFXV( zhCk~0SiE6Yb@Ql>lgH4*d<}@4P=moXXP2PXM7Nh9bO(K<@N`zDfmSbr`LQ?L<|AkarCk;N7E4gBO35}> zjAF53E=0gYTiR?AreEKHrZ>Zr_o*Dk{d~%L(rxR9IJOdc zv_if{5^*cX-xC#)TKH=pW?ETzBg9*Slp(FmZ;9uX`0O#k$n0@?zWuc_qk(r8Hurq{T9mgSn^i@(W*2nCDD`H!;IuXB(K| zUwN1}_G`CaF$l#2`E%WvS}qJpDcxj6@n;BJKj3fkh5+kK`P|Gt)M}DbS1?jRzir3W z+wwK#kjyFU9`KVYYorK#m?hYxb<=ZWx2Tp@%&vjZ(&Fn)zEajv@oHtqmhTW!lxZM% zOWR?|GssaPt2uU@$i@$NWxw8S&%1#d1f9VP9oP$z+xHd=6r9VpMDdK^4sBo!SlTN> z8~VnqMO$(~3b$T?=$jS%a}UFYelxE@6t28FE`k)QUTid4Dg;%J;W(no)0eQ3WcnfN zoiUPXo-(y*mNkeDwcuv0X5*qv+*Qo&<;GEc>EitHBuS06ReruqaO75$mU}eS&`_(5 zS>mnen_$=daP1LPdwll79s&p8{0xVCC1()QkIpcL^_ncp^q5iH=>?7j6(`o+C|ADj zk9z9}oxJ8k5&Yl}D$rNkCQ*X;rug7J9uBfQJCZS4!dVBmt}h{G==ZHb$L(&VD>-Eu z)2=wl8KpAtC`jBju5%}>bJ_$LBbHXc6O9ptzy&}g(wNvcb7R?W+Xx(X+P3^`7I~QU zmMHnLS#eS9xH^I1vTDmIUjh0SMUV(&%Sy?F(&QvevN&>6Yoc_pOomKBQ9w>(zVJ+uha{4D z=2hCJx5LxaUaUit}4TT{$?Fqhc4r9s{?jAeUWFG#LE-46o^=7B6agkxp{Ic zfWDk1rQfJzcGk5cgrB|P1hS6~!akVh9ur#IQ2@Nt+|xgr{$N4~36q$SS&QhaYe%Yv*>1$4WWsC}~wI z*;CeDTz8?5vN6%jgvw}mJCu+2RN;JQI$fKYX!V7azOsAHLEGF!K2q`+vViH zwZ5SoIm%GK@IIiZV~(< zGA;C5U@b^jJN_{-*vJS^fkdd$p8{t?J^)BWS1tz}; zjAbwRHo96_es1fy*{HEwYO~E?IF3~dV}FGW$gtEDhT5IWw3>ez`k5SZqDZE$z`$BL zoB+lM8j=8h0K%Kh-s^6?3*aUf7)n`DQl|*ey{(i_$q3bdpLj^{m_s=M(KIa)OK!l> z;jTE2dX<@#9cjNHy>>VxmHTGC$dEBr>}po36PLN-`1ZJ~Q2?U)#msH>@3>+Osgj{D ztBUkH@oF&u_koKkHlzmkV~VsW#bVOo0Z^OdP8fNVA*$=boQpKYv z7j^+wryOJ`)yVCLM-6fX-8;!vbb16Qk5+-Eeyg~zZP_N**#vdf5f3IC1~-b}<+yI1 z&VHYTzjzFYvaQz-Au#TSns=$|GcEWDQ=m9AA!6Z@~YK=esP{>9@;c}*pSB*ELslC3?l$n5Q z-CEY_D&tZ?a*ZXZ?e@q|hk{6h#^`-?#H&HHUuV;!tT%#UfooA)!3Hm|&iY^u<}2!o z;&SKYagVjX-`}DHVq_U$xD8RMA--*!Oh|<6wu6 zp+-9hUee-sLVIOCAknK?c0al0251(w7?{3g!5+)wRaDhZ;huGjZ-a4KD1h(nu!GYl4Hsn(E}HvJ z%s&nSU>@SOt=gHp#R@NPX^k%j@M$zQXC%UobZr@l5dc1zAIvvQB zvuO&!W8lk`n(i%9*`>*I z=elXA(QhR<^-iW5PQFR{$Yo8+qsRSFE#}V%`*2K%mZ&2mVBMYdF8C^QDF)*Nu{$ch z(SvbEHJed{2FKA43{BZ=l<3m_JqNQ>z}jt(n(Om0rgx1EGPg_uMZvl)csUAAOSu3`BhXzv%w@*LX|EN} z_oNY%%3#tFKiSBo&K*f|t&4oHR^>+>{BLuz*e;xrs-pU(EcG9}mAdzO6+kt#F=Fs& zT-$2UenTs18ABJ-!K~y?uq3^BdX+t{kZ6w^j;V{1=M)ph?}sbh^1{eNRnH0|3u#1i zhyfl?@#Th%KppJiU?@G+`cUg4pGL|kWsB>wY=gzzkN2CqT3@*3!>f*dujsYflP>~w z&Y(+jjgnJ?C(yyHOWE%T1yP-SPM)Rb@Z5$*9Z|i6 z~ntDgaT}L%puWOXv0Y%|~>W?XE1R#N${gD7@cL15^&4Wa$!LX8UMULs4Y^bW{ zplgQ=#Zi13i!Jy!wfkDcUPh$7yawpxB-mI~003AVEr0V!)|{U$bH)$gILw~-BtYO6 z0!y_~j(p6(@mH9nlVkg4*9gqQpyh1>=X%2`^XO6-Gre(1)Kjax-UtVf6h|Jy=8Mw7 zpLsHmhtw@Bgfcapi(Oq@_I7qmN7Y>u2sHz(v~O{28uLrP6$M{jX8)>K<#Jy;+z&k# z%jz;8G>bN^%+zu_$>yl-ZooA}+4qQs?Ow-WlJw{HjnfL@u`c)76XMKDvWBVUZ)D9a z`c&G>c|gd3LXIb~j~peZKTm6aJj!9s`YE#!w^o=pC`q z54ShyRiL^s%TKeKxWTwq=Xi@F&L;^lGqlw8jZ2L=Oj+}TXUtSNrn!* z%v&oNi47UzAWim+f4 z9VXK1xf3Mr8mQoF`nyWGd@*i%Rc-~EOg)s8`AK4Mlc*ux4trZ7S9V#m3`z{N$iUWp zG{ARsQjfZfq)U03R%qlwpo7_RfHHUaSJkSB~iCv7hqjznM-65aMY+2 z%+!nFJ*@#F>{X1R@hs}K?lUVHHo4IbmU~BFxQ&76k!zXt48;|1Xw`~JHM%kEBt+So zb`F<D5#pYw$C~S<@HCyX- z5`dvchBXNU+*lC69469%E#o5n0cl#p6bA|kJ_HFk`kCmi(XirImM)Q*jU+ z@nqlXc+Grt4co#i616X8KpVP!f5#r!e+En;3Zd(L_9pWQ`(q|RegJ`{t^qFhV0D&Sis_Lj!#Rq8@m!zu+nK!z^cLX+|=E=a3(g1@^XrJQGiOTO0>n2?O@ zXDGnM25_XqdnlxZ$q>x{k{NzwmIU?=?W%Gy47f9-mIO1z+_$u4z%w$Gtwn(a;t!EZT+&E~BO6z!Q6I6#OKv6v zPsc~U?3FBgK&erE>6`PW+2mH;;Gn!=0!L6l(V`J)tMnJitaCm5q9(rDpaL=BZw;;N z-*%RE@9=etB5d7?6!Q=+nEqt!P;*k=R{U}gA$PG!bKKACn{9->o3~@}U0?0Hw;iVy-^t|@m=1Bw$JDXF&K_e~RV=?xjw%6=eZUF0sim?Ui z5V{j`qJNy(FbWup?bUbTigU#%1tJ5c?t@pja1IfE4Jvr#YNBXWaPWLjj&rs-v&DUB z#*29Yp;mN2Ebe-`9&6GFXhmwT(U>22Lhd*;FeTczpCd+$q#Kdq()YFHj)`|BopPpB zoE`z)E$rB=Fp(2A_BK0SOY=Z&9NyntHl>_BpaJ$<@cD1#YZp5XLi= zIEJn;NkoN;bRa(Z>&APB@HK=tiCFDs(-W!IRkjBjS6#iKgsU{Z6HQfoRuqfYs%{NdiUj2EJF;At3)HkjHN;Y!suhBb@g+>9=leZ5=KL(Q;C%Ov9c!I zlvcfUax@EmrjL1k{dn_efY>!XEm^6%k+NJTqOuS93c@*LOs3OajbZ z1E{p#m?e!DuDB3%R~r4~bW_?{GrE`;Yp*jEi=%38y*lHm4G=sGb*Y(!fdP2U_u;(D zTlgjGNG*emc%TKA;2|&G5MptF^JsmvndYVCHmU~M@K`p7dW4B2cZ)gx8;Up0>ovZHEd1yV@xBNOBEQ&VP-D4eJ zUE~#Vj&0e{R=x5xq`dhh7NiqC&qlVYBg!^9Hfhj+DJJ^ajkiI&G%D+pA|1pm? zWA1OJQq5DreLrg5N|zFFr7)>Yc8PazTTLlYf%p?(CM@`|WfA(0XI-5y$o%MH*ijjynqfuxEhc%2@UquBm zi82J^oMYIS3O)`qoSzX0OY zlVMxU`|J{MeQK5cAvR}r64pW!ep|uc+01pECUv765{(`yR?i6TK69~Zvl%Nl68(Gj zgpC(P7IJJ;7$oD;pERLv8*nO;ePan+dYu)!THkNq4=?)P-DQ4!#2>y@Nl=8iv=Mwk zZ$-3G$lbP-E^SDAJz~5UoL!3IamEdXePDr_b!;p}MM&4f1+SHv#NL}n=Jz8f}`B7r|aT?RYiTzhwmI5 z;2~c6)fnWpW<^aM8Q_tNUgw{^eJn)&tfK49!Z@I>S+!3+Y=)4H*X`GtKRNXH-WvPO z*FZmKQ4f+yO(Ls8=4giS-9#Bct_-JlY$##g@d zAMU5Ve1(e3I^@zXF*2jQJzY`anO8M$uVa1L-^F>2hGNR$Uw_FsM)HoeQgzSMcIM=k zPcG{K2kk-v{o&?#v+XqJ>2}YbiD9aCxa`fo{0W9_v-OvEuU`(#-JjZv^Q{Amwq}0D zD7`?Gh3Dl@FH=TmlnABaO5ykV7K3&21kKGUn0;=nkjFBf@=k$r()#^WUc2*O`A+y^ z>)^Pj4ZdfmSa_w*1oz`hZ+LstIO6%!oEbBrUfV$^@5KR2#u!+w=vtDz`RNfq}KF;Jqu z`^#2W4Icnsps&DvqdIG7GO~f5>Z6INr*a~)DZ{Ts`#5a6&sF2eVO z*7YqbZ_wHGYu=#@-= z7}AA>Wk-Yx9E>k>`gFR8PhP6PYZt64=Z8(lA3dNqz!00KuoRL};NEyx1wgynKQ`54_O_LSK z#~F`wt=H4v(P{kJOOvt_Qj{cmfZgB9U*To(T|*Z6T)W)ff)ehkW2j@STq|ZXaz@ya zhRwbPqSY6T{>OC!Gl;*Gk>?duV&H_!leidWUFcRVxOX#?%jlH&ya~HLvZDZAu zKJGXqL{#jW3Mhc5qVMf8hV`dOSpo7cE!X|66_c-f(UFc2vV6x~0NO7^3B zv;@UXNml39ji{vApVa7(@F{x|iDk%yKK3tLhzL~9&rzyGw9<*FTTu}16&1FQ z`#I$4=)-NBFQ+6>h6?mK7H1Z?uI*-3OaE8rM%3o!&e|R(;9U8+0>!+^T0a*)V#6N* z5RUz#isZ5S*|bkIoDpJh75`KxDi>X-`mcAJnSMS%1FB%chE2XFcFchBoONUwe!Vs6X?9ADKrQHR;QZendt29UAtxk7Z4CmFH z_#KW0mCfQJQmcYLSQ1-vauHj@>Y8kg|6SDJPHC658M9Q6xh2u`@kN~cd$?_CND7XF zFU+c$;$kyWy1f4;?`*Un3k(iGKFC22OS%G6&q()CIN;UCCM|?xCc^lIOb(m^`=wJS z?CpUc#s41~*0wIP!>FVK<ONh}-dz@36T@duqp}%Ra7e`{jRHmqBEl#R~QI)6VVa zn1z~ZqeM{iuQiHqte1O~g_!EXNFVgItn{}&+W&}OXQiM> z<>=gwSO(slqACMBx4ol#6?fF=%*yzOL&9rfwgf%vUtSmfuC2Y7UiMM}_tuM-&B1TO>8IDsT;9q$*JhUikAokwH;F9*IRJZ+T zNA#OCBQHs96T5Of3fR<3M-i&ZkMq#f*SlLNhC|~vJ$!iYQB9j(-^ckQR)>|oV)$<1 zz}C^L5TmGRL3t_`CQ1|tCXaV#xC-7q5w|?fPbg<#A!AEOv|` zl}IkIa;tb>n+Wtx_lLs`#asV3g<+DcuAN!i5c>v^8yEauo$x50J88vQ=8H(9iJ)&5 zYz@@1;{W)!JKvlSn=NGi^vv-PhJbhSp{zX35m z{9VFD(soWJ1?A~qd?G2%xaB_l3?!8smO~i#6_#|nzzc)o@NAdL<%;+ ztfL#nJ^jvYTE<>Q5bbksAzMMQk>;EtBj%Hi361L%Rd@kbuUUqKA7Py!kY7{XtTo@>6wqG|vOjWj5hT(~=dkrTK zg2TtAYI2|7A4ck9aO%BE)0fRBhyoDE6p8MSW?p`!rROQ} zLX;E7BIcf0ekS|-bXV&554F(sX4PrdZ$v3PPm3GG=2$7bWtsZ-bu%uCsd!jv$t?Q~D>V9X{ ztR6p#45}v;6C!H_%#LYJy{+NLRe-(s)!@O)?7$a3?IELv#pJ?v)k!2<9gOp=N5jsH zR5piXn_7++S!2yae*(%tP1b=23M^fs$QkJF_n@jen`b{<+hmeOlKD_VD$qkh3Wf2m zY33>E1KYj^^%bC0SMKef5Ua_p!4Au1gazY+Vi$jy^08a8rY3P^ z!U~0s9FBtXUHk?SEyjfQl9iGd)_yg^l;BU$J6jJY^%0Dbx=iN?j%|t?X)p>ujB(5* zHk|lPQ-Q|DOc%Z*5D=(UsF;ux1u?%Qhu8OylI~ zMRhGdexEr``yg>t568Jj+H6JsHxF9_EBmlo4BNWc{J&PjalVwYGWI?#j=EOyTf0)22tw@k<-_%Y|Os zxgW3G`#-Q*mwYyO|84l~KgsH!(Bn!XEXn`kMOL}21 z23t5qb?tv(IA+%P7lupU4{d*8K>5F5IP=kWBq~Oe=u?BC5Lqi0`gwmhUN7|78dKOl zc6z~z?@v9WjyPoRg_Z}9mZf>Et|^tZ&}c+U8c^*C2`K-KT2j)`e|>P%`q)()Q+Va4 zEeGk@R>!|EC}7XoN*m9}GZc}3BcbK;iGo+5qyzucK}qWkuNvfsyZd$0Fr$RG@^@X3F;Y$R?uh<^kzZ}CT z*RM0yyedLY8M?1BXYXpF#Brqh_Fuv4--2&+La~Kc#AHjSp2n3#|GI-d+aGUeuBu~? zxsp@+!>fN|_g}xmEjj0kRVjiM`f0@4D^Kw0I*jS1T!$hnF7m8S`SJM4^b3FWvA)Sn z!ar{#xXmhW{$#IzI@mqgUZtbLgR-0+{Qnn(CYUOppxI zZFa%3+CSp^$6wo|#s6vF_wvf}w;#c8n$@b)=)#paWRs_x)$HW{pVnp3XOA6p{sG)b zvD|Kfj4{a59%4^h-4vmk6c+iR4?{j#m{ent@?Y2c59kz4z*E)Bw3l7|I-2Ozo!RNX zL>`d#>Ujfx54?~W{IX1DB50R}Cg0Lf%K6`kHH^EbXYIP4`t@!w=&6IyDu$F}g0PW( zYu9%%=1hL3AK$F|^`ecDs0)mwjPUl)>tlj4EFu3}j<;7>VVM6ff9J~dqc^{#bho&I z)>lF;%9wBC2zd-w>)-G?qyHy${ojJ^=Ve3^uySlaMD-(>X*Eg9Mktp?!bVgkW=k#mm+0Z>*4a)>$NC@B$35#Ds z(Eg-&DAv}M0spuaFO}`ziV{WXdJEv-RTS3Lvy19lHPh0Jm>IUM;ma0uqY$|M*CZ#A zh=uXQ=0E7a#w{KjXCCMPVaN+}Cxz!p*)?4rW6$C_ZlUXnmTefr+~R+DFMQmD$Jp~n z{DOjSW^a{5yJ{w>)AkwN*h3un6uYcqT`C%||379u9tM~Z!Yq=Fd)*OPNdHO^ieL}c z+BZeb)yn%;Ppw#0pnA>bF8jagyyWmn^N%Zaea!u!Am%f%R{F=C=EhQjg-AA53fDGU zv76EVO;cbm`Fa27nBN;ojz1x!BIR|PAs@Z1p)Yn%N191lHr=%t79uHPbGY(!KQG&V zgFsi3>G=l(Q`RY>@4)b;?s?}$#m3FX8FwERwsH%`6=jVo?zr+%Sh%u{`IAQeVWK8c z%=BM-NaNOd-}e0NRJZtr^aMh#9=eaAZ+z5N{*Z}-QCTu}kNrWz<>Ih0x;3vFqQSXcAV~*Nd;9(M{US0XR%<0C9%hq0b|RS)M^X4o^OTBq3qGq-~Y^HTA`7=l|^H*zpuKib{?`5CY zdDKr&!W2%PhhZZxw+5CbO-t1=B_-D)4+m|h!ky1GPb8(r%hOjEve!<~M-d;d>o9`V z=Tp#XC6~9{iAbR<#ccKS#WKaQzMm*4)qA01hGMS-YcnV2!z#_w9{3>DV5*v-XN%3S zcSr=Z9@nx|?RSUZJB)%6aR{&Hm|)=laFNMERU|g9r|d=AEffB(RzIE_JNQOtMy_e$ z*zx&zzslcVVwtMZ;N(f@fv?if1zjI0(D!1UphpSFOt2YE*-KZg-Ai{h#(NM4oQ@b5mj*I`1+zY_4qru6rm}AJ;Qe zSN$$Fa}Aa|ydIBGua$=UxN(x&N0`7zBZCEuYbiMKk8%(ff&vP zes}=dZQd{K-ajLc-o%RdK1M5=3T6PvcSbcX1)yR4GjD*E_?G$Bp-%zqhN-r0aQV z+wlP~6+f|P)_hcrY^UE?liX79zb`TSft76jwSmdzA(rB4u`TVlP^I@2@z)^L>n2j) z0|rGc?8?awyNyw``^X>1g`eOq?LCyS6>KFh75qK#x34L73+>uj7#0grqcH;nmcD9} zr)m}yE40AL>FeTjrc5kq@Iha-6BbOG*o@N!Z z+MDZhJ-H8+J{;W({Zn~UU*52eS_C=|7AH#q00GI<1WVbrzkDhRM5vC9k4nHy_1AWz zuUk)=lmk$uY50tFx#R8E4_H9AS0v%F+$SDf69x*z{(_*#Co&Ja%X3r$)pFcJ8Yz)8FP9xhTUHd8%*Gnk6K$n#-^ly69a_l}s-ZIx?DoOw_y0 zJAVcDkPf7MNxp?bR#963(=e``%qoEVo0N$j24d9f4g4Q33|90OyQ@KdR3cSTQ%wDM zkHm&a4`F1!`n{w^yRd?bJ|@wEA^LM`>UAxNWVsW}(FJqJTgNMY@*DDU9o8n4l`C@u`)jvQpVd#1r^}<&B*NdvJsI`Upo9*+hoRA;&5A9hwWr;$ zg*)1v6K7zoOS{fvt9gZ0yB4{fNd={6b3mQ>7dzvNhrG%}*azPs+!?;@;|zPRuPkpi z6;`Va>4L$v&+Eeuoll)rbgJ3e-pgF34N9q7-`gl!Up&Y6~L#VN_q81{j*Em3ScEzbCJ8@+mh+9esPGxP?HwU^3&Pg1FB5 zpb8Nn35vPCFTtYW1#halPj_IBwe?k{h+@h5YKr@l5Js|dXx%@1M1OcJ;?>2azyXb{ zSm7kdtKj|UnJEu~Qt3q>_S&<#rhj$YZQ%wfjwfeAh^uWkZZ_`0lS+xZHmzM?Wqj+h znQ2)ATW=K`6mH)JwoL2s3}`aDlzG2683R-(oI1bF$Mbx$c{6B!cG*qX|N8E(M-I!6 z#yqjvHUerq8r0iMOB4j0{(62YicDCtPom@&z3envH#-Eqyzqugn`Mk4chOFEBS5tX z$dRKdDdI1W3^64!-S)=ntlP1@9yBkiW;_x?!L_+T^d^|Ty@B{dS`hjdDuS*N&&7ye zKYmNZPy#4fpaVs2-!-}4Jqo-+-XQgCsOu{tjIq z?)Mi*6;D(a;=R6JXOFNh>`Tv9DEmF#MiLnK(5SI3$GT5>-bNZ6*3*nO?y#R)H@Brc zDpMZdaimwiGv}{l0U9@FckuF0{7?1nplN_EA(vv9vj^D;O&m zKzD)i$#P-D8JtP{v%zMMEhFS!+CfCmSqp_@PRS>lDzjM{Jk#m`261XU%J$srgRo)w zboJ7wi zn$T9;J9H}TB*l&iPI}6l3_DyaBn7M)UO?G87GwCC(EGnzFoD9noq2!~u6KTc7nNR@ zTE5y^+Dr@xP2Md<8jpIBw`&$Qt-TOrtT$tI?|Jv^?(Q3Q4s(w(rD`TA@nuN2o(^CM z(*519D|NP?S;p64|pUa$l|6UdpWRW$q zw{W$5%g)6LyQa({VP)%T=KPjL!q&*u?30;^gQ=OYFzUaL^~?hM4p&=9+LLQn+PgI& zbV$q&aFGAz$5LSUXaKpd#V|x*Z9mf#@KAp&q(*1D~_r>M!Hf zpu5Xq(9J%~D{i0sA5J}n13WjcvnWSR{314mliGB5?s83@npg~cpQ}>5R?WTdp;NbB z@pP->ysLia6giJj4bbBisQux%`qOiB$ibV3mWY; z5YpuTxa~r@^1S{?fe*g(wRAA(@Oj(^A1FLeU!O=b1N5f?4LY^s*8^3WBX2t!thZ-t zN>x}2R%6@J7kyDn<}4_Lo^N`GQ)Tq%0b}Qj3i|C{ojwd5tG|;{uS5*YAXIGAix#;H ztGQa~d)hnB?WT0V-}f2E=7ZN(&0?ulYABUSO}Xt}j|o1q7sA))?hx-+k)Za+T_E&A z>bl`LS0TVmZPGW^?c)K7oX$}efM^xUtMQv~$+4_ULD&y^x0%|e<;3u`SsP(D?+&qU zkT@L$irimOeGUr*uRirUblyY#ABLYF4nR*w{x=7pJE({MEnxNG9CETs&T*maEOJg; z43a(4DJ$Gw)3e|1TH-0V`f1e?z1msK8fH3s#sa*cXXEs(TYeyZCA-Kl8|aZkPRGr8q`^}~ zppE{~bJbY6yNI`F@_AKd-nH(@`D4uOvu@V`m{saG1(t;#G-(m__pz1;_L%18a=St; z_tcraR`QT_5GOS=mz&zHHLsnTz5Ifz#`LXUQy=SM6$DIod=N3)#;$(iuqZVSR-k;` zd@0L7$QD)8mnd_>KOdTxae<7|~rd;~-33Q`Okf(~K0ffZHy>)j1QRS8#P-7F#a} zQkSyrkTFsCx{}&Pl&>}*Ij*3VVlyX`^HS#whtaM93HxFHF&4z%TSXIycM|fcMjUkCbL?nPzq=clGs3{`-TFRMt0|N4TI$HkYK5x z2bL%Uj!nN#JsmHK15y2oqJF4pC%_lu?ZT9ZU%=6Wg-7b6A#A%OMY(85*cgtK7Q>tJB*jQJL#}U2cd$o)0mM-1!Gg)I>yIecnMHf7ng` z3{1|{kTY({L@)TUBaYgNEcOwrWg_8?wSG1p*Bh&h@OjQR>5>xj;t>_e!z0@Enm{F5 zp5y-S$ufn>G9&8D@#@TMIxVu+Eh81%%2{$8YNb}xGo~mV1Nt_K2d|=+>bkuVwhBB> zyOAyW%WtLNZg=7D*BCyV{y+-wxx~9$Bk8#+HDx3ilw6G?_u+V>Wtq6$*sE_du|evC z0lS&O6(UCe-8fn3hTi*f#m%K9mA`tbfqR~@g z-N3_IW_n|**97}jo5Ep#0lT)k^A8ufYYkS^{II1umqZmsQ@_)Z*c>fRotA@;kz^TD z+tS;(z?}?ip{<@wVrhg?uFw}5Saca!KuhzwU%_=@xLQo9=?zO$5}#we=ytIa_pvzu zlAr#X%x?9B156%PE6!*UQ{(tSEwlUiN;^nf(sHYjUj4Vy!#9v{!fU|s`|zy-tizyc z-1r9KPv?^wyFm`__)Ogq#Pa*Q^$S3>-)5njIP%g`DWyd_EO}j@irmZMi_4%Oc zI>~XyI>?hv1BEU?d}lf5B1fZ$Iey7huNtwxKpVDC5UN+&b5ezg-|48V&hqQn*`@8O zP#;bXqJsLt=1}a9;i{Y=T<7C?CS>uoq?wyu5V)i-;@-I)l)+9D*gJX#EEIvWd0Pb* ze^L4R!|oWIM*3Q)aDbS9whb}=D;_RYLU7DPjqW=Np$j^Ki5$3mDRRKa3$@t-h;xUU zhW|9R#W&}W_Gk&TGy4x#wNA5DTcX}9C0Aq@@Eq`W{buo6Hb!^aikb5Tk)u1?fimDy^t}$Ys|P8p<(Cu?o8jl>_eWLK z2jPHNmO500FAVty$V7U|tnOWAjUNulPMH)7dSo~R7qMcECx8y?o*sqTCvfFL?q{%kztc6c%2caJeb_Kuv7-pBcu4&4=AzqyQSMFH_hkINrEVvw>Co?LoCDZ<5(K7XbkqR zR&&O^eZ+1BUEpp7ui5Dbe%Bsp+uCTOL5w0E6(KZGL-*{K( z`|rz(2pT*co!Kd7tUNi^Qf!5H1U+6p0O-eKWf(>Fu(bU;hWa{#a{^Hria_?80o4&=WJzFnPs-ao4VzmUS5J9owo!eXgB)%Ql6~2nNDzfW^25j2f2)hCo zAATcvg=A-+hL$3xbGrTp`E3VIO? z4L_tuHv!xke`Bn-3Ydrv{x80=^QJwX1t$9Q2|Q0V-&pY8hFwMP98#P`NLs8~65L;7 z`SRWhvz&ME-L9w#hd{+@sk!c`9ON_ztTr!nSp*M|CqWvnA!Mh_45ner3j}BbsUM8` z&yT_+*(|mqeS1$w^`M(9$6CK@KpWhA zE_&GLZ{l0J;qE`(gcN>OC0pI0ea_uZ^tn8Ld9)~E%9K0DF~;a@mIK{tM z-XM5h*f$Wv5w`y5leBTh`M&Cz%=yKN(_^e-lV5W`a`to89g(!yvjRS7d71KN^$3|P zSGi@k>2C2*-#_fUg*VN=Brc(66Wj?S?@DL=HD;!71?Hi^UD(E%wZYj{GQjsW>q2-u z+1Nop_AE<6LevS=C|l&>&XLs5SKKcSYLk|mOF>`tf0%j?s3w2!e_TXBL_lOCd+)sw zkUeC}Dti_vd&^cp_K+!*AyY<6ftEc5WK*C(*-K@~R8|E9fA#(Sod5Zs_T+S{LZ-9F)pLScWd?g`%RZb~W$-XMm{!+M?L&u>4h zsTH0NAD$0~qtag+&;0YU>0J-dS1T_5Nx5KS%n`rUcyZ3wBSiM2}-4X-i=evg8V6_W!fYM)Z)Vv5Pk_G3(9mnBdPWtP|+V^1x zALG~NsTZs^M#&Se-A`Y)?{Tf3i*@dMhe#x##uD|%#drzZ=IR@y2DI+|EMwmk?IG-J z={3zAV4h;%vlV-qPFnxb7ag^z8&&77{2IUT-N{rV`Ortxg)_HqeWyMtnfljR@=xlH zF|8{11y6SSy)F^=HUV0nog+C9?V`N&iZ$nY*S+c2g{}#uZYR8^b{jX+10vc}z}ZfP zk<(X%h=D(GKba4x?^hICUAT>YVTEsbT*{ zhTXnT5FYxRFYz_zy03@K>dIaA`?!nMg&5&B;?37zMhf584)oW1so0hmzHun%7Ay8M zc10z)aNsOlo!Qr~D{@Dz?2i2-LD#@Zn^4sAnnbG0nE&IE^*9{Rx&uoR3^!|d`9K-pbq=P+-2^>y8&~nU^y>zHui11%BFT$4Z~Br3Llx^!|9FM<-mF&1 zQf_D{O_4sUw_c*1y6~a`D0<%922vd;LVGp;I@}J<>jR>4?*^_B7awDiZ~GbVoiI*m z-xoZ{>R<1=1q{2u6+avvO>vap(hhm&l1}~=7rLwZ@n`Dmx2U;Ywk7JXSD`=O+ztEv zBK-wr|Asz@|DD@lo6z*0dBT1Q+# z9=2|OpHyX~&kou?c=(Yz+$4eGH}|1%)H06J4X9&LLs8Y^?|P4C!!fDDja}4}@q;_o z-1u8H4}*N(UZjBVU$$H4w%-gvseebx%c4SF+$`P)>}pg9%Kh!QW4?3AQ*7+tI~@Ot zg_6mh@C%{rgi{vVWsMQyZQPbAj*Y73DYzna+}_r9Je$xVry^bMhQ`JAI4P^*a^;`e zvsalyv*{mCV@AyKKF*MGINTg_{J!H;Ec{K>`L&~G=(S!#J=4tROEh^)x^+*_NB^sz zk*^xoBCY7cN`G?77#$eQu3B0CwLH^% z@pZNkoOfN#uE!?~zq?_$;Q0~Hq(sp{#;u|&kkEd6hU&ivee20;o+nc+Cj~lm%bbgy zJPnxpac-Bg*bE+Rnl>*G7!Zno zF_P46@0#gV7mqS8V>|Y3!-A#p{`yq)C8H54w{# z08;41hKPh|tOf9qU!M~;QZ+LKk5i!cGf*;H3({xWXmv_0>cIZN^KHJwO2350n|iiP zi2@Af|KZy+WaZC120PjKWJk|$?lWpVsdq$ z{14Bngk7Z8ow)PIH3_17868Bsh3C2Q;=9ZNsI$d^kHdPcRn0;!?Lxbsg}5e!s%C|D z8;L6&XMDcNW-A7LlKmcXn5-eiwc;3SE&`Gv`~9a~{kxxR(IdEpo!FsM*x+*pE;`eg zZaapI-uKBYow5!<`Q0e_qT_=E(n1LG!`ZG=97y3f8L08-ktdtF=l>O0r6`7U9ZyNu zA9~YQ#YKJDq&7mpf>4PMm-5}pXDsD^pKgPO^Bz8bsT6cxJg|Q{h~N(+!;&!RcD@rh^&5WS z4$4$MynbRMoJ&)k85$o z6L^9c@{${z2izG-n0(=7dQg=P(b0Wl06SB6?MmT8)qTPWe{*YKZTwu;QTXKF=rJp7 zKM5HPE#cA^3?Y{G@58G*8rb7F=(`Y)Y*EE$8qt04<4vT#b$PM*mq=e9i!1>?uXJRQt z%k}j(A&YEpWtNc(XIm9NdWQ8uY5*j;kTSV0$eT0b=N91b9>u6e{r*>ElDjf8o73P$ ztqpGC9KwKFi*@&X&YwEXYDJuD(Q$=)t=gi8PYB>oGqR%Z?MAm2cDJ!!yq2DQ(Y_^o zRcH`8cuM80kS$@KAafwoQ8uTi(x`a)SdP7Wlrv{E#v!W~IO9NmnI=a>lEJ&8-rwM* zEs_L6P;EV`1h~vhjfoR9tG_QQSLFn}!ekm}C5brOhFNF{d)pbgP^MjtT)M#>dE0f% zwjh3!>3Q#-%S0Zo6&OZ9xJ<-e?g)E@1N>u-*w{kvz2|m~uoRi2&-Kr=2Q;c$AO+5* zxmioQr}a4062-IEB;q9r!5Bg2=`O7on566_or)!$n6GoBL38oC^BFErim%(fTYp{b zbMR^LQ7MZ6^$Sy%zPmc|?W2WDX~q$63Jp?BSC9nEAGpJPoi`Yr+Mk#+}|0jg^)`BT4K5x2sCkx(ziA6>e58^@5`2+lSpXBZ1&!uaWuM1R^{R&7%-Pz4j zKShq@^6V;!el>S^W>m?DQiz^epeLpRDq*-&_P}=!c^I9~q$2MzOxmtB z!ADBed1WcqC?D zse}ZpvKT%}VShzxKX2N)g7A8?qmAl`n)YfIZe~u5V_1JaL6<5`wx+@K8R$3tyiB{j zY!F=%@?`>?y)QgIetk%JZC8=auslw}a}?6;a9qs#j5i%xI{o8;KudmMUgd|z+A^VN z|0o*4!SQ9KzPLn95>VnoUVAN2XNGkGBt|WcV$&_{zguVDJFRB?tB4H}86S?Y7hK;s z{v(lIEwtG8wvKlNDShSiyp6DFhUJCOkcR$^V^Ym`>Qy!<2VuHI|IiY-Z5 z(T9l3>=}EfO>I=h*OC@gX#frF;;j>kn?W6)grTHawjeB!vDr77$}DEna3DaTAL_{9 z(%R?(h#ydps@Z&V_0>u6rbg9Q%IzCBWZ4IN^yI1AyozQT1>{BUc%6+uMv`v~k7rpP z`xCyHRJz~^(d8$Ya6s!<7IkXLYD^5e3)&#OWR#5RM3=rP&@&(vCgc2+h|6(YG2Ast zJ@~JD8SzdG#S02l+~ZVis!C`Id#S}kAmNbNbBRpP`jTE>=_rYi)U8_gYFeileI2QB z&gNB#()&$u58V#*a%ErEQjZIO{Owq`HBWiD`L4K~UIidO7MajeJv9398^38Jaa*)@ zTeM~yPCHNCg6vrJHMo*yo}kn6n_gxYJE&If6UkPtI?A^mp(B3Osj+g7daqA)7%hl; zc;<7L`{u62?G6?Vrl$wEni@&CTM%=G4Y<;bIW1uNL{GG{WO>6VUulMNk>)iBeY!`8 zp=l0y6A#FJj!EV!Lyqg!=ZkLqkYrI-3X(+@E(m=q*iqh2E!NaDYFp{UvEI)<7xuK0$|;tG`6` zaCd%_M2U?E?Kk^NiE51_jO>5|j0CNk5HHh42SuVwU_v5;`;bmw&A~yjtN&cDUuZd`I@qRAbRB8^TXn5(bEL&nsA_(a2D)pVmT5<@Cu zbl*b*Iy1fsC--KHQck~dPmv(8a@>loHZvYh9pFDof6>?njIEBlTCEQ>V;q zRv5w7U$XYSiKG`jP%TU4)#qm2pbhn$2!$IG`E6m# zmCrf)UNVxk4KaN;kni{f_8Y3Auy9etg_7RS6?uzCpcaKTjCX&vUtY5=zSY&1cBA1t z!xeKzpY5!*R^RW!?&326t^sT;GOmnMEC??1b<2uogB!89k)E`$ zZl~_+M2sZ9zSAn_uR9igx#5Bw%siwR&xg7uy5_(<8G%1a`=O@j{po1P2WJ=m);mj( zXKQt&qU^-J;V}B8{pFoSd!brriN-Q!>79oQgWo$WjH%rgO?SQnpmtDnCBX>VB~WS9 zJ#1Z@P7u9IBmiOmL35ntg0lnz`7cp<0;aUr3a#`A--1V{K!w&thBK^jkBDD$nP1<)9Bv7LOAp5 zIgy-VVWxD`K-D}$dLtl32tGY+?A7mr;S`cJeR>9|)5Qyj*dsmPNHyoxCp)~`$CN-- zq7+`P_Kv3A0eU(RwzdL25C@qxp&A8xk`O z+1iF)S+9rxNC18m`lb4u!oI~^jmD&Hd#~D${_(o)TKOQ5d(6qtcV6MO(PeOYZZx== z6j(eoUXAVS1S)S0-|?wwNNC&)8rzc!eI!oZ)PQt?Z4w;yH zbjtwrgot65sQ3WSDR>yUegE?xAHT1E=g_!P)TGAa{?*{}1^O7oe&`RSi*2g<_K*U~ z?Pv-HMh3?16CT*AcoEXa1V=d8L@_X<4=I8FM}E-#SWj%i@2xQ;^nVGg#qa$Wzy3MO z4K^5(-K}AIbK__9;)-!%bFA5P;YPrs+vL(_NJ`k)%xhxOR)&?iRmgbmF}z%EsjZ%z z^cq-{x=J81r0_I@qEam3&5XvAwV|O_URUd6u_2c7odw+ z2@j9f4f(HR!j*6}4vAD@uHB47x~Dr|4FoX42L%_QirTsx;scu6NglJUT)D)8rg8Az z4ubEiOf0sQQ%B^dsduY)%(g$L*2qqe@{XxKLs;)y;rR;sH6(NYUQgIExXq08N;N=+ zNrmdznD$mI*f4aE77Wi7BCplL^o6_)I&I$O%UMt!`aR|~%j7HGbOjChXQ`?pndQJ) z97rDloVC*ucmPj~brLG|N(q+Iz(SJqsL8xe&WK%Qya=jz2#0-OV{@|e!Xv?QV-mYK6KT~J#op? zLohy(Y2^#3T3X(6)e92m>f~$EcR|=>Ghqy7m42>i6xbHP z60O0t-Ofm~lfCmP-`j3Fc1LyjElmf>i{M$Y8aCVw#(SeyL}`!1rQX^M4pfXj&Htn# zK={b|m7UoqJ;%uRmJElBv|?s1h|Xv`5vdnne@@fmkP7^|c+lYJ(|;l4xAL~E>78Ym zR2F)Ce|@}R-JWc%wSIq4$WK2S?d{8*k=s;!Vm|GsMOm>rHCcO15?^#fjXziNz6$@S zcHTei?eT_mFjMs**sJd9-TeIwbm)@8NshpzuGVsDOS+L8-HRT|zG<{?DEhl?MZ1L% zpQTAdLpqP+nOMl(%ER z*!%+0wvr%PI@XFWUJIIOzv+2mf-V;gPEmj3s)}97jwM0;@K`5_{{Kc!GK6(e|HLyk_*#A!>fS+6ekV z1Vo6N*X}3|WnuuPss~5-H0}IXjfnOCh6UA$ARIEWWQ~xhCUiYxe0DvfNZ$^D+QpOb zWjXQ3x`@xgsd<;{jJNxJ)YR67@?3s-mD^j{@)lnq1m!_VvH5{`Y{N-anomy}PT|+{ zxokEXVFvPQetBr#Ruz>za&_G4gfN!Hz5@5+=e1RuB>u)ovZ+M+oo}~CleQNLc}Eml zesV=5%U10{N$jaOw(_xnabiXW@}5v1pF;{Q5~dgN5T$3`h!qW%iKMu#>4Y0~l3Fe{ z5(GN3{}uZj?2v*`j;5yy`y{9Y8@)>~5NmK;)inJ9T*02eS9qj2!9&jX8 zF{>mtQ5>x7u=Av)^QzR86bhT7W+1PiTVZ2H&D0!+;xaWeF5Dj=`lEV$gpAEO>jThj zFQBe|V4eV7C-aL|TJZ1v62I%4k+B~qF)eQ-how=qC6F)1F(OX!7UFsBWk~QNt31j& z#x+UzG9SqSQ{AxJd$nhU1JLH_Xu6s`IR3|yZvkR6m5l{h7xV_-W&ZS7cZ-eYh)&tRYC|Sr*)$}4yr?p+|whwC!G?P z=B4&7jj^Z5cYtSFHqkMGIS;7~1b>p=+$9f~~ z55f-Rs%^Mm=Utp@pShmFXWhL5Fm{2}qk2^#C##Uq460q(gpQjuta(XeYbRpHBJu61kY82%mrBFT8Ht<8R+ zol_3Lgk{mj!A;_hji1X>TW>`^cUxgL-ks`)N}d4TqVMcYk#c;JC33Bq&8ht%>X2(o z?`azG%n7K3<}4}00STh%a^{mtEA9NNF}*|LyP5Bc<#u9XT=7G1;kl9@QAUQ6s;TTE z3x@^~+AwhNUJ%RN;WsNQ0V|YiomVX_ol68G!_MA6ISjdhCa6NACf`WQfdpUar;EyP zs^S{5;vsMRRZwdT@!0?+uj~L*kZqoG`oVr7$=a(A%z@b$_51egZumS)M|e3^al+$i z)3-9CrPiYaER~U@h%fmbg4e_tSJP}Qw?L;ehe?=~T6@WR5mPYJ@q75>M@+Vx?YB5n zVu1IqpT>gpiSPF$Z3aR_?#qdp{aBe2WE`A!rkEC8h|9lHcd2Dsd=npn-&8xkKv3IP zq_tE^mpZUI4Mg%XPb6{1XCgx{|K`~vBp{DZuaIl8hk#Ty(eLXkUVOd76W-vaUQX{IplI#e{-J`e@SU8RtKns zf!~IdXLJ4D%^lZz7eC7n`K5t){)4{8G@t*2W%texPuRMx+EU-XgdAW+Ez9+5b({1- zPDMYzoX=AMdN)Dv02J&tI5ch2OD-6`2z-!FS#hfu95c3{V&6iyI?=(lE$q(j7{p!A zMw!C?``N4jNKy=O{qm)~X-p|kh*Nyp9}P*4pAQY;fT_9Zj@BaZlJ~riV4RlxRc2`P zY>>vhfix#uby2cCP@a0gHK4l4lf`84>724(Z@mBC(6@f&8f?WcSb%c%eXbC0cP;~? znxFD&d6b@vYs1|~DTM8ITn0f#@16xnM;$%T-hb_>w zJ5lFHX1af2d-pt#=N?Dlil&G5s3k*`ekSByJQ%Z|kFd=;E_$DWEglCU^3AE|iCV9M zu$x>bCv0(gzPT`P^is^i7P4 z2Xm0cx|mV)|`IJ3lBk}kh3F>+oIb>-Fa>+gSmN#(s?V%b%yuPXCcj#Z zh>hp9yAGQ3@JCM&K;|viisQ13@-wqx;s*J<;Lpb1Du&^f zO%D+GaKKH1){#O`rBY_hs2uPY$dJZkMMLu=E@#uG&g;}c>{h5~a-iAGo8#s50d#$F z>o->`)FH^A_nWVhJ%|_|J5)1bHcO4En-==FWM8+ zn)vC-GuYi2Qm-Fh`qni^9M$ZZGeP4&)u3T(k5?2d%~mCvi-=JPcr zu;!LXc2#;wT#h5qMa1ZUo5Kb1Ov)l1Mim<9dpwF(_GWCZq70d{5;&(S(vY0b(4ZD? zH(h|Z2g-MtE@Zg}zR9E|8{VClO`B5IZWm}@_CBs@6#DUb*?SZn890?acnIq4sM>M} zY9`&2gm<`LTNoOW;5q5}F$Q*)=jfN}T9fL?xC!pr%(-}=~0V{mBE+JF|ul=BVL z5MUO=(dm~gFHv1`2x@4nQXlsUr!sQNdgf@^(g-__VYv)g2X1|X!V!P142+P*?@L#B zh`BIs`CCJ|;|dEn7PoRAb}ddba8sw>_hG`op7z>@`yv zp`_OnxAG;0i89*+s&=XPmDg$&#pNHvhrXopzENkJI;&0tl%~Z#Z?=s`t|m+@a#AN@ zHHgOHL2}}}HPr>lrt-66PE&gR!4VCYU!6M9C{R}O%F2UL_4=hD$k7R&XSW;@92JAT zug1nyLQ$Cd!JcHfM03c+?K0H!(6wpP`H1mA*R;U)oq%Pb2Jukp-ZV|NaeC4jCVKvd zeDupsDGTWK;Z5$Wk8AQIP%3GDxd@p%9GJU>mEK~FA>wDa5-2_p;u;9l41;;GQ&2Nj zFsqC{{^_a)$6tWeHjl3T9v_)xQ;`DLu|o@Jhxt^4mDDu)8|#0=gD-o$*AW<7^}sH= zzHWpVFLfV-k$b~byC%}fFOS2tn?&_S+^_s*k~8e?vWR;#36w04-MZ`fy9c}UY`}`; zQYWLJ$8eL5lVnfzCOH5rBw3wTFcW-GY`8``;dIDNaqm| zc&TsSmmQmdUP=A{*SX0=)>uWrF%h4~3|NQv47>`++;I$eHG8!YJL?UX1(6J&S{gaA z+r^6uP2}w$maeLELmdOp4g4Xn)ld3Cyd%?V`>_;A6S0tE%||?N4##l-Lg7FotoG9s zo~R0Dw_#_d@lq)_?Kbc#);5_=n zocb);xZtcf!fm`Xh!XC8#QbtXHcMs#hL3|Z9F3Rq^tLWAEDngzrUZ6$_@%O%prBjo zZUx=p?v@jHsO}?A9k*wy%U5^~Hm)xK)-kMN^cFeT>twel+eY>b-OT!*Ki~l7r#|%0 z@H4~ehqbhtwJjzcj$5mfEAoCZPlTTA+8={V{J8T=8Oi)l^v)8@HOlp&Y%KZ=sR|8g z_c$QnuD_B!yN1h@3ni1QBr;aS^KlZ=0UU2rRhxKus$uvK{R6;=`OTnGs`Rm&Xkjks zhG>zAL;%$GMeyQyfGK*qF|xk8>lY!SiSLoMzAVvXo*53P0CPCgpSv@D%AmgTXS?!*xFWsb(FPiA47U3dlN^3crp8r^Oxf z$ihX(<(;N4yZDSTqJ;kHQ8)~_f?D#tlN)?=T^by3O(LkmKb_~ts6116#UHa|&xk4| z{>YOsS+UhPCd!P;^N*pTzZy&-u%GNJ#lybHq}@bKLUz>cSoCe*2e|ATezBR4Pd&QX zHWaIBto^Ef2a_{g2~F-P0IY2F#JTNYRdkqLjiSeDO zt8^$`$U%km(OuqMEo6E5l~nuS9UN`op44iKkeo^shG&xKk>o`By?#EuFw}E zLkH@GAxMhCeUJH@D1EzTCf`LNS9%y#6H`V^hqr28=h?y-hmnRiujbTGMx)(lTguZx zYECJFnF&-JCZ-<`C5~%;oV$)yV{r}nT*WuzFqX^t4d1v2*fc60{4(zSy8wrPtySV!4ax zezqX(!u47y`Oa*y_p~g_r0W@wQ9`M01LuPtS*2kIsq5zR zZ1+7_xv88w+yqiCpK-8QtWmUBOuhdcuxHV|R4uYRkDMn`rm{<` zOi61_GPj~(Hh%5SZRS7p97!fA1q3rIol~4fv5!s7huj4j-AI;FWcycX8SQgMyafWB zR28jenYI>RCI2%?0x2&isi8+au73JjER;vE%U6Ej@-Pd+8hGF65LnpMzRldNg_{H# zStSRj{n)@ZlyniMG!VdMl!A94_1MK9yQe}jdt$m!kH8anHd$SBVtQzZ+%xn+Fmt`c zu1);}2Oq`n$j@MuYH#`Ix;3s9MkH}9m}9sB=&B1Z*6kai8VhdF@vMA0y+yY1oP7Fq z(=X6T1DHn!3A4Unku%~pP4Mzt{H+5BU;CZ1C+ONH(n4B*Hz;pU!iXmOA$%Z~od-dL zIE8&ORt+8}Gm;-$cJS|1`~Jl|)x$sMlR7VmO_7Axx-w86K{c0|H|0ip; z7DUzUN|sy3S?qx^m!)V1Yeov3qY2y&AP_166=&lz~*Jka5@}5zAy}U|j_7 zh=J(9b-yGWG>PN)HHnH|Y8vP;fAfRn?B^ZlZat=2#~YFQOm4CxbINzV{$ADU<~4Ik zL6e8rm%=p!)a_2oUaxw|Z<8LFddA3oud+J*TNZMi*Wrv0u_N9|o7xyW zypH@;CvSP1Ni=#<4$Xf;QwK})1MPWx31$NP8Q%B4@rt^L_)1f=^mt^0ua?%B#NY2u zotk(}F@*j+LYQkoB@4aD=#;4jHyqoDg^yY=i0mdw+M>*hfX|Tl5fxquL#XLm^ECv2 zkXdh|EF>U)%g~v7d%Vtzy(wH&2k3e9<#Bm_1}LYQUi5o3{sO^8n3R}1Owm3_f(DA; zN|YPrD7Mn-mrG3Mf*07awBV5Tgjh8=2r5%Mdk7R&E?%zhn2gG^3<7&Ht}F|H8zZR^ ze8D;?i1$@#`+G}(%KVoLFGsCWQuS@?qB#}xF^(CzV*ZcQ<2s*T6oYkh?PSC>tg6r9 zD$1XR1)k})c>6JfFje$BC9am$6H>7%?S4-^e3ZrKCSrgFZg zS`fVVxx5i!Y;AD1CrqH0MR!#_vCcMr-X zMds?5%!|ixkM6^)N%V?fo{JHz@gWZE1!<4tmzs%H%QN>gyq{4wO=5Lf(5iy=j$gb7 zBrdu%az3t+)Vc@FvoXcvxQgZX=bpE zvfUz5{{bvFa@Y96kb_szpZ304Su;3$`(H}#Zd&LGk~?Qzz^!?-PPWP#xWY)D0@lc> zoZ~sYvm;|&4UC{OI_dNsd=}OWZl8u7#Yzmd8fEmn5yjaj-Ci@Pk2}bBC@OqExnL-X zy~JP6b4vLaVqw}xo?_Zly>Mx16?fSq_{y-5t;xk(sLuZz+~YB!c%fs^TpQJ-$4 zNDxCHF{#^q-#p4SIiq_>CG0#BV`pF|R6SV|+wLf^muO~h8t_k|!F|pOyxmc|unhA> z2}2U*7>KsK^I`aW<|YgsNbcDW?is6sR)%YY{&@nKzUA|hDaPU!?1T)}0DZM1wJf!k zGFTG=K1zX1gZA^XtiZK;zdXvy=*Jvl6Xi&#j8PkvXbP`yIiWjC0kFesz$sY3 zX$@EF_@Mo}%C!gqHtXzyPml;CG;oX@ByKhi!GTqPBx{BKS22yyLE*_TZ*Q zHtLn{cQhr^t83=WIbNpS+>HwU>V2t`x}OA1>4`o^<*IbJcv>!XA}$mXvg&@(y8Di#86t3qiNON^2K*w{hd!%RLIF&fJv7wR}=? zQ5RMdJW{ezI2@wVQ7Y$m_$S^CL26Z+24{Jatj_5(aUDRK^bM;iJzE`#l=VB2r+UP5Wi2q}JL%Ggzr=sIgcR0t(DgoFM!d(4Zpv z%WEJhu;SpE26m2u5Rz(JuJ6mSLubrB&1esIynT_#sY8mqNKmO~c7)LkVa}*+OB3eBx#c zuyYc)%Cb(*$ex8B7`DBI1ra*f_8Wc%(nRy0toA|wH=_x% zIn~&D9J`CIxe)tAS^4xIT9A}DsRzl6b1e9I^l}s9`F5aIF2R{lV3H%C`{H}au{;G< z!A83&qq(AiJ9b~&QsjuRfbSUQ1aCKW>6Fbsk6=P2h6&LIdV?Ewvv|dSUlWHuja|+u zGSCb^9=$nO7uOhpr9CNno#`%yanoNE{}u4G{1`BQvGUD6XsQop>-Iw{eowDJc+C>@ z5%*(&l8q{V*Z^N~!=9e%Su+nj~17_W<$+sltbH2m6@ zn%`T%zS2@7m_$}Et04|}1f*O{xF>lRqNw*B(9y~2fnr<73nig-lWe#1K~8Ho zhC;X+?Bg{4BcJY$B?;l3y2~IFs;1ulQHFK^Lypfs69H!t49sVwuWYg$r2&V zb3AM`v}_^n&l=lX$gmIJwwAY8J*lBo`cY%YZb?x;P&5nzKjPMx+|dD!y-r{lKSpVi5!A;*RlaVDj@5Tz0*$@Wh*YwDg)dr*Bv zt2SHz{M^d*+F3jAhzGy`j>)XDvR4pGdKU0aDg$3Espw+xG}R*=ynSmY25%ipl}UK| z10_;;NV8s>P&av5z0g%}gO=)1(cY-1&Fv%fY~ZyKt7~-!7C$CBER%89lbnYF!nF|F z-R#I*Os4oj(S0ZYrOZSgnA2A1oeUpuoQ&J!EZ?DTE34lBs>^#*l2!adrs7BgeC}1b zLBQ3W1sVt zO*~^wP77=j6LZgf#){25-P>=2I-Y0Wc&$Wde_?>A<4#+uI&zjBj-P*KYmnJpqMQU> z*9lc}bSJaq&(N|Y$pDYeifoMSM99A{)nuet-pYM<*`?(=HS+Ha`@BV`Mh!xRShPke zmbJaC5nu8}VhVV4D|+UIJ@zEGJDv>kb+V+Yl9;FvQedjHLW<;x+tF2$7gEdrM$TxO z2eC?Fsg|v&_MM)=fVFOwXy5oqc8rFPwXYocxI1N(s8&w7o1a*;d$ddbT@j>W$%{(4 z`7-0`ToCPxk zdXi-_--$hJ+qUvI^3R90U`&p$=-{~fa_JW9x1OUWT3<<~KX*u$s|OEXnxrwgKmX!j zMQ-xhNR)@um@UJIPAieY_4~=jpR=flB-6k3l!4bZ_?<<*ioP)x2ujpPTM%k6)-M9g|W4eL-=NW3Pim5eQtlUDD50q=~-mk`_ zQ}o_3r5@!mxVXk4^K_F4(IZfj~>$vptX23>ITD8>Pl9vfpkF7H;&~pLUfZ z=0@*N(UJZNTaWajah82r11MByt!zT|VZUd2Ig0>CuUUL1Spvb+rnQ>|`(uNcIQ17C zYG!|!mj{i^mmpf!UnD)8)vU;#x3hckOlDDk3Pc>VaGvk<=Dm}JV%A@k^ZP(d|3Rik zlA=3PYGCwI+y%aoY996*;+aq7KC2U5&cZF2-rHS9x^mw{7FM%zHa+1?$KemuaZCmM z3v6NH;vI|yIh|$?895Lvx{{7mIbV=mfK(&6^1;`g#H`eCaNBTpl)M}?iD5=3B8DX6g#C*Emx((ALzPk2#YgkL#5&-#F$ zcy1byuSNG24<{YCu9CP3*CW`Nl?^*lBMMD~5gWjZaE$UB#9tD-+>cXG#=2+xdeNl)W6jNjQLdW`@B4twE zgmbTrjJ%+cWgb`aV)I|BIBY8V!zvdEyf7pU>ad~SgYvRLe>15}MUzD}35T8IQ-->j z9R3#v5gt~Z?<}NLpGlfBu*b5YE%p!8d!_B>mXZRVv-S`1a^gJ`?$1zvcKg(Uc6j1& zcs9k+QyEKO8uj9AG{xaXmny}%1Ypk>aY7S;VE5uf1Nq7!evuaj+Fu2(j4Z;)4~EKfLKY*Vj4m{DbmRDGs?@C7KTziSoK_6jB|@OehIiB*vVcPVmdq z4IZko=05u02UM}G=Cy=nq-W}O=P#xA+39aHnQot^MG|WC9%}Tan7>7xJgIHm-1~q^ z3l{?_%0PG)Z{W7VVY$FVa!Os>5mj7sZAPvNLu4_f$e1phkxunLmQMO#Piw|o;WTu5 zqhm87o&mi$^ct|15gJcCpETkzgL0JfvTwO9 zlWt~E=3rrxFGKhK4+WQBV9B{san=N#x5L;IMueGW>SUFdC_fOkB#DL_E6SN@erHKr zic7^Ya+FSWeBp+REuFxk{y1o*!jS=v1~HVPU9DpYj}+o5pcYk*smPX2=K0cROv(~L zdv{nac$3wq z)|JI##0nW6@JzQBenmw|Y&-G-S5sSu4U2a+RIfbZyo};$#un?ubN}JvBH2X+^wYcQ z!tawGDu(~O32$SWrPrSC{^}f{@Hh0~6@TAWJE^nQk+@3N>LgtOw0mJy)Xow7AEjUY zZn`HQyVpWrI)e}X z_OH=N9bXk^+~f_dk*0gEE8Of|4GY;sp8i1}V4{AXBQQ~~|9&6e{n&MVes&V|d&7JA z7Idxn=eD5L_W9q_WbEr-sN@f}f-UtuuWv`s>J>x&#tYd-ULQhEqB_UcKIriKhtilI z!@p&B?p&2a{k}(d!d9(y)>}E=b-lLi_=q*8^%rZ06!KxEg!*IGpYy@-DVy-~yW!m_ z+lZ!oGk{}`$yjKxk8|^@c{-fq;M2j7Udvs*<{+Ko-}Vk z$leWm%$GAx;d+OHcH#TevG6LjfK?LYWiuu*CL zVbF7wi@MUcEPJMF#^3ut}rJKBR9e~E9t!(_O|kYl)|+&QeYE+pzM>&2}IlcMp?uNPxb`lPdiVY3!$uAL(<@9+_? zpQAHd7TOfWMZLbM+b&Oj?>UNYtrb_rhj>`x4nP(>e63s1;gL~lj&tcB#nf|bRCkiG z#>6gvd>{Y>&Lq9Q-oMhYEdrY#?}kR+T`wWhe_&Q;Z(WUs&TRjD%kG})N0pXetjeZE zVy!XkAH5p86$4RwMD*;sMiKRPXE8--DW*w0xP0x@TmJDHlyPHn^Yd0_*pbQ3;$2t4 z_?}ZU`OA)}MD=Zn2Y-Tw?^?aT3A!_x*wli=fOdfOxf^R*ImM+Pe7)a5&%SyQ;~$B> zUQuxrqO2I-ygihWh;EC^h>&vPbz!zNY2`gB-#vdG^6LjAT_Nn^`l_~3$VTzzeEk2B z_uf%WbZx)?jog4pM~c)S2q*|hZ$jt@C{3DF5fKm}(tA;Q7XeX_j#5JJA|xWc_bv%l zdM7|gNX~%wbKd7I=dAPRZ>`^2I?OJay(hbTuJ6vwwabt1;m*zz0JppCn}b37!%#cq zeXF3Rv+7BtydUmh>iy2d>G#HQ6*E%?vk7XJW70wTFqCc>NjG#7RNCQ^oIEGddaasb zg8zkG#g0?llTG1DW+QO_J1!VW_($#W&(_aNh-HoK3mixN?mhQ2LJDHumt$z1Gaa>j ztrRQOZi27c`P@BLOt{JTgyA#hXA}lSy$RaVG<#Q;W46d~QV(uIN1%4`1TM{je*fLa z-lgNbOK*GkL_{S2w~sw>iT}aJp5*_FkG-bm=|Q`1iQM7u4g3_fG&YP9e9>PEQqxFM zz6q5RlM{9{dH;e4g8P3E$6~KlVCRF7&-X+YKX)0}8p+jYM)jR$a4^McWzKv0;ImTq zoE{2UhNP^C{!1$ssr`tEs=~?*2 zB^~+mSH1k!SxA3ew-++#V8uAgKQOSkDBy4|D+pb!6X@|iUl)c(0UlDfgK%Kr@Z?M9 zvC)ECzE7|A%B-%@w9`2%`Mf#-e%3D(cy5dgfcM<33}n$q|4u5$p+PY0xq23^7mn*q zf@nf)e?2Cv1WVWJGiI*V=k2_Lqu28ASP1?^n{nx2m8t%ATTTn3C!^ze1?&KQ(F`JLfuZ0 zg3gz(W^dlXEOh)o)KyJ%q7SXMnlSY_$B^SCEH<(-0b<0I72vTY3&tLd4$5OTQnP~2 zqCT9qQWOqC+b@ zGXb}^se@}J5&x9w3F+G0uQ8jk3aCoc6hO^N0Pb;d8@;;9?N4BUe zoj}aWYE~)EP|c*&cIOy3ZU@F-mv&+G$2cAMslP`-+JsyDO1cmjcWxKtmQkGe9qoezvNkHh1-~%m9wo)I_pQ`MAALE;@binIm5kf9V1RU^SAKM%$9W(-Xj_c@wr1jz70~VOi%ddvN>3i%&h- z!m);!@vo!Bhuw?2a11UFm?FD@|LeRUd~2r_IGLF-#Z^A9O*K1BX9`QLY#t|QRgjUt zQo1Ad(y}HX>DX4u*WtUOWl+$`d1pcraw+&DBTId1mDx`Rb|^I92+b=5#`pIE?UixE zYUbd0W3+c2T8uwNwrefs1Yzeo+-4k%<85UX=_F1M_8~CK{awNvId}P{-D}@&Ue{(& z3^?mVt*&Na7bmUGk57Uy!-Ijxo#ptmJUHUp_<4=d$oOsy-SITw-*RUVZgu|q`)<(w zW>&ev!G0=G@FX0!eTW_DeW_&Qh8OVlNN@o?wFoN{akKbF;ZzFtyKjZ97V-&CuL(VS zZ)5{0kPJGEfTOoYsT@RYQ)>M)eJz4A@~!a4E6{{MTs<-XzwQ-;I|AeOA(;`9hyzoT z$_3)ek>0PBza~x>yH{7!?*)E)jCWw7ezwEk5%hfkwU+V8e*q>2<1FmKcEM2yyOv}2{!L2E!nORNdSQ^so0GcFCYa+bD|_kP&1x4f zdTmTmzD171Kt<-hZ{vBxPF_|3u9~XosX3Pl)AKeK)A7wL|K@OwEa`guy3Yd*r8dEJ zlJk8_!6$>8PMqkKRXDm?zni3Hy}}^~%=dDXeOCxZG;^F~q-8=lEdB#Anqc^FTg2_j zusceSV#x1qqs{A&+fmP@n`~cp&)n!`wi0AHI1|sHL6SCte%gHNnsP^#>1Wnh;Wvzt z=V-7$wi^VwfnFGKb2K<&mJK?xRxNiKNSldeTh6Kp!YDiWQ(AG$7y07hwU}ne{@B>#O^Db51QXTX4aC0-{-ORa6^Xc69>Bw!-%B1dfMEt zaYzOY-}JMjBOM!{TRsxY41X0gl`rx&G*#7YaE&3H7JMoOxO~X{?A2Lwa$2cI`qD?m zqb>d}FN4$D?#;^fG)N!%OcL~Ln9m8k0Dn5{hh>@k@tnd0u`qv^D(Il)Nycv27#< z>99*B>H?*mVY?pmE`3$|$XNnAnl>cpxUpevUEhEHE9jP1LE6Ay19#1oe+$9S6zsn8 zEWzd6nIO`K5g_Yg+ElL*tugrNX=|o(nMhZhcu7a+7uevu+&%VP?K62w3&K^14MwX5 z7h;J>?$^e-mSfL1Le`x7J7%n+!*`kV1%=J!3o3--Cy-BF6=}+E09-{(h8T_OS z2Ct)!8m|Wa8mE46V$H>q?gn>jJIY+}%k%&jc*P@vq-2)wbN_}*%3$|ewMBPcV#B76 zVTle9KceI9#5-{Th^4n;x#X$H^^lWz%ZVg2^dcd)og|)N(>WJHaRdBnG5PCV`V#rv z7&fcGV{3UW?z4qO5N>oZaDRe)Mec56p>Lla^vE<4|fY*nkeO|xTP4%N2M}=W+u;NLhUvJx$x3z=xU&ndai0ZO; zB+bKrecFKszS)owo5-1@!eE}TMmuUBZnM<|@M+Y4-&~9nOef|Y1*n|;+7k0*tbc#@ zSMwyIp40z2+)?#3BBt4~dspx{;4t10nc*E5G&)U>MaS{91J7IBoh)ooD(?;1o)yUo zJXrxOt>f`0ZVyF<5x9^n@Q=*fOKD!rN0~%2q_jfSrNemHpR-ie!6kwT;uC__4b-SN zbm>NRcNT;mZ;_11*|oaE>oqdt_bKmA+CAAVh={68mD;6T)F~&lTXOP2UJH0%c}i*0 z!UOEDg4-)@LzK?R2KY_lG`<^i2cq5(hJS#uK@u44BT=mum09SZX|mFu1~__WkUuk^ z>p?XN2C|-}+Y7DAI^WqG1pA>|K`;!i9Je3RXd6@Y;KKDsX2LUysckWp|hqmm{t4Sr6yqKK*KL+A}QjMEbb} zfp;A^$bjvG21a`9Myv*`Nq9b>w6NblcV|ei4$1CkMQ_TeHfU(vj(iexQkI(eC5i?! zM<)Nl|Kz+Jzdp@4@}uYnI7nAri=R1RAyp4Pp|_8jAZdf|niOHOaN99>RLt=KK7hsw zmx{8RZNOuI?L`=?2UCTOe`Z=)s;0RJBiN!_EGzCAr>Y&bN|LIG=*`2AT4&I^(_ulO)T34qXziBiLQYm2yc#$+0 z8wvU1QK)6+c?15U$tk6pDdj{onrNiVF4@or<3mKPrFB_u3erDOsZD~(u6Jl7zR>C5 zwj-2l#n>nisJZ!&lFd(vZ(Tf!P}$tN?!1!H_++am7v;Mu&i?+^R;d;%xvi@=EJIqV z6VvaYW?v~?ZueZkNX4--|Kg^f_~cX$iJB7L^?xg^YMvq=FT0sHE?%`07nLYkzTFSzC%la(GNE!hZts=^VG-cJd??a_cmjovtWU_EdR1U0-i3fUKl)K-{g-CP$q-Wk^?u z`T1O~IbECazy_0>k}O%ZGJmWO#Z;+P97Dy@J#Uq5sa$At^kb3Vv_08VzH#+k)9Hni zTl?HR7NYVTCo9%kQN<7wREmMuCtFm`1f&k5i>4QvX;nC)@e%_bQa-bKBfIcGRfVN9 zvMSD17oC!VtT^CjtXVQghMc-~cHUH{N8W$d9EZO>Ir~%NeLHbSHD@_$?%QXC zBBu0L)2{y3X9t5^c5K0lvxr>RH7?5|y+DYmfu;Sr-8Pw*BfwiRMv_HB#l@TY`Y zW=iFS)ul`hbP%FnD38*A2JTjW-BrdDFF{7-2Fyt$~r@5XrUmR)1MPE zM2WIMLD&MuKbM;-Th;CiMI-FvHXV~(M~RaC$D@_6=L{GN(5A66SHJ{hAP=|em+3(Mb(1gGq3)f085gCjxADzYYVsPb z#~aF2YI>E702Q#IpG;tdVwVFAjJkM?KK1c&aX@2#l)Nq6D3(-HFtT>1E^geQP$9|H zSI!{FE%R;t9gz)1D2Q?LK}Ri8H#-7hKPqj&qHzP+WcH719=ju;^b8q5L*%YyulX-O zv?rj;6J5JfOxB!~cbG_+lc)#ebc7WX1Q>oUf2m?MK0g$SC`{Zm6V2()S%#*unUZ?I zisObhhT>7BK;!B9!6-U(4tx~|sEZG$A43vqt&ck)>vLcQ%T@i`Jz z*p)dFr%(~AI6YFQ*cp>;-#qeTHHWphk!hA-9_C363N2L#N2B9a`)rqtu_4`2aR}W3 zaZa2r`}JtaYd#@ru`#w)YO4V%_uWF-rqx7>9qok!jV$7F^5!4>9wwsW+R7ud=Sk+; zx*ayOrOVP?D42awNo{)@tLa~w@uRX<(@lNu1nt9Jh4-16BtT*o3f;zHpkJ=H#?U># zfxe0YlCq_@)JS{?mv*BYrZ>`4Ls1qql4b#hlewbV#g4<$>5^&6Bsp^vCJ=XGcMf09 zGAFjd^&$J)8>a5WVw}D&?W^0j<7B2-B!E3S4~c<~AS$Fw*3%^aGQ0>94nJ;HLvV=w z^c7!AlVtWGw43;jB6*fF@2kmSL>h0%S*iKDgf_}B*CyqG9Jh>7R2$zgD)~VPEgMwj zS<0FTH!W19lP}Q(NYZHI>qhg@KyP*OMVW9*Uxq6+Ijy+=gsr%rr7U0M2huO|leY1- zRQ@UD%4I2$hHq_B6iR4Wp??DvFyYn(UyC=qg36me)5{K|V};(jyukH~3w$!+mNn{b z@Yi$#iZlX`Rj8t9_QDGVt{lnm%b2)j z-GlMhqS+VG{^It;V!z1khsf<4n1-^MhUS^xl@gQJ8{OkMQXCr>2Iofku6>k3l31`E za%mn0Fm)2jd{vgMU|QSw2pZOYRo97)6q%$jGaf-_rxSPp#Yqxwh!Ji$6OTC%kIj)6 zj*=ICXVNWT()AMMkOTqM&AJbICAlasM&t zwMA5{kv9f&LY}%aNNX#FC|824-9MZ~M8U2N7z<~Y|8%!kfwcWV#YGLR=^hw9q!b91 zv1-?}cd^eqGm7w3+DE^LtY~ewvW|X*>Zh{~yYtthi({1!mk8ggCOHKwx8&m+FB?1f zrlstpYG``g_^s&`Sn?!{9>;HQ#pw>STJnKQWD_>cY9u9c1ovE z&(0qyL&uB8j_TY-MP&sB&r&u_zR*GqI{8vezQ`CAjXf^5Nzp9Px6mnS;~THk1aie2 zUaQpfDAA8JjJ8R6Sn@uj90+^<6ILqGXNA%o7`j}B4-Ib`-MP&FCv20VR-(@a6?>NQ z+vE!kRIHOP&g6@>GPg%d^I9CuFc-H`c6?TDXI zbYlTSDz~#9kcwYVyD|4Klvq07yBOU5oT@LUuKR`zY240uAT9p4y9}M@h~8w=a5u}G zPhOH_qfLr-$%usxz>&FsapV#ng$3lDd?6;b4VnLqBLHFmZkX80SUvhTjsRh!Ps`9h zT=`F&p@u&Ezj5TE0&LKRz-5ya-jlp*tA=eXNl)4*vK5S*-(1|*#r>E066(n$a*C?} z_1DhNz6i=ERyDt|R}$+#H#n-z2+(Aa^6VbX72UWpLzi(QW3=RT8l4A0bNP0#VD_h> zEA};Ov5mnSj+S4^>^a0liiI}bl%b1>j|^R4#WVqiAb7v!eYiv4w`6G3uc%`*1zbMjAko zJgEz)#Gf7C43aCaS`r!{@fxd1`Flvb<_1{ZRAb6z*oYSBU$GSp?_V!JysbW^cP$5a zpPS?n{HgWrI=d`d5Q@8NVrNx*pmIj4iyu*0Bhx5 z1Vv4c`^(5Y8gpBjH4e!9VQB?(MV@FG{e!lCBcvvjfYyaz<@z037vNn`S$A3)Z#6iV zVD@NEg;{hXLk7XhoPN|mFpruSg;QNavmS|G>x;AtizQhn{{e+HF>6hzw3c`c` z^h&09uMm)vnL zc^_%`{?L%qNF&~mwo=peGHjEg0@M5xhG_!CxyTQs1Dpab^4gI^5?~Mt!lo9DA zY%VeK_l9~4Do^43w$wj};<>oMB|-k{;BR*+u}R75sI<$Mzo1BA;6D^$8v2JK06^4G z06Ta9cCd^OsuZRZJW3Kz{eR=0ML!otU(MO9(`1W z>la{YDeT(^3i{9d$krCk-g%*r@j_v)KUk(exG|mJ+XZ#bm(lYThkPTCgKfS9IJ&D@ zC?ra9$Y_yGT#OS=h=P0IvdZnla5cLzB03+!+{47BTVhgl7#fw;$M}20#w}<*VDNmT zj9ZJl(Et;sVP?~WMR(_f0rQv%sQ{&u0TQrrZr9mK3Hh3ETL;9bYK(~+5deec{=>w6 zmg$^CT@W{5)D4qY^8yLNVxwE@71y#u^cMQV5mz&sY507(#Eyxx-6_!v{ci!|1TeEF ziInn|x;4mIS!JmEM}=SWx;LGU&*()N7H{79!;t>~2Olc=4_+o8^Ib9o$YqlPFoO+B z_bg@ca!Ox?DwVwdC;WvPO4rF3=5Giv1xN>cQ(rLW4`VL!0}KI3_1_p$sp(cS5^0zQ z;O4v#z{^-d+NOHknVwt8f9*zp81f%BOZ1XSCm%S`CZq{mGHeHsr?cLTmYO2dk)vd%=p%X*KrLr}- zlBP>%bxQUgx6#G)5j;v6<)*j9q{W^Fn7Jc9hAtjDRk+dc>^g>$^6KlJD3SpDuv9?U1B%0GS$pf6l?Of`H3kd?~gnviJ#+^SxrL5qO8o8th z02rx%G|VldPXopmR5AM0#y48o1BBxZdC)KagwZbn_40>07x_p3n;Q8;5%*^)e=5+) zR|b+cLU*4(m`o{?RebX=h}4MR9x{Y*X!7dkhli^LTImA@^2e@SElmoV6%=%v zLPa#!HMJ_!ILft`!gVWg=;v zKt(FpKOf7jUQa9E>DoRmma>{lWZAfqHpC+3N+#widSTT|xnBQ9yWwxkB{@n|V-w)A z%yYm|6Cyd^WPiV&H>bQ3uQI<{x3_a}Pc#G2l0{yfZq*+Fk@Mq0q2G`& zDYsg*t7A%zjR;>}Wjvxn7+a6RUN5iOceu;}4c47=Z$lZ- zjW;25t8q$*+c(y$GK>>XV-8z5c5j)~^whlP0Q8D>&HIX_+|pYBD{ol4a4&Ry(v7dk zqf@F@7N9)P{F%s-GoF(%)B$_@%`gYx%FVuB5r8Xn>RfpT_RoL#-y7x|RlHCwZH7EU zwahK7AB;a~Q@DtU*i*7RO(As`kgwlq+gb5M09LUIbgH=0E4rZ_9l05+$$p zhaQ}zcmrqlFEX~9de-m!{{S_!no6(vN#Bl;Up1yx`FhDCK%A^!;$sf|@=~0jJaw-x?Qn~^J`kK8(cwl& zHxPW|Q7C{LU^=1mG}XI+&xkfO7EQ2XMwBVdeo2)7M+x)U(^A#7MfGcwUu3#?d^Cc5Ni~%5SeE%qzKlF+Ghd!*Ag5rWMfWY`Sy1Xm@Lz~YR z3g=%6<}zLEQYZb-3g(hNg}`2Td>6<$F9gKQ|G|j)H~P4+ZUT0;>#M^40qEVR$ui~A zicNyXU^P|#9pAg(rpicwNsC^fVROGLOt(gQ_98q(*MAYt82UY=Xm4j#8xMOqU|gD0 zZt7*P3aRNpIfV@YdukZ+Q$7L&W$godSNk7lb!$z+r0uJ+)~lRjiWugcmf5O8O!ftF zsM4MW5x9fIuBX{UIBS&bJ?(2nd4aSz-SL2nWfAwu3X&tW^eqa^{cK>3f?V9G=&&U9l32*d4i9E0xI#^62oC7~9xx^}a~@Rt}Dk zT?JqVtcKI+8%2Rr4z&QEMMI5j?|U2|-TNjgu^;Ug z+qJU`J>W_5Mc?CoebMxKE#dQu$55nXsq0~}JIMP1Y zT`i>qWb!e6U!+VKMFueG7ca~Vg)H89X27mY{;}QAoW)o7fWCh*x|AZPZ2anrOrpu~ zOlBZ`s84iuhI+&FAs}89Mg60arZ;3AD1}@`bVqAHrV03}M!ZnEKG^E@`EN1VnxEJ1 zu9-e0GVl=idSwO>%AwNirF@ypKe4YzdJ_Mv>`nEJy7#jLk2E&}8s4v?TUN&;SBv!1&*n#4ZI5 zn(xmN**{BWfB=%dTwVLWE{XjSG-rCinp`1>XfokqbVI);vi(oTQ~!TrPO;i(#o>FO>-*Yn44Cl@ni2o&D1)(`Z?fHM zvb|M^nfK}a;nVvdHphziG6(U$(*EQCjzdkVysJreJJm{)SK4JgNn&B6viuL56!+uj z2mnWJZCZ~mPE*npE+YbTedc6-Ol91&82jcXky-Elx!(Q%eBApSw<-X(9jGJackm&^Yc^b2Z0?bM$er8F#OG|B>onJ&jUmNj-{x8mA#a99-{5`rMtF^i8vLcv z`mNO!3^(zf*2h@YLO*f?_($p(;9j0RE8*w}jH0jnY0`RT*dg<5NPfX&W!e6=#eIIA zp--CYi{ZnJoB1(zD^VRLim{y2ZJW&sdfzzsb)I){J`=0|-0BG1AqLs>sD#YA%d?h3w<(UA1jJdupA9vyA2`-zOtRnb0JLEnTVUBqTua5BBF2E z_ZaDpw5$bhcM$;-!aK5S|DGbY3~XgF^p1?#O;K6UzK@9FTedJG5ZUohWGimyBN_8? zM8|oKbr6uw^o>K5@%i%GKJ#~p7iFjkcKv(gkNaKbd)K}1wbNSVvUx@O+@;ah&j4*j zHWe<(K6EJq9T9!Hu}QnHV)JT~MD`tfNHoi!TO&@42 zN1DEi)-E@;zw)jINgy7c&o`HXsutD7nuswAhN`{r-{EL5XTB9BS5tKWRT&yosesFH zu?rGf`f}83s`Zf1xoLZ~{_{c24mS@y5mio8va6l42S}5@y(3-V7G}XC=4;?y(|&G4 zB>mnCaFZqQ@uWjWnuCOAS&JBYiYlS^=f=$h>^BAna8rS82Sto7Mm)+ym&F-CI8(g#&J`cRO-`4^y`|m$N3Qe1jdLdgm$_ zVYGA|>CqqHJNa2yWCz(al5BoB1u`w?ed|6$D!%MqGJI}7uP5~k$=zFkD{4F=L>Po( zckg10;GMIdO#;(L$3X}6TiLkq&c%b&Gc&Y49`qO|SRwuhzSv8f5j4YrnYq0MYDE*6eSF6aSmdg}heamDI=Jd0upmXM4Tx zcF3qP8NXGe5;kkPpF`v2zfT- zRuO9|oQ%~RF5DE5xE+Ilbseihte#_Xt0Cl72|bJ$cdT1m{fPPjxMV5w??ytmVnRQ8 zvMgDg-uZmfr~|d{wH*zreG9&P-Xd+c%R9kU47$yL7yG9tuS{D(TOGMErW}~bP_OIZ z7AoFn;JzTQh`YY3nT3qAI(&{>ha!RjA5u{7nX~DK#TnQ;O0_bz%A)J)hglkQW!BC} zKFm66Q!$f1`h18mta0@_6<(qA?mZft%)dJ) z_Sj0{`fAgrf!|nQxDH&1mY?FXnTha)9fsi9Xoe_E;68eA@Q#2ix5k zO6Z6D#^_^~F%YS%<%}GI(l%*)@xf+gZs$)U57kt`*p8kXE2<=Bwnsi5L{8#YW;6?G zoX|ZbFMG@F4f!cbW~6fr-&dQ~dPuK^uN-$7J*s+HVJMetC?^9Yej=V>m{h{tzY=S- z?K)I!c~u~Gr{JDYk;d%Dy01Js?v|R#1#)r1L~#oPUF<8AdnT`A{}OWAq{Jj5L7B%c zsAKddlIx4B`5jw*?`8q6bL)2 z2Q}J01#H@td|e%C0v{%vgd7E$I;r?&^XKw+f-%hj8D25jN;7%ydhP9Vgm zg!8T18^a5jBP|qZHXvxNbEkXfW1zi_%fWgFKXtIe8J&B)dp^(f&Hu-!?r;y)87?`Q z>J-)+NIXYmK44D!O5m7#>02CA--&wNTwf`fS-zv`TYLTWY{lBa_#3(WQzd=584MIp zR5j%4VVQ)owE5byZhoV8oVE7oHP9^xqw5}0ck3FD%)T)SVkFk`$b?M#qH7uGEF-2M zE;@4M)yUG!y{cz?M2;B~ZG?_&kF}pp(U&5MJWIR)hvu6BfkVC^5AU0T+t#gSXhvh$ z@k$A*sS@ zkv_#%^WJd$NlJHdpHZ!vk)a*^>aWj+pGtaO88#=Sg#6T7tlQa* zwzW0-`*7Z!EFCU z91)SCm9GL`2L8-4k+pxW9BYDSWtjwRIa-XD20EyeSh=cGM;{PI0UK@3W)<~svs3fz zBMHm>CNf4XREw9kPR%e+o}a%zY7gO7qyG{VuPP@yNW&p9Pzs?%cIZp($R=_)v#^EkQ3M=@>nF;Ckq}F)I0K*uqv4w_>#p19>;B z<@gZ<`5dEmh)xR7XPucOTWVjZQZjdnuq%H$*)prYN%AYTaU&R+N?YD#_E;BBvf6*A z5dJX)1wM8-Pmme2GO8#Ita?j+hV zNKtg>3Dv}@Dx16-4gaihdNKGEr?~r7Zlmi2)9@xh!H!}@G?3E{GBMj;V8&Q3;dH(3 z=aS`qYw2Et)t!pcy*$dL`KG}MH8#7|01=6wQm5zzc9i$nf+p(j#5&tYA5hBG*QzfV z{0PeV*qiQ#c&OS%Em$-lY+@H%j9GKBAumqmQ}zPJA`g&um~5;;;Bz$Q_U@S3ST(Ch zEsPh%+f-bLF8`Zt15arVw~EZ2$U2}(%a{P|kD24{=%b4O6XT1*dElgrdQ6#r>u7%7 zkq-KdBJr{OqRp3bU$bqRg~uONITH|`zA6nBo=JvPECrLg8mcXr8=EBO$4XgdY`Kdg z;~-0j=N>u?wJW|ix%)w|U%(WzUVSgqpyptk<%Pcd!h!NLRzU*BkjB6T#XhIKbpmuw zLHw~-Lmwu2_t0xa^8D?h9#!{3*hu~2mSfa*%mB=&3ug2=0J5^X9rN5u3n70#fNoi^ z@h?y~e~%RAmBPdzJO#bq@HY)o`99v&Bf+s))3Se$o(PN%T+y5vH1lQ;FF#_E@Aal# zV|=9TdGCrm(G_{a&@-YktJkRBjE&R<8_Gr9YMoyh3!@1nlbCrbO2*-nZ!#()#)&F& zEq0P;TbPKq>(~ANQs(>obp?E1zUIef7C9)C2G0R>w8z%9_y)p#sUdki5{%o-uAn{k z$t$@#@`6p*8c?YQgj=1Uz}YVq|n&$b+&hO+o9;)NgSZqenOQU zB9NpJ|Agl;N7bte+fg%#?y6z)9s6lPGJ(x7;7PL&_1wJ~eJ^}ctEOHZFFtXh5k7z3 zR21pGgtcyyvuz95Ki|&h=Pcto;4=C_vXr8>#aRA1$P-P{dJ+i|EKjo-uvr(G&!n)$ zKK+Tix!(LeH*=3mZuPHJ#01<9$^<@i+3PKA$v9~wCC}pHL`Vn4JhHO+~`>d-`~`(Mv*m5l+HxbNBW3bmFTNNrtA- z;|CuZz{zg;iVjXF;SWmCV9reyLw9SG%j|+%rg>SJP_&@A+>Ob6mC3RXbgxwfI>fox zjgX~e#$Vf;<01CU4KF-3M|!8)uIfW{dq#QH$GUHJ70w`8pBQH zMMol~XSvb>bbxOyI?{LmRIWaC(-3}}-BFjum>*7~I&@C) zG96;C`r>5+_1oqslYi2%)G^(Ter=(~`l2_M$?J-j%v_o5&mZoM6b+*-g4vN?JiO5o z3A63r@AIa38K+Ip1_;*kskOT2gK(6a)1v!%QniuHzu+#)MGifk3WSkv}6S&FQTHeOd6VAnfZTz zJ+*LMn4+5K_+&y#Y|4H)@s%BC?6vX(R?<-;(c?toFNP;~qslbFRWKgT#tIvnJ)?Tw z)$WxKq!O+6`CGHZVmplAmXT^)s`8{yQFDzF%y$mDNt92--@Xa>l9y-`cA}=H^V5EL zY^a2pK%L7c=(hdvaz@zBF1^M6{6TB!L7p?B4@2=oobMZr7o^0BW?$CMS0(-MqCt-+ zyW3e-AvAcEwleQDKF&-!#>y-5OpuFs{?#lCT>BndVhcDgy#=F`(Yz3PoCT`E=27le zY<-}P5q}U>IAuvy#05hHRrIU}}5}Mu&*MgB#L}gDala(yuKz7YG2kT$vgc;#H(f1a+od+cu)f_8Nt9PRoT#YrDquZ}VwySFsmb~{Zo1a7f< z$kuw%{v~g5t{onANF^B5-0yD{8+M<5g{SU$!B?9cDIXU<2#@aPCQ|YQI$j}!R1gbI z0;#qBTN#yZlIC6JI1S;0Vc6R8RaAD0>%iw~M5YvPu9ZQ>|1Io2Kl+yC3Y+M05{Uze(q#u zD{h`fsx`&jcHIvQSXCnhvgFXm?G={!9X{AZVk!=#-xLy2cPGA{p?YJ0TqH?=n}=^E4;Q}`PzlK5ew&^jwoSSy`1%Tab6 znT%4{BlF=fxuHsvp1KFz0!LOwInML(H<;!SL)+6!(jS>1kQSfzOC*+Rq5M)d??i3(a^jo9D?H0qXcitdo#TQt9DP)z zCabIr`t$~qors%rV4sGGxUKi@%7yl8v$L1in;+t|~F^pzB+m@e7b@`T# zxJq+&^VTkZ+m79>GwZkNYy+%2pM(|++ew7d6nJ`umoOyhm60-A1UqP<8RO~;qpRfw zYi>Mh)|#XTskLYT!)DjhvU)UZao>$-w{(|bFO_17=&nnzgR?G|dQYj|2md-gDKx|M zim0;Gg~R43wjuTOCV7K?Zf{4jRDIZ**gaUfmp^hYHL1UI8MR)z<8!}-U8zGLE`$VF zz?o6@4s6)Q>qTr%o^-vQ`IrNB9}?K4n9L=cH0hM4 zEB)v|wenzolzejH{zz~QMF&=$IeFqYv#>hzVN6Li>2TP$jz4z2Q)I5zpzuuN@JnJg zYd0))3A!M6fW)O;>tsF{c@RKi=y;skAbKK?xj$zW#WrzzpSI zZ}PM1jfN6vg`;u9?W0edZ_3!if;6Mk+{!6S>zH4=i|z#!J{|wHtH-D0t)!^fC*?bO3%*WH&thPT52ePKRQ8z8y$bR1@KO-`COHwjTDeW@=z zjN(TQ|Niz1DD2>Gg6*1t*BjK`EFeo~sy+E`?U>!9$X~<8r}Ev-8j<=`^g1)MJT^n7 zEtPp64Tn=$UWqG|1OsIP0%KvOiIuS`-DA0#vdKqX8#LW7?|OC>a-2A-?pdAiJVFw4 zAI&ca{h1t;ci^{ds3$G<_v!27Zl+t+Is+Vu0U_Jz2w3-V-0wqPOukf2Ib#RelIzEN zym`5D&$TL)q`2*8i=`spCJ44@q*7ya_mxL_Ya>+Qs#R1YjDD?6+aYnjqw<<>cUVq4 zN@oIHjn9H>%yv%vL~lC`oYh)%GszcWGHWp7*8&{O$|%CT>Zp9{Ye93*SBRBjG{X^_ z*Vrwf5EeeTJnix?+TZ@}0;>$dc8L|g&gEO_cAm*^KQ6t)B~}eTN}s9&8>a{94s5-6PH9s=QVwDNkd)WS;ODGfZ`l&QT%5HcgqM z3$Vh{cokkNuB@gw+;gY<>Mpfdu^GUWl#qJDhw4l!2TVrUjJql1+sj2`c(#VJ_S`~L z0rq-^f1Lk;#9319K5P5k;DU{8wX~&)AemZQKtP&^!4nOx+rsO#4k zmNIh~A~-I;@ml%E9pvh6&*aLCy4MTT7AU=CPOr_UqX|x-( zI7*UFMV<9w>W;izL*|u$vFr00F6`eBOq zEBni=DEpgotr+HbLxOMaBZ-g&Ft?FBZnuP>>z~;ZL5t^+KlKuh6GMOby*+JYKhHi* z!{8!QIwqUI`eFMXND$RNs(WB@Gxz=9`)*Mgi<>?7MA`2IW*&&cG7m^oL9|N@RtbvC zRtevztp=%>tw5+`bF_SrF|1?qp9b1T(M{3LGG+u^Brb0G@drVyZedIhj^|F#<{7NA zu0Z?)E}AEx!>z_jjd+97~f}@6~4db6#Z4~;Xa*q7bjEJT1=B9 z1L52ypu0^pnLYv8`Ls`Qusxih`5<|&_ntumR(q3VGosDg6Wyt}QgF)EJJ=x4f$BsN z7J(N(O_JPFPKRrqBi|s=vQef%eDKk;H)C0QTL%dtJv#fH9}+eR6J$-@S6DK=1Lp6* zRr%m9n7aL0TG{flx^t{MRyB9tgLi1(tgI^9VX6M)Ybxj>Zl!#wJ_#I)L}bAp-GG;F zF~=d@?NF@q?eS^9EpIiHjnvB`Yz$R7U?BnI<-?oHJCtf6UZy;v}zNg z%IMsgVv`>%(TFi}Mvp^XR=oLgi+;8@=P1s#HNl-JMJW>zy!K8z``d<`45<|;Z?`>y=`!_nCqGga*LRkr8j?0<*!S6BB} zhZw3ezCzd!H=o5ZOsz7aVbANVJRJ7oKaJhnj4Ifsxc`N_5cd8S?Pt$l(yQOOc#WRV zGRjrKIO*^52B^ue{#-%yX2%P{LPk%H*_w_<=U)|`%2~+BHR?JKhN`8E6{{HCiRp7-o1erdazp2ie>$nz6 zquqkYAL=~ST>iO_u}`PoF`H6tAP2AWfEYL5;SFe9u!u2QS>V^n5>7z;)}y;EhuRLj zRI(2)emAA*0Rtv^88*ag09=Vr&Pu=o+e+M_cRqep;uO>hu_Se_H)2QqK&jt{UOlx4 z`o58}NwosO6#2IZ;PcoUaFOc9xbAzpIFrDno2l|yqQ85#z!q?h{UYR9j*25*xt&T2 zc|6U5;h8(ui7q?u)Z7NaSlmZ8y~4E5Oh^i-ys&{It@SFm&i@xrZyC@=(}oMzC|;nr zx5b0II|M5dw79#K;9j)22Mz9S!L5P@2rfm71efAg=$Gd`-#LGFve}*4nc1CNuDR!$ zCl7{_`p=!ECoipud!JWLoBv}!B!%4!d?S`$!NL zgQJflTh9b^jcj(h7Yn=h$LDiS!Pn5g8;l95eI}l6=U=-xX#T397R^2D=FQVVkv6qd zuPr{+SUzi%%ow}gO__Sau?$a@(0H8jxKd9&$PcRyp5;zoBsGt_{{8%rHny5}Q(wNH zbrg%Zff5tbN{r~h<|4?C0V4hvcQY`lR{kU!%RnLN} zk3jO@BJ+R8)zL>~9Vq;5lH{fO`Ry;AMDQImcS|B`b}-##{{El0E#DTZ^Ku`}@;`RO zT;Qc~9@wo52rXW$UZeR*C3Ga3?jPF+ehSq_qZQ`|`8+-5ZvzCkwQq z4{O8?ZPPBG+R_>q#2cM3bp*dUTicQz2Fvc!L{6&rrdxkky`I9yhUx&hRlz@dznXj8 zIp7D!u!?{g5!lK`Yjf4ng!gsVEK^Dlk?73sPx%Ay76ZQB)ggTQl{LC-*+lQ*E)8!| zy8P4cD`H3$k!+Wp@WrPO)<10>aGwZVV@ap7|I)1qaFX=bc)y}i0|>4T2k6(J#NwOnQ+Lw8c6^x{t%F1G zWiSm!M_GIWnlLjC#ws(VaP`YMk77;X_#BCjoXT*y%y1z${?8%M3i!B#_1 zU@Hc&+WK0N*wHrHR~zDvfF+9N_t^R7+T7>;zXuv<^x9KbU3uy%9p%VR)MCe`f5CC$ zJwPw@5s&R@1~Ie8)I;ZH4!-FrXq!4ShEqh_@q?K=PjGFoyq55Muy$B&bt=#-=F<6G z${um~;&VROuMnyJm&6hNm*}son65^i%M5wEv}ji(5!^MIjuj-K0^Rr}WL|N^(5>Yr z5#1DJ6{qmRS)~NT1tTxp8p5AY^7bhrm>r#WZNTUU9WfSf4y_Q{RBck?* zk&*gp*qf}jEdfx+@I|IwF#9!<3ya6=_opwL?$s}f9t?#jR>4%n7PQf%IuaAyzi-*c z17l+bCBD*3eUN7(t52+GR9`!YSZq5rY=ha;VmDAIxx*-YFQWv5l6{;7wB`Kg?u{!3 zd+7|~6PWm~qwRW?yY49-5-J)w%hTUoQ-g|gjJ~=wo<@;8cuA8KZo3)&_3Nkn?|NeY zE{l`_k*RQX{B;}~5uMwtT5Ls>@M8qbkk6(6zfr>Pk_P3!PQKMeoDauM(4O&>^U;sq zMH$c~p3KO*B5Q60yZ$#kv=1NBb!t<_Yu}2n{t{#Tbu>99(55WV1v|ZYy*Q>T@7wq9 z{3)I9H73Ln=dF@ulAQz2+vu%`!FS1guSeqNbl1|^UPl<=kK!+s1(c&YG{M8x^uzwP z3Vp8sNJhW&$yJodC@E;yB++Nrg!>4^J47u)!2cvx1e7NzhhT4x`!?xN1f$Ft+0Ew8 zXZD}~WX;+U=U1jEN!AZ?QIhuSt9UgmMQ7YG>MJk#E?*Ncjy$)Bm!vn*7S@q4up_Y1 zUw{83bQwh=yUAvC!G<5a`LW$3Q#`Kc&o$-w&JkY!Lr#{rDFb0*U5_H`-eh z1hv4S)N9-nwO-{19f5dBh1uIw@ECq@g54R@5i5f2DGFmxV!H|?L(?{pq|kk~8$}VU z7?Ag(4DAfkyu`0e#!zDXT=Ezeg?Mo`R8BnQL(tqQEIR800?(tGVJJLG$IB5cms@!h z`HD5*!xFqmVa7+)HWzsdD?rJk*?H<;0N<7W9%om`{JuyW4OofgDpcJz9TX*aMBb=V zdedWXHI#V}yEdb9gH{rGOcxZRB(kNbWQPM_dA_QI3xN$DrU)E#8K;m;=FU&1ww6TN zj|SEsmGEm%1N^23!v##w%KrP^d4v0n)N^8WRvojk6Bzu(S&6erbaC~)jJ+l9#jAY? z_}8Jo{id=C4dH@$LWQHyhb4+PG4E&fqWSLq;R7`prfp}5j zgY`?#S%Pt`A{@I9+D4WYQHaqmE>jwn1%)3d0K|OSa#G%C&sU2IH@#%#U-5BKVAL}7 z)R>RPx3bF-uN#3*bMYHj+>_~DU~UHZsOA$B%tNsm$$YkbB?#U;@6JdNdGQ*i+!MZN6)@}82Vg&UEz67bxnoJp~l$3 z^}3tzc~qp!P^ixGangk}zpZwVI|MggGD=2wg76ip@XK~_2B`P!;%CI>l*f9lnB6SH zy>}@;QFEs{*R<1KjDJldUNn<&mWi>pygZ7S0aZI|Srn-WDnHrK?Lk!JNwu^=YmRbg zG%0(h!>VFS#MO=xQ%@Gi<@(OL?W`)0S#V|-ID)>A0 ziNMpI8cScC*E&j9L0h}ZOjikw-Bz02R=aoY*=(sNvz2F{wJ!Bp5ep|p<$iBX_PmkO zfMBBCqh5c2`rqVRzcT7flK<#L8aup~?XyrgZ$vYD#oronQI7**QTPD_lAp&@v>HZK z7BjKb9G{SAhirXB6Z((Igwyy1pr{c1X+ndbwzo;Qc8>rTH+B9*&M9uG>Nict`)u?Y z_dy}_n$Q#&HKt&25Zf$tx^e$TA;!OR^H9=~wT?qz%@R#fMF z(lzb8p+!G{kghl1J!`FK3!!bi4!1jWa0`2k;nnDa0(h%O=L{!T38r#d-6mh3TJ7yb zQPq4jn13>8fIwc9WPjdv#_ry7?YdBa?Xeb}AS5?eb2FM>-m)7KoEj!5)c6g}5O|{7 z5k5ac#M+_m;;UvnE-dzO*S#dT;#(zgzDnq~ogkPlLRm55w(pvQZXXFwtA-t3W@(__ zS-s+}SN&Ao1K@~t4SEqsx)X(5TL3q`@Q96RZ8&`~2Q@V6`2h}+3sjNm&%->{pE>d8 zc=tcakn^ZaT$e}7ttwO8yAd?`@ES?rIo>v(zAul&YN zk3`A8NpUGzU9#)Zr|$rrbd$#SGvwzzw=euD zKP;`iF82BMh--bR3%|)rZR0(*QePvbj;$>0728!8s6KKv=rwm+k7;p@=OIFg+=KC6 zN-=Xp%X8)}k(csu-c|>iF+yMS%Js>O_r>33=x^R(q?n(r%GKs;Xqb=9NpP~g%)jm?Zt}{w~z%MTXi(6%7 zY7g0dxVE>yQ7A4i^2L2gI?AW$KVUtB(O%}MkvpQK%e$WRnZoo$1iVy?pQ|w<*jRIQ z9JeuIF6__E_lj9p&L8|E8l{JqVpoWZDxxCu4h$k%F@#U`Lf`GOzvvWvF>XWPX3!-m zA&R9o$HpG*Z(fvhZoj3pPPgVPwjbM1NeNn?Nw3dc&o~lj?KZ*fn*M&>mi=Gi#Aq~d zvxMWHJTYg%{?&xtyWdOFStmbMqwLx*Ac2NS$IKyh_(QVRvnp>;~tosU$x*PRnv0h+8Za^Pj)xFmxgU;gJtLj^oSa z#DKSd_EMDDJC?!xzW*T@EdZZt`80K^Z-C}saw)*J+AJceWdYA6Bp7$mf8RPUCfn%( zuMF1d4mPriYu4%f(p>QtX8>}@R|_!thC(=s$H#{2&7AsA3AneYnZRF*MIE*91D%;f zFr#*2&xFbEVlzAkY&!-085irvrNyu{Qn3joXQ>;vSC3@Bw4`(6XmhA3Ui!+Ce(#_7 znV^QL{8g!Dh3<_wSCE`|r+>f88kxtQ=n~t-ymw~4A^Ig~Ui}5M=Ea?UU*BcPoUFb@ zWMD3e^CsDE9Yxy}Y2wvA!Oa**P=0Fpbf4{VGPSk@QFZn5H^(GD9t zYwC;r3~u~TmB-6n%eh<%3ElmTmEMUEM${A2u3m;H+`YLax#RO`yj42X=<&OciiXFx zj?h-c#AFL5G|lU!)vg?mRMf+%`BHSI;HwBsFY~$r4O5_&2B?hpE&=1i5OH4^m`1oim2Fj+JjfAI#L!nvD>Z-2x8x3fe4Nd z)?xC2!bmIY3ZgWu*@|CnNVK`cUUA{&(rGY7$NmKbSJa`=Ia~1hM67_jx8#4zlIDd@YWQx4SN<-y*} zffFkNrJI^0nC)xvXtW=G7Y9822DO6S`z3rP6?OG#seH{iMVV(aKzk_;kh`khmva(6 zau*j8B36Na3bOJ-ufEg0ktMwT{&-D!DSh|5=ZdE?`HH9G=B>uauSxAjJR0j`>xX1% zS}~MESdno&VJk%|rca&?xc7#;e6M%3`I(6Q;u?X`&~@YR9{9oTm>rVBS^a>xGLek$IB3OB z`aq{u{(Qtv8x&|gVb`XV7%9^WpH0M91(;}`{t809z@P$t;73C`ap|7NhbVqf&MR%O zfQPNlEenzV{jy%Ers*^7{*RAs+EoMvnn~cAS!O($i-I-df?ofba!S{@c(o>g!|595 z37v?Jc6AYt?5Jy@-}XL0L59*z&7~ipf9fMi^z2)Bo#U-GF#S z9gjF1SyWV$F((f1lqO*;Y_4vfimLZvQX<~wG`zzewYshO!TI&uAYrRK6?pcx{P=B!A^!IH=V&!ljzYZc{|*| zg)*&~xmw4~G!b;EIYj4xXvE@vE%Tne*t*K6$DCA%n%ge^LodP$g6;RS?jEPfKOeo& z&vm~T+Stp_TAh|FkX;W*>qQ~_4 z-{zFSuoTLRblS^%wo_1?%u73)aTe44P)h6MlSb^!=;E;+Np;!slUICJ@DBB9-vt;N zj8dORwj)T8vO)$fxHgev3^{;4nlqcL9cIf8Cfh(@p?Qa4IM^{-+a>0ci+FxL{63(v zHwUY!E~ft8nphBExFpj2=3z$mON`wz1e_wZo5I8@TUxNhY`grLn4o5fa(L+=;nN3{ zOYW0K-ZDN}3>~)V=`!rEaHj$#Eaj;$O-|X!#m0a?R-Bxw8CNf@rOvTx(1P%ysI9N8 zmg_@aP~^l=u5voQ2?VmE%gE1WEr3pRUFfv29H1B5&~X4aPxH99^-*PVLOv~0il0Y& zY;p@+Zq!5fn0Bb~_1uUdp-1nk4xAI?(2UrZJ3@VKA?-DXdY%KuLe~x$9A>N1Zf(-t*j-3cKEn7E>chfO>YLo^K799`wm8k8|Rmt=h~Yu5kN>TQnRO9NiO9+i?vk zu+1I-Zg@U+H7jG*0#frpQ=h!xIth>(d}jb)iLlVG{c_t#bf<>YH$UvB*7|qJBAyL4 zR4YIW%sX{2N{FYXV=62mbO%-YY#-i?|4I|=?DG*jehE^@a-OH41}Vgq7bqeVl#mG{ zNFcx)2jKmYLr}9rmTr|G2eQn?LB*R^pHNZ#Q6VnIrqD=UehjKh_aKoRz4zp`HymH1 z95XLf5mg9^Kho&X2(!(iWgD)xP(l%KC{F8FMyptV>6TSCKJI2ZuKb=3^ zq~#-lqqb|U-uft0TogYQh&?d7V3Vi=nvibsE)Y(wKl$pUxl+Dxh4r`EXpe=gvyA2w zoiw3jaj5#Aw*!a7$9{F#a4ZjdYT<3_;(|9+uUJ2|L3gjTXIA{{4>uQvtjt$}v8 zuKjv&91gYn{M~7)J4GgMk-WV8%qz)dpL44@_G1^ERkcY>WoNoWwku{l2fgaAOIoN^ z|ImN=O@bp$?0O++55Yv-ujU4zo8x^sl=zWuVqoON@}I-@_n6wQnBSx4^|*hGZ{NqB zRNyicn_}_~*7M4)Cr?56HFxq39Bv?&wY>YHTG&72P{Zq9ZPkpv5?^42B28n&SN@Py ze{#8Wp*DR9!~gZ6=LLP%&+!Gz1mRtH-8=hmja)|J&94Sw2r*5YOE1evAkSx;ODans z<#Dn`V{d+kdJ53O;4v1Vomw)rBc8&$pzWd}P7>lz;|>e8fq-7se%^s26IPgps8_JU zW60=$F#*bnN&0@PQGV$Tv>?jqd&}}(?jp%$uR}&6jwu>^0<3O^Jsj90X$p4?k`*QG`X>pG6QWF8ScAe#ECGYT8 zD2q9LMhJZY+kAm#p$DffBm8~j@2w+kPRhvZ!HxX0y1rzvu}Y{+E@_(riRzkBRHJ7acy=xbw4k=bvjwQ1hrii1Lb#WTwRP8B z+bYXMywReD`-oftUkLZ%aRAhdIDx*Q^1kqBChKZ0M(q;A+zTUzNPmoC4{>BixjPmk zw_G4?Em3Kz8}=%Lh52l`hIU@uY?Ay|s|=#2y}PHP+x6$rqH2>-y7dD6s8SPEy1bB5 z`e@S;ya_|TX$+3MQbtDKDZn1)@iji*-(H;`8j=I#aF*^?SK#$&Pc+ zcK69xbAML|I<*!%Lt0uPXI|UxIR*L>6|bz*pFS-uEw9|FA@>^1whP>P&cXU)?W81{ z*?1W#QZarbqAS~A@OcN=^1EaK#K~IN1!C}(u1^P;2z?s(9TAocs^j@N{llRQRA7M_ ztOd{^%BU8WrK<(NeUZ>oaCUw!IXOk;{qCPv29_<;oiWb@6MezXR5)0*)u}e769>U& zG)8(%i~>^_-V;Dz2b{{>8wOUxf*YxiO|t)=qFDb=QD(t+!;}|0W?9xh7_!Pc{iHg0 zkeVGA;0iR`Yu!&Q_+iP(x;9#HeMXaMJ&^LUJK`LQ`FzQp1jFn!hn&jk~O4=rXHa!mS zWmf62JmpQOMYL<~{zTc_czWtV_@UxQx%NFxa0v|Ki#_uTBZ=wSgryYrZ(o{uRVXXc zumuS?oztod2{%5kOzLWOpz%0T0lS?7#+bcrwFN|9B2qpA+Jg)qBqu{Vd2EK+#;9E; zX?a(&#^X-vn(Us(q1o5DPmYZeajGZXtozp>Q0GuNgh>xTY#e{>?dx^qN48*-he!C@tna5!b3W5~Hj(3Mr%`YAkOIN~2o@e0pjP~a(vpj0pv^P(6z zw9o$Z$k;e3K4T~?&Pkmi_7#?*!SIs_;wO2baFDL`#UL(URGYiFD+ljd4sqx0s3C6h5cx0B6`Z zsgBPE-?X4l%4r9IoNNN<^MXc>P_fjgb~L66WPNbEtPy`M1Q5NnfMI5rouN};fWHJR zw$Avx_7IO*B|k1qF*FqQ&Yl9a)p{>S=X;?tze%g_aI9-kd(?N?1 zdsmlcr{{k7-V0`de{io7{8JFBp7anJ;)2^cBUcz8dY?$3hvX4rwcS6E$Mh=dO=I+< zADcYVt=ZG9YyZzV>d*FCNIF;ZNzm&8{wOAnb6gMII7i{yu)yKAPLia5+32UdmakS( zGjjzr#sMf!%Hwom4OWc8(4}OkWtj*?*W>4o1{z_)PL8j62|H9qa@kMZ`>tHyIhpRXE$+y*8~QvACy zFu&@?;uZOxtxx8bgL*iZ+=*Vx0aeoV{`-xAZ{2DyuYGzbdc9fK{nQH@(WX z(&oRz@9#f5f2libm#jh}ldt0C{WDlM_!?glsLN0%Ea61-CJMK{d>g~tNs2}&2 zd@icMF3?S4XDl?vy~-5!y7PTx=Uff`TRYs#5^zL6Yl*_(iNeS@B~%5mWHqF$_8SJC z?5*M~gn#7Mm-15;I2vbnR@Ee^(tHj{ymeLC3bdqNWxHFdqGxlC>o8L#UBE*8m2~Gj z)_)w&%0gSc`lry*1@2&r+%@{6GgP7?Z(qBT<=(5mlXc~J$s**AkcxGQv`?momjyE_ zc&9no@Niri+9hVA;5xF`#wNm2A;g_2^fO6HE?8rcP28qkyP8tw1+^4|hFBq39-o3d zCKWOipixIv`a4|q1NVuvxgtZ{#>e|Ni)@u-AryCEnjJFpBbvCM%vpY!6S&QCx~BX7 zzC45?_L2|Fg>ZS^yMfD&AHoKGCoI)!zwK?#ypydIAW__oBc!Ht(=EA)nF2o8T~bO; z30t4r=Xd*;&h$E)dl{Mf)+ir4GW#8sWr~H&r;+p^N@NoGD?fu7>$`iTjjMzd_&lET z+oZ9g4G3>qzV_nz)w?zfEsIxCMK zVv8gtcV@BTTqFwl(i|+qE}@h)VQjWzMV5RtEV6a|mL+j+z9n%@z9j*ka5`(dSAaMo zS#C|5i(xxedv48-sMAy|I&0Wqa|IT8^PU}lVd>|3-nW+K6>Z?z=0g4T%yArcVcRjA zVz!S}QgkJi$`JyZftGc=u!vq_G+*NZoWGey|6ggI^kPlc;(N%CL|}KkzwAa|qU^ zl*trKhl1*=Xf^ebe+!ZhxF7Vt6OT6Rw`C_@CTk*-)#4%)?iE4h#h9zGbn#iePqq?q zgO%B0Y)cJCkHaXaCRwOY^Sv;8Fv0Ayg3Q1(R>Yw;+ND=K;UoH0@5UJ2$VWRPi>|Rx z==s{8-GXNvu9|-6RS|Ey8$%YPf+y|hEP)+AR_MgqxXX3lX_p)I*K%jMx!72#2hzQ$ zk=%h_ecbzPoF5(HIeBnLI?(aUl0(Tx#&_W+^H5Q^4Q?k|IphTmT3H+3t>WdJZ+ri{ zK$k6`u6L;dl$s;aI9iap{$!e}x-Ae;1Yl92}GIw50a1X(N0ZypvoH zI0B9WH<4gcPNf;c_2G!mtdA#gUP)4V%A)2OF7zgbH>_(Fe^M5vuc7XV(79Bdg3WLJ zSAq6D#Bo%De40Bs@Bv372v$8)UQ$|fTI|h0_MDJlA+J&dDZYAKZfUj>pj*pF|Cnv= zqoy0;hgg#~-5h-{2`#2$VC~}63*&ca;+tPEo~Bz?PIM>Ib-wQQ1o2eSi>2EksPk^v zs-;>AqG36Y65?-ruWF3C-}5(>XCHC>Sb*>Q5SSr<{0vJL-hCbBM|Zl}`D0Nq-hwK6 zE3I)OOEsV&j@pErIAS+sC=Pb+@b>*8O^gH!LY)lq`v}9Uj6)eH#)iL~B+0xsMnV2O zG)-9M898r&hhiF)j7}T|K0PO`(z@qU(fej@ra;ocdZEEP#k6XAzb@`A+;*W-51R>L z6M?@wAID56|1BT^?rAC(?`-2t8Q;$-*Ww3ZnjplHR29Ut9gLVQ(3g)C4BhBaf;j}e zXf*{X{0G9jDb{b+RW}=~?|w!ww24vY5#vVOweboTocm3kYUYyn=4^Wcib+d~NXHI% zs~)8ZzJJf!*j3KVFmw@e_k=;?bdzGzOI&{iYoz?jG^}Dxd{a=@DdO^sR^Q#2f7UAB zEOM;*U*Gn}v820ytt*uN%1)Qg?#?|HfFEAp6##fG9STD)s z#7L>wR|^pii2n63Ec*TRX&KN$@AI~_MHrI^gQ&^bsI!U;tD=Ia7@pWp*0e=SQ)t5` z8CEc3;@z{kFZz`$6iB$1f)D9GK20VWar^DH%<@mM-nTS@Fbmh~OvftLe@y~Sk-&3s z)@UuWqIDg456U&i~B&p!;t}EoI86sTnFbtYg(n8+i6H|J{_>`Eg}* z=5)qgPC~Ce5T%O`gQmHM^kLH}TvDBddTJuVt7L1xKXw?`STAAuuoMZm#p?XT3T^u^ zB3wvJ50CHEw5e$TUZ+p;kr>?GB+a{SJj!O*7#~n>wmI9zGuLvZ0-1`@=r3!h@^5G_ z`xo_gW)I&QNOx*u1Y8XVX(1|iVLtwj!h{CWFI0QPLv8jKU74;rN$T}lz}HH(pEc_r zS{osT&(kH-i3>F+O~`Sx=4juUY*J7J{>*&e%%hd4V>5;$TDLr^HGTC4`s|NZIcK6G-(EnDoyV<6>cEDvKjPVL+K?O9lx3S=%O zE-Ah!%WE#mE0x~e*7>Q#>HQ|}ZrP|({cV+1LAH)d#I4tSCl;Pcy1VLqLhj&cyAqvD z>AO$gvw-a{{p?hV{Xd#?Q6hS4mWCWKa~nk#;%JhR90s$epNiP$bZ%P&no5MxNpyAf z0tXCq2?T%5{FrDiJ?`CgJ+GC%NjWc7LLJ@%1xd9gEZyq4ul|ti9-lu~yrGEPG&$!^ zj6><#n-oUk6Sxd&L#bPfJN1Wrku5TlB$oGPYIe>65y9 zP8X%|V1K_=3+4~?;x@w{haghsdR(@^{dYu~-|Nf}|D@c|FHwC+{jDy1)8z*imdDdq zU<1`;FM+pn%m+;fulKy5%M6XXZ6KyJCjy>bgx+Ox!t|4Qp!Xn-|<7N8r3Ple)FpKfPs z&&B-O(w9h+t3IwrF31`*{)v5M1Nq(-}gAu!w!t z8aH-5zMlL|*Wz4#PaRH}q1600tnCMYT%shdNNkQOf7H;(mg$nv;Y}59`|6xXSeq$w zCFAgZQkdAAty7M;3_GR$DRPc8 z@s2}f@DJOl5GS%j^PzThe|}RexM9?{&aU_jDXf`Cci{A|nJZJydr$)KA`^?acdys@ zC+1Lqt%9j$hBp&b12LGXfxSP!Fuf6S?lf2u?n$1LaRIID{(N035%Z?pk;T|jf4JlK zeFdQ}obY$r8<1wTA5DzMBW+9)E0Wl3)1Q^Av02Q>_DHLNml2r$ezbD!P&=J4Z~=TK z;hJ`HH$;xqDL3-YKSVX#UhESGoyWUiKH%L#HF9(488BI4fFf}1{AdM8#tIyWg}4sp zaS^ku8*w$R1GIi5`uC;tUPODEsC{SZiK)$twcNW<9^f?|AStI*A`!e7)aU9W!Zjh; zM@SNKifqe#h|>%Y9mqQPws^J`Uc(J*3m!M$T8^y%M@p;g=Sczy4Ddemzr~9PQO?{-B1k`fCJvu35D}PDx(q(coQ0ipOmuFk&OoJ7$-C35z|cbC*Pm zLtur{Twhec(s|0DN_#8t@3)nDvb>-e`|Sc(TKzuFJRd?fujEDq#qN9k#j)nePVaF# zv4%%=Jbxu_59OVdmk-p9U+F)}ct=7HJgG&Z_CY`Vc)aMTXLr3A;yga(%c{E{uIi1;ZBjliPNWa;pS0X=XsZ}8mi)7sn zK61RU*QE}(6m{fqoiV7=L}%1Pt@VqrO3ohsG+YEtl7G=Nr(rT2Dfru4?|tC)WagLJ z$eN~~*z)w5JDh&E?Y}MGuQJjyn6JZi)V|w*4(~|g-#d*(IEGEBHZtXDGc4&14<1vV(U~B8V%de6Jly|c z$P#dWANGkZ7;CTPZjOF<_&qE1#A|JscgA8_SMZ|)U*YC z?$L4X41o92n67Xd3l{2#l*6ujLSJpHKwyw;@$kaA-+ip2MjuqZp6!i#ex?ZR(no~5 zBqadDF)S1KZD9hz7lECdy+b1?=1!bl$= z7uE1atWwf727hC0a_=(^hV7tYhiD# zqXx|4dF&9sh~ED&NE%%wdcRqTzo&$1$78O;Iq5**nPtMvEA9`T3y-uN;cS?>LQozX zXw0xg*55fYUW8WvZ0giYl#9YMGm;bk>Ge~Q+dI24p*u~RTX+94A+3}dCLs23@c0$( zAuHvIDW8?VPKhhsgyaX{K4!eEyrqdzDtiKem?0xtwD7HR*}#ZJkC|6i@Ko2Q9TKMW zDzkIyeLz9{u;b+G{aUGehn9Z@D1%}T}|2H2vS9z#&mPzhIiN6NgGga>7}I> zj%vvt_0$H#O;LnZ2rrFW%te^_mO1oK3mx?Ki=f)=OgkoPp9t(To<*T2x$Gy3EvpGh zcHtl2+X~aA7TMhpRVn-qUnLi7OJ4T=o87|e-J;q3*$GXW-{=}Xec(`(9{M|s>4At# zPdt)>KttveFSB-#NA4pTbB~Ja3f(YCyI3%UynarhyuClMpFO4f@5vvpJH^XdHR-s2 zyY!`yngE4sjlS|=G~$A{;?a|JyGQRKubi*lvBzUTn7M%jrqD_6>x5q9?T=ME~ z=7On5u`3zx{T$L&&X$7%LPE5MbnPEn0i6iqZ?XO<^elmjW>Bt2AyzoNs*Vm z+ceqtzF*0nyVt#w8G4VO# zUe)~2r>nc+EL8MuK4-P&+XGX9blzSG_G%rUqoIZJ(%g=~T_w#8f0ZGbA<^3mFB}e1 z(EY8>Vn=O8s)D(;m>j=awosdw}VQM?&G|VbdS zZRk9mx-Vf z1kbmzL>$Iwu-M&_>MY;SW7WD^4RG|*_zfV(r23+NjQkVEbY!=Flgg$N+f%WE)7GPf zc#!L0FT4r81m8$^SOlGg*0ML*Fs z$_k+QSJ`%p`3n>JNk2V=hr4Yunyqws+TE=M9VpaG(9@7GGGW1hB)>U~`@O2qDW8B7b>@Qymr2kNQZYa(=A=G%aW zf{o{uE5I4k+Mb#p%S94$KLf0Vx3Gu7gGS|mej{6o@1_}<@iX1#!u3$EG82W0lnp!` zoo}(^qW}%|igKvsn#NA1V9iRp6-|e0_yV8ohWjDWd+#dNYdpU_WLBJ&udi3Cwv?c_ z*2^VVfZ%;|x}og48L-2X5oS|4_>pH}K=^0LNix&bVvuIyvC!EhV)Bf-${1~}%T$^H z@{r^1W3R&})(wcRI8Wy_(b8X%XlL}Fuy+qWY2>H~y`m2+9%@C{$6vyFj3tzOtk418 zY(fz}^w*xMd9zvu40Okp9$04@uEly9&jDSWGRH)K5`YI*p+2`CAXml?;g<48@u*Hd z;=eq-OKiJ})!QSQcP#yML(29FYKP@0$VSS$Pz$4FKXxzCVw!Y{c0iF+Mkq$wH2kyn z%U+BPyBc-!=5=h1VMUhLe#zAo7xJ@;Eo;ST;?}IK0Rux{3|5R^73e&ODznV{5@=~U z-F(n4H8=+q(sC-%|B8h9m-5^c@yx{~e#?2)sq~J2j4nj&?H+wdc*d(vwxp#a`7D_f zDU0t4*4J?Bm=Tj#^V%;Z+Es3Q>9^w=wwg7a#1#Tk<~>&Itm1#-2v@P)UjFlnRXaeY zzN*B@2o@an&NA3a`5i>~QHDXtrd?=-dTc_mA_bDPL#1ai{mj`*?ICthh1xQ*G4`^| z%dBaVuXk5z?^P9j-3w*H%7*7`(~P)=V!|KmJ{z3H&tPh5;A%x#tDayz6)Z-H+fYq; zkB~F^wW-(*v@hbHn_ZwZl}SqtFdb_ruuquqqK{+ypEGI-5t%}kcX1XrCMWa%qb=&r zuo_Mctp+6YC2?v$v9N2BiA%rB=cGlYt zy3>v_G>Gi$XIWf;^LQa&AFN6P4xRT6lovjwqYOIQIYQqApJ~f|F1*C?f`zG0PsbscpN^Z6XVbf6 za9*)h%0(=*!%us(NoUqD(a>o88z=KD9>n|Ja0zeI)7i;H&EBoe(Mh{lszk%+-bB;} z=hq)O#?=*y<#<2rk|g^&k^^JS19rN#_wmO`P9c*KwfFDN9t=is7g}Q24s_aU#Lsnj zD+B*PJyvif zU*Y*$o#1W-=DPe8Gu^G?T3b+pfEdi5eVEZ9JMF7^F&AnE`@m~Vb}IQ+EvnY2{W%wp zO}^iV&p%lv^3%TmXTx(rsyvg=o@Ox6k2bp!yg(KwL|qkM2a)Y5<{FKn$3>k&om<9f zY`Ys3TM4RNgN$XXjpa_~=fZ`M>olN+`mgPc&kU^3v4;}C$UJecp!7LBl)4>?E6+iW zVhx?DCY-R=;ba?O%@QQaY`H^u0})3tBMLJRvWSM7yn6r`GA)fpYusT zZt?lR=QT5Ed_zboxe}>@>IW65W;7dy+G77KGft>n6BowY9GoAoDPOS=>yA4Pz9jmY z5@gOsPe$V^rZz0>$Yzvyk;Jy)tZ<1f`vF3jfNv_-BzU^XU@5pVDoo|lVc+>!Gsf|gWI0l6l zmT*@W;?SA1ZHt5EH>bXu3t3co@#X5+vt$RlyvH9iCBO0%l>yY2)j0d{?7_MZh)5} zMf(E2fX|V}hmzj2sZ#R(-qNpaZx}uZp4S(U-wHx(j_;X6%o=RN^WRibi;O~I9|U0xq}q51x#c(7XR&9nQlp|Yh)Ak zz~}tTbk25m?7WZFAWmk;-`i8${lZCoP$1Bsb+=5UI_~&;(-Gx5(!z+OXBK=`=bUzP zJVfrhcFQ5S8VKhBoUaIW_9A&?(%-Hlfp>y1x@?P__Q znu0z_#%D)d{?wg7O|K_>n)sL=w~@Z~|Dd-9ei&|Rebh1{Z!y%=0cx` zm|L^osnD_2&ljel&|_ELGyqqJ?4B+<6&c6cS z&0H8mc1%T?ewK$I?!RrLfEKi%XcdcpPN}>-@(IS175W)cdpZ$vACz8$dTvnFXMle^4U7L z+K%i4oRR5b8#Ww(NJ*{jLN;BupAwBbEFg4D~*dQ*<^oACp7{S zV3E;#K;B=db8Q9LRx-#9oSaTb6V{5y)k*Xb3b^{E+}t{e;8ST2QytFG7A^_~);2@n zkpwd~d&#;>y;8hvXU^N<$lbIKQ~8t#Cm7_zVmeD+8*`b48s;Fi&_t44>hH>?7)}oZ zNA{8#GNs!}-qs+Wn8(`5;+aR38avi{vHp{iM-JXjpla0GL5+wUkI@>u1?74E(v$k6 zsykpV6Fg0~pAs3?=U*Z< z^M)EwarwP2sM=FS<(gHIJHo#-hy&ECVOpUwzoOl-?GXtR3oJO>pne zZz^(3b2c)v1EZyWd6cp#lCl_eafEeHp?DE%oX<+I7_2ZQEa=?FPG;9(tvy;xziacn zBrLl0HvO8O?1Lbp1!PafCK?lhj&%yxdS0+vXfewI?^8M?R^_KT(a7!cNdQ)oV4=D=7T!~-u9Y#!X z8F>*HC> zXT`^%oE7$6OPwsd^_g;5CX|Iod+w#%Q4nrPrq;isJ#LM?}OMNhkj08C98Vn+m zD`YxDD&xGm9rQB;faoFx?Oyq82K;4x*n^j=lel6R>6=emQ2x+#$&ZH!L)Ye253CV} zU!0<4)n7*+y}K(jv8-#JD5c0EA_;JsIUco|PAO0R2P*1O83!qCEDaJR2q@9~h%@l) zDM%sJ2teih$t}=Tk4mP~=go^poTlr!Pn|??X2GIpa?)TD2!R6Nprjz-I}HXFsnppI zC`gi8R&;U4bB%zw--W^C8Ue`7XW|Qrd!#+Y>&VTUTnR@7l{!Hi+`2K^rVT1{W6;}! zvML`-l@;;i4uOV$5U1@(c}wpp1u=Tho|B186Zf+rA-osT00zX2_i|N}LMIXp^g`Mg ztDkpx0Pw+Pq6mrPebuPhRHGb*;{ukiyxw|Bji-R|Kitr__%B=O4bh{1Ew_x&ev`h6DUgly(B|-97Y}bFR@rdUSP{SN?z%*hM0j#s&hwl+RqFO`l;`-Fa zih6i|z+*@QBfg#U=o+0Nc6rNZ-NIlP9#XI_UCY^G3|4&nvrn1L=os3XIwh3KBpEvN z_}O(}kyROV+sZ}u5KqXQKuwW@Cx@k}&&bretQ4_#56MU2MkF*&Vi0G(>=QvAg?+F~ zRAlwV8li20#{r&xX&|AhofF9^QQr4D@~IG#GJYriWUoDOW#bO{@hl4attGyDM}cjl ztn159xLlZIq;4g@N&AlpdkMd`Ng+Z?q_xgHo}Qm2qoOp{z!5gWg=8FGFZPiqO7A{% z{*qoVZlYPS$Xd2%jdUYZkM<>wS#=55k_zHDe_o9%^inL~OZc)CRNTlx2g*JGE;{~+ zo)!JY+$~yQ@nkpB@-Bn3=BDt-@F=Jax5DP2eERdo>=|EYDS{~r80%|K9kT3(X~mE+|N2Nt!zckhh+jrqiih56;5-}H_`$~ z?59PQG364^qymh*9BoSG61XN)z)>lCa>;SQ_V(3ffsQ+Z@oIm}n`oq&`49r4EErDW z^IH%aQ}eHy3EuS-HyU-wky*e<8j7m53L&waU!=M9t3+ehra0{R@8AMg5PQY0sL4ErW!; zIsF4CTaOU2pKI%c#w5Zb#o+*rFcIy9$EA}v^=Tm=M zXBsXeLyagu+TT%nfMVE^HN+)(GE#KD@f@`aSq{;DywNh8{N8psW^abE&t`H(jM{;XPUt0 z8T?;Is~-vz^Fa;TL^OYN*`V{F+U4v~>lTFAViU6$%!s>!_R)S_z@6eWsQAg^TYP@; z1%@`2NTz8Z0H&rf%VocJIxB@&5T0F5Lmdn8(Q-xCK1$tSHS2wsYpxmBf0abz0jKtc zz}bdyS}4dg`ng;r?>&=97nR@@l))|Vr5>gQ&7`*s@Ut6et#&LGW-dYgv>%Fe>$NlMEHA)QZG`bDJQcq&v`>I{-$ zztHsdRS8RFnvWefh*dGd;)z0hK)s9_wv_^CP6418AYqsC!PX{_8au7f0}j%Eh@4M- z&Rkh%`*TUZrNK7OL^N!(BI4rBLle37aCR|TIX1j6b~}Q32C8z0Ko>Gws}J8VkW&iG z!R`B-nz-jeV z^qOmN;bs>HXR#h!KfU-vaQIip5(Hvs#hC5gkTEU1NDfVSiy|_)umnP!b62=OJkX|( z_wnD@fqyg46?RwsOq6QinY&d2(-cYdj8HvoBahe0={HlAH%-Ft(nJ1(+)JR91S#^8 zE7%_-KW{%S?+HyVe5LnL>w{jhz;I>sTtW0);xG}xSpYP}3!A>nZ^BcVq*-1%bVmTGWLu+mIH-Gr>*2=w22wcaII4f_eXdeW?>l+fP$JU(eT;k|l6W>x6?- zZqw`Vh5v%pkf+O4zShtmUAFXKyf=YrYY@7rWv|KJt|@vVqji}ch+Y;MTY5&qx{PPk zfLk8grvOqkbp<`z(W=|)f+CZSt(-soWrAKilGVy$V(PReV)5*%H!TIZBjfztU3gmu z=TwT4!fiisKv&OGde%P)EQczO;hFyvDtu6T3+~jxnvj~bRCQF7&b`xS*!C>j9S&|W zlNXwsZ)M}c`TS9_zA?gwj*VX6Duur!@zpX;De%C`J=?ZWD~d5l{}T!>C1)y_XM@Fo zApKhhR4bBBgw5xPC#xnn4BG34&- zFUaYg8A{c#U{}Vh^y#}`sgVja6C#15`y88r-K4YNYydrH|KW@c7RqPd49R`o+n3G& zC^3<+b_h27BR>!VC3{h=E`u_ZH!a_klPh7Y$XtY?3W4+#usZ0|tKR^341`GG8@T-O z3~0%hFXMvepx#&?w*5(&X+KwGDXWd#6*?N-VpERtG zQ+9Si|EZ84D>yJmcWXSjH9j@Eh8n0_EQ33p5|y=DdKKQ8K{#1qbOb_8{{Zb&gV$jb zb(|K-KfOaqo{c&VXH2xp2GA%rTY>_qJBy0F88kFFH#6;i>gaNOlKg<59qZ!{eP&;t zwM;QsCMSP*kF8WvbTupX_C=rZ0px>37|wBsM*sU(sg88KcvE5`zUNI2=fV)?Ph%fh z(kg6vE29&e;m<7LLI=!M9gX>c9R6(&6oAwdtx@*wI+HPvv1+ksxhSb!cErh3T+K`Y zt+&fwy7jWH9TH=nDL0Aiu5b1nQak5A7Dy9X76idll0SwTof{r%e{UY6@%+=+ zNpQfYOuqI!0S#AB_nP~~P+dl>EF)A4MS&(<@TaM;{$>osYV>U}pYSC3%WW6)MOush&1e^_>y7tIqfOo=X5;nX z`0uk>bSTL&@EMg+!07SQPc*ak{qD$XQ3n@W&ZqxM{m5_N8w*?s<-X{84$6){Pm$=X~4g942+sAf-On#K&E_^s3Cbh>><2cRtU`-_Ubg!=&$zOy@0)y1$8C^)n0&P) zZJEW{(cuKYvywKT+bq25wNBHP?u5dVIKwqI-{5{?Iitd`MIFb%l7dAa2skns-%uZU zvlI1a_>&yv@~g#`kqeKh&mUnOBpe7u2YJ zK}7!O&0Ob4-EbR)XsJI|6J4S-4bSs^WHff#_UWqX!+w>7q5|W6phv#1geDIPTa?f` z?Zm?Z3J0I*H;Hba(2#!`SqpSayn5jzsOh);A!P(Z?s(y|w2w%!5H+{TUop;_CNf!H z%!scApS%voB%Ox&I9ekosg=_G#v~hRIOFGP&C{5duDC`bQppHa$}#oXM%>3@ z3De)J>)8@A3A+pi9J|$%kcU%YVZ2=AVX?CE?yJTfdn zq+Q<(YgEsbY=qhsO#4FIMqKrO9F!uY{>FA z6B|B2j&fH-AhwS-yeV=n=IIGfk~}-BYc|7Uhl!kuStawK!j~ zu(Dija{A#M51Zbt8LPc3eW;rEQgHm8vX-n^KU{h_gEI0?>`d)5_9NZ%XV_vlyJV!t zHP`Fut*ly)A>o0-D#61$tIOaJMDzyXn@Y&j3#On>n#fwQ1+qa;6^L_wJoryI(E$(a z__9ajYJH>isWYKC5AP8E#qO$owDaV;_$QS!DoQH+7Q_*j=PaZ4-M>}{6!sYyESux% zPP6*vYfb+d|Eum81c@OX@$;8*Tz=1<+j`Y)@)0H)$JJ|R#|z$BjlfRdUu|^Ic$NoG zSkKE)dhu$U)~nj7BqV>QC{_S7tc6{LbE6C*e|(&K{hD8kJzgfpQ)|#}u%^qi+eVp< zk}xB2{AbHOtb79Le#elJ@<@24mhiX9;KynV9QPqep1MK4>9igveJ4~@bmB+tiO{_O zS|@09QcVx04E#~igcHIL>v4P;?(k82>jcCZY9VfPmmUoDt!GtT_$fvpEZ6^y8&Vek zfF^v5g|LEce0Y~~j0BbHZdkSbAi1&6w{wo0Ff?vQvRI9}spdhQBu6!*<~~1XJg(6_ z2T8k_ms&}G{sdGDPveNosV?#l;$9B4dzpW$`i{x1ivNF9Q=-&lc}9If7$iB7e5!Sd57MeDMW&lRXTOfu-_a#Z#Yg zB)44NA0MGABy+`!L38&Q)>=sMwM*E49=D@fxG5HT{%I%run6eYV zvth9KocQjm4H{IU*e*>PJ^`&GHourk*;Mjr4Y_5;^lxzo?Hc=Xcrjh^U38Z6lqQ`1 zup(z+`jv4qc>fg5slRlF-^!8JcIxyHLmlH8U+76}J|IoT_gG)qY_MbaK0sqJmx1&A z7&7@w=xR=ZJ3O~k*`>OuGZ+tblv)=)Rf*h^G#LkK4gvtloL}Eg2c=tbjLX3yC{56( ziZj~3wbH|O3@;f2H->er38n2&iI*C0QB!avIVwM1ubh6Sx*hS`-JEZ6tAEb%;e1`f z>(4jCRx^WJwK~tLT-4c@zDs+lr{Y_h8a%7A&-TwT&Te*R5^|@gxl`Nx zry(a?&BESdsUu=8RZz#P1mFa9mZw#PR#v$a-;i+{ucYpXX@N{L@gQjxXK`6G(I522 zFN?1R)n9avAqdfbW*LUzgW8JQ>ACHmKnPQtlZv=L#9lFnxI)ym~U>#!NI`6 ztLbKHZp%B`)sCp|tWJQ(702wAgaBA|sPvR$36!NLaLN^;Z6+WA3*zx=edA*nN4Cu# z;ph?pjsd%g*tx;qGthT^rGF1gIh2U$4wVql5()!?U#}$%-u9dBr_FJ#Fcm+?x%0uY zHnDh7#N4{zF!1`Nd~dKMOB_T{>5Qs%b?s_@e}-0$c_rB_aHz+P<@nV}{=D1LMCLjG z$n|4e(3JwIUXF-q)vwC7ys`~4g_Ghdo&`IYs$bc7$zVsXUYT5yJ`@0?AO6Egd`&3A z@SJ~XSkcVgiA>bI5fL(3--7dNYAwYyxuZEY5GTHn=gc0WaRo+Lk`^$***3lX>}rsX zsNj-kYsJzw?>dn;CSM2NZ8!mp#CgyDF*E<Y3>0SJ-A0@&Xf2h8^s8yd&7Zfi^XM z^;#N)>R4Hhi@n-m7kGu&;c1%WztItTaZ22GV6rr#X!R=%maDqZ}(wx{-7O;H`;ui`pAci%uqb*x2>(_d`H@vbLGmJOUI2XG#IK??jc)9g*&OC5rf zqIcjnc1b5l#)1bvPxS2f|4>Av=SadgV7a*T85GdWMhVgz+NupKL;-(8ZTp~F!{_!f z)=9cHHyMVrM)t0{sJVt*;E5Wp7ij$;q~?iTx8=PRw4+i4iMq{2D+EbMeJe z*B7i6B~4Bb25+j6`|@FAwDzj$UK zP1V0BH*`b{DMo&`o4HfYV7zmS)hzjl#ulGHV9-&e2)|QKbFh1#2CU9wzqi99h94jn zy^wlqRJP1H>kTxZjJgN(sFF;=_&BkI$ce#WbtlyY zCP2QU!1GV8$H8qnZW>KnHNg1i%ws|#1UKE~Hg56h-Xj;Ezw0##K?A~CFCp}`G}@?Q zG5d{L**0k=nreeGvqne&`y+NPN=)X}$6@wO+(^9yu}T77`vBX$-7$vnVx>mGrfE8r zp+u99lL`jv#4jCkBFvK$d7bMJz~E0LUokpoA>pRnO?@2)di-p4=Pq3FD|45E{~%)s z=@gZ zwjZt?jX)XObGH@50id4jk$Nf#eT#0(kj`47-T`xLWOR3Z13q4Cq@h^o2VQxt_@~C4 zMg|c(PWy13#o3meo`)X6cCKfH-~VMHm+Z%+VTmITs|En0+!L6ec%)x^hQ=5ywn)Y2 zFE#$Hv^#@km!^X}9!Tu)OAkgv3w-#8c?K(Uk1nA)x4B0x*XhLbl&T?}E}Jg=F!eBjhuKv7)?a^8k4`}EaPdPvCQw!8dekl1bY<8l zQCS?kDae`hOhO-dmQZ&wcV&n;y@nD$d_0H%GH4 zSe*YDWutYZ(@1)t?sqzTzA{#eJNRS_;g0e z22bki{+~VW|7(wFw0Zaa{SNkPjB3K3>{~D26HJH{o<^_a&u>>;C&G%Qsjx&j!Z-Ym zAyp!yc0xNbgm`A41x8VUiHu3Q$AsMaL~sBnWH~c3;xrmB6RFGtDti1D#T-xe!-1<} zruy&rn|Il)vKiHNaLo$j?J^7gI5d@75B`$}nuT44o4F+Rg$b1CKcpa-W32%iEvYVz z)iY!Y*ekWIoj4ZoH4Rb0dMAUoi>?(E3V=7UTyEJJfq_Pxl7ju!v}`>#a^G1wDNukb zzM4o>5%s#`OPNe`U)WC8gn}@hX1Tx0iVSpJjD?ee&e)Z`$$jNXRs;)J#R1O+m^F*V zE)M6VLz%zUnu1`I4n3bV(a*tI08diyPA>n2e~tUHOxUW{N*_1tkv&#QN8ahbwz$TK zH~#N@1Gf0h76Nb9X?Ut7$D_m{u|r_JdES7ljeflT!|Zsgy7 z23V8;yclW%4lEJ;1ny~)so&jx-@)m$RsG^RsvEc+UNk*$CP7ebp`o8`ldW_{Cw%V| zt!saxe|>ode)8v`zyy$^ocupCe4BS*I`KK0D~Kttv;xSs#~y7klX(j{tKTnoGvyT^ z6lx0ZP$1d~)(V=I!V;YxUtOo0uP}_2J<;dm{Sd{Sna9J0kyWq*>|!bvwYenw{g~UR zM2=b87EsO=KNN5p_BU!Y5k23OF;YBXsCEO^$6(Tw& z_iIVk8mYE*r0o3C4}7(&cMB+Xl0!V1?c{Vn-~3@W%qUpuS>M9MZTY_e_}pqgBs`am zu{nQ*RTf}lz~1O%N_5n0#F4}fpf8(28%4nwG;0$oVp$&2)%#U>``mU9_bhP@O(5n= zO+cJoI^<1SZgXi=Qx1Zky*|Jt%5eB9U!F9wUm8CPEeyg~P!m+=E{QxK$5OIo>c^42 z{ZM5G$@;)p?FZ7EVns4vDSj{P65|QXR?AdRrA*6|yFTft+6?CEO(9 zmnO>kuGj*JJsa)w%z^UukINr_eK+e|xZ+1GaNusa80I-!JEbAklXJw;#H{B-Ci)u{ zW~F(_*CfNbhnEfbQtIasmWzt#5mDVa6B)kjzIj!4e&O;asE)+j!o{t!9Ba)>Pv+B) zQh9hS^6W%US3FI6|E^RPGDLO>0=rqF4!_e=iJ7)!jYI;c;04Nayr2jNo%)~QmPWTdjS^}Y~uCfJv{mt ziIY)o#HxbaCsPnAh_&X$KZlVdOj-zQTVvch*T?N}JYQI1# zeGDut{;mjo8^`Vi%I~t*umt_rfeJRc){@x_v889*oYh^FEn)^PH-)`hf|zUJKqAmmjKUqsV0CQj zbSwGN0Ag||wZB#ICY@lOJkODM@1!4km9n!t34*75QfBgM{H-j5d-wQQ#Q{jf&3+jy ziNbmkOjwXEa{MNG))cg%FI%q)WvG6T3G;jGw_#kU?MLpnvCQ_rRFe7sOC<@s0}{s4 z+VM}}VppdiH*|iQb3mUumGOS?^x!{P7A+s|Vr>p@(;*$=whg=Jg@L)83HVU)1>Xg0 zQxeXef|Huqg`VsCY{JNknZ?1a4%>$7!fvC53mGk$P%pccO+?+G<9vpxW2EfJ8)~*i zPny199p!~nX?`F6=t`gL3_}$#vFW}Erq?%S?u17if1c4fKwS>Z^6j)-&wBbgf^(_E zy5ZXM9OgDEmY3`tK)={L5T$+ysS?Ud*=2VQ_)HnfZ1}HHFtjzIgU(;87_E ze_6znfD5P<>3SUJ-t4yO`)Vt1GX)lv zVRl|gKL!<)c9Lth;bQY6)Rq0Py(UCSPQ8s=DlM)!3{6AzBW(}-CXR}Kr4t&ICS^Bc zi#H!NQ3~Y1Lo-xBiX7vH^wbbbh4I2nga>{e{yFkc70#~(3ejRWY(F*6`P>7~OFXiK zW?S23taeQR4&W5Tp6m>LL-4rLpo#>N`{j+!=q!cMAlhcJPH+QYp@B6XFiZ zV0@w8T0^N=mBka?Bh3x7B)~jaZ7IW^?m^-s;7H68IPtkY^`_X6<1nx=Rm;<*xHR9*?F0-P)o)9uFUl@YQ)%@#?4fPZV=r)@Z3WFn6&SGss&eB;X zRL^V2B$LtU-_;9pw3p1@@SA$aTixG9X9>MJC9L}wZnFVUL~2u|u*rhmj%R>_cRa$J zj89S1Pk3$g9|zCq>;EAx*5?Lg0b3l#XUOM!khd!;=e5M%*G3#qfYQ7SZcXom(SOKN($ph8hyUs{f{$xLk^*S?Ac=rG!}UTMpqcd) zVxmdY_I!W5h8Yf>AKyK=m(aYV9_ML&cP%nlSpB9@|4I*ET%>^EM3NqF{9aUdRu?1+Jxpk+lh7iEjT<8%;qS@n z5?Q%XEFcGd`Zxq6c_sh9mQD_}_gUiVxO&#L-a)OzO|bH3TQ8!Ir4`VEYR$WR8jAsX z*jqSN_CKMGGccc-dcmzQdP4O!4aY7VdIIqB3=5tP70ysrHJmUh{1eVp9e5R_F6gIed8r(nE9J#K^2i?X^6EzpB0QUHwK8_8Gwv z-*tp}$L6E53@le$M5K(=Bl;s}?8sMzn!*fdW;zf(30m>tvF;hmq$h9V(ZMW&O zwp@!ZoV{pWN6(zEah_<;U;Cxj9W>-_Pb~vs@CUk%R|a~LFM|+S!w-v@&Sk{ z0V$m2C3TbbMRu~zz7?&?cKq~x-P^_0U&~AGU?IoY>(>g%*F_W6Z`+&tU1r)XyxJd5 z|N79J#Y}MO6jD3XDzcL2z$J9*-$#+K{q?o)mR0)2`+;rPwaCSLyqNKPaCgD{MZ%Zg zv(LwFrBP{=A?)8w$|Ku+G>(%hSN1C}X|fzsIv9JC zRZ$>XHc-A{Da>1|h~22o=?^hk+vtE<;z{U7+1e?G2GT__?3RM2W5E$Al<~Y4oC*j` zeAEI2CQ=i%V0DJN>Nb%L0UFTGBdhatiCM6`$RJBfJC|&We0O~jMzY!Mlz=!N*y0k% zdd8IwiEHs#$%XRkSC9WRAD962^!#3mU}vgVsVmTBxnj!UbDA`ff)(FuvzXN;aDDQ-n(I+q;}CyVN1nD!$mAHuQ>Q|-RD9h8 z6md6ByHyLR4zatC!Yb6($=LB2W`F|5Am*Yn|N1gQkPT=)6rD>mLYXUh}yIv&d19;O@s2~2ujTFdt^7@@gU7R}yM zy^-l?#>=23%v6vC-)lVitH(Z>=Yo-ajdAzr=yI&)lEHc%sbRJ-X z)uP>Wik^+=4`;n|L6UW-s1`884BHgB7q{RqdKq;vsFCsJ9cDp0b z!0~6G8R{jD5zbk4%Cd*XuiKls{T}}+1%IE;FTxssm>bM)Obv<-g7;m>Y@nw8;Ufx? z){~{6;s%{}zk)l=Oi0mbBx9O!e zuOq&qzwZ`u9)CBVHb9XpOroC**nEA#EEN0i@*C~v?J`)dXL8Jm#YpEBP{#bygG7Y;4HQ^Z@ALdN!_80zKkFp5B z_#6fYm!FZO&!}D0+7w-9Am`Nj7qQZl1iSy%b0qh5evdo$s3>a6n3^5E`kjF+uIRw% z#+$54hdx_OAZ^`s?s3u6<`J>J{x_gbZ(j42%$-e|clDjBs#BSNXE0(}#_jDJ@93vt z`lop7NMv}{eacAb>ZJR+!+iTZedEy$(jyzS#`!#Wn5JfvHjMlADpQ*3%kKRIs`!(K@_;c%Y@AiiJCz zz62s-Z5fi$j3;btCgTuo>6~~#>N~BYMye@BzxiHT&WH*bZWAnZBWn3QI3$gLfyaHh z6_9NhT>p;t|DJR`(YbLY{n}%cUy5?sQHn;+PZBGkK3>oTk zdstNMHQ=5zGx!BLg_Ek@LI#eO&IvhS8K_#Nj2)_DDQZ4zqS2^aI!O=CSQg;A60F;D z%UBjXnNip+^;uyDj)eAkd_%$|YZk@E!$I)Y|xv&xujRXnkRt=7i-Xa_FIgqc$=fTl7P=Gr3c=vYASm19PeVu+~D zoxwjTG)QMU8xotm(oG=nTXvtCUMudO8DQ&Gz#XS?DwJBKcF4I&pKg~c6{I>yh@)~# zLox@VBa#|_%v^mrp}kI&9H;^&@yju7o0aAXe!1iT_tFDOn3D>qotK}_adDvE@K9k| z`W&Kh+foeQD|etDDfKGM^eL|ZKG6&A!^{Y);|=&T&udqrU6RTXGoPJuMjh1!NN!ps zQ6*Gm=X!<_sv%KlV-@kKc7-NWalIIgtHKcefrC~8Y8Wz!Z+<&*JI=xvgCcb)v{hR9 zSfvBb{DN00*KvCy>8nE{_S+;R#}m-!6u%hq#~)`u>Af_Uf#TqKd2;y4eK^SRTy`KF z10oAAT~5!2R0KMUE5$Y!24Xs7wiYEYX1{69uQ}JX&-r+&h~yRH8vZ#EIp2)wU}&gS z)u37VAwRZIL8c;+1dheVXHJ;N$`>S_-pXx`QuiIG^}VZJuO1kqYJ09|sLo$v>@|gU zL;q5rC%Gb`Wns|Y!!L}z%MTx?!p7C+q8*qK$sJpo^7@2RTNOjbI-Qv)rKG^~>11#y zz8IAGQ`<4>j-MTe2pzG8+ap6P;}zRy6~sjy^LuB|F0dK1tZ=J!n+TQjc)s3WE?XNF z6RRiR_xp`e<5(ql(I}+P7(-7cb zzAFoT1D6klwP*~rigCetCCnhGL+-6@ zhiNg5f73e{Kv&9^Qg~@hL3vzny|rkjL^`-Uk{p{DXStVlDT2nSsbN1L(ukAHvZcrr za{_$5fb8TP0Si{BI(NHk4XOY(ZtO8!m_lkV$KKDWf~{>iW2@v(&?kvWwjc*-rTAY; zMe_M}A_5&e8q4H`XHrfVnl%HU)NB=82m8Ul2?VcF-pOrAdg(gbpwjhUcUgMxmgq*g0(%^W_JC8pE zx{4C5)#f7+mwV-+(9#radmB=IokXV&14-%4P3h0d^DJ^YZFu}(B+?RFN~^eUNoX!P z+vM)$R6jQ30c1}aWXD()S~D4z;~8iEOmB**2C)TBS_2FyjK)%Lq+tZ z9{pDE>MQ?))CL`%4i|N1vK*3`jPFrBDON57eYa{q(aQI{Q3| z@tu;hm!j6cQ@2q%#OyXkRzrJl#VcpRV<>87PhsBN2A^ zlJxcdx1?8fJ8Z7@d2L?axC(XEhDnVc+|E9!Go~sM?w+YL$)yr`8(bNPF@NS6<8fJ4 z#VK7ROHHu^ex1KYq>}v)!^BpPw-wXI@a}hGg9edG6=dcvhM%2D^kcZO8{eht^z-UQ zQ?!q{m?&PrI5iA>Wi+f(ieku;cSmiG`96DCmTyH81$f!x8klNZebI$IAVxi|DU4d4 zOXOyiC8)&LKSz6t5Sn~0lU48*!=H=wl<(Kk$D7?OZN9Pi_7kQ@0-fFedQbmO8s_fY z!`F9EfA|$7-ng0`#%bwBUpkA|evP1Mv>FeY@skV%PU+&qF*bPUsdwhsJ`8rwgClr^ zB$S?;8q?1;O|N;xg^3f-pU^iiG{oHT*3S)P&LRI{8DOqVmN;T7pk}GPZ+xrKWOwwf z)`OL?(r)f+Z+EE^auw5AXWRDTqtZ_ z?2?we8s;v(`{FC9k+z6mNS( zf@UWuygs*@A8&>yfrPwHF>iQh&|+J%HCvs;fLQ(Dt4Z}Mb+g!dTTx$A(^2wot*NQH zohGi8UbfXOKk5uRW@r39eeL<6yZ_a3i1K9?2lm|q;@g;}ybm?Dh=!}`Vdls-Ea4e* z?{CG%W-OiWQNBwvHTkr}ZZ}WcRiELSwCPI6TFK$fzEp>gyb*Q*hU?)-u z-gEY^G1T97kxE%q*eLiI>*OXYw3R_Z_-SN0%-wsv8;kXxJ1IiKd~Rn$qt#t|#k9U1 zsLC+CfO$#%c{e-qn1$v{P{iIcAr61$;ze)G)u_{m9c^V)K!5V9wi;`V5`9ji4xbxu zT)NWwT4Yp?!4GGFY?j}mJKRb_kPl&5+M>o!ktR6w0x2EK{0pi(i!o|{hQXgf=BDq* zzEVV*R!CQR3rMc$h?V!*py3*v@Bxa*VGYhM>B`Rhhspv>FX}4{;fUlwXAWD^Ytg#K z@s5<55O699bydQCj~yA`R;bb22BpPN@0^~{ifMma8eR4}J=j$#@TfWg+a@&EdE{5l z1WrAr&4*OtVk6?-3Tb>8&i!3a_mL;uYmRjHt(IN@s$=4o+;#{@OVVCO7%}XrcP0Jd zYrzSAyVnQ%(T;_}kJFd}vu}OQoGtfs=#%noCtkRV=4lCGyX>1{Amj5>q(+rpq0#kG zRf4|q{dLqm#vp|87u(h0oSdUa2k9&BJ|`MK)q-P3sxj|;-fwb#bj^Y^dyy8mJupl= zXi*D%P}0-5W2Eu_$ok5#IJ&0I5Zn_8ZXrN`;O-I#5ZnhH+}#Oo0fM`Ga0VZA@Zhe4 zPk`VK!GiDPdERgL-Q8c)S6_YloVu&-s_N>VI$CQ=zee)4VgTzNqbTNd?8~~2qGmtbx`CjAa%EPr7HWUK;EnY6>({=~ja&4e>}kRW=PstD zUv0JbRkD7kwJ<{G^$U$eJX~lPkBzOb63EHA`i!SNTBbQTg22^?0*&F-hqcsWA8x5` zCfE2Q2nW?o_PCMgGO9>G@3g~^_2fW+JG>U-8003RPu??N zy`Y&+Ok!>H<>MR1WF{f~eMx^t>MQv6&W*W22Pr2$@*OiG7da|utQMxjiTyUrYwuzg zqu$A<&fO3;1B}1Zu~p_8i3OpiI-*w_n1hnsf^iycGN-43nVSus7%Knf!nY}W!jHD1f?3c{GJkYn=+>PIKsV-HL` zxFQifNi<#U7qom8d+XOfO}_1I^&!_3q9#s zPkvM`ab`x?)Cgc8R};HFj=#%UbB2myQUWhue(KtoLm0)ewv^NWPttGI$-C>{c;!1< zV%w+2d6KgdT(!NuRgX=e45Bt1Xf+Zb9%Q85I+DQbH}Q1R4UKaQo)9+Jy8MDSx}+n# z9I}^gAne&DP~?#jT&YC6+-Aj4>gmmI=Hx=+&Jmm7+~C7rJAo=hC!^ob$qBz_;BosX zyExG%Ke7;6mb>!Dt-A(%!^@4K*vVINDoqT7)Nvx##-{pAwYI8DQQ(~*hbR3Oq^sh| zgG=t}56do+xKwqRJM#%y#Jf}Ksc&3Na%yuhDz>|)%}XP$idSCm`Hx~VtRz7i>O_y$ zeF0U)F$fDlN;5VZiJ##=t?_Dx5iaseyO(S3B^#jQKQQ7%TD!W#@uT(?+}zQdHu!n-0zlF5Rw3TPpVU5(~Np+In?F4o>)w zt8r+Av`0HV5l0vY_suKu$DxNi2i1_4H2%68#8^L3T;`RELF@9qODW3@{o8#KeDwX1 zjsu;MlNLb&3gG&y9c)XP0dPs@VY{e8ha_c|=HS(eFnO1-zNc-U3j^Y@j%3(FN|-$) z8taw|{?{7p(IFh$8?x$U&B4m@Q;1&Ss84sj=JV(5aN)X>o!DdE!*O|mDg6X>AY_vP z7rxiT&ev717AM4k*rdA&$=pR=@K1w6!n6qwkwS9gr5cf5@bgv#(6Xj1 zPR-X9jDJsAYuUgUBY}9|rNnz5X-P=WsS7BH7>h(@dRByZH-!tpxM9>hB7*rpr{^K2 zBiD2G`tu5t59~yUW25%CT9rlNKk2s0^X4u{7Pt-=vzEMHwE>-vo|I9Nt7s!IZylSMuKaDIu@)oVQN7#&;yhuK@`&!CYf>W3q-NQI;#y{M{37MD zQxk8jI-3f;F4fdeTtOG~J4kTH_dTZR+;44ZCb04vaGq)!A)$=)x&Z>%wJ{J*#xVwNQYg^r*y2UB{{j%X(K8 zq$iMh_lsiNL06bW=u62U^C}5Ifh_X^nqU_Zn(i}Xobev z=yyW|_4%yUM&6LLw~Tx6=74*Pv)A;$QZQR65k(k_?tZUOgGUWR9&{+vXF;T6#zL7N zZ|wO`t=}zh?ueKQEdHUVF6clUEH}*(rS|;Era$0q;qCJI2(zTqfSHkDu-ul?YS7Nc zuvIP>A2I{IL?A>>}u|6pC9&A($9*_MN5h* zo`B)ss9yOLx#jn4(N0}P(P6h>cmCr!ENJMpymo@g{f(! z6z(!07VbVm369|Wto5j;V_?{^(rbK?t0u8XnXy~@|) z7oPw_>L*oiWxNSjU4^NOS%Iw>rkWb3JJ~d@k<`@qJC5Dy_#4%y7}h5h+DfPC)jB^V zNVe4m+E@HxEiNQC5#Rj>H{(fed`xoLwUA=f_|~+|&>tV@%QO^l;U^uiH~aj?%1$@Y z!msX%&RQf*gdz`^lGU{g`w}Ue3au7+%Zqw2wWMR!K94&eOhn3Y^#_t)TXIM(juy)F z#jCenMw2(jYT)mDJmg8a51kbuMAu;tXxYW}<_!0_QFUB#I#9g@B@o+5vYJrTfFw&UtJDg!)gMJCnNzH(-;7N3%pO zJ`34tS=^hUC^&3-z&5vp5dd@b$L(KjemG8KLhb0E6yHbHiIUTdUV4=8n? zy~EVKoWuJSip-AK+*q2G!L#^{9HKmE7~o8*>QwT|8E+#!QXQ=sAL>N&rQ5d{M1>$| zw`6?nbc+_UX6dw|w3eUj2Uw-;^J=&?**0STLDp*^RN(6!wgKaMjdGJ~Z09&@@An+J1+;d?<9Xs^F?Pj#ZyG#xjfYlt% zF3ux9)B$Lup8Afe#gzg9pUv@#yi8cE3awSoHA1l=Nu_3^IHSg@8Ly*&2m;u}Mi*b* zI3C_MA%@Z|#C|2Q2Zip(TlKT7m+V)8;~IOO7}bd5TKn}@1Kv4gZr9h?-)AI08%?j~ zpO^u=*?O}))lA+iVAfav)M~o;8bfjsCisElw@|3yqvWd=HzkCR>+#1+j;r!v!iF9EJKKX zj<)~7ho~yIjmu(mbb;<%4+;ed6B3fa@)gB*`c?JW)v;2nrb`t8R;(-EYhJ4?5&Ffv zUH_n^G6*pvFN`%W0?A0z6^y%GF}R=~e+S7lecg4tYLWat?iLDX*Uy-!7H>O&A9BiD zl@pdg`4eH{!J+B|JhePJ1g)IJ;Gar#0`82ob=s8gE1Bu80=MHE>;#%~$rhFOnE1%m zv{Hp==ZQ8M+=1?#mNh~uIkj5U41~aGG&MbSZwTjWr4CjV`NlM2h7POdLas^$?TM2l z4nYu_!$yw-|1XS?t2u(Oq5V+B1D~VRvtLKm)kwlp^374GTS{y|6%@B&34xs5S7??j zdoqp57GzhBMY} zKuNuIMFVs)PJ*E}K9?;0ofJpi=oe%qhY3dzBzh#~^4FD0^@-ElHzT^}az<52N1%G| zCL_?R1p6D@*Q zZ)PcEH)vN?eQDd@>9Dx;BB&R-ND72N7X)FN2{X+h7tFTIrJyWUJ>K;evENQt6|`xr z22?au8FYfY8!d6nDMpM2ps$-x*kn$tR35Z}h=*A@^z5LvcvZaJtO<=LN`QY&qzYgD3s{v4FAu3ZczY1KZ5xjIKI5V=Ef$7$96XJNTI8fy}{F-}+d zbDL%PCoKj|jwB-T!MK)!Qs@T5yLa+c8fPd1c#lG%?jz`{b#E?3Zt=Bs=smAL>T-84E78A?iw zg{%eYK;=#AkmO5yVUJ{%j>1aOftKHTIm|rR9iQGWvE7Nt4)jTr-(Q-Vdk8J8Azt9UQ{aO%}JWcd`e?gZsd+(MWh$@TZM3IRd0DWdf| zrGVMS-{#uDdqrrYpI@*Ky@q~(yE*u<=8`0df?q(d_-OfUZKbpe9dW_2awt8Fzn$m% zRkv@zTN<(|t&LD^rw)?Z6s@SHyh)j0eR+gbJPh7cj-%@0^idn7h3ru|30NbtyW{)) z%zN#K5pAV1jWh?3Tj(y42V{DiNIR@&o9|QJ+WG}qrNOx=GVw+FJdOF}j24FLyU&O{ z=#^ZM{dPdVEiz9kpym3wgf=wOp@J1Q zLw-2$h!_U|b}7k8^bEfwEB#Rjh8!)|Y7n{pAnDg(wjJwM;>YUJaaUqwH;thfkAW4g zbPC=M*&*&~N#?l7Y9jEW!plwWZFDJTKMugw^tDTozy}D#c+L7#%&$fpSg|d$QyRDkU&6Ad>hL`fJtTO;IGOoR;?(qG$6nXaCOhZ4_CmZSFe>NQyQi00 zX&pg(2St>uN#5qH{!+Z_zNUK<*Rda3042@4#czIhlW^82#~X~SO*K#1u_T)_ReuVj z7+-fl>U1csP-&E_ZdySkeOU++u!dxs8dedPY}nuo{mvquhLXE>vkBgJ;w+V)6@ges zcG|hy=8g$I*oVf+AQ~9PNg1>_tM>BVO8XAg27)f%6{ib?Si`HR6DvO9_(aLo zKDzGdQ=(sl;v3F5Qyp}683^@{J7MHY%_QE#R|AMkrhtdy09EZf^=Yj=4$#( zRLwEmON;;(K*?X34jp#&b$H{pX56`;xyIp<(S??DOZ;?@raT|deTbLcq(lFLYp<8j zWE0gWPF!Z%xPj#g=C5wXmh`0A4~h#>J9{=tq!hDI`B)Rs8+`~Pzh3c{9YHBrb7TTA z95X?GHvM6#ofRD7p~Yw7>P`dD;``;~j<{5=qQe(=TnBNUqP)jT?*J^p!>16h+g42r zz(P4*R$@9u!i|f;hgoX|dlniqr4K{vOhJGbNa){a4;u+}V1Y+#auvg}ZW zG{9-&FFjoXEYRMRP@hDiuZ>BB)?IRz3bL+$UH%H&_ZU@rct2w#t-2(M8#^(coA!pM zeqrQFV2Jbbh*-%3t46a=7xg5-rLf9Jq>6S*dzrU^Nw$?Iv~MOB1O$&f`1NQBYVMj{D><$UAA^j9&>V@h#u=Z-YHh@Arl5P zWCXe7QXnm}Yo@HR303ISLq*{w#p0Dfd^Hj)vFjd*Jp0}z7M>;={P?}RobKe?pmEY^ zuzG>+j9k3p?$T5gm^Rty9UWPHfu4W3W#JD~>>>EK>VMxT0R5W6t-glNE3&sdjZl7n ztz%j~zh8|xx+5THm9onmYmYDk3{td&OzDD6q32=*asWOQI(k7f!z9xxE#t+P$&R^T zeh)VW!$GA|*Bn+EH=E}X-B?pgJyQ%ss;ThaPqsWk*iBF~Ss> zRnbO6R-g^<>D6#B*NBTzwkkg_!yLI(wyOS;==V{_KAm{e!XN6v9UCq2KDJ8pHaG+$ zDdW}AkSlj`euBZBJvS8I4;sAdL%D5^jP|sp;41-*4!z#j^n9a^=gdHZ2j*CD> zjWy6-Gj9aOQklwi!pHK6zTjD{z=8^HtC~|lq z?_MZ=tAy=)Fp%O7iqNmzaa#Z7o$_6oS5{&mm2HbbH=uDj*k2JJ0Hn#_ zWzMDJ`RzoPUiD^#MbEcmCQEOIQ118qRB88!?zyIjd7aBL;oR>2-t>Nd?r$KNH?%Lc zAShy7v(Ur?d)w7UK0}?lE@H8|p6P=b$$@SmZLp#(vy3@2y@oL8FqDVtLFMT7jj`q~ z#bRaMOJzSCD^x&Lz3Bp;RKY>z;YUswHi#>Og7{efXgH0c1q8?)XO$uUvS<_R2G|YF zI%WmBr!myR-K!Z?q(4k>*DxhSJB_uLn!z`@LTwJT9>!akpRVWXanfUL&OBI&!|DM6 zbmA=z>eq^Wh;;_@V=^Zo@CU#NQt>fR4dOR6!Mxv{;D;T zu6)RjO16PB_4|kJ-wxsuLMtbzlJ5A_ss(m~u(-xrCuZO>%dk$b2fOw#W>)y2rX6aC zJ8!CeiaXAj?taEsYDM4Z#a}P`e&A&1@LV!=r(UsW!0;WaeC<(F6-w98c#o=gn|GIyl!)N3PE$m7 z-d9#vc^FZ-HZ@J5;S5SI#9k@{yU|=OJAwz#< z!4ZE3zOZ?UL|gMaprqUs*b7I?RpOHnqWtanf%>P#={jr+_i_55!S0N)pf>uk-r^{` zU&ZEMIY$meB#jmXYQ_E7lL`+A1|L^};|QrKbEijHIg2P@?}2!U{dq#P3UEhN7!(^l zJ3l%;M!n!58$WqE#hzC(*CBLN4&JYRMkex$@JN+$&e*jA)1h4@Eq9{)f-c7Xs;<*M zilyw89d-S6OWCIseG<)hI#jD={|u-Q5q&L`Nw?pPJgz=BD49IPp+m0ZDgmT*Cprnw z_I*J$?oeGT^jwNEEsnxXD zi!l~_V~p1RJ8KwfE&zTVbl1<7fJ$hJY@mGwIG55fpZ!Lm6Ko_qIWYByCzXPuz)jaE zvVe4up(jLhUsB?f6y4+HudUKH<;t(j^BH7)a-Ulpzwkx|a*~JN+Txcrzf?DR_Sszl zi31T07D0Aq&mjiaZ1q^~e0DLx{ckLaNNYrS$p$|Q;8?f5F0A+l4NtMvblsQo8cQe0AATxH@+fKLd6AH7E?U5;|K4KmywwJgvaFP&Q#fo);QI< zT_b2?JuIRAthe5i=h14qV)9uxk&LEF6y4KXAlKX|>@IMyRU<*Qm)(53wKJCWJ_$fX z@!*oFIyq%%F0g|M>UfYce1H6bFKSup6f^4V{jZt5TMSqRs6fwRfn6ssBwlaAq$8g8 z3$-G3Q06StXg$$9$d<6Pp4rFPzWJLr=rx>%{9kBB{dVW{Fyvv?PH~}JIfQRjx;7)> zkA5;|)y(f{{dVRiTcS1arY)%^V+kQrVatvEuN-uaKY!Kaf^;WVMsSW;s-3n*tLZax%qsqT$+tlbNz$!e0v}h*_DHUpKUy|Hwz)T{mtVT2SkdxJ7GNhG{tlm{`I}d9V1jrikV|mN1XJ@HL&)U^01~eRUuGs&P@4x=khq-HE?XMtKzOj~(fDi! zoZ?HyX{e*lYIV_UvD`(-K8~tn|0JFz2@&R(EPXXPG->9QV=rIvZgBcsJDQ^`+e6Z^{lTJ;z? z4ZFYHq89k`Rj|Fx)#Vqxkq@*(&cJbz4VlB%Jowj`t7Lj(NI}JQLjy>Z8I?(&zAXBR z`tHO#j}cl~#G18Y+M52Lv%tXGfA?@yD9A;5$V04KGkw@%tk|TL{oLB^^QS>6QAYW2 z557VU3f|lcB_f?nj-?$EniZkZhJcqsqDO-tY!w}S3pd^PuvTtoz$L`{VKJ6;?<(&E zorB70Of82qSq%Y~%zeHI+s9&lkBa&JQQHrUvSo*@uqav-Sa4%0n+rGHoUQ`eP94nI z4?@CjPrHxG5@ylOBwrK5{dm-{u{7UaTinrXj!j za$1&U_kqhmCq2;ADDyrPsXjwY*PC!R=2beN!cCa>;N~Iw{e*i&s22^2zwh(D-yiYk zhfA?Eg8jwqIdu2Z8x&ss#-dl>UYH&Eed#L5K3h_Au`wQG2z*(BK%O(E!H7ag)0;#p zPaz^c7=H7-nU`BQ|7@$1L)lk!!+dD1^6<5|`|7#<#v3}~!S8u$02eh8b@e0jkiK~DVj@VaCo_Pi`-P@#Jq zUCk9jOp-gNeXxfhSYMY{FmHCmvs9h$p)3D36cZ`NDkNzr$k-LY;t?%#+-E3|Qb=U0 zDHk{}bXN2pcL?z9b@U@v<4z_;1&F3VoT30I!@`50`<+bA8ubf{&0v{3Eq57B!AFWE zaZ@`LnNJ6Msx>_DWsUP>soPoVq)41#5TPNx3v5CS!#0m;EACTYj;*`Ij~62$ua=58 zG2-A9F0Y%LMs!Qge0*p~Mc{N(@lnHVm@dMxlA#I6dxIqV>m9Z3@lfo*Wf_SRBWMbR zz7w0SoCS*7hvwmLfRPj-kA|MLkv+e#)!``g!m4mdg*5rItojN^u7&nX;h5$?o}Y*% zC=JqG#h-9XX;yAYY~YB2#iqPd^Q|nhhKJVZgJSsY6J8b5Ru{6dD5O~T)9UuL5Fcw) zU^|o%t=xDqi~#${u{C1Adf$-k-oV6nW68qCGh&6Lb7Fp5E^=AOXPj{Ogm7743YU*# zcn8MLC<}{Kd7VWS1THfwU?%*iRdqv@H&akC$}EA8dE1{J)N8GIsb2kUmLp-=J3p55 zkBC^6ySw()#H*TgoUKL;*n~9Fkxz7XK;diCvb@Un`BaZ~cD&k_eleqb@8462+)WKcg` zp95+#xKpY&*B7(8cSmw7&E7cK-dBi3-!P*w#Fg~aO9xa~6&x7_N;^#L&XMd0_yr>> z)UcSP!{~WZ1>uslP5OK2g6m{T-ngs#SI&sC&&bQV=RN)uZ*E5#8HcdY$zXX#Zz%A| z>~~KK7^eJ}a^IMWiB&Bv($O6~?pcIh3%W&pzNbN%*Fs-?B$m&ij5TfeS+j*k&Y1sq zy5LMw+4BEb_xQm3U7vi9k$I5J@4nL49JQa29FVmS$_6+xmtCwLomhh3AVwwRmjHTv z%l@H573?$Su7?m`6=LgjA1&r=Y}WNiw_kCr>Xm#+gIz-X&_?C%=+ENP`-EgPHfMiL|y|35UK zi#zG51pM)uhxeE#D9(Y)A|p2|ECluMUAh4){MSIAqQ08r7mY0cLT_=jTiobDBSQJi zyxM@XCB3j|_2Q1vJIH0xS-!Dod^ZsGHf9v@uYLSo9S>I@zcJiTHHiB7R2>dC;Js0F zFq=^wUMpQBX>4sCPW_Z5BQH?>*ld@iU12pRb;mziw9Dcqlhh zZ9y5p%x-o4NZ+0~tGpvmc9A2ugI#sqsd701FP{VBKQ0EzRE()=eDQqM8y}hX4fGu# zJsqW@12@f)(K)uxG@1k|N)GZULb8f}`5z7UEKbVV@?1}m*(5(nS1txFb500we`Ev= z(CGG(SUN_ji(-|#(f)CaiJF!TrBym8Nn5%(HBjZWjR0;~ z@pthrvXy~kBSGOTbV3?J17M)$?Kr~rqVr_xU(KwRN6gJ5y8q)JuFj(UX0{ONP=Bi? zT_iY%%>LlTy8nhDKWsTlv3XlGj4Br`-}8@pJZ;`JUWrp2pN(D2z~}oTR4bSyKP{SA z+IQ2ib#aSQs%ghShM*^Rt?3cHYx*dG&fjV5`9I|NOWpp(No8AJk8Pp&1IO6rM?M9f z3;V^0^=#JH432c)=KN*hE;sPyJc2&xZGX4Pn-+B%Mku1&Kdaof>1TH8<3DHYaQ&Le z`sr}P2EqGGcU?4@MeV+&sqc&EgPHSddiHQD)NVe04hM#E`|?86y_On)uu#>l4RTJy z{$PxIZWUF-Q%Q{g;?qx9T)q>rw~b2mTPOXERi$)_^aO?Ar=}KS`w~gGL9Ld2A&iWa zHI-KEt*tH4CVyxiP}(b!$CIIo9OaJbrXA9AX;m_cLxZbrrD*b_YU_(nM7f%?-O72n z5JMiE+xxx=26BrEQSvk!Qatvh-va@9`b$SWc=YbGwrjUuIFWK}E8?@PO=s z!lk^=+H+M&7Tdfrj!aCe!ft8)*88-|IdeL63Jh0_-7ez~e-!1Ge<&T`oI@k`F13aX zrQLUF!LE;z77zuI5&nF^%<54P@m)4x#aLkB z6c3&*i3%r#D4)vfZ-UJidj|E=u~I)P33!C@@gLYTR5+GDGRm6F)hHZkskUZ{{|&LV zcGYH6h;F`L-l66-Ne;UhRC}DA6DHcWU~~CMu01L8?&(ru9cC)a7hm9y8+pPWmn9mNBXx#tFWwTxhA2;W{^Ui1o>BO_J$rf8=niY~ z-_KR6;^iBrXnAVmnj{R;XrR&N+;e%9MpFIx*WzK8@+6eY&9d` zG%Ng19r4j^pPi9{NXrjS|sA#}L*g{Ja409fQvLMuJaeorl;j}dQ- zKnnK^#tDMnSZNzwnIXz6J%#SNf5q~06U~uAn})nbfXVUemiDXZVA7kEO5x*flFIQ= znfA_omb-dEL6tkyQ@ZK3@1~0*`cs^DAq=#h=t1+cA!?~s^7Tb2fI=*&RE`_$3^>A`rHwuNCcLYdt_c_`3S1JUjhE;H#q5gkQ4H)9 ztbzXngUFc;*wIC0J!=m5%>6Y9%Be{Kp0dp{x51 zGL_BJ?h2)@^R%2hsQ&n=H{NG#yLxH;CC}8Xac+wMX)}%qcg(akD^28QOKZ z7ylK!{xk4>6BZ48ed#L2k9mc`|CmFE$zN-50-BqXA7@qkP1OGL;PQ{%(JF1*QkKg7 z9n@H1#w; z23F8Jfebj*@d_={Ka@IymNWmK)$wZz<}CP8{9RkV#!7>bHp=;GHB808k)WIbmq<36 zEc=5We|3}0|Cru?Ko_;Q+$i7UKn#p)t|eCR72=8r_=7!T?fh~w0U&F(#}s#fK=xFviSg!tDi$R4E0t;HC8yc>_4a!Y5WVkub|QoC^h$w zK|O6OX~=SO<}Q0}hY8q}_-wCR&5N9m6=jh_0kN9sZ;FREH>ZpEuUR?CEpq;y=zWM< zqzp1jNp5$QpuD+z9Xm&_K41ijqSn|-jBfK^BKf~*%%gYyi#|1jLQWnq-Y0-8+t-c( z|6{_k!#<3rl4*U2q$OCbzaMTE|C{%|%Z^OiVXxuN4+Z_a>Y^|>(6~^M9jbF+hOy?7 zA2>_7t8Gj3|1s-+32~GiE>ZehYHuQ0%~$yc=&Kdrx#2gWU~vR%#e994@)Oge^#9|X z8`i$n{xzkbAW_-euYdA;RR5Y&Z4L{%4{u+GY|o%OjT6a#(iHe}g809F?D2i9#orL3 z%|h+bi#M z_$vzg^5Z`SCK{94?Zwsl_HQ+_q7sak$p0-T(7V)fl9&D?5C7XK9G%Zyxkdc$?THwOp9#86+d#)nIUJqTCh7a*K2B^^Y_jDIp#;YE3Zs)!3Lo>&u6!fR0a>{;m?o$RZF&aXB@@%wf>K% zr_W9|zX=`2&k@bH(pLFa>OvVuLl-+kmVxtS|^Ojov^y-(RDj&?It@kkt)b{js)8frq z=l#L3_R&thHWW5uRFW%42jIBZtsE@AO}Vd+wq#X7d>W5g-0o-qEeFv32zE40sZ?yK1H- zq-IuG_0_O4t^w8k{T?@trG8<{Pyk+s2VPx6rOqZsftx8-jwW6-0Js{l=pOPm=-Du$lQiDRi3Z;|6w~d&An+jk+t2zR)eiMUslzKn@crF-!w%uYaO$N zL6Y#$r`lk$Qo?l<(*cjYZ8cAS5|ow)?(6FnE2j2dwe;qcZaYWt*xV&EO>OnlZP=oB z`1lnLVXB(RG|@e~r*x~)q}3`&%K2)r08ZIG27lZ-%o(+RG?4fA6ezXkIVF}L@ID6+ zEI-af@ezf_nx`HC_G8K#EGTZ=3S|ZR0)S!xmG+AB(t@cVd1zmUuNJ;6)0#rw^U~x*ppX3VrHfhBW9C2weR7Ug*JE+A z5t7Jta;K zF6r;~@2_T0*J?CS#OezPju+M*9@0*$kJlI0+Eb~tr1w)dBmJ@2<(_(DMODeN*smY% zvh2I+!g3{)?VPxlR)^!1t%(Mwk7!&xPdjOyOPdTlhPRe9S5A%PiX+7>B91Q$Y`}C2 zC-ed}Lf+3iTDlDh(z!d4r%ox-3MV6nABsd?JvS^hRK{y*KDWGoGGC=_Ir`|&z)J8- zz8v^rw0@A^{?A}u=Y1C5=Bl4QxanN0zIoKJwbf1E-EO?-BraFRruqsq$MEqp)$U<# z+T-P8>WUfV03ik)Y+Y@n&al^%)E(ZyiLugVSL5(C|kn9r``-uMozKA)YCVH+|EuY~K4 z;XZHmc3f|W?(lfAY!Qjg+iyp`tLb>h6mG^Jv54H>hW^>Fm*{2Zou28#GO8JsU7LFA z*N1`dqW#eW^Z7rVwl0tR{EzzwxqCiSkp17u-ZC#;+26PCB}!6xbbO1E}$#_;lUmo@D^TmQ!QKk0w0ua&^@ZkZKm6eR$P zDz<$aGq&qM>E?`6*P+JS6z}TQ_W3|_u0f&zeF_Smh|V2MeHQcLR5_9H%l;<5##EyV zEwYC4>q=`w#t<_P;ki1swIr+ekkN2Usu&^BbO#ua{?gO2T5J{Qb0)-*)o1QnNo4zu zSyXzMI6G$o93Cgw&9Au@S;3v9JzLr6Ci3`@i%`N7Q@D@~Fo%Wy(8>3l9kwmUIW(bO zLM=d`TaqYhxHp@fSxCLkMULcoi0p{k_kFPa(XSpYrdqak|I&*Z`e{yc-xg9 zoG!4>e0@^l^*JPi39sTyaWCzoO|;euu~ei(V+SnlxFbSI_l8HUrFeZ`PVd*myO|;G z`=!hlA3BV_rV%@bocXywRndLIH5Iqq=0AJ>>}Pob&PNh%uiKi%?(f>hRZi8H6TH1{ zI>y+S59C~6V$To#QCe&AnhH0CIot+Mzlem6i|_ohM!P{9C%V`28FjNqmqqtXyeU?B zo&`^&{`X(}A3Ps6Ne2%zuOp8f|CB=a_GuQ;Z%+FujTPOk>P5+TJhcUer>^NU6DpVM zKTMr!;Tu{@b$Yv3kfDaXrSgJ+F=*mkB9EK(Z}3>gnHouR&>rD|>-pq_%JA{zc(Tjq z{_)h{d2`e;;Jl51Kr4qQ0NpM6Gw9dk^QPFt$)U)-l3L7dvthi6Ahn^`qYLtV2kwEV6klDZa!3G@)g&WMU7QnX{C^cez!rUx#GuC zNT<)ai>J74XWe@+FQeb1MPpc7T01=69yWW5`P`q-+2?oooL_ma&5oSG;=~>wpv|Y9 zxBajcqAuUor!V&)&o2LSm($7U29&Qpy13t2S*U<=t-GExfJRdM8_c!NHoYo)8Hp#N z)82|^qYk%989f`O7grn|E2)B2ScBgzIl97qe)EB)-R=T|FRHvRb^LU7bXk~?n*Caf zwI1PLJFHvULIxL5aq%bW-|_Fmx9w}(J2E`V`dmBBL?}l%I`h0k|`}|UYHP6l5 z!OYdo#oX8)1AgRaVuQiS!}pf`?cXC_J^@~V|9zU5<3Fc`h1nF$9W33f-g5GC!q2I( zNn6{&x3+%ECT(ZzX8y_C)X~gbR21VsxBZe0^&73RlyRWct#WX0M(U8BAL7d062w&= z6<;gAF(rG8W@MIB=W$Z`zBXk2CqgCj!)a+Gb0XDb4NoDn-Fk)n*!C%n4(*}y!Cbk? z;{~uKZlCoi;P)UE<=Vr^&ErYl(`nY1=I6&h%8pOB<1Lf-VkX^P&u3q54E?nq7#rJ& zPbKY+Pr?Iy!O*KYi6hU`U$8c(1v%iCikrr@$Fn~>$6(8~=d*w&{b>2M^bXLf9m}rs zmUqmJ{b~%I!0h7p=dLs>^j$+R{LhoJd z;lKU&Vw9D0=hvW{0R3Om0T)rqU2sC>`CBd*y?83VxxCgg^gZvdk)5pRy1N|hx;w}s z>biXjcslbO4f?WqlT9;j>L0l&n%t(pbC+lO)XZk+_gtOoy=LKae>HRKoxr#@$-n0R z`!w%y93G#q#kw9&YNVc9qBi`$&L}>QNn(_lu9mtiNVZa`b?+Mbwqi2efEStrF1B51 zemVL&tRRD#V8c$`gpFYJmZ;m#Mw{*V+A?*v!nL@z zj1@nO(nU)uk>@}CqiOQM51@(PE6N7#-krWo9c#PEY1fm0C3o4e%j>1m>l;ge1thhV zoK0us=(e+@P3N~CY4udGt)>Li_Az~pK^HC6Z2M$KJM4<`$1gTVvE}|aH^alH+c(+( z0@#fK@yJordwSwW(Dj=HHq{(ELk`0<@TF?^y+~MOqWSk8(6r zb7RncJT`pXX)}Bd54g$mf8^_Wya~8ifNN##5uTDfT-`Shj34KW@uLBG|bk$q{!NJ|s{Mv1lsK zx9~bV!Bt^xl}i+1CbdjgS#juYjXF>wno#1vPb^|8kWf#0zAFbnML*7 zn{9_MD}vwC7wRe7q}Pd--GFu^dA+KSNL}8~n>9*xhY{fXeJt{$#521 ztNvd+eFaoo%@c1+3$(?G7ccJa?gVJj;#w?7aCcg0ad(0fC>BB?I0Xt6iUkj@#r?yJ z1YY`o@4S<9Hk-Y7H@kc9&d$uv%#XVx>GCb_%;ZL(z$&s6>$S@sUDr?F2YCB%C|}0e zhvls?6qPf9m+bPGj`J74>*rdAEA)zoJJ8vjEbjEBCN(|cBdBicGc`Y%V&#Rx;tUKfy5BoZ+8V;npq=sp@cuCa|W z6Qiw3IS+popl$U4uOGNy%gt$O3mg}?YS%ja4G}Og@GSPyxHjZ_RT8yS@0IpJ+cxyS zk)#4+A=B1_uOk`nY@I7^lEb&bgwmV6xs>Y95yFvAzy$1I0=NUP{@0iKC=z4ttenQB zNfqU!K=xh2w0%OsYJk%JhAiOtKm^Yi*8c`>RnQkKW_h=(d}R`00&v)D;xO3Jx&PE9 zllr>)m?35pLU0&SOOnz^sdP4BxEtYyy9l`yd6k0xC0dHTI-*3jV2hHAyA*cV(seJw z+HgTBaBs%58O%r2)&s`4>SrH7jdW(!irdQt=LLpkJSj`I=Czo=Atr9)imw8*AM>C# zq5mP?n=ZdjnpxU{MFtBAQa~C8TOtYNV)TT*3ZIP?+0dlaQRi+1&SIpiDFzk#F@EtF zC)_r-bD2lRjrBA1}Zt zQKhYxJJ+9q%zAd{8-`7>*njf;`XfOF=hT(SvCd-#wkaRPTYg1z{!H-MZMj{FG05uH zL_2pL5{K9(#Qfbz?M!v^3>;Q}_4%f|dSN&4`1;b~eBCo>r7;=f0-{@eA0@?%ZPX$_BWDi0;WV)_@H zxAFi{pw=hRJF5-koM^#5ctoX97AgD%M~y!l)DmCD*YyAhKQ)oQSr`b!w}?51sppIht(?b{ppNWGFu#`Zwtm57>Hzc?(+`Wudt zHb31wJV04LhQ8d>-}=lHtid}&aJ!;o@JM*JGw|Wjl9-jISV33!?iwt?-g$e?kVa*> z8727eLULbJ%Hm>YcSx&$)c^DSo!xVE7|s%gOTksxdA^+&|djsQj-! z72UN$X=5#M7n%9JQ~R3q$NRu{GMycwQP;a0L7~ZiX??%fDj9Z(J&4||$FC|E&V@aG zORVc(C9;i-W!kW-Z5@I-xE{E$ItE_R%^^Zt);CsL?I8*$z)ez@Rz^P*@xnT;t^WshdQB? zYw{T0;V4jWM5j2Cj908r)PQ{T4bQ1?-k-RugzZDR(^!E0sss7mVDbJv0VZly_G173 zWH;>6-*ECCN4h8spU&Xzd=}3Z@8?cgKGQq1(%CKxR-E0Fsaw`Qg42#MYm>*~Gv&2k zC*db_-W^}(7rjpv@0>pP{*3#5pdWU5i?eHq_bo|gx~olXdR3Y>z2OWnX4KhxryTad zH;!&iGE_5Es66Z!K}KODr)<{AMLD*o~vGC$QKkjS`jG{H;2!;WPk7UT_()yUN+t zgYTb3THot>6o<3%~dZXTkx!})A}#4_LDt&CC<;a-iv$OXQxG`y|~3*i^Fxj zH<}v8*ck5FiE>9ReBC^K)D1n|Sfq9h&b9e_3Yui7N_6u|e;hkglL@@}gbv49pQ&|7 zahN;5ZtDum`n$hCeV0{xg$d_18#-y=$Sb}_26z0p|Buafko<|k$x)=At zEoDyTT6cquEo@-JQs*-OHi{$D_;aHx8*()4?qun(>Y;jAezkSV*DV9|yV@!1+WX7* zPCxY&rRdUD(mqe1<{x+CdKwqza>+~ol1})ojQXiRCE@HNzW9@qmIpwKsqE55#Auiy z3YWR~ku`4h_?Ynihs9r(+|%M>`2M~EesgytKjTLr85~vtS>12GemswmqQh@ToETdT!GewGWMqX5 zWq!zJHTBE>x;~ckf3y(mEXD&cK zaX9|V60)MK@TcjVL;G(DlhnZvjBjrVSE=x3Yjs2C4{AyKlR8j9l^d+1fiN7Y@{YoV zncr7fM%O6T@nbgQuF~IS-sB;n+gz*V_(458fXEZksD_7b3`a54UsTj3pjP(NgN#g( z?Csg*-D~*9b@AFYr{@j*-?O8;S8!I@E(NK-4}f#QyHNPR=~J`W@;6Z@j6&-kEsqb~ z_{zDm3BlcJU5GB#Fg9}3CstH3yFU7w(ETOebbf;FYy4%!s$kF=YCrkv!Sp&@;ExhR=ZcnT=1m{H*V(O&!rg58 z=;CV6AwI#!u(|X0lG_+)Q5Zt@AlsoU`O~{cqN_hc04Xfyr?Z!1y{-pwAlS)fj1o#X z;D^}-vFmM)`DODD%3ls!zsk2LGM=058_uE6Tc7yr5V=#VelcIPe*<|_0HVO4TAN9%NHK>6qaMP6Sf3O)pw|)1G|Tu=NB zh}?4uUrNpu=BBX{UDjSxMP0{*_Grk$7taF@uNloPc_1vz6yT80b z)vMOCm!hZ<+8<%jzu(@YrBXUm=b*>_D-UE2e1R$m<`*41KLzcikq3p`M#4c5s1cBBrHSL>gp z3s4Qt4w<|5dnjg(5}odPQ2kJ_`i1px=y&V7m9c86uEmuTnd?f;zH6QF^WJ`tp>Mxe zN3QaW7CK^de%~#@0qo!n z9Agf;^BQyri#*NUia3`=#63DnJbJbKrMtXQy;An?q?IaqtTVe;l;Vu>zr3aIWIiNk z;0|jiRy_B2AUqxCIir`jd7XP6hMV8z{5X3qNl|chE?tTGm3YK?MEA%0%%kmZ+>`b5 z@Y+`?7<6B0wzVYRI;_UlHYbHf&pmX6EPh<3`*qd*@HTT6rOZWe7dKZVpdXrR`tbp9 zJM4Zcc}V$~0#JTD`*c-@SwEVjYVP~sgOa}=;|sI?Ix(Ns+t*2*7jm<2$ws)P*x8Vj zOf`huPtRuKOa@II@7fWhsf@nt)u>X!Gu258Z&+i+$p58 zG`gu#@9(0)Hz3RHR0W2_UG9avE)KC9=<_W`c^km zYm!b$#t7A)wTeX5(5d{%(hb11rbOyj%Ng;D3QKZykRC&M;mDfF_n!CI1UD z#}=wdStKF0iQEcqJj-occ3}iMMDzsIKC8i41r` zk9XAjuXnW{zg!($1j`AG#%*E>1=W%vJ{?^rM{Ylf6~&B|77KhHq%&5-^dIdY&Wo$S zICZ>`Fzq+mq6#uEDlGxgX0=(-v}bw}0}MC2`bTL$eNJ*`L#~6gRoi&)l5dfpRr50> z&TwVea5f=^je&pzl&i6a?Q9%^#jfLTERpe+3zFY$ekuLt8C!}3(OdWc7|5k+TRl=w z6TmoJJ{2*deY575OtDL9H>nAF1|M2bdsz44k4JHEfzoEpaFq;>%IL@eu{BvX9LSvEwd zzIx?ilQNl18?u`S_*4=>u!1SCFIX5iv)mNCX=LLgKSu8)%^E)vIJu}vIF_4s?>HpW z4kCNP;6pwtzQ-NpFe<-WvQrl&o0RXW1+pCVv>g3xshMr5S#CLML#6(^bp4kPTiE`4 zpZ@bX@+4Qyrr$5>tfxI0{=4x?=-={|Ahm7$37i|eiVcv^6oO&}bxSaW(UU9s`urLR z9DNLwSe_-M6En=^-5DFiMFuaCBN9nS7F6OF{%?mPC9vs!;Dm#>jjkiKw0I63CXjZh9B-qMoE08zBjj8-vb z|F(zye;+#>ahDD~QUKPI$lJG6Ys|1^Q=gWPchFZ2zKZ&QcDDFPHjg9WeX`zH#gDx( zQd%O3$Lzo|DP%bwLQCbWqTgIUz*SE^?c8b9I6)C%qCAg{A=8d0or7a`%qn;N?1eT% z#Ic}NgZQ*&4%q@`MZKy_pnkJBVe~Yy>dyDJ5j}!R*2SB=N6d^?^m}radMT$>V0K37 zz8#KZvKTe-ZYJWu@!mNGS0*|4?eex#i|zX-h;@+<5zVbyHwH>a#skDV?|frmtl~Mw zV=+WAjeOW8xj$x7(255Wo|?vsZBO%;0rgy$3sM{g;%BzT7{*NK84}d&xg%s{9B(d{ z*2EZ!!F^t){DN}NfLxrD%=BpG{q5QyeC{b*YLW%AH%ZBy5aMp4>R*bi2jTbUu9_~VyK2A+^0 zidJ>jHCqWoy=DnIV9uAOX=#ZJl7`)SY{6msg$R|X{b9~(jx*JF4I-AC0l!tq+(?UZ zM>1vX1b1?%od!*_TOFAE3cTk7*+#;Tb>2(}qX>1ShPK`ApGf3&wK>|Q$S3G|v$b)O zjCX+DX!)ytlM=v@JuJebBy-T1*}3jD6jHUGB358;BQNlm*a81gL}oZxI7Xp_dqjYWcnN5!t26*+?LdLkR$^@DoNP$wR{7j)v^2@@yt}e z>16(kh#@Qy*CX>uh-G$-SyJYUkiv*G`p@gwCW5^Yr~^0t@^J*<%f9?o zuXCg2N82^u46D{M`BmA{3zSV{u@WVi@&c0fu_lNl(+QnZ9dz-weGNQfFY6unnNh;n zEAIkP+~X7Yem7X6BN2~FEg=W;5xL%%a@ru{hGBI>BKLy782^?Sb+s9*$Bzne)$M+I z-aBY^^&#eu>*OyTgT{5CMS7-5XjC#ayTNC!EYptdV;6&T9NmT>^v7yHKVtvG8Q2Iv zyRWKHUD7YjJ25+M*6asx*)zP<#IC+CL`(u4l83hyPO0*2{8&eqGz9P4AE{R>5C;*_ zs2SX-ADZ&~Vqv@vX9hP0<$EBeMGN_`4fhA9Gs;2zS#7}qtio*9Obw@2kOHOy9^vFn zK9^6X9&e`U^!87sp_EO1ZG$tNfp5EDS;=YvuGgiLr+5x2#KU%a!*;sEc7jk|=UkuG zjiNITxoqLo&7wv%;Ec}Ka2vL-nu~(%$-qgVrY`HoJf|U-E znG4};4yulAuJW%2|4Y!hfPYC4ItDEVc457TkRgw~_B4Ta+W5AUc2ba_BS@~pTHsgj zAxJxE;lwAh&y1!j<~4zryRcDiwY<5dHBl;`uWCJ;IBCc$gew^G?x$*1Y~MUO7AeAU z+d19@hgABHS?p~={mLZ9k8*0=6{UTdzcwfAfSGY=?3wHIv@)Fn? z^H~WU-?l~PY9{^gtPC20yvJ-V%_Ek_3~*4-cHMnS-599noK3H?TdnaJDDwedh7L`# zYg};siPtkBZVbQCYdga);eRi%4yr>T1JB-;mF*X((kkY8>}}jB2RVz0lY! zYAZYABac@JcThrCAE%edN7zUt`IebY{Be3MMY)Gh3`vZkEsF-lN2Lq*U+(%c_F9pJ zvD8E+7N5I z+eW|Dj6><(_^yhA=eSgfdTU7^vMAu!h(r}NaQR82VW5vKo3IUam@4VnS#a>L=zn5i&7(#ieHUus*vayc)Y`-+pehQ=>=CERH%10 z5|UV*)pLky^c0V~kV|Sff#b}NN^HJDld-s4$zneocnJa`EO!DFtVb$5K0%Mwan(Ky zPE-GJJGKs^L3D?(I57H=&lDvpVwwci-TXKr{%T(8!1%Ujvugl z*8>eH7TLWsW54Q4(~*4sf$Ac}SJqg_DWY?%_*ny~y^;tPv3ynT!xK7NVsCzQwE^M~+UbLvf1n}%l^&kZa$^dE=tiUxvUHTQ z8wnyYAg>H#6FIOOA)ktWR`eXR#;LHOYwtcu5F@IO*e28;B1MyP1S4?fxl5GsD!Ifr za;aIIf#by7Va!iA>zh4~mDSj;JhT;=JKDm4T5&9UIbt{M~U3^DvXb0)uH-@;@v)0z?QP}yF8WTTrDdqC~N_K^&Xb2v=;~os#XH0 z_z)%rc6IyLPs@_twk0IE>5r?r>MsYXFIYZ3#ETx!{JsP`VIOgB92*%0%6-sHMMoqi zCvLilRd=Ga(i~-+7iJpiEjBr}nh`y?3{3}jI!30Xlgxi})8e8sgW}qS`4IHC$|`iK z7cR1HQlVN=@||mi?Tnj?{L9>*43(d^y!vCd`H@`bzYfB|;#+3a5@j*?+Vvv)2Q*Zz zwnM_3P9&*PJohs0s=#8U$hQMS(651GZtIO6jLA@{dty3%hb1N&EblAC`VPEqg3-1m zZ?~t5)lLGTI*%};urd_j^YYnR_(~8Q7Ex`fLGCeN_Vj2fb)C2}=-J=nh{U>maBKpv z#Lu`l7-kLbFyrqa+Grt!l2hu17i)ymH!s}5VIXp;TG3Qj*JU*Jm=VLU0e45IUsb`$ ztMm4w|Gbg5FK*hhqxq)8$ECuquOwlBK~4B2X6FXI6?gpp5a#?u9@pv^Up`R!t*5Ku zt|q*3a3b%}LDSdJp>Pz~!6tvK>|P)8QPwky?K!g}xyGy{G40psh4O?&_ooU?cO;{l zZ+=*Ot{2`fCR1q)C4e7)U%)Q-Z$I*dp&<3-5c9Sbn|ZFb-i)hU(R#KXyMMmRcW~M%AWfM;GOeNj#0Mg+XF@EaS}Onntf|v+>J>|z9FEgp#7hu5 zg?F1e4UA1+nm&UeiAOHNJ!F?^e%Yi5+GSS#k|s4zdT`ebde@wY_SN=GDB;#5PpE(s zR%t6i&ZD(8Bto-m$V4jbz1a?RwEp}4kDNmOkyCh_*DmG;btK>&01^08;<)1vLco;< z=;G5ZeQ;_9OMenVUsVOLJARY!WFp#Wv-c%U$<+K0n&D?M5)R zTlAM+K_)7D4Ol@Av~$BR8ZG)q7KWqe11SVSvL=GuhjzC8q(}?jY{muWj(AvjoyZ`Y z8GNNKD}l0(js~dQ4)9AgIRI>iUkcRk$KsRY9oRv%4gpc~ng#|@SL;#?dZwdRO(qp{ z!)%8$!~f&%Lw^C^sN4E_G>Hrv9^)o0+(-du9Ma=E4*5XUi}R40ES)kVUYltmEIzN_ z4o0#PBa{ipw`&fF{|)2z$N#p4=)%vbck$kN2SVe@_3g06{XQcx4v{IO5`-J?9?5Uf+5;P61 zo<}5Vl+QW?U%)e|q$F5^FW1)Q*i)%xKH(cihy>a+{AKCMur619gA~r` zHRGf7MGpfcWUVZO?RWN-S|~}tc}}~bg;FRf+jw>fAMQVWP4fz@5Io%7NeT2x^EAQ8OAZTzSb z?Ldw|Y^VKrj(xyE2*XIved)ve`9yeHxEXAIvJHO=RwNwt?QndvhQlFa z3E%*-T&W5-pg{oj(bn8Mg%1YF)!ovOK!YtEM9JF` zwTW-dDzQ)t26jIcUoOL12k{Z#Zl=n~_vG&t&NiWoRC;h0B`H6ReI7CEraVOH5Ye;V znHP=oLSC)i8B{fil!p+pdNAhKljP|pc|#TqO7~{P`D*F7)*}5+$KlS{%dPp7H=y>1 zzg21#AxmTKLOW~Yhb30CX0$-V2!FM$Pw8cz<#sAzHPYvpX#)L2A(<4yj1uX3B)&)Z z%=%s)V4Lgu`FZLzxH!p}#(Ry-&-qFMa?G&R?6v6Qon9kZy)m%L*~*)RW9TY?NN z?T|6&Td)^*>*ru+pm2M*z%i|RE5p591~qlG6AJcE&@e6&8ablstPH#kd<}|Cb-Gt| zGA%1ucU3EW$0{}n1 zW)Z}-tHxcf^!Mgz8$kI_Hpy3v7JfB$S%G6zqk5rWKLckuKUZcD)z|ZQgUjZXB7O&^ z9Ai-OH#&(K8ldA*nMRbWAhbL^e~#+D>~|fY8wk|IPk3g>?IHsyD{+pdRI`T77vH9v z37?D~DOAk&wYHeFluVc`>j?^t-bzV~O#G9>a=WStG^-)`Pd$96&At`T+IhZ@#wt;I z1-{;iENPd-XNQL`vF$;pJNbrbXOZGGZRI@j`)nr(&wGAM;p)~e;&5o;S!L1`-+84f zwx<#(sH13sF@p1E7gWa+P`0$m{ekViJoDCAPkDM9sF3Uuy>IjegP$2_hhOR(2WkrI%bTo}FN+v|yDuwo#MmQ5sb0n&2GQK|iaOKrccvtzJ=> zGOD#00oIqxCe^@a&b>T*#iiBuYqr8MlcN)80@pJaes-?H zuJzv-cUIaff^uVnjU-^eq_}R4fH6;VAYy9KtfMQoJ^~5yt#68Bnds5+@R;FL`)cgF z#$+NkX(kk9EIbvPP+T3#YWDX#;)MXG>RtXZRz_`9kF#(~jt^kSYDTsHP}>5)@kZ@G z(Ql^H&OqR0R%QxP!-Eu@pUtlVCUfyV@oATMe4J{h_6M&gn`bCW>Kl`dQdDM z=YmvQQqUp=v1hkt(P8N;(Ovh4g_r5mr<-6j5iM5?@}woKD(Aq5&tR0Eq)F5hvmJi? z@4DJ;(TxiJ0Nnc+L zv%2CMkoZ9h;onq><=N)hlzLcmS`{ZpwR8`(o%$JUklL8$prvS2YN!o6A}7u9SUq<8 zx#WB-%U@kY=G(9|@W}xmpmyF@_1N>Wq}L|W5kDIeukVNjCp9wj5w8-WdXrncy1S!v zk?anqfwfWVw-tWI;jj=qv?={zYSQJs7yE6@ULXLGsqbbz3X1@q=(r0ztQK5_PEZFa zCY5ZxVXAKxTfAmu5#}TZVR>^@RveKt3rQwf=V^N7pb_=}X^5+%n1pFJIi<+;62i7w zF1P1Uiiyfn2YdvG$HL1OtauKF&vM=A?~gv4j{`bdX!$UcBG1Kw>@O8MpcmR!nTO+P zt}k6^Gbogo2dM~`b9;U8T94tGJwGOdyV~T-PS8?wWmQV-K0CdDVy&*sre4x*XMo;V zUozB=^eqq}H!z{Ptjq}5sZFG!#-mC3GOzq>oCc4kb`G~=B@^Ny?=wOOBu|(&7ZT$A z!!O_;)+<+?3e}F%JXw2p}jCG>pO}l5p zGpj>gmE#KJrsQNOi<&VO@uI~?i-vUzT0>9=ze}pP>X2nj))Cm%)8$6GC^R4C);G~o zGLI|@?b`_NnWn`3w^iJYf-g-rBCuv*3QfMqi z1HEftth4)1m<1UU$7+123%{m&ntC>_E`6}EoJ5D0PpwAn7qG|8BSU?(2Kx@3=*C@a zea6sF*vNc*yP()Qa{7T^7nJ}znO$QrK8k_kaP%VGC}4@P33cs70%o!teaMe{FsygJ zTVz2_+Vb>pc;O2L(H6`S>auL`r% z=#;Gri1$9ekP$X{1vBs4Hc32|__%G952$O+e75T zw$L5f=5e&L(I+aPXxqTNRip4wFS)oK`H~%64Ln;)A1h<=*hU_Y zSs}`7jv~1Dv&g6y8kbxmi*3D9F3~#iG(v#EO!B-c!bgs~iTNPnOIyLDZtu(rm)n?C z6D2i%jY_P36N@6g#YAMs`;laa-L_`F4S%G!G*o zQe;Q^ZZcExh{JYvK|V9vhs7(~91jBwNhNSwSZNc1NbTXXbB=iXY*8v#l^72uOo{~E z%rs@=lmsm1PlH=N`A`Z4~gz70zmDAvGHS+6#n?~yVAnS^}_c%eQbKt;ICumwZw zhtpD>G8*#wmfUp6)%Z8$voBZ1dY6z@o7w`3(hS=x`P+nbi7JIg0EGex%8-vVPt^^C z10G$1#~=-yF!}se8qXF=C?3dtR~>^Y#z9|K+Z^xp_O6h9P4|%kH*Ll>rj@2qmz_kl z)2>n1r{nKgd(f(48kU(U7Fgf1~pfg<2;M`BRlqe6-CQ@J3{6GZFdoT@glaxOi{I2{qpG2X9-u z%%FjyqX5H-V>_bl_y-ILF7wnty}T|%A^#+GjOtsizwh@1v7$*_|v;orgH7CVchAn3`9P{cuBU>5ud$u~qK{8T9De7iR~4TPE(4?}>O zHu}8@_vU^jO_yXLqVT4{5WJ}ow2DsSLv~prN8u!1^uFklaKsq?)`zwQ7JGy3gh=m* zeLo|sf~tU3e-}nR!FSx#!iZ<-Bjau@E|$KLXs4SzauR141ze1L=$uL@Q2b4@~sO-IKsjerI865iIsPraM-_@6c5S;+|dM~FC! zD|SWc4ihqxy3BcgUs4ybVTuaPlB!Vg6piG!T{T>sr$p=gE`xSYXUENPYMh4WRhpa{ zzn44Fm>yOTn;t6E^(AiKb}GiDhBs~A*GPETAZ8SsaT){lf_AN%biB1TBH&df1j~4X z+D<@Wv1+Gjhr=~99hwyU^Pjgh$RJzBNtmnLweTr2K^!DegNn{Mt>nKj+o!*DNiiG0 zRO4yJCFfjzH`0whXHZ51%cgM6E7z0ETBBL~`et#a260j9mw=cRNYU1@Cid=eDsy0N z1c-`UNYu?s)xpabQjr|aa*bvTCXStCnajo7c2bf#sPdelFKtL8<1)4*{`}m}YGKSh zrI4_O8g-EijqE{VF%Lv>?Y**}xrm^jEK~#A1$aK1Q|YF4sDs$maX+VKeK+Ey{~y@z zC~8}JQdFi2#Yx!#xb6Qf^33EdfXx_Le(B1vg~MBE!sc}BWql;sz*f2Yi}G-)zq%vJ z>D#7IyDu{8P`ucPzHQKILvU-)XG5^0y=c9EPR6-__&Qz8Uk^~)3mwz8hK+8g9OdW| zE^`A^FWEqGh$FHDVjJOlgbJ9lvLfLpnhx7W7Q9<-zVt!d>h?Do!#mUE7mvP`EJGx_ zD(nP5>S`_2SB>WZrE$7Wnhtafh{(X{rJkadi8Nxr6o{#3Zor~yyk{1CHHtExilVmz(Kh-1fE_Eca$KR~q-Qs6!!?_KT0U9^qW z0X?b-#1w8mcIs+O1fyBeB6uJSwctTwzWdW;+!!UlyxXCD#Qw{fJ2R*83e7eR;vV2E z4pbRq4t(V_abJ?ljWKpBk{s#1%gyUrfe3UUL|hNW4oswf8_(WowRT_1%ZWPP*hY)D z+Z#{eruF1$!|htdM<{oswV$ZB;|bf~UUrwXa#b{1jze43(F=uggr=}7ZvGPW$26$v zmYF>D0ATDz!)Ibnvo$@wx@5)#2MWFod%FCvf7ipWdrq3%WvJ&>O1-^#pTI-erg$c{ zd-qWj7R6HCEj_>#XA3Fqd})`~C}BNH>e5+KCxH+F({l}8y~_#ZF~&& zXa^ue+C$N%%c>3(HrXQ!3o#Tbf{aKGkhpC>CLWAnpWFpFmb>cI^b0z2M?FTm1 zu5(c_jK13UhS&B{(^Ezt-y3@AmCqw=zeqJ&`Y=E8H6IEsN;Nf_3fZ7|fQHA}Z|v!{ zXpj?dxVl#wEGxCW#LdE^!;VAE`1x-JZqDsDw0M32`x-*IT;287i7ncDc2Qr<5=}+G z&a0bp)2^!GBk~hX+Bt47y!t(T5=rjBI$Yb^&`Goh`g{rhG;ZVknRC?cM2yJE_-BC4 zPH|h&_JkFFEQ|1&PEH3PbJ%0YfRbITp-pV@h+}i&`(gTs**K$8d8(5a_wEEKQqmIN z%a?X9=XbAj4tEp)l4^3ded0=G*kD!tEX-Kno!kCPxX3~50smR}zTbM43t?`)2l`;9td9hw@rvRCp`9}L?(;!th{iz_Q?7XKaWg!ms6eyS=rwZzr zsGW)oX{FASFkk#mrHFt2OI3u10v`^didJDpGOmj7IOI>=fC4l`y?i$GXQW5|xG9Ap z827!AN#c!uKa~gMD-F#Q-Y65Wli_y4@urS>SN7`#TiW&& z!>CjaPPe$8rF>{8ft~U4uo6&+GizWQ>U2w!X?H0}lcL`RFBc*gr!3L%bD#z$Ac3y8 z$PGTuw(Gnn;0QDid0b!g(?UC6{-xJ%lX1bC9_a2rX$H$*Y2+3Wx8HpAr^L#Duu_t2 zU{$~z&)|ENgmR`ZsUw%IK{Q>G1-8W;4C8dQlSwBZFo3vn!Q&NO!KPS~n* zq!&gf66)JQ=_&jM%6^f2d!}3^AJj4uC^=t2kB>hJwTgkRN_+FnY4&2OKHx;^a@*1+ zY=YLq_hn*xp*~6@ZI)Ynoa&eq>cDJ3t}(pGo!j<}{vQ?M83hr9cX8z4vB^N&aLUqb zT3^(K4L-VQb~LKg9a!=poyzQJXU%E#YJgwd{D@J)!;+5N_VS&r4@y*j_WFa*!?!>E z)tumE|1#DAd@s+sNhzChG^vf>bmCK3EmT);_4wmo?m&9|RrJ=BUs!boG7MAI$pT z>ivMBZtMOKxr@SES^anvI~r58&F`W#M7vB?=v@9Q^!1DLfJg@PeqFDG9~{X;vWR>` z%(ypRSz2g(^I;FV{JIx<=d*Y(-T+}6HcBG-jZzON}STC+uy z=&MApjN;Y5_{LRJdG0Fw-etQE|5F7W|FjaYiK9VCw*!(yX1MiT*6y7gB8^-*KEYDt zRoR<04%m;dki-Y*^Zb&Kc$iDWe#r#de8rESH{qfj?R+LC0BX!Tz;eCVtPZCaXZb-YhIO zmskR=m)cqoOdkjzL+Qkx5BO4f%2Vu!i&o_BZ~+sr_yOcQslm_^P+FiYO*7}>?}7TK zJ5-8--)Qx_FYA|BW)x_}6ji**(-(hv!cU6PHg|&t!Ne4S!*2)}13mLNg$!q57nya6 z3-2=qc1<+Fu&vEC6HQ9k)+a6+KrC!)ZXUa7KFKFqlex^yd`#TdiyJWZAFV#3F+tCK z7|jDV=ApkQoZWg3P4Ll@im$W~^Q@&KYfmCY&>(h_{Yk3tQ%(OxThAis~OL?Z0c0_tk7H3 z;kSH z<|~$`>Y@>*GIGCPp%JF;%)1B*Jc(yQ=^EQSr`)$#@|v$*FW+q~;06FZTjm>+O<>aV zel{&dzQ7ywy58ZL?W=01z${semodY;iiKm)H=13mthRSV9plyAlAa_Z2AlraF8)MY z9(+(!#vVnKzEy@Fuyc#r>$8n=%!ZNgTO-5cRD1+Ck7tVlYepGSGrqRVcRIY!08GO# zy#~HL9E-fHZ`W85C*-I=BTSk0zu_TAYb2E*OlIUq-(d<>OM+$Os|$qr>KrD^6}m*7 z#@AAL(BoHHV|Y79(u;vyc3CbKB0;$> z+|eHRGYD8m9Exzc?dfJ9+^kT5J{hndL06!O1nhjI*5n+_b(3>^@@)_S=h|{di6uiVQk=^|N4wcJD?J-h$m3#_lcpkqz+3& zE+!say%|0!XNC6S24RXL{NqHFQLQ{{A3 z=~4BZd}Zb$qePL-rW2N#||$KVg?ulWh3^R8qHjaMl*7xGjH z-Ob#dQWw41q@gOTU7YFm53?SOGnJcoL#2s6danDPLvN9k=|7ZXrcK^fLr>E5XWAbh zG+GuPL+{I%{jSU01^R|T3&ooZe>%q+C_F^y?$;6YH_uW?*D}mCBSng^mY>}^t$&Hq~cn+NPLI=b7bNL5S=gYVF zJ^g*`OXR>NdqUYS+3yqKs0Qdtw}l|t?Lf(5VZWXyVR`^J2EBfm>Tx$9*77l_-*+TY zP^cF;!qWG}$@VP0U)LIYPPIEU+yFGp6NnYvK11I+l^-GgJ}+0HR1qr_X;pb{S0GHQ zAW>@FJ{3bkT$Q5Ao>2M=zkx6^h1E{D@o>e_@~bP`q5R++)fV%R+<(@ibSr!TE91ud zn*sI-QGcJg$%@8%Qp8MiX`;usu=^2vZh-r4&sd||mHT6qv#>G1q0EHbN}-(~tsGQ0 zz2^CCkdru!J?L&neXQm;9p@uEu0Yk~wW1kQDkZw_G8Isn|l+!<1-*^;fTKc*Rghh_u z6nImVoH9bb^{8fi+)Jj!!c1`PvCD)?w|JF$=!0DYA!;T0QnIPcoCl=%J)?uzlQ#vV zi;^QpXt&CCS0X9q$|%CW=rxPiG(pOwjahx$*~a^WWW!o@e7PS-^S&ZBp#CAMEiLl9 zm2`{6j329}|1X1IO4dXkZhR*hdX@fz?zUlZ>;vM={ckw;KHyBY z17FDoO+CMr4yJv+VJ$2Ckl4V8EW#U~a{g6%2o$$C*vRx`(W13jAeHU|<9$ZePD{KK zxA$oiLQO=;>gp$7jQPBNhFavQ_w-^FGB5dbGi9DRGArh(v#1+;8Im!pzm9Fii+BpOSFC=0nUpjl5d1rspCFdC^_KlB zW(TN3Mz>h{^lKR3WHA3@zg}kg0ADG?t6S%5u%z(LhGU9Z{p}QwYsZWH4rphDopAFT zv$SSvM-ticd~M~c!DReNn?@aYTg)d^J2&X8JGrBSm~1ngA2CxDZ~9d#+_Pib`1Y=d zqXi`u+M3{NcT0fvv>_ZAA`cJD6U_gaCb~sF%QQcM*G!bzpKTGPr%f2#;T1VD{X#3< zO&=8m41ipmP%WYue5QoU;AV2v7-lh`$X~F zjB@%)83E5jUO#-6PWNFE_avg!4X4JhVs*ayl&vgniQz zz~@fQ=Xb8gdW5%-NVgo`329lJj#C&`_j|77*5ZKNK;LiFCOo*N(GNomPZ)@mu>R>F z4Y+HSR}%@J@%cFuts_Y&v?C0PD_`%M4n9c-%jNxEbG|#G{qd;FTpmGHnw&85V9PU+ zD^^U2_vC9}CYN#A&1Xzuq=VU}eSwtAnZ$oxmX7Q{BW=>#CgLfNBr}(B+-oZW;sNVK zg7C=Kk+0HK(%NsdJe>w%(@Qc&^mK!O_IFPrN+$h?V~d#+SeX-wnI(*rUtIn4KwIO8 zWz75un}0tlzM5W*&kr*4Dx!fS=i2*w z$1(tJ5b!Zdy#%HETQshpK%2~o#QZGUKvsnDQ-Of-TSVoGk6(8}BRN_;*Ug_PQ{osJ zdHwZ*HYs!;(rpG^bwE}{s3Kp47`sAdhq~J1Td^ECQtxt;6WKEMiQ252T5sfVC$w^> zlzj%yj(jW2q}*n(m|()PJhb1kjI+_#DOm;&ls{D5YC5G)wAmj*m`W|;00U;hZ@KY| z!;HKYWntHp2}Uy``WFxJB|!MJZQ2{Lt<)o1>O}0fGh)?3UMgB(ZQr2jV@_l-E8h;d zRf;TlJK+%&=*MN8a`OpOW;o#QxPn*(WCU|$?wf3ZsFl6~17Rz`?G0#1`fab>s?wkl zR8suUHwyx$&6zzO;bfSYuSfKUgFpTy8BTGJ-`kpnD38uq*-{m8D__6Ue+jTp2y6AJ zhaL7oT0Lhl0~7ZyP=sO5m}P&#dXy)f^D?yjoWJyJp8k|71XYE;x4$!eUjMPOHN+iZ zwj`cuciOZVU)d+_hTac~Nfre%99+^%Ty9;`&tlFFkSvAbmlr5{bYHwveH@w)bJ;V+ zl>|cq*{Kj`Yb{+|6jNH@TJ#cfEV&l57{evuc`|ZZufM#b#2hUocK@04JG)N(!wYp# z)jmQ%YloA0cj~+J`&y3aKBvGfiI~~inY7&vw}oBjAm^%LD|kxZd-~^v;Ksp{!fNIFp3VKc zQ2Mb&l1`5-*`4wF^uv3niv`hSC@B+k)6}U1w0``}-PFj+WEi?7XxBN(TX+a_F~2*r z)h%$iGmT*I{9+QtbYVBj(>B#7@djOuoGt!6!`i-IwE>$y#_XK3j+)r%9(2fBBs~4e zx9j}q)Y5yj-N{MH$W`&?9C&8gjsB!=WY@_sp|jZaB!RJdWhjLhEXWhZHmJZIzzFLr<8Dj?2PgmO8ZuX2}#4Ujg3@ePe>DhB4L! zy&h(Qo=w4AP!}j??j_II%k8$=-J-&z>Oc`urKK(B+W{h@b^|yvRNkM0)5{DJX6%cxG(ziGvBRuXpxW z>jCdwk0WlX1KYl#Cee!g&+}xbK$--l9OMN$ur-@r!Yr7rE})wNj5yyK;vy{B$ROK4 z8aWI@2=f>J={vr-I4(7#AKI_S+98=Vq|@r| z*>>n9WVTsY_(;6NpXvvTkv}Ht~ahm%6q{&`|f9rd;S1}E!346;s zcKD1a+xEA;k`=kOnlti!>#he2Lt6BnCmY9ynnvJS=*fBvc-sKT?FD3CI|~|1#w?_3 z^&wmlXqRJ(!g|k>i{)ab>HK|X;8~j$WzQ<|9t&)dCb8pfrs5HP2a<{Dge*Q~t+DYV zGo{JX>hAq8Jw;tQYGlZt2aS6y??HHk0f1>GiHM@%?|M*Q>3Y=rgMdXY%|0)?G?TVFMKAhRn>0 ziNlGi;wr?xf4jBT>sJ=zL}tVhpsEp(xG`!Hh^m_CZdsagyR-q|kZxI6OdnbF@-_4^xB3pMa4+8#7KOJiR-EqtBZVIpKnonF||p7K?%}dZb@Krex7Yp zBIAJ@x2P(9Y2C$ckUZ;w?r@%k9V}}ldqAPNIi82p$uMN8D%A0Nh8hBS3_+l`VQ2|p z^X_Nc325Fv!?jCgc}fj5VRLyLd084(f8N6dz0{iZtnYkQ45fL4T!|<|Bk2(rm-5Nz zu6lG=1Vj;H@<-!dv4l|N8;YdW%Jd!UdgNL<<^qB_RiRis7>uopm&#ujO>(Gfg-p3n zLW1;x>u$(Z>X3D~iJp){wUNZ-!_LY@r))5KV{A&yq&(uhXBIOAd3|PGJ~-l5kG~z?hejTn zY^)|<9`YCxOY7TwbUf?>)wt+UIKi#*b&C0)t>>Jr&4$KQO-kbRuZW|UBBG`@7H#(1 zv*=+bha+2xyYQ-|D3m2*dBFo-&%=S3lik9+?xilhV@_oB#34iSNdIA_g<2|m;qf;e z*4aEibjOL6GCbsDxnpEYTKjxCKH0Mz`fz8ji=qA|t?@ZCjN;p-sLznjS-Y&-A(iIs7!=Qi^ht^1^ zCH3xZo+wEpO85y}Axm>LqGc9#dMOY1$OzzfNL3u|A65^gdX;dT)7g)}yX*7Kcds-RqJG z%a&1=xM$Gwqp;1sJ_%$CkpFTU@FD5Rms??A%&|PI&K{1k$j^XJQLt{67D7>g2dJ+G zrW0miOag4Tw8#ZR#}~pbr&f_aV@7;iGts(78xI%7e^=w_o2*oSqM6izowy**%)kft z1ubxonetm_RLsyTgs{VjEc!vyPBtr~=y5e#SfL)h-K9l;c>xS*^bjzc*I_`kK$H+t zyIfu*-4tT*Gp5I|Du2EuqOY$W1?6p3yv#C@45%t3!faRU*5CW}!q&i`?E>O|c^*&b zs^}`8cEFfCc)uq1EE0h_1bZHIRVEJ-x;@LcYxRGz<R3vDo{VEMkh7oEdoW%SI-rOLW}bIbwTgJlhRH*A?f256_~ItVyA zu;=M2W^bPbR%@{2uhf^XK47(WG2l;D=O<)k%IowEHi+JjNWMhF3Ef3aY**6T7zu9} zj=9t51zS}YjF#WrfD z>}BE+Vv&*r?J`{E!Zva%1o!IZtVe(>yzJ5!<1M?p57E0F!T-V4-)B}LH>lDUh9Z{D_I%hNbKeo*Qcz@PCf@_-TdmOC4rNs<1^?`6IaD`oet zxaZ_jxiXER+Qm5eqw@3ugBDgNdp>ve5tDgOD`^gD0-?!-N;J~nBpUqPeTRoc63(FD zsK-07tU8JA=6pqS=hqifwn-ubH4}TPR^l~zIdK0k(*mdVnDA$bmcqARlv?BesK3~_ zo%kaz7<1BZQoGzu5B1Ga)SFS@QU_Q{=uS}8`ABfIh?+j;T-@jMw@a-s(rLnHx^-Q1KUU9h))g*XdtFEBrZJO z;ELQpXRHowGMw2_$UK_%4~ezzz3e3(a`)SH9lcDL5pu)KuYEg=jK$2X?KKgK^!$SF zQy5O6_<@Ieh6hL7LU_QFy?#}3~;XefFa$f49{&&WynK5CXvZCTK<>VRsp&zGt)`b?ENp+zn4KjXL zS$e@27n@<2^-^FSA$ch-Q~YK$rV=xrB$5}HaVQw&%ocRk4QFcjfJLpM>|7S8gP>E} zC0&_fa2?sQyI4Pp97vwk2;}7SInE^{11QpmN{q+T9~3EEl;{Xy6|W>5+7$ zRQ1MuGONa%W94r4Yx}>K*xEI-ds-mWQ|UZ@lJjJGryxkMdW$OIHQ=^<8eO-|)CJoh z_NVXNX9M??FAB)!={ZjdnCffBPkTf_p3V#WXk{~vy;Z@u2gx71i}lj6@6~YwcRe_c%f>NEj==yHbHRk&58NH5u>F8LvI>-&XTngEG9f`pLDWl#1ah1La; z4_NVP(`{!>a1!@YC&WDA&nOD&YohU+v=e$#Qz(JiS|)^@?V#$<+Xy$JIXW5M&BVJ%I5iJUa=PFjcZQg4 z?t3mgoOKT<&)=zRQ*xh{mbXs+qw>N7SyhOcJ#bfX&(I$`dh&baCrxhTT>K{sR{1XD zlQO>=!pF)e*Em>I`R&3m_K zX1OhAt~2d}>C<|2mKpff?W|jv3n@B|d~;Dhu-v7Ne9NvbJrM?B^m7MxUde?Xkf4#vuJH`QF1$M34T~J*if+IJ}BF4I4J|dlD*^b z#VSnahqq4Zu0l{J1fgwR5>_(IV)GE*_kO>JF_Y-w+erF-eD?a10~!!?*?Y!AU3$a4 zK|tTZbt4c+t5L`uo+6JVo-I0HXRkL{*5Wjus?}luZT_;5*HjuS2@g z7Qy5%mZaLJLB!qT)Frztn0L|HIknQ_le2A8V{}NJoS`$sQQ4)~US73pvMTR!Ps|W< z${KLMh=>|Z<+PbFxQN@Y(CjsRJJa`+{8deoI%s)&l}z#(2kTY8An2hi9`TfM0*4G- zB(0(&Jug2r68sJcocSlIdm*?}OAPUM@^=CznZrsdgx^3-uKUNbiC-mYqvoC|laZC( zd{QRPA&^Ct+Okrc6ke`PoZs^O>Y`1SzqCsCnd2Aj;jTPLRnAlwt`L?B%g*0LZZ zUL8g$YTGd6;aF_57R+;&RWMrWigu1psv)DIuHH(DGV95ULVSKd>Xg)ndlf@Cwc8G| zd-t~;#CGm%zkO+ym2u%01%Bsxn*VOE;~CdHZ=ZYlYh6P<8C`3u;D_o{lj@_NI;E}#N>gW{UVo^)C*eXD9lbxTrpIBxu>d+<4|0#?|E;dDl=ZyF!EWQz ze~{ux;%20jXvGk#XDAujE?o zfY^O=YJ1stR#e^=O+>G!WbfISL<~i25_cWjO>xRQ1O<*RU&QcnYKbnCXGhm*o39ab zQ6CEBxw)DG0pT-_v=+#ltFz3jUC-ZQ!jheGyTv%#)+T>nhm-A}vEG8IV7|c2##ueX zak7cD@3C;B(lY8m**r*WjN?oZ{>nY=!@097aFa)065@lcFL^JOs=s(i(cI2lGKJ zxL;Ol|IkdE`KpTfKrWiIbM)msl%h&iBBvhbFOh zV1P!K8MI!Ib>A>*N85x5e!q>)N898Dh-z5F&nQYNk4I0Hs+p~+xbqQ+DpV7@xbtHk zPk<&+rI5#ySjb%5>5#|sRWtM2rh~se82M`-jywVV2-W;sA8=K#k~c^Pcev{1Cn?;a zjV)38rwC}hnQgjQkp;9Ks;MXODeoWxrT*@5ytWAsJlHFb=T<3RS}uHs^5(lQ15()= zxq?J=0gCvJ*a6iW`0@1K1#Y(o0r3pq{daIWQqy)q<0{!{z0s^fpVoM zzCG+f{{V^SKwn1O>A^3@RK{&-RK6L)Gfwj}RZ!6kawE=MkA?pkS>5Ngg2ySJTOHrX z$S9B1s<+el3P`)Yeme7X37?vqGrEI1@sxPKo7l;irX#x`wdL%cBfnp$H{%a^3bo*( zFwT@8YN18x52QbKi0>Z`a!*3xdpPT)-&b=biPiy zMs)*J{h!yq{;F^k&5}t^&9(yUfVG^|9`Z`TjSrCV*Fz3HG{8$-n^uZ4t!8e#1xAz? z!An(q8dsnGyPG2^Rx{>H_zCZJN9hAJy*F7uYcst7eQjoIC{}y|`WmXqa2&a&%|rr! zIi|r-tmu$O@>MgkbM=pT->(nw z`sx72hEZGEOr&t*HntzyOd_C;X10mqz(wsgHi>W}lMHbSY`*Di=-_?xYO4MWs(uIF z6b0UtbyLX*x#OW8FXkSvOq!9tm)Y?*mE&&qEUCraR2IBV&38M_7Msr;dpNNWyT{8f z{$_~p4CQqR-*=e~t)?EYtK!3v6srD@yeU$=Df6b1KERKeWCiu}KROMu{W$7cZ5IK| z8>vPM9`p{5-C<9APEBYD*J25_f19Sjji4_@iYFc(GIr_-TI(yU`q*L*TVM}Q-_IVp zpIt+xmPMuJ%$uUZo3djnnP@6G-Q)Ggqrb-sdf-ts9e_G|urxl6;JBJH?KG5$&i5+T z?pJ#;Kt4E#e`1JqZA#-^yA@dbdEnjB3tW7H7O3yQyA68gbG8@Y7B2+eP%3d9@$E4| zX6ba`TDXPEh&DXP*i&0lLpF5jCUIL<;~zH?P{6*hmW&8$_>yJb;8O>-?JFVe*$M32 zd>yLqKtTw?zWcnR1i3yE=v1nb<&%!nz=?%ig|D7;BO71Hne}fQOya}WGhy5 z6#Gd6f7`|ev=RofHnWWsD?SFXhHCN@D>@ASQpTuy!HntG$pF$vu;jpW!%j_!Xa5g>vzYZcr zW>Cl94)eZ$)hscJ0>&F1{6(l^-T|qQK;)fOP3ev@Cptx6eZqdMw_(=tJ3iRs$2asP zk8eb;-_p5@6@PeLbtDe%$0{S8q!qrqy~RFFObX^-1^(RDmt=Rl?bMt>kWZvTr-<;T zD`sSoPAt@U!Y9P{!7~+;bgl|`FVJ|^I8KcnnDK#V_^oQfN!(>pR+O946!^w8PNMJN z*T`BzZ$@D{JeD_Vr&6S;9t=#wugwh|#{NNxdsV~LTtE2wAjd#;4PTli)q!Don5chU zZCpWK|4@zv;;Dx+ z{(s>JU~8LvFZ|1u|H2ud{r{FDS0|9Sa2{?HmHa1+EkTPI^4gS4*XNf0F?JeoS=ZOU zf&X&-W#BN;z`ELtaBqMnFOe@hPq5Q)2l!4k2F9FDSZ(IUnN)*%v+tQ}I2byplr~zt z8OAz7RNSuiGL^oUGLXi*aVxjb^#^Cl=l=F=L^e+K3Y@xMs|A@Wcch6=pu84BU4`H$ zjiXgWI-gh^p0lMFht53psZ*zROLsWIPH`YW4i~*W!cGnE_g$>k zkdb6er;_u`wgM;;vTy~J7aLRl7ss`oq4h85|ELdvNF>Ml4KHXdm<-xvbrpc|#of4| z*a)DJ)4@-leV3-0>9b&dN9#uh!E^fHvQ7uJ z1F~Km*-&7$(m*TF&o-3vI8^Rq)Ow0f?dQJAM$6x!y}v+@hy`^$+4HeqHDg7pX1r?d z0Y1#zudp^pbs750OU1v@mUTnN0Xx!JiAnf&9OX2B*~G$$938M?v531`v51E=@cRl` zJFh*7RLMx7zV&xpj@1sd)AYEehYJ!-=Su*-eoW)9Z~uDb+y7!?gLU8p;zmxHj@xYp_#4Y+78tuL9+d|k!%2QuG1ZgUO3xGWlGFJe)Z{B|mpcKHZBLKQk1C_vH`4?3`K#*4iOkn(L z=ur1+0TlU%F7Y?<<8I<7-CVcy3Pu{_OSbM${)GzpRw_@ZmZ_vZ-67+K*%2^|&HX&J zhex<2QZlc=f|JhEmi}-25bp7+j=$*`chgbu_6FbW4O{G`6@WTN5vx8;z3^A6H$$UN z@&~9KZ_3Vitv%z=P6y^mVC~HTWH@PRHw|^7I)&O2en78$Xhi><+Lk;~qUvSSOEA_d zoU&ibK$tI0&~Wa&^`*JPQzRR+KRMvX44KMal&O0H3$fJkr1{!4gDBr)4ss^-F>Neh z(i}XO6@VD~%Sn4i-Uk+SyxmD^W7;kKuS>I<*t`hjz6jE|F*=;cWv_+wkJES!3N85y z-gEQm2bS4-6n8^HSy;F~eq58}Q*Ha5*uTG^WhKu9$|=bD%aH#BN6xUuU%cq6=3Fxb zXcejnFvBtLO@L7TLf=Ea$! z4t#U?A?iSA>WV0(Y2i*{p?Y^^oC%lrH#-Y372h-xr zoi#janMaYQ%xQnzKdJY7Ui((UINYD4tV*1|rqVzC#8Lz&jUnSdVG*ZY8Gc^C%QTvj z`f0or@oxf+vit(Q3tZD91n>6v9=wlt0rsk|b%Xwu1eyLX5+pn8uTc4w#B;4it|@W_ zn13`(gtmz=SoxYFB=Fa5Y*#ku#}XW%3Sh6o0rdi?mFs$h<2> z1ytni)Nu8n-b^b*HDl!>e8Iy}-WFhyG-R%wqrbi9YE?hquDqm}eHaz;=QTxt=y6MU ze7jFS!Hm~o+Wwy;UqmiBQ+iFqvn1Vw`}veii&Zz-*mZzA`E!P@ugB1j+5Z+}>W);~ zlSoR|&U{V3(2nfjR$;fM){87ZXFdNbp}0&Yv6zZl;&6 zTUzQoaYYwEVEhYRq!Da??JI@z z9}4EW9#Aj<$o^*qb4{Og=rAB|0OTB}17hZXv55JrV6N%YVwVT3v)hYP1w;xgz?Wpf2>j1zf#)hO=$uiw)^Y+woZd zh$C+9eTmiY+5g%+t?p|-Ug|{ZNN+;Qj*oz}=BboOYRG_o)5Crmn-amTLoXmk@q8GN zG1Xw~YX@hA1-LX*f6zGB?f-)%OlG2%(0?LFQdz6cz)CFzJ|h_kiv8&qVCvt<5uOd0 zWrMV4?zh#e4L-r%ybFVOUpj;snEAl_BnOI|xT{aCVZ{1PJWr`XoYCCO^xTY~`#xUx z1N~Zp)cM2>C7+Qv$#6f-1zX;ze{iA{G8aQlsibyFode}(jHZ;SAMVzc4ZeIsV;EIT za10MA{v0b-k0&q2(l+vLUdAoOrO1!cQx*bR>jiebDUX-GYB7CYXz=oo-2Xt#ZJ6|x zLgaJW=%vbe#*V0*Jp>F4Ku=|D*%<^5Gj_09K1J72Cg zFF^1fl^?H$o8SEjbrith=*t8ZTecwUU-Bkm(m7KoDGbnDU4ak$E>K-e{agKb>_sV7 z++=Da<*|6?Mh;^{D=uZZE|zx>n-tjP|3>xG)wTm zA+mo$W`FN@5IOmN@;|1Bi;cO^>OCJaJa z(6Tq}d_9crSUkMi9!CF{?c(7-C5wk5%Q&)4A7^+-jb1>0h(f6TW4pc;^Z78k;V?QJ zn6RrHw^unBx&5G2*Da|2qwa4DU@LV6mwq2Hq#@n zot501WDoFt&;$bxf(rPVDdv<%&H)+nuLIO!W6$vd{iI<2lx&6x0a&dm5|S5@8-BP@ z+^rbO-&_Vf-7QOc%a{rI?G3r|hI^Ov`+!_Lp-M zd`%XDb8ncg*jwA=eP;k!E6FEg(`O9Pv5dD39TrsLKFM-s|5;)GBxS;x-3~l)B87qC z?TUAx4T6W_?UHw=4dSn@r}pC>-c_Tg?O0!`9x+lFpD0Ojws&J;Ri!+q03JKisHfJ? z^`$E15e487q&T1cYfb;Rdu;YnGMwh!Sol>b+!TCE-mQ8-A@zS0qGXIX&By7fPyPKa zQ@JUOm%O|6zJ=f8v6qs&>VvB45%0eg-aLF{-=I3z@IcAqtJ5FWmExB#6da*Nj0b6< zyU$yyp#2oahIvZOq#?!m^jnf{_t|VkPs>_06u8p2gy2ul#-01A#81BA?CB`FC>-we z4u9VyFP-m!j=S(4`N+ zubGD^V;nT>fNPDu7xo6(Gu$KLrP^w>4fnLlc(iXJVRHG=ELHV3a z8YP5H2VETjJ%G`08N;}|x670&hT;l`dhgku|?Z`7Q&wlpN3&K3i7lMKB@=n>5nr zLgv?n+JtyO{TqoQ$XKCfGHmH+f0mfS|3N7vUiZ)8m!q^KkIP7~%B5HGlK&*x>_$1E z3fR%Zewb##{xW4TBHh;h$54u8<^g+fee;|S&uG|wF4oMsCM8$_C0Q$kQhbE6cXTN* z2IKZZS)ac{>xvm%GR)iM-bE{IN&G(T`7*IEg;4wWH5!w|NHp_S^o)AXG^o(MdY_=y z!i|V9Ngo+K?IYi4Z->lMTwdK{?20-1F~m{^!Km@qWowl|NH|wUcP?~EM+)6g4j!dh zpY+h2A3t8U?w!b1aJiuvrYPz>!?%Na5!~(KY~Zb-{XXJZMfwg*QK&n~8bNms!4~qF z$IhAjvCpAOmed;SFBY+8=bUdz8Nxjw*H_Xc-e-Xc5HthdGlu086h>`Xecl3-&L~ql z5zntvh)}=-i%fixipT7}5X-31lJscFr?fg}`j0$H(St+6DO&N$1j4_|9Z1 zo;Q^f4hssSrkcXoC~Tb~CYx;6S;zWSzzmU}97#_i@2zR`qMRx3rW{n}n#rp0vAKoNC`KXL*s^S=bi%@Sg4nnSS+iYIdVY3Oehkfz=VRvXQ&y?1_UF z%j~7O9QA3M`Fur|tG+-)&vImRIe{j6icy!pBewx`8~{3A%cC|PaR4coSn|d2>Xdg$ z!QCF0J+Dq~tj%DyF`A5v6CH46RZlwMYkk1yC&K6+De~K>f%{f%S-!}YJQU_K*`_Ht zRNbiLP9Uju`-coK7~l97oucVFHgAsB>zN}5pKWgbkX{!8WA~DVL4|MSToM~!H=|`5 zt4-Fn+7L-8pOm)V)}Wd+>fpBPjwR3yL2T!#W0{uo2II>HmXkksgM?Tb-XdIw*~e5V zjKYM5)KzHn4KL*g_38(1k3zvR zLPJpPi3C~lZsV~YM<5Qkl%-ojxo4;0-r~q|@~x=kgRp1djl$J6mCMDC$2hgwcafVN zjT)HBkDkt0vf1^^T*s9SM;fr-@axH%bz#&M6ZAB2vi{V^Z0X>ps*EhV#2QNDjrbgj z6Gi1f@lnWLp{RU3CU{lA^!Y6PgcENir*(LN1#X(cPao_NaS_9Sf)AxUK~6e+#$-&# zf6mc)nf3U8I!o zXM>zjCSC7E<-}t_q8YWlS8=HE?%|yWmevo=TZb44WPflv)2a17cadP4CbDy| zF?8-%1ZkRSGQ)XvN2uRvCTb?w+k;F>*h)2zRX_xI@?VFN9*}#I^5Y}po|QeR>K;lu z0u}zQR^X)LzW769sm_F>v{&6SXIDkQ$7;KhaN+(4<)(RzGb^z+fli+hUObm9d@&(z z^Q?{5oMRQoh7-6hHFm7(i_{iTtPPO``8q9stqQ=^@Jz)ddQN_Pc)YP~j}(M}O;IJK zs_}h3L+VGe91}CfGXqRbhnp<7{$%?v)Zat+r}D13tK}1t(R*N0Aa7_Le~srf^FQu$ z;{v~%-wVVcvFB znn&l9M=oa!%|1zT;zrK(^K}bFMD#7)=La$)9C+%5AWW2jn>@PUB28B^?Y*g;OV-g( zcNE){R)S}B^J%uT*{m+o9fdcg1vo~#@wAB`bEesF$!WN$QW?{d=*f>z_Z!>ll}3tP zbFa?Y*>o=LZM9*or-a;wm-g0ru%bdo&C2ti#Kq7KI;p3fgip}!b2hL{fl7DD*k%}E z?an)A6=$-X(9%W7wpsYG(jWz4G^J)FT8Mo9C}SVeoVKuDZ#BxZO`Tb`hP4vuE^je8 zB3%VJ@I}-QPRhFIQoJ9I%VCV$jC%OC98AxCSO3#v_}*Zen89=SXf2Ff1>W^2jPL1o zkrK&r5&f`v7xn9x6msQL1j&a>pB&rSt6eS^e1H)7x5dq zDRRDpEpJvwY%6ltWtFA^u?rIe6R@>M9)-5zB^SV+1f{tnJ|w#ckz}?I_Q)Y(<;u2= zXy7tK^5QQm=rRYuYo&Z=73~qgxotbFj($-(8r3%WaxPL7U=zl;b%6L)$&!cArQl2t zMln&zoMJJ2_8d8mQz~wBXG~`id8jbW&j)wf-e)>*aq8e4)G>~+t~tpg8r=*nz4fj~ z*G!=ZtL4<&p!^{;`hjDfYl%9u?S%YnI(Q_!Ay7-f9#@XFP?30^`{E>ZUUlZ!yK`4@K^=l`~l*jSH+*=4M z8yBHJe^)ey2?zTeitExiCM2GAjNe_HTlIGzUR3vIA1T$&a{jR5`Ig}~*^hTyShcw> zdnNZr$r73Toaf!bxB=g57jQrrH|?X z<)*FFlQ?8!L_S_Z9|!8dIwj1VbYRUI_QGg-jJ(!YG}`C>^_#x~Td}uJ4{4uBaoCRUH4Y{lr_x zO3=21xh*cYsj4}wf<1AGHRU>ax-*Ecg3A`04vO_Wirqp2GVe->7&JS3wy2N-<`bG| zxO?{C?%7-74T@jTh7m63T(Do5P=HL2xSg|~s>DORT_1@TdM{^JH;5F#vLwfMlBd^7 zcj@smRrhY*&-oY^joCZvzf}n1@gS1B@Bs#z%9j!JB0=+_BF(DN*|EZ5`QSA(iblw4@Sf+XI+g2Udx zn&mUk7^!qTzMt3MuHXOqGG8JJXs&pP%f*Qi$hk;@S|e{S%r1tK>m&WT;G*Q1qyycI z1N@k(u*B&J4R+B9Mh!X*1^u5$~GttkSdE%G#I}J-Gg3MZEn~x&)GZ_{_ z4*iKwBZ*I2PAek{0)BQJ-Ouj^OMthHBlF>lt zLajF(`)Bz`n>Jqlt-w4|&4Di?Z8rXWuAi!^5l^c>9)Hk_AknYUdGnjBoN_EOL)WvC zQ||})sW2kdVlHliRe{Thl)YAv$BhIutb*T@tMC46@X5lkfNu8U_S8_s&up?mV}+&n^GK&t6y-&8R7$875;rC*%d#Dw!!rLu&2na~F1 zP!YGt&Y1$Svxpj=y!E(g%F{+iD!W(?UeiCgf7TspcJAIDS{Im8!Kv|LnE8?LbW+ro zV)r27?To%YdCU8|T>%Z{&u-ef{6ROf$1!-cSYsoSEaS)P6Xy6ZOC`cBtX}L5a=&cB6s6 zYBOIq`S`5vV*iKqaQTwNug}?PJMEvm*6kUWr;Y?omp-XxyKFy?3s`FmmHn+dos_^5 zqsu&gKRvtzLeu{Xy!0JL6Y>E0#B0QPbJ9GcorV7X^w?eOlc-SO5g;=(RARX4;Tkff zmUn1FmM&9MWFwr(tLwEKT70?)D?7ugU3qr}Z;WLx$^EG-hRQbYCae?N^d15?bV%e0 z1tg(f_JWkSX2Aj&@o)5+@y?8E2Y~Xz1eg<&qRIIsHYV5>d-tB>i67}C4BCGHtiGB` zbfuq-K4MBUbQk;O6v@~)B!PRskc}Zp2o?|V|8CG*)2w1YgHy?AH{1YKxZ#p|V$b_J z0rnBOIpvL#j$zV4QRirD_qdbZSg?G5v(bCvJDc1n6UDt`c|qyPx41o&QmP8-_9|Zn zI4DZ+R`#u$LqPcxx0ifT%Iotch*zL?@!?mRl z@?4%mtcuWqI__y>085S7tR7{4%R(Q5#ji`B$>J$F`y+CCA^f7;d6OP~8QO2|h;W=F z`ZZ4IR-2irjx}{M@BVO$4tUlx{Hw(EXK+8r=I7k!gZ3rsYdm!izR z3b-3YO!P+xD?a9yc6n4<1mmy|s8h(k*Ufz)`vKi)1Mj_1)Qvk62_3n5Ie&^FX@lFd z;iTK>(7MjF_Dthdqc6RdYyflWb%X3 zNjUY$D?yJIx$#}Hca`p8wu*WMT|c@;@@LX%NYb%}8pR7EGp1_Wa@#@c%)0{|)=_;E z@5lynqZ2fp1w7TJrp1`1d!~(KKg2s)X{n7*h#lBO$WyHRVkM?F9|KML*={IK?Pc5k z*zsxnmF`})yU^Q#JHz+=`vWp|>1ArHT=hBNiLC`|jVc9ay?K++o-+asUz>iPNzS#q zWCC`w6ep5xhOAQzQDyzGpMKS!MGTD{++`}w!d5?+*47!UonY%k(M%?!%P<0eCumO- zeVwq({s@vUkR|jHo?m*Uhtj)H5f{t_OZ5gf_zNFUH%?YX%liuMpP+6#6)Y3a>vciZ zx#h()DyWVEc^FhsdKw6d-k3|~*(-JMv9RBLIA0>>4i@75z!tlZQ_(JQ528e3gy`7& zq@=!(s3tjl%^&xn;|u#=W(Z#`GWuHcTR)!4hwai^w=!%L;rv>yHwX7zFS_FG0)$5) z{&Y`aTwgVi2R<{3ABX2lVv1Uv-^ zPJQkqSXMs``Vb<%+k>kJBVTsha~rmK5@8e=eE3kRRoOozYia+E`(3I^K7xni2sLxU zdE|TrMxL$!Jj>W*VdPgZC{>v!s29YkXT%}+bnTQ+QrP13@;-8Ayp|=2w164iP-d7Z z7RmTol~+&q@YU@>0m?&q3pJg1t7ck@ZmyDE=9d&J)PL9Y<&Oh7#oEj_<=|8fdOhDw z2l5fRf(yJ`0dhKp!b~l}AXkX-k#XB-v6VS!d$NgTwhd~7EWpmFi@gQEX^~fuak%5j z!CrvaA&CFR%Y%yGZ601HK|0e)Bsy z=yqrxPWDTRKGkgs5&hnXt~&Tl`AP~vUwl*;JDD!>(L@=t9xWvVsJPXvXkY1zk#|Ch zeWXjDfX>=U@01M*H|5AYfq1x7iTy@B5#d0nGJKK;&!e8yFg;cjdn;-Ii4T^t!fFdO z1j%aI7LD8}z6JCN2#haQhoAJ?ZAZgTh4)tIgglJ}QSIxDwG;9x^bv&_h$2v=Hecq4%y3z)%$_5_<2Q z(2MjE%9r=||DNZbo87zHxxL$+*_qj$SrXkFIA%ZIKoL9mc=68^m))0M8BnOgDS4e6 z4EKCS?At)rPU7-li}@4&*q--o$k^!9FTB4=mXfkv%9fv!$TZyNGLzep@1RiOpJ1iC zrt6kbw%8#*s-qCyQpa%!UC|5secAb-?FnyAyYu`#|KU9Yp5p=+ zv?$H|l+4E5c#vmeGSfp|AOoz5$Jeu>#ijV_Qu&LA+Sj9@TBJ!kQn3wsh9eVuq>BE{ zKF7_oo@??MUE*o`n&n&ShTL|vQC!HDQ>qak@#lKM0g8rkuhI7vFZ&*FshtVZWCY9^ zgb2)UT9=p_z2nmKkqw})baLR%JnUM%qRt3_2ONrCCe|J>cZ?m5*yBaXsYUCOQ8vvM zyvVrkSq%|HB^K%2`Yblk#pI4>9cp?WiX3*&Y-o;mde9j3ES%iBV+ZbYnXvhwHZVj; z+3J5uRSVZrSb~-+&sS8>wExv1jClw<>g2eggZ?9D9^0bxPd}ML4-MEQE(oXtUtd17 z=AhSj$|QYW5MGvfhb@-NG9vwb?$y%8*|pvs&H|;dEC1JRjay%C8FSv>u(P+Y}J7%Gd?`sjDZt<_8-&u1lyk$;1b7qU7Rj{55iq#FAU0TJq`ww zhKU6ot)bY=+NF2bc}?lxri$CMoT+55=WBZ3?O_Y6e_d9K`2A^{-t^iNbXNQaw&%`0 zSwBb4(?C%ph2{S}X(~gJFHK$+?h-2yzl1tAC4k#9R z#c{A_xEW91bZZO#{+nm@4OY08<-}Xof56rl*xev{)6bejpAU2$aeWMMeh$_Eigghg zP6ju*09f$lb!aC&6>l(HlPfqi}cRqVwZL7|F4==0~4Szg3vf+ay_JwF{2w z16&gkd6BAe!RGb1l?>BL_IaJ`U^9v0zyY3Q5Wd`eH=;e5J|OF|Uj>oUD}AH>0j3ZX zc?Q7G#ZD_xLHCK5w_(Sj%T9YZfIg$e(Ty@~=R{zzRQm*%WK30zDpmUcr+0J}&!1l3 z{Bu7H-X|EtWg4U$YZ4&+z#%|-O)fxM);=I`R2PcHU71}lTKxY8YM;=3r{-+odFp__ z8B?=gafP?Y>^ODL_^fP)ngj%rG##A$*E}LEtjx>XN1>Sxl3O3-N+#a;I?>2#;)c#) z(*q_yJF7TFqpLLU1!`_u6P0Ziz5aF4H{P}Q>x8s2h|vNe(LS-F_1&$JX?Z(z`*$uL zFUB9;wY;s}C8l(?^`)aKk#*p{YPd)XUz0!cf^*<3v0ZArY$S!9g{F1z_9M59o$aXI z)UrFCLd`eLLc!6ufHGYs5id_D!yL2d7 z!B);jwSrfOh?29G5iey|EUiaJ(+L(%2@_32v+D zk$nV|?O-#JsictFvmZ)!^IbmqRCGmGa@Dyz)9I$R)6_71dNguC9~Cl@@w&?mjR6+I z7v|H=g9h=}Qd;8{G;Ur6`3GN$zQ3xgb`lQq|0fyLutkh<3p;zgQuIHn?AxLviCti& zJj(hRa35@MUwA-F+Kc9?oZ#*l*TBL0tmT@x>t_=iCMR`+9_ zqqz+@4iIB7doD9(Nk6!UyZ#q68@Sw4*-C5nlf@t|{@(P)o(URjP}P~dQW9B6LcbEt zHyguqcK%K8LsVrx?ZqQ>93N8BBy4_b_luPmf^!O;e;xj)U^~w#%V*w1ht%aOoyKQ) z{xs9>qg~@+{AHL# zW@t^$@|ox6@rE2)nwn8hr;N%dy!bD5g=!r?@c&FtSE>2Uk{4XOJ8sUx_7;=&uO1{I z|8PF-1}!QL8~bjmyM2|m^!T|K%q;NW*UAkyJV@av(z_uC(;WUWCh}ZkaNlTE33YyY z^^OfhJ+%D!$*AYmY2?%tJvEjM-`a06vTaIoXO)*XI(v8p8}XC(rW`h^IBX!keYkiT zKcm#FbrH!QSAI@8%k@X@Vnu0m{EBKMCi0@{F8@*omj5Rh!KTZQ1A>u##P5ZAqzU|u;;(&TbeZdkEb*NI;Jr$=si4uE8?-iz zQs7pHVV^JfH}7qp>rrTP>tJ5sfePOOs^)Tl0@ij|{O3yPU-m+%B>Vid_*qxyaafT8 z7|9k}N4(yGH4Ec8NOV6usD5;zNlV$aZ^Zk9K!#xe$Ucu(YHoK3bzcT6F#~TGzui*i zTqXYcw}Fwx8wjXznisd{Rlohe=`7hU%ub(*T@|J*dh@JlQJ+WD21Xu)CjA3~52xQgJdoyxENtJb3!KR8jm4#e=KX%EIu6CPu zxy|304lWxKf&s4Hc*gnu7)F@&D>7JLA&H4f6M~D5F^#smHYy`f`OMMv2KU z=d9zO+Qsj?7XyC#7VH?12pM`6q(!1y?^F{Mqc)%NN_$Xp_Awk|gq~VQr5yhx1#rL-6YNd5Uk*Tk~E}P=?D0##e)lqasT#P`Brw1 z>d>mc$ood!s?|M^)=JsgOS^Y9iSIdZi(xy7@1NqTt1PrmD^p9N(Q;zj^)} zb&B6$_@l>{jFuT@o1)gOlpx`ktq2Tufz9=D$n-*QT`Pfg{EG(6%u-^Lr}xL|XR058 zK9iwTw?1=!sBSI1{&;XmakWoSIK0Dc5zln-$=U#AISqnTlY&EpekDA$EoD3Cxrucr zBRE2wUt#mQMrA7PZ{UN$g@4pW?^#sAyiY>|1+l<>S*@1hyug{ocLP2%^cxo(*Gq*I z=K`BQWn(;N1_0BHBNsUvtiyomC&K&coPpXZ|H#~c$AMVW({h;xeX5{pJerGBQjP+-cYlAC*!^*Ka&hB-8Au-Jh9YkR|=viH>@6^9E52u8o-*>f%8F+xs|}Euh2Kglvza||5sga zxX!)K6mOd!uZP)zxu;sG`U*DAn60%PEjS^U9h{J(CAmgQkQ9ZOM2%HMScBNKosQ|g zZOwqTn^$Cbx$zA#b}3QDaoU%=z8tQo_WD5`!%3)4Q)b6J@rxw74uk$J2d~94KxvgI zwH#al#R#G?JBz4+N$wI!5gsMi07vira8zRy8@cKH)~OyZ>>6G8ALxww54?2UtAfe< z|i2|EB04W>V-{oQ0{9eNBa+{4H!u+n*k&&n>BYS_v3s>sy`H2 z&{Mk4YIgz5%i@X;V%pPda+FY-UAy(^atg=M&`{nY!h_@*W0`&txt&ChRyrK?2_b{z zhnKGp(6x7mt}9sJuAn%QABgrCVAlGR4_7H`Ed`2JS@H<`cy_wj38m3VE;n-dotZPV z$utVVVhGO%8N;Pu?M$u8O`=S|+-A%*AH-^bvI5+d$cR%AwkR+Qga_h_rRu6Z#>Ev6 zsaKlRPx>gt1U5d6(K`Ie8zjB_zxztdM>J1)qpOf(V1F=ObiBA@ks_oWc_TN?%P3~4 zFJ||dQB1X;5vLr$W9MhxxsLEn(`8J+1}Id-E1hi?)I-N{IcCO?76+Enlfa%*{q8k= z;*4bH%w%V8d)ssq&UCZsGk*eS+p^qQwhw;6YK);_7?B52`2xzqc+h?zXZ1yyF?1E| z%;Tm;7b?dWX%p$B+d|-8WTDhh!yoT?$eGaJysCy3J%cG^U}K6ss+5{Ms-Bz%2A#%b zMluGoYUkxfj$jB6)}*F@a9MWat7ivBW*<@9El_>8)6{P2_AFXT_Vz4PEBT%B7r6%l z(VDXa!p9FNpLgH2fy?440;DGsZBJ=ywD9ak{5X})HRrP&zrIcC0J%X$Z{O@<4!V@)GRB?JBxUACXc_Os;ad4dd0oM-C z=*yePhZ`~qW!iGA^&MZ7nhw_S6;=+&yjS>NXP6dIeZrY>$d|IF z0VFx=cw#}5sA@pmMNg$?0>Jb z&*6TSD@_P4A#oEgs~--E6x(6=p;vI$>|ovXbt`6`K>rNC7bn3 z{?Pyq{^+8@kCB|5dnV^#MSgUDGZ{Ly?eDOxs>Vt^@jkBDNt}I}@lkYDk@1)R=+P1W zq$en<6a3dku%RQ{;#8tI?u+*9KJ^ZThoLbIK2QUT?wQ?wEQ1sIUsrZVG(~A|t|k%w(3O8>u{a!lHe(yH$Pz95B+RVM$8D!mk(~ z&hdQs$f`Zm{FG>el3YDQi;|Z21puZXf7U~`RSfu6q`-h*JO8s&i!vki?p$t;&Kr3} zVF=K^IP&8j$-M?e`HtO)=!f?2gdZEL!HoW-Fu9mS0`bgp-szbodYxTm)_w)`6#w}= z?ByWZS3YJdT#H^yrLJe)t40vWai^kAkVo=}h4Qvm@0Q=?+#ZB}Xt2-Y-PjuF??v`E zAz&*Sv&mlo-&c0RriAH76&J^<^$j3=uU`@j)equgSt0xh0d~fU0%dl#+BJPc2~h(= zjw6?CQ2&vU2Nb0iUh-Hm10(I!@tm(?yR9qGRZ8-?IKr~JnP=;vj{U_~Yd|K(;K$+R znOqO?$T!^mRmJyK!`Y3E<9X^V~)cyZgFteMj2e-8Sy92vc5;{L1jy4mrskyZ~w@`4oR+jYjrd(oKQP*5pXC#n8v;v)g4^K06SrvJac@8)@c`NyfHxkb@tvvSYMg+^E zDM;*0v@VSNy*EZZypzUwcx0t*6dLUuTDc1MIgo*BEG%q87#AF=M?|#!hIzw%h=M%! zdu9&1aiC3w@eqKK@p;8u)Z~*|z2DMMd0`5}^;q{uhJ>64xee7^S#q^r{egykbZ=OE z6-@rdHqCP9@`YgHsmuuAP+M@~o>+N>gum#!+V?xkKIGH|U4|VB)fC(<@Xg`%SQM*& zlaGN6!v0338dkEuQ*=b0!c>Jd*>5=XQ!tyE_4zT=R-_!A5o-RYf5k=HjY-yWmw0G= zZ219>)-F2;q-U$2T2`Ok2*?$4S;;1!?;h-<1R18G%CAK%d3XqwMV9`~(D`;Pc&{Vu zhYS?vGJ@M1CHd`${+XcJ=j)jfh9{3o09%g+pWmCzpdb40DSh>6d8LE3@(sY2Lj&*h z7E9DXCLmuC&kR8c=CA`BEPnUznva;B>CG54f!1++y_7x86l>Om z8+5cT?-xW$LG?dj;n=Il%apK4Y3k{k!nrg1*Z*S!x z&8>pPU>;$3Oo+xiP;A?DH@KNZ{BNYt+#jlZnQ&?yj{;-`dE*X(#whf^&)m2+R>;*~ z$6G2eRhIP_+YeWHjk5bx(AJ94D4eBZA}*1-ZAi9*Oa}=|^uXtKcpTV4$zO8a-xy;u zX8bI@zIZ_lwA>WLao|w#KSsD^Qe)xy8$ALDh!)zwtah@XWUj=|rH_>GdG`$^PHb0vh*6(wa4b4a5Gg z`D)sa;yM2;H)p9o<)IYmAm+z8-ASq3P@>R+1q$zT;X#4v>9~5w;c30p1Ll-)YFDq; zpCw8USLb=k7Aa3?4bqI>240^;t}%hu?D{FSNA`O5e^;33ylpC-YLM%hT`xWE_{aMO z@E4*@d7`hX@j_GQ2VklZs=jA}jK-WK+F0taWJOID70j^}WJa%vkeR+5dAduRst#EE zHvY!zIhRpifP9-n0DAkc147PIj&otQdc0mJg6lJOr(^JOwjt$iH!&BUliKq`>7#Pl z8DXVPwA1XXO%}u<$ohvH^ACm7726$lZ+`A_i|f^(IQGJ$Trov(u0Vuu{XsrIm7B9d zpr%PnVUR`j%;X_VWJi@~W+6lr4{Fjr&@MO$?I_B8j;UpadCgJITzl#V@`0nic&iW$ zn;*P!X#{J{^n$Rq&4=qd4f7Kn8peTXJNaX&9rr6F(j_#(MQ=w<%+d8tOE>>8E--$@ zy(&G5=7;BzW{B~>pIe-NXe&rtGoOj6Jaz$M;_*>6(+VrnhPMbim0pHonl+cYw`9VV zj3|CF55+A+GkBAg+&}-BhGhG=QvY5l*N9=vDHKus*)Fa+Xn#YyMf#m)+2dqt(-FGg z5x{*;!nEJw5Lsy)_rmVSsedun1|Z5(10z{XnwIpbjQ=M@oOCfSUVv9`Og?e4rGQ!d zlj5qqJJ&^dY-OiO=p`70m)poz$<6Ll%Th>6aB{Oe{{cmaAOAY1JlWWzZ1l4l%-OfE z=`~q+ALPn1sAMDy`cO@>YIof-lBiE%6UA_PQiS)w+6t81j%I?T9m;flJyt}vATG9@ z8qiAqP6ndQHUw(*?PsaEM=a5@8a04Q((kaDk%1NQnD#nZTgH{X=-GAhb16A(z&OE-I&Yl{8|}bt=&+>;clqX6sC_7 zxA+ENWE1#d^;7dsww47rN3B$Et?cp<1h+`pH-Ovta`HRW7Dh5ogb*iFpCme)aT_G^ zq0LTJftROtH-G!6+82@%|Y_XZ@_;aGkm%D?dc9- znLn|XG{5tl`;Oe1Pt^|ds<8G@p#$R3jGfnLP%>RSw;;Jbm)9i<=!()tD>VU*j8~nE z8-)E8)Mn1crhQb-feTQlMI@p+_ps$7>mew2%@oUH=5w(MbehI&1QEK-C#O#P`@Wdo z+L{-8dD*=putV%w|iq_|dl6iwZu5mfVfH!) zxiG{T;9J%iL4|22||pqLDle z-Xic2J&vTWI1JGo@u?XlG(uZ&)pK((Sw$8xt zEhhSsro81a_6cD+qI%q*Wz@K@v@&;GSoszA4DXNu*erm=Z&e zG-z9hguG{tJ;_8ZAFA69-M*00A758HnS*@s(hC^lFZ-!IH9Vf?HJK%P527$rnUr{6 zB2~(W0Z2Fo2F-{TN4^`Ie?6}6(@yom?xZuXx7a0u6{{e+JvYY=&RB_rj-Cb#N5qK& zj3G%@a$dm`TgGFjndRo6E+b*Dceu;AW`f9R1}7o28$m`M8$ynt$9P(8ii6fenebeB z1_8Zn10@nSS0P(qpwL+rPQlYA*Fjo`FEp zy)?KiCwx&9?KWnurMT05=WPQWtqID}7Y6I0L}T7w?S=M&2Pe@Y*?M2}+dDzquK4jU z7n7JArx$0hbj87=#=~CZ5;`nuqy3^-1Xxe5-k346vvBv9|r_>b{-s_Do^C?}nU7W#XX3)Br}= zWx*N0-F2RKrQ6f~l5pcj^K}2%atTQp%N%_Jbn5%*3_VqQy|m-l=+0{0N@%%txV^6S zQ0Bw2KLuRt(*kzQe{syrnU{}lD3gip1n^(c02c6}eTr9j^%Ypkn6(Ym?I_*t`wN?f zt`)HkY}pbR=bG`2NC`_RLPem@Tl~Q%rh~T9LR&%6@fa4y&A7?(*$DTguQ>r;3T8GE zmJnf5ED&h9hGLvLi+ZbYRf_?2G)gc%4B2_S zPr3WcgEXm*P&wT)hQEW4S3K8@8uk348Z*6_d?XJBoh{--3KM&8N*z|O)f}>>U8gH= zauHWmS#8Wo$N;pR9h%5k_+X!d=)R>R%oWdsNy? z(3ckAC2O6yEYnt%ISMwTtBE$vL2;3j*KrZ*SrZYW`aF>E&2WI|l|9nOaaU*`S#l&J! z(ix!BdI`2i$$<;jk@kVKfZ4Z|ts8OET7s9!udJ>lgpOGl%!~jwdnJ|L7Agx8i7i64 zPv7QS=;!Q@l7kaS-gj5LAx=gbpjr|Lo6TTzjwSH#cHhm#G!sCx`04Gh9+f*J3E+o> zjylg|N<=n#0XASaFm6&!LmxkkT;3ynx-FPda!ss#&0C}im>qf08 zf36EC@!0D#C=Qa?RhsVo4TR4Au6SJ>DPWoZx9Ip`TEPUo*skQ1vi4bptoIfZ;IhW0 z-c@diYFD7>l;y`VH|Tl&(^N_O^;5`0i18PU-ic3Xw}o)NpzQ*DRIR_PP^w)*d`^pu zXsr|UG3xs8J((o)0jh7(Ys=!Oi_#>oP#}jYMat)?LXx}XktMPvu?)vienah_+d#Tq zf5uM-1+G7xTNyUpvRMf;spZY^R8oFjM(+%Qdwghq?41Ff>sf@UJh6Nz)30$-{odXU z8M77sV{3FI<9G%~1OO#_)Vvf)7RzqD-v~Bynxw)9%|Bd~`Xeyeg;q|v9GIR3lV%yI z&dF8ZFHEx9N+exd17B2NHvF#%3KCQO;Q~MBC#cvXFfWMnWX`pz z_)4IDpIo*kQn)PnfrLbEK#``_7kZNG44Yw&FMGgJS_S{0uf@-nJik*94Kqk;beDaS zA3BIVoLWzh;P~19uJ`QG3PdZ!Y||)1AnPA%QETwIsFo#o?JJ`SjESYzim>np>|=>u zk-v*>V+WOA;US0S#)p2almW)0XWKEjkOQ*uYAh$VNY{Rc#4|`av^xI&dI~BDPzZ+(_7!XLUBF zXC?-N6Ag%+Z`#a`e163QM#`zwD`e8KcF~Q*LTr1;0|;v+p2i#?SYZ_6G?K#XxF2gUti*liXM)Q(oA8ILxhXCaK7EQTmL zzhI$( z|4=pjA1b2LR78`2+oKet=cWmMrDa4(Ji2_zlKh{IKiIm3C*Koz!r)*dT7a}!<_x4Q zKuNE^97ub7$D9ijO??;eVppt0$Hl&Vve*ugXZrqmiSYUtzbeR{x#?b|QE7TXg9DZQ?>7uaP+@OIUi5EaWLb-_m zi5K*Sfea3u+{|rzGNUg2=5JW+_lfnY!y$)D#CC=$dfCR0XH5+IgSIdJe&{X(hN+oz z_Go~Vj5(bWO3&4Q0nV0DHbp4HN0JaSFwfuMTSQYxllT`g%z$&H6qnM^gGM@T&2R$;?255)VYXAa6xh^u9-UzQgA@m`yjS?b3y-oi5 z9dhCF)|HV|o259RI1qj(qR`KXu#_;zMcCMzc)fYyYqs$yGS5ABR=KQK4C*>6q0jei zJwC}J@6^wz!qjyD3nS2r`l!a6&!|Ys;6V&$#BU_(5%*k>%56qO)EgDQ+Uvs6!uP6| zElO;{t7}jnHKH8cd!3JZn4rUXP8u!<65zM*PmE|3vJ(#JU^pu41`OS)%VJ=_`nO(t z%@a_|aI&EIH^l^)|ff%=DD%5$+c#%cHi7&XAM_3Mm!)i#>KYNhn z1&r(Wo9Xz4+x$jnYX2R<@R-hPR3CHnyshr#P_r`{w=^18{lEM%N6d_|1F_Kdp4}be zP~TIQ4u$ZMAWLui| zV}>G?bUU643`f!v!fxAt()_(no=E_=f~@$hRy%*rQwasRjN6y@30i%Tf^r55(6DZ< zw3Aq(hL!!eXJbu_*?16P_?_(N^RNZv5RXdbvU)~IjM-=-FK`?NN2U#%pc=7?LRzwe#T>iCtp; zr}5XCKm+9_IhgfMP||GEZ}e)px_9~NeGML>-7-9N^+FN}^CztT%+C&wbI|4hY4NLV zZtH~S#m0yF5~?ax+lD*8l8$@2S1k743Mq|bjx8Y5j0VkagOeJ0QhO|Y`w1<)-Znsz z#xWV7^>mv|BMYUh5TSK4)JCUx-nnaHUbx#WHE1C=`iY1d_V@Z$rGp)3Ru8I%YR%(^ zk06!M?RcQD7Qp@H*}W{hP6@=%DD4r_@wjcGE4g_Q*4-wNa8- zJ{fYsBuA@H3jN|k0#tU2u&O66CdDdxnqk+Wk44rM)Npe@|XiG$Hmuqx4 zwY|E-(Dqy4v0)+!m)#*|hH11Q+CxE}UdsxM*3m)&WNfp=@}QJ#MX|J zhNur@{`*MpL5GD2Ye3GlRi0*lM^XB8ODp%~k(EZpuZ=ZoRrwN8QRM;jZ;8Lq&X*G4 zm3YBbRVgs$NvaZtJ6#E5P$Z=j0nTny7N7xO1rV|0NpygYe0;X#l@8cRDyH;{KunxI z-ofEa0w6#a0pn4UX8LvlpXwb<@aS|F#kA#<2+Msdh1dhe#MUI_O*Txlzf9=${z5QR zJgx7Eo#&|0*RU6!wm_|Zb1tE^3<~NE?}5)(UV?-5*EC#4Z*sp^`}cAt$IRb+m8`#) zm)sR{f5BrN3e@AY@Ti*36U{&IR}0Kj%1RpF*B%Jz6o>Ve1TKyI`s=Ohwhnb-4Hd}~ zOD@~0H%(;VEQy^eNEqN`Y&DCevAjARW^nOyv*qY~$1j)b#?4rkj1(H=7V<1aTGd{9 z6Nik4S=tzsSZ0lQdI+k?{a}^0wTD=JC+L8UnrIe(Z3^!-2IXkGt?*0esz-o3V~wx^ z`w-VG6^qz6R&k=aaAvL8!LBCs?2{Y>`UB77X^8<)Gkwd6V|8B)lTgJeYpu5DRbdOI zm4!)bUM6|R!$5q!0Z9q*KQ%|_R-q$62jG1m?-Ok4<+EhHehQKj)|xC@(;sB%L1h=p zY%la!H`@(;^AR*&65j^Zou=di!@bu2*dt53|KyVQ%p;gbXV{{ScxV$w4(Z=C2Xa8j zzArav=)HEE5FZNG+GpyQO6U#ivBaobC~n_93%L8m$N=%zN^-BK1mWfqBYG_}J zawE70wL~Js_wGUaB%Yn-w@HSlj`i#GanP>6>;D)Ur5rwrN6*+^Wz z3ezU;k9%jgm22Jp=iU$Ui_qo1@_5Rp%4vZ6Uj+UreOBmx2I+{r^XBj{{@84tpHYy> zW7y~YRkRfnp@3G+t!EON{}`jIYIllUvH9cH-@a3O5NuW{sD$Qt0PQ@tq0*@sC0R;c z#LnvWe=>fP;gq1&0~)N-Zu9@>Tf?wq@{0s$l$YtjXSDWjj^mL@ima(2v}a_=Xh`d8 zu%rh8u!{bgZ!B%8QN_GS5cUQL`&}-RH_b*v$&6$Ostof(Vo_F zIMd+hf`-G5J6ok?D-Zs~i%jUrtDh3v{*}Vrs`a;%rQN#q@Ny=rSw3BdB|zf!3!+i_ zu05ctmmWQrYjjB7b>@wDrKETd!cA;{vhX)C?Azjmug!=iYUh8hjvU^EN~|2BzPY;9 zE3~b%bsVS=Pt>2IUsjnfc=dUB9`eNH{Ifg!N})ymH5pT(T`A=i=5wG4@M0fL1nu+4*pd1Y;*`@?b}c~MvqV)c=A0-I|1{RCzaIyyHZ>+ z{Ke`j$}9i0;vZwa-dRi=|DF|}=>Y8j9h-;a8#%pLd%`z8Dj@pv##c~<0ZM*DE_{V0 zMj2Q(vDVltarg zI64EcPl>zs)~Rwjfa&qrWsQ<>oiw;HnV@OkU04}W0tt7MhrSqH-(BXc%o!4kWf0^M zIx)2A?ENkT45GKvv=>xroxc5~pUGtw8=L{MeP9Ka9*Es5k00e2zgB9KZ4&j_X<-!- zX5`AlO96Tc>BBM?F^|mYrzIT11dZQFNVsi*c(#lfJvGclkjK#V#kAJGpFt`TLU&S8?gW>=2R=TBJjmWcKGe;fAi&+Qy}wp3=bbltG3vkG_q& z#3i=nFLwE-b!0-MJ8DoZ;#rkMv)v{0U_YSJiZvQ6tE!ZqiB19?79C4rPBm}3`T>3mw!)}Is^+KEJje(Iu*zX_gs zk!6Pce}=EDP8c*e`1fm0ykNaBMlO#B0ek0IN>l#DE%8;$C-tKF-Gz=Lf`Vn+@1HIg z^8TH^W*hpgbz3Un{oaT@GEXahorWn$!)K%44RkWhGWtYu4t&X`pQ`Qr5Y1JRWfb1R=Nv$Q3!>%esK-tWntwWuzjm)nEJyH zG?0cNwX@jD+xXCsGAxo3jwG!_NW>RO-PPE9%voEyo})Z*oAVH@V}AE}OZ`1+$(}!6 zoic=yR+iQb)yS=84I9vq4=?@x5!f#)7^!XUh4DH=_j6+sJ43O8azuwpR!PCl#(Y6! z?`ZrW?VcB$NO+_+v}hB*cO`U?E6>N##;wS0h%9*&n-SbLu6XjNu3wzKi1eDn zm~O2gcd3%V_pT7pD$-b)EKwi<3^BM0Azb0KIq-pN2;MSVpu z_dZxVwY{vi!e`l@M5n?KQ~oWW4q`~Ra+#|eyWL5=(9)2)w(5*W|1!X??Kcuuoz{{l zlrS7U!_>qkTqHgs=7ETXhNtBZBjwkcbL@cM;{&I=(GuSCce z4Hrg4FrSJI1aYl0t(55at@Cd6@W|VPO@sofFNmSF&`qilkSyKHE99SEa{@v|X&9w# zCk*D46tf@*ts$=DSZFdxSZ7^FI}w~v^vkqzjSN0IL4$3A_Ai?Pw^UW^^BZen8ZZB` ztj^4ZQE<#f2$Zhgb8Jby+UfaEh&l8ppJVDSV$ync0PDag_QC*Gt%9^24;*~HaQnpN z4{7#ut~1~G7WvNSRt(-N@pl!#TK;LKOsTaJ8mFr$P!*Z)qUgPjUfL}B3Vw8X8h^rr zjS~B9Nzbrd?#`MJ-X}#`;XfhOMlf@G{5_RMzQ~#n^nHQSU%8FL)9!DH`9x0WS60q) zE-R(sH-WAOZOWf(9WwN;zo!80Mo%PKpFARnJ^JAH9#w*L4#zymNFQG;Gk|>2m4_$> zV)R^*)XHSfUC#`u3taq1i{2f;3z4%y@D^OYR9(&5p=d<`(CZ!a#O$ZJGF?ejZ1_tI zu@T3h2{|_0*_R;5hj!SG0!}>X_!lVIq@@tzaFhEPK`OKMJ%mrkRfXGJ!2V5G^b@bt zBq32B12RGDR%koe16-SxfJpBo9jnIY1#v4ua&a_^>WNs zQJfqO`5VeEkd=1u-+%fxt7L&<9ho0&QTvR5U*$`sbgbPA7Wx>B;@npr{Fu`x9BJE6L=)Cu>srB zGL$$uL}T)Y)3kX16yXx>$HH$ro?CT*uk?f6(kVk`Ae~SZ5p>thn}gF`0eM@SPJv#l zIKQkRF0fj~2g?TO2IUV3I5#hNxO;vcR3Rw8AVLMKc+K-uUjwzc6+FlivlaVJfKMoo znV>Cy+WlR*wdQWjlgEg5bUOC!#)D0Jg~(THhE}IbPab#9CP2zK;Ttctx^>W%`sI-! z{e}$%m9~37B8wJ#e+-L8KVIrhE|3d*xcw{f@~H43Wj`7GQP;;0wy{Z#)G!^g$b@C< z%_JOAM#*y(fK9*EC*fh}jQZu;JgZM?iXIps*N#^^T-!hT_Lg+T`8q(wlp?upwD9N* z00T^+b+$3=3Qfqo`YMedPgtk@yy6VW#<@e+km{7|<%H;2Jjf*Zlu1L-Ho8#qJXo8V zQFcvS{V_ntXYMv$?QgW7uhPd+;01c2<76|RJQyEM z!EqENYf4f(JljUkqdyYF8Kn46y~I@!e5fSf|MoO?UtNue;8DkSQt8WN+J0MoH#NfkgSJ!C%E8H!d48c5Gk-ib}LNW$l0>;mQH+yvPfL^i70C)v4vz?6ov0f zm@GW~`(c?p>Df~{<5YpL0s)QFtC0i%a{!Ygao8NydO2Px@IDWnQF_sgUP7|kJ$1KZVbp>^B_X$QuE zp3!Po%Cqd@>mV11Q_gu9 z!V?ZdU%|P(amN`GF%PDWbO#WA*NS475dwJ@W;bBZwPhWLH=oRJzyZB1*dPLdmV0c* zN*J^U`T)Ms%TgOZ)MN2Q6PRU=-TZAqv$7#Tp=1)qe1BTWG5ocO9h}Ss4F^9HUZ$3T zK3yMvaW6+G5ALdB?{KVMo=kl}T$_2DEwKLNsBl`@zUVycIW7LdXFY4kgN`fcDS8%a ziyApQmJf{bU0rLTJRq(RT2$N1DREN>kh790u}}<<+XjWrt1qN#lF5#J&pZm%ECHsalsip%5=9?k1PB zb}@FmD!pYDiH72+jBg$9Bb-F#l=cR!@=wFNY7Ia)eXG5pC!COujgk{3l=d}+C;7O2 zd-TYIz;2LGle9<>eCYCw_Q^gk>!urGRB!lmWl|k@n()xVBjR`$^+jK0XH9q=Z2+v# zM>3c_jrYFOEY~(Z^EVlqIXU!b?!^Nby>e0v{BW?(GFvqw^@UBG*(Y1tV!Ba}exCKW zI)Sv3CMjqv>ZaO)RhI+u*BxLNn6C4)@?mmFM6h;kgj)AwOU65FJiG(!dBSLXL&OX69hm)&>)FCZ~_C9t2&rrh{OqJfg- z5^u%XYg39q@n11Fp?-#nP)Sb<^%gO*UL8teG58R=qa%(C#ZK9|Fe|n#fy^6`~Fba3dt)Im3}}tqCeH5<#zkc<9tZYS7ow4=*Pw(J zHCWzee>TtTR!&K^6H_7d91#-9zAl93$vUA$j>&D4Ozh;GQXG3ZJj{?nZ?{t6eprELfY(V9Q&w7j$El+ z(c^Elc}2r7!q%9%h!O33#*j#SndP!RXdFs4^JQ|DoD{S?$$J$QL~_vn(34&wTr0gL z@`n3)Amz1o>@j;--jid+he{%gF^}UqFOvjt&3D3MlPt68(WL?w3UJ7_wCa>@on?NbiB*EQf(Ewp_cMY((26qS^ zU>A25clQt^xVyUqcL^T!Ke_jQe-%}S+H-17_ss5e_tQ`JP!W2onN{i)Bp*o_%5(n; zYz{h=6&rmeAk5e^>(otaDc=W&%<>IK=MDxaKHCF@kp{xj(Su+9xCMGA6?3zoo$z*0 zsRckoBDz*O9$-NX+Gv)e4%9TS$IW)@+ALb8+0BTXrR=RxX)xqBy)uFnvScO)p0#fGalX`JlOhhk4_iKWBJEMeFO1aGSKUY5pXB+&D)?t)c4 zekf`THmoLAdO7cEv+5IEstJN{$r5vtT zSXyp^856n+6rwWj&&mrQM#4imaV!*SoVFPw3X%3*kopgx-^XS`Y1weywOSIZ|G|Qq=Gf zJ!Qu#dzCtWm1Bc!avp1d@Rk022{vw9Wy10o{nGv%gW#b>%FoPDLmj#5?+}nqv zZlG|z=~4JDTaIgl#t#P^uO;fd*szK{q~gleNA?XBP+Egn-^!WzS+wNND%sXzQST^JM|fK3MKY405HwG*ltknTtV#?xl1K8N%-31l7%!4} z4bre?;^|l_T2fud%adbi*5FosIik}%S%B`EYd>b)2Kf!UH)*$)eR){j+H2hy%o@o5 zNcNlVQ)>iq0vV4h6(r+h+B^Xwo-@*Xd#5@!EV1BG)Lbfm*d0+_uO~=H!T=o5iQ42e zy0)mk`KI9HrHYAgT~Xcn@sh zVw@eOscO3E6Z!*w1rAypQu+XVEuRRW)Pyb zxATe*djNOU^-~)gl?u3efM;~*3iqnrvFg zrl9h8=8}t0o-=$(dGKbPl5pCyXiGj-bkxMO>zqXzL90mLC;j}vImWHPtxTQ?rKs{$qo z!53Hy-R*9nxMa;C&JDZUXIC6wws6M`Fp)K3hcw87CQh@ z=%3X^!Dt&OId`3_8_fxf;t%$;Xh2jOd-H%@E2z+4_2oS#lS2l&#bH%@n)Vzle z5(NvGh$dvnG z%qRCymxa=V{)_EG#ov8sa@UOhR?W*+*4VTUj$lF`iN2T`Cbxa)df|1n=M#peesXfE)9iy zwrfWXPi7|BEwF;kF4sz!XC@_&Gb9GfInu7N-A0wFt6;RKUzKle``p+!7Wco{Ti2|@ zA*PqWb~j{XvZL(J5bJk0nPV#2d^|9ve*T4JE=>atj|b#!&YN|+EO2p<0 z^ANBgSHmU)#CH4e|AXGJbcIL$hrQi-K9bUpY1OvQ>alD3HsR4ww}+?|e`%v^6<~|f z&|ZWVl$nyJN|wUK!~{liqhPzr8;dV5_J&Aktxb7J*I19S!%=r28~b|4>1L5SE{Rtu zo7oOCIaK7m8v49Ch&}F9@brrE@c8KoPvHCbSYgr6>HY&ms)c{FE6>@4*3>PZ>YX^e zN%zXI59E7vrQp0U`ES!0b}eCHg`|A-8ZW`0(F?i8Ri566 zv>~T7N$z)n1G)JZ;$pepyf&mR6^h9MIvM`l9Ik2uY#2q-q?owO>AL)++aZxrPI10$ zPIZ$jgA+ylwbAh0otJo$37CYDI^=T1^*ii`78xS*3)2ubySj6RLsXk{3?T|UF(R{=0oe&MG&PpNq`1rp^h<{o1ZKvho4l~~ zsED_7{ERMF94Sak!mh*8uJaxbGJ;148$?5bFjiJ0DC@oS!WeeUdnN61s+hDg+T+hx zlH8fFx+I2>lM{b2HXHdG+Tq_7ChiJw=JJoRR%v-7q!Zb-71rwMX<4}8{L83Dr55-K z1%ouQ6357FYIV5iNjGtYpZ}2T+*m=@ldEc*{bW()4lq%|6IrellZjIy#88=R?CYNW zyBy~=s}fCn_v8wylRrbNCS>PQd_D0*>S#hH0xA*7bm{Kr6_&p*mnS>Je#ETTjK6g@ z`aM(jP_V<^6*<`N?pa~{Yob<=*XdlGTFFR`p&pwj(bojIiAdnY8se1rIn_kKY#o*NOf!@hVy&t~&&D3hrKX`a|ir^l& zP1dmob!sPe(j`5r6LCOfW4Cz2ZmuRJ=b#=S-8+Rn6h2;Wff7G1@hvr>>_W0`ED6dOO)W@E7{7Nt>QBuu_emrieAKHUR)26-)XhMMonE%+ zH=eloD*LBgN4TVTln0GZt{!!lP=|V`S;9nsB-g=U#V3rxZJq4dIo;B(zvK|*xKwrd z^3NM6p9eDX(bc38OW%ttL?28(VP>S`s~Iz2BRMa_!imCPs0|>gt>$%+^h%bfhId-r z94B!~>d^O_+nZn4X3&g!y;3Lew)10f|F#&__$<0E)s-UPm>3g~d)wFYgXa|iJE_8f zy3eGh_vKR-RW17|mHODWijb@*o=g7wa~hM`fL<9eD#LI>p4B`367(S}SzQcS31CC4 z(_Gbyf(&i6Dcs4>;<#9nd0SfN9oJz+RvK84c~fB?>+0+qh*ED4TNJvS<-?nr;2H?Y zig->9#Bca$&|m_uMw4bmWgx|c0^LvCyG+g~x(3=hro_Qy&C!&+k~%Em6kP=jyc+|K z63_uig;@ucnNe&ish#csn_yFu#T((>U{W18St<-kVEWi_(%Ry~T>7y-3%9VHLMF*n zW@92Sv3bG?25YV>rZZVZ&P!@$1+a>Ng(xQS#&-#C=f@LLEk3z9*pAy>#5f3OsEbPF zn~3Yw(qTA%Rdc3Ep=Ms5)?S2H8=6dqaM(}Rn$_#d2tZ^&4HX}HGh(j4;_KE6?MM8I z;d5agFnEZ0lE|tc$K~IT$clJ`c;mFxt^W&|x+ChKbSO0$7Dj3-^VWACUWG(q60tKt zg4GXp4Sj?`@~4EyFsZr@-*YF?X+xx(%a1k2uj*6_Cy_5DD#gkR(6otKH` z!s7{q-alSU0-Y=DKI%|2_Gwn=f`!j%cVnIGq6dYOAd~%G_XNBAM6~e&bl_~kb3-Ir zIIYpSLMLdI4q?Mk$mqH4GjQcfz#0Y9S9)%pTygz%a*fI;J=$AXJ2%z`KWF_a0AZ-i z`FHNc_Cj35h?ewSc1!tnahwTQnRQW#CBpZ|#@6@48xE2S+yWpylCLcRX7ABy8oa_y z9t?2NJ^pt+Z#QOs^P9RB-#*DUzGK&g{QCsNB_`+gy{Hc+nA5DIqe3TrVUC@VZXAc) zC)z2><0M)(Ei_~R>CdRgb_j^vJW`z{7a9Y~pjGc_X?;RE7MdYgP<3|f+8m~=7t3m< zhU5PiDneWeI({03FQ4%_)lH08Q1c4vfYvs076+j9yuM_`15M!KiIp9w-!07kz&7eR zirtjU6Hg^e>H6+)*g-a9B7s|FC*#2CCgHN2b6-Feqf>fph~ZM5Rw(}BJ+B~kc`>qP zre55a_08cp>)3IeCzJQ;{VjkNai#_YcPCiy{UNsffpR6a%TPw3 z_3Ug5nloSZRJUoOCx$0t&7xdpC{5K@snwltcsT09>Io9V z2M4g)Nuj@Il`fc!dgixnCAEw!SgKZ)&}TutB-;s9##}2#^||=l+Wj@TI&x}zN?qX- zMXP*cqo6uU!o4e}WW zrtc!G7AK?ul-~JONc)RqVGZm{(Cq;3DW{!h^Y7#OeV-7OTgZg7`%M<{Di2We&|pmQ z+f!*OCU;I??{!E)U;<5WfuoHY1NNvM9MAp(jPoG4%HVc8bKvEWB6{Vvmb;p&f;Tsh zC-E)cW{*baVkMTlS>Z9cJwAR{Gr?wA-scwaAHNSa_K9YrB+}O|(t^TdH# z=_S*Z-yv1{4r(}2r!%(5dV}7X`c8oWu1uKHm#hyc{=D9mN4OeJ6uYm1 z&!1#Zck(ZPbiQFYZ{aQ5;UZ&Nj>pq*0zA8j0C_8xtuGBhGYI8=RWOc{ijzMjIrED< zdT!Ji?QV`}{=gZ8W9Qw-WN_COXP1>iarzd_nSmCp!-C(fTs&a=Zz(v}b(&`3TAyS%oD&~!bB1ns=wJ1zbkXxz)}c|w(}=Yf7B_sHcz+9YV`cA zvN>bfj+$GqjMfNAFgp#)HuQD0c$gLvm7GM`3*#b?_17QmQQW^E7LdLw6k>BqrrEEc zb@EK6Y7~ng;<6XGb=*uR5`;BQvsdq{AcQwbNG!sm(bPsxaq2%ISsg8<%4!@Tfgd-I z%8;0h;I*|U{$vKF_+6w~_}Av7FaC8pC%74^`q9>;LH(Emw=1yd8;~&V#L7SJ!+Sy2|{lUcBNH{Z=L5w8{suTJ^MEQti1Te8<6V> z=|9y*yA>=kRm0l)pgwlPy~WVTOwwo7)Y#OxCfXo{y@aHU;p)M%yihpA@d z0VZ)Cou+iG)$D)sigE$;B{4zC} z*U3ivm7*J+L959VQ!}c_LbG7}xxAl%uU(fAEf$RTDm|LnQkU>nppn4wyb*6-lY3o2xk&ijJmJ2{m z($E*QH3c|7v6brzG6M0m55endkL-PAvC5W8h(_UJv7HMFi`@k#1rwKw2u@A&9fDA$ zltV2_iQ>=d{4tL;CC(qLxxqwZ4-Pwb{poimS&*kJHM?9qZ9F8}8py^cAU+!A*!(o> z$&@__H7CF4i>beU6zxj6{|}MVQ1diI#TJu4#s}pk(!U&BC-)4|zn z_@fu3ewj}@mpN#5#xLv3p0}mb`4KXG{BAz{wa)u-_Bcp*`-`D>CqPve!7=_clE{Mj9$uj%9&W=@i8` z>5N<#2?TfcK$a_-?8cgBzyjNs-4jZL3vv_)1rh}5cgTWNX2b#EROMayLrX$ueav^r zhl+x5BMj4%zQ3si5}cd25j?cd{7#>ybKoOw21;2%)?5PREAqK-PltqfT#+G@+L~!# z+gyGBYc0r9mdmrcLY-kbkmBrXF&(dHH!+L1HJs%t)5|SP7xTnzNR-E()HOD~~c{ zsC4v{wwN{nq1fBa>bJ_?)KnegagKYw|Ap8Y!M&ir+BfQEJk zT~_B~M6Xc`yO$8CR<{vcJ65fsl*Ir+%rZJfIbPu&(cpU}^dSbB&*UDG{Uc$nST5Ij zo%cbU&q%>hjeSQxo5Qc)`){OwRrksw)e_`VsoUle%fg}_B8T-d&|~*P!T=^{T_pqu zL#=`i1NmpN;kDeah1&RnE|~xvXU^c4N+g)EvDNDgB22S5Kx~ z+<#+E>eWgtg8xuh1nDSqc&2mo@o|{JPBJE9xOEORvBB8-U$Q5ZM8M;k1C2ij_{vX6 z_|%YceF831mnQo;Ung3F41_Elt^B6(u}=AHZ_r)f1$#!9J* z3BB>HL@)AMt8?IqzD$L_+)kO8eTkA?DupD;$`Q7SR*s(<MAZ=wnYGu(>+5Nt|G7z^q43FWt*QMZ{VxZhDWqD0NfO==H*5DeGV6Vo;68 zm=P?85ig*OJt({!IbMQHhiK()If8=SV?uSwEKOG}nBl>MS7lMHo}{J(_qzbqxIzbp zPc2TF()wdLIN-@AFj6UnwpdR+TF38MRGI;*;AC?=kr@noVp?HR@M0=WUu=M^MVH)5 zNFI!F_Xcq6Rx3GS0CLt%(^`|$*B9uEhDi;nu9X!a(8@9L8kB?XxoZl4>ssj$ZESTr zR#>92aMz0sY!;0-S|o*{I21JP+xjC&sV=di0|o=}S{vs9TJW~;&JRkhch9>fh@z#< zh?MakSL1K+9Ma6}InBiOF@dut7$D1@#9>RQzh^Wz?KTdq8Jikn8yMNtrV&UpWgnPI zx8LqQq&g9s%-2?Qf=|Dk<$;mZcjS4wG4c+ZH<>gCD2g|FyEDxGc)bQ6be(Yz&S`?t zNWPi+U6Cg|evd?H`0k0D#-1rmQP_5>tPmu^rr;NmOZ~|r2y5`-k~t)!WBqbAQrc(G z2cbufWlll6N=jYU$9@u*f4Acw<*u*bhkngh2o1){rr6zTLg8UO%#y6&tq(jsczw~O zlY3?$Gv+dZ)w_Q@cS}4M8L_^kVf`{2XYnEM{g*%oyNaatTQzOd4ld~Q&UF_~sq$HL zi)$xKdh5ZG_uDK|+B?~8RO((5_V@pae+(L|l!gT~>-t!3%!(!sy?flC4$EiUV|^g( zW~-?6_3=?xkkg2YyL)GpKISf;DC2WRbU}aOu<;W_aVo6P!Y(^6$DhZjfA#(g%~eMv zZ5pJfxjt=@zVL945Sni9d0a(onk%&B9G^b_Bv6)K zCA(W3qJCn|?k9tWae5fP$c5c&RGeU7fn{_QaDF~M2D@|+Hg=OWiQxcIR*!*ka$jtY0GLHO%870yiV8iLJXy22>4dS;|hJX?U2ZsX{e zlGeBOR*+~|ZO-2XtIIZ)`(*Bi{?C2Up-mgLO#l2jrqhp&%06b8eN9nuvyk46f99u{ zbwHafH)MN`6mQviwyjH@?%|`4q@#rOD3YY7e8B339@u;W>GSvfyx^emam!;TDl~U&i77 zLYtWULz;CJ=Am@R$yPjed{IBJao9Y&i@gKgc2Fo$eCtZGeGxHBJHWB$JnH<>LnTu= z!K2JcU;Hnn~`>hWf<8+stwMn9F z!mx#9e(A)0!r_oVw_XUnRmAcbjfplI2z7eONG$Y<=;HBe^Rg+CoMUD3&Osq@>PEIe zTrs%~*Bz1OOq|p=!Ib9w%I2*Si+RZy#c-P@`K}R}H?uE-SdzcF8Ub}`jtV*?#!yGh@BoVbC|5mQxwHjt%w{dl{2p@-^X2*Y)0yvV z@=2R@Oo-sh7$KOc&Ku#0NKV3~;a6KrDrF+LD{q@$7uF)W3G?(ID{W1hB*c&tLg?Eq zHF@Y4Pkat4i95*GAWy5OdL*4QHo2r}P{>D9aVS1)#fO6Me z@MSLK5Bau(<4^oc(alTG2_?~XlSd3NHyw}j`~@}&8LUSpS>&l2R^divwAIv!P}EZWG_dP7+3R}HxcN;=VM)HBF-weMRQ z)!q-DLZ-P(uLrMWaaW`-5LeMmv{cgY+9Fm<0^)QNnKfB**m?2I)`#)VoG*GlI0iW8_>Bf=zN>86X3eM%`o^DyzbJYE`}Qw#Jalt)8`>I+CI&{^W}5rO|vp_TA;i? zQxaD%&7#Gn$o#J-4%#RY?_3cDAT8OOb@4K;>`NQDuWLV{P=$C3u&KsmM-QRdKdEGr zM7(;{D{YNsWb*IUK1u`5=)aiOdaRTm%KGUYwtv5wz?lv}#Sw+jdXaa%N$KGO%`8Z5 zVt*Hu%q&toX?|aLfJ)~27$}Om`AMYIB=M53(bkCH1pfm|k;tBywfg*POZiV!Ncoeu zxpb((HX)cGCR(PD_<)XRl0TRk7=|pDd=n>Wu;4nud34h_vSafq{2E-g-n$PGZ#jLy zEgJO@Yj8jZGygRE>&&ygO*O^4E(cHFES=cOfy>G0KJKyvdjY6C9955K) z4b`6Wqk!IZO}c+Yj_!pP%)g-i^IUmj#i^f#mzT#J=>64iIBh(MFymVGdb09l-mvs( z@+s>q|2Y{n^tSa9KD1`+H#>X`=7(SjFtM$9uOHtRa-=mC#E7A84Bsn#E#X^oV zBuK%3L}(vTes9C-AQ?;q8&@P@ub6rT9d)`7IoV&qY3|2eSoJ-8O$zVl>{aYwC!Gx$ zE)8OuITTLM5qAN1Qfsd@98_>K!BKC4l%qJ59LZ?IyGWCasJ?=L6>6n3fxVO;6c5oKJI%D)qR z92`o)o+AM0csAucUzqQuE(a$&^p0yRL@0NgDg{SbmAliloYQ{xo6^Lqn|ei<-dG!* z*;Ai)EaV%_butu_ylIlV_=~;u@Q>+wVet8F&rCV|F-B4*OXH2PVX>OfWkQ+f?5m_^ zii@nJmC(Dw1cIRZmC%am)*tKj0bhFV2jMY}g!<>Lb<`s{@I{L!3B`kIX3u7}hUF!P zIcsDb2IIQDxbU6oulK8xiOK$;mD^UpJ>Nu`pV|=bz2mf#qabxL9k$Hq-mc&j--(^5 z-SeHx>)fuq+WmZ)M;>wi`4IeL%VnqMSk}T;no`0|XUOWZ)N`M7{s8@^U9ltf%ClFKY3&>=hIy0bX@!o z?m!%+0ogG;gRJOqa;Hr~n9mUuAnFozW80=L(p7w`hi^n`G19VxQ7lvyc+Ze)c8q#U zuLT)2z4lcx8e?Suz~eEngYHAWqiSRDJwy*(gk44kRCEv_u$km~h7RJbz_u0K?fzNq zn(*VTtC@ijiBQBzXr>xmb6#Xms^0$|TFS47E5LagL!7Yq4vv4^5*V&)GWANc2WW*r z$qa#Gs@)M(#Fl3`B-tbc4w+Coq}j~0dL6j@P~;&X{^@LObFeA1z_5$jlV8ILu>0!I z;|}twzYan1py`N2vjm9S5UVZRz-`ZLR!NUl;9^6gow|k-nimg~Y3mfKk>(a(J16Sl zuI22z5i1-rG4GX%sSqogg;?ZST)IN9XOoD}aWEfZ_%#2t=F+|W!HJ|!`R#?8bT?Gp ztYya6a^+m#m{j?fy?Lagmza6>{F=s_mw}p%`u7qzVZ$l!XIk*zwvgAq?ttadbp{Gt zC~O;f5F3j-&L;Hjobk7pE4c#LnM@@`-YX-ppkn&qWOpBxYV7BAJ0yn zefJ-3cAd#2M}tsvl%W#Hp&R;py93IQ+o8cqWEf1U6<{QOVKzS+RuBI6(uVX;x|k4t z6A`!);aXrISrjYec!IC^U`jxTe0_zW%&Fj@jQz#fK%F9a0&-V;RywNa`Kk9Wp&uBv zgi3*}dr7aG(C=^0fpz>&rQrt%#BbKodQX6^^Omt;G-#HIz?Cw}!(sFl=TkpWPAXE| zx3N0Jk0b`y)f6rJt*Iov{j>u+6%}`gH8i21H%IJhEq=_W!Et)XA9)1lgr)_sizUFw znF-W`PMa}FQWd$@?vF&JaozVWlDKlyd^O|rn4 zi&6T8(kF7i;o@c7+9GxV1_K5XA^4G7hMEJB>Jw7W(*Zm0miNGXe~K=^3m%dtrC)Re zT}=m^fiC-#C3U6jn*a#Kw+U}iE1Ul!$C~T ztwz(Aj=dF6vAJ1EPPeZ;0ptXfC?C~08>CTD3Ov&NN-a|jD28rpbV!3l2I!UW-T2xC zvd9LK-BtqUfc6QEC zdFA)kR-YH~tVSmwTXg+Sk*W^jk_T~Bfp_RlTfBSO)UxWakka3na=T+=wqHn1oqjWs zUW;KL)9*?PnD`n4gyHcn(sep`#9VMYFh*_{l=nF~Gkh>W1s9uDj6APC3ylPFL) z3siz#XuX1$E!PzU=}!U`)C@P+q`Rw89$y-(?}3``K3tv7L1`x}qED-pzhe4J$o!?s zuKI?-imU9`IL$Z`)~QYqa*Vn|)tcW{34^8r1%+Z+lL5*V#n{DVj=oA#SIJXN|2P#$ z_O_n9<*@KHk#!O{j){L;3}|XHb2%QfBR$Veg4RVdM3N|vEmW-7`F&uqVcSvn=um+= z?t}H(?BuO2ToI|Wq<@?b?l){1^!-*w9hcPd-m%f>=hm_8p6O6Y;k&#^0Fo6i=|gD1 zs~*`LC2hGl+Ar(3yO{brQ5P|1oLG{#~M_+#J>`#hO)2 zi8-=WhdDn z317x;e;i^Rq(IL!f^-h03%|o^gF!kmQibz29lJh>jswi77=eT|CTeo&ZMzyRcRE4c z(&#EJ_XNDXSCs$G>_ztkm`W72&aTqt>!%-r1>fwN?`Vuwy>?gWfa>{LJ}KRvcmhTl z+(Ju=_SsZtOwTSqeQAnr(DpxrB0OqZ*L5fQ6Y>K>_xoTxR)WGT2PDk21DL?+vp6=m2#;Dw&^4Rk*WpH>_}u-%4iG|7(zEZhmP~YB716&_)D@cDCJq zKtjo4tY>8+T=cq2hiFH|OwwvIwfxT>Km4`FILK2Op}VVC5y*_+#>sbHf4tDL;2e2i z_DpYWq5zv8#2Kyrvg~5bz25blveC$`=#Osa4v-JvL`o+)HfsN+GBfV>gzBjh72FeW zh47prMUOzXR)SbhN=>BC*2p{p=PCuv0JD%0Kz+ERB81muCpPuiI>UK#L&2{i6e`j` zL#WNf=cL1JSZxS@`B&(vEvSNvdQM-Y3?T-yh*QH=l8FJYWxPR?2p5+QuVvl*`D{vK zLugN@IP_sKhJ%T)4&G@j%1FyZNWM^ICAGDbcITwURMZM^VB*g5fTO}619>u>I*r$3 zwhJ6FZs1kY?#A))iQ^gr#_RcHEuFoOPvU^JHmV*!>hNYpvu)|*SfpK`5-cXItnwR= zu|gum&~T}e{$jRDNnJuT4D+ivA9%Tyk!+r(*)X z4i1d%G#x4aqp)jf9yFR6OrPD)_=FgvZ`Ux4g3d(PkjQ9rxh?-^hA9qOksm$gJ2i2` zn>>f5tg#gB#FRC=X7RJ`z9VyXDLD!32~205p!V>tKvzGqR<=bgT#;=c!j%Y+HZAsY zNbV+8u((YA+QpRYiJn~Cta*FlMiu!)0o-tR8V1hnCY|@fV^uR&wZm<6FV#iN>H;{R zqwM&H0UB7@3@Bz)NHEf1^jk*XUq1KrPs-hN)06)+RuS4oOy=x*Z)L}vE8Rx(OnQw<8XRbj!W?B~ z_n5f|zQDjVxc8`?u4lzblx7HgV62UEMn(6i$@Rf`{P}C=jcrnBK_2coc zImMT7o4xA9ge5V-Nw+Kgs9YoPS%C9Bb4w3!R@^;6tjK2lz__a?#UNCkj^I35U^Z_l zs6(Ks?~v}@(Hf`8B|EN{Z%uBYzzf^=Z`0wuaW(EX(R2nAQm74qnKN<$R={~SCV;u0MmQ56I^Yu*>E$EKW(me_ti4Lqn zcI^LBN$nIfmK%6Rie;q2eu<*mQsp(E?s8LQ_FNwUSb8fE=t@L+kz5 zExP)v6&#SSayik2ywScr<1G8z^iOk2ug)Z=ESV1mcNqysX#b(#d@HcCXe(ac8`H6S z?E?aab3Bx@7*T?PZ}qEjLT9K7-H#*ZG?2m=;oY>9k^V#!)KTz-Nv#^w;Sk~qK{K@-7ahoEBIX8N-i|1DIKOJ zW}X&x>GaF~U1q$-fYj2$dY`y8OT$0M+}S^4*Zy%Bk~Bc(Dh(n$XUGDFntzo9V%%U& zf60^d|CN&X$^WmEq;=QN_>Jd4>BqICTt$)dUMA0h0?p<4n3)q^IA+a$0>*wD-Suh| zc&Aicqj?nOV{?qQl4Rpa0p!ydwcCa3zQ;Q$ZYRzUD7h<^!(k_~8qJCfuwb8Oq$@lr z^J||``iL^u^u~ORS9QYxeTKO~`G__+NdA-(O6xRlHJ9R%U~*YCQ=zWlU1%ZnoRj-S zzO!Hs-^4jqzEXyO=ci(S=P^j5nbln6W)^|RKTvR!4fnE{zZBll!P9B1=q$Ji!?AEg zE^+~$O(e*+jy3I0L%sf0H8 zkG^eg1=8LVON^37@zLxiQ>E!uQ#Xd^rbd7-2wJ$biZcC=Y@?BcYKlZ67Q-$wJA{B} zBVp3@#-9ttW~W;(^$Wnca4uk>7vO@;%xi95P21p9ulo*cPRZP<& zai62+JNC$~ZT|r;6Op1l2hWn2C6bV1miOT@2)XW5i(rgZx6%1fkN|CIAhg;K!gYmD zf^VkA94m?|44sTEjVSK>Zxz^y@U&19Y%DYKghY^^KaElk0Olbil54kJcvfn@PiNFN zFNNZ|di1T!Ouz8#pzURPXCe^2RYmzA*LT@YJIZ%ncct*osYj?1QOh3j>ag&N#XjAK zpky~VH5M3l+b|tsld1^qa3OUGU&jboZN~Z>4Otalmpf#{Js=A^=lQBb?G!7X5ddo- zJIz*vJk^Wo2nr7h4pApNf->7?E#6h-R#`;-E$`908i(CLT{*8OV6bH@?mG{CH8sNOTu(Y$8JWoO{7*S8Ds?>f=80Mz9;eH;&~ zwPkr8IYmu`ZZwPhV!i2uGf_=c{o+^TBgzR{O{2pFNk|m+rb-g}bv3qrTz+^ujPPF! zEpu`@-dx&YQ+>+$zEm~fC#D(Y@v_{6;&7OA0M@tyICwoHJyf;obFT=iMq9Kg#m3%Y z%+o?D0P}9W02P*|EYkoSymxIj9L0t&tcRP!if2t3F2BA*^wo zZbEUd)DTr4U`TO4p0G%CU9Iuv2>Q8^U1jv${e05*JncGV&{f->w9t16d!n60FM%Uy z`8zR1;;EM-&==R$QB3SefLcD5xr!%j1y^;|pou3;>&Z}ve#}CNHU2DCoSeZ=5j@ZN z-rl?bpR)fVE*SS(hv2HOE<1IuoI0_P@RPbk^#jc`VB`uw416Z-wZsFk(%($4k-XK_ z-{B(F#;P$=3CZcp>8oh!)se2NXX*GHeE!Z3ct$;p+^g%`+}vl#0!9iwF{x$lLk`rz zHVCK!RTM+Lxdy(k7KhUiBZ^X6{Of8R@ZT?g2)8L~4IqK+9Y<4FGNHBTQGom_zSko=KTd*f_%zc69C!&1GWS*8UBB;<;A^LDZf@bKLEDi{#D9# zRW#VA%<^B1dCAq}n0e3Z82?%4v5Kp=ZkKVGsy_iut^WhHR7G(UyjmGJ_&5{lQ}(xq z)xDOv!WHKIJnam?l_9L6>E#F84l@YAEMoxwPS-@;L!C*(z4nq#$`(u4`1> zCU5RK4ZW0x%>5JA6wY&Zx5e7D?()E{Ih!tfGbXUIo4Y@??O7~~3n*onWV$8~S8g`fb#ieI5Zta@i3wophZt`i4AT=8ug`2Ih;# zB3j$mX|0qRR?E`cuh%H7eqy$bavu~p7yCu-u~N+Xh(i$*W5UG(8za&`oxA9 z1&oPgezt2JJ7AS0wdWalUgp0Z)npaX+7+H~o6L3qxvYWdXRvx>;#c{|*k&rp$WKRJ zo2%u1|Dnj$Eu)71sMG6Zy$l&Sl;{&+BRl=pY+3WM?V2d9%mGM1ck zC{~C^raMBo!Otl(IqR0mib~Ma=XpNuGuis`srV3q7lC_fN&SPwdfGNS(H7G%&_?23 zi}6)a*b7CPLA|Pi=}CIAUGeqCZT3P(42`Bf%sN`NA!aR9^^$=4=IiH=)l1&P1Yn9+ zX!wlj8Uoqr&`9CSJRWore~2-KwF#OJFEW~oS)Dxz8aJ&M_Y9SWR_SigH3l}&{G7C$lU{BP;mwD>XTsjjmO^g9u)U+G>JA1&wmi)@N8a9-g*+m;S|G2wGchd zY1`Yvxns}OGlf)o4Hpy8z{k;XIe0VF@4oukm+zAJOeTZoII|DhSfV<@UeW7}iR^tf z-|s{8;sBCNesB!k5&ZQ$IG*8oq{Xfn&aS9 z^z))vyG0sP?LLU~>VoLFWupo*xI9IN0q@;^9`+{}xV|%YU4shaGu}h5fuJmBSJ2G9 zquNvK=3n|fgdw4Gy@(Yc=?IZUOoS5Q^w($(Yi!H4N2$k@(r7-+Kk zZTLJ{8RSIe%oY6%^!izxGkFrlQQlzJtk{h-xJhPgz)2{j@?7ETe0#h^D>c_(^fm=@ zwp*Z$Qv#;kF(rF``(t={&ET%xS0M+Q5q3MpZ6xzv_^!cE$i309@t-N&H%>ogGQKU* zPk$`CCZB#b*!Sh|mLb60eywC+044K1|0JiF|1fRgSKCQ)dxB4f(n>k|$9(;VR_j|r zh2oyRwa)W*tyiz`(5KpdVClIZ<~ROJLv47{;CxG?j$W;o-x4<8E3=+f$0cK~rl3h! zc&JBS@Zry_?k$ZFuhF0f?KXG=BvS;IPCNlw<)gIrNh~RURabh}*(ck@UmLSu5k~Ct z$D7|QS#Mt2s|@wDnW`V0%&kJ+hkQ@q{UO+mM+oOP)?o}LKHVM4mk@ZhZC#W&{^hzl z;38p;C28*Lk(HGDvki>DOaS%*E~aN*JVv;yN-#Z@{Xw`%X{4cYX~?@Y;1lA-sO8&dZkCz*$_)8OwgS%wCH zKP_hKkxQCZW4SNbtC6O;r&Zo1^t$MKD>OJW=U?d0JYAcw?fLmwmcKYyd!{5`AwftkxG5(hiwR3A*{y`0F=zW~b~=4;yBoa`3ru=)erAqAsGQ|5rxPm?m+6TF{Q zSbWVK+7HbmbQBFbo@w;@D`lC$-KGOw;h7?W6TDa1k9^t9qddzHf-6V7@7P7OvM!HQ z=bNmq+BXN4d3A}YH-~-uj~5!fYHoY)v?MawMhE$SkbG6%yI>$;%YQrdr1IdcbyzD$ zo<@BatK=I|TCa=MKw;omJnb*-=U<6FLx|m7N8`)3b|Ex6sAaEbQ20IlM^pWzKt6`t zW!ev%@6N5Q5s(W{5DAY(nKwek=PJ(iwuf+E*nyY1p6hq~ zg+s<3UQj{@Ki$q;SJXxQ@r_&KoAZ~u<)E#$D8bedL<4gpHZ#nwO~BPGOP-~pMJL^( zpw73=9^7@&CGOMYyc3MrzOyu$*7Y=K)TOGkjUI0hr)<=Hkig*LFw5%1v?l;s*5tht zlYmjcHDv3ny*1C+hY}K(Y}x``<+mdTwprS;QySuftQsk2IFI~43nitFFW>!;<=z3u z4ZDNwfP~(`CR2YBsaUtNq;P=jP-kGMAlrLvHkR~lx(}d<<_gwE;UIu=j zzJo#zhiu({qS;2|A5coqNXC}zULW%&EGfnw5T#2qEMKIk`A`+#=^?(SPY2i*5*~5a zD+?4Od)ciSK!aw3N&?CY7K_HE_}8(+r?AZxguWk7Lzkq55|wZ^C3AUHz`z5_jG9MpPGuVG*h8-{C_V?B0JM}K{DHAF^g^^(|17BP~K){_2{B{*p-_U z!)exuo7XU51>Q}x&Mn}%6WtxCSN7=ngDz9frf3zct&)krD;K%?@pbn#tq`-Fm7EVk zOwjqPr5dt`R}r%_5Ct9q164?gT#_$e6Bw(e7;rlJyNHZHlyJ>e znKkJ2|HyjluqeAOZdAHK=|%*ko1q&4Vdxlg=LHlrMnx35|B*=H3$D3l&0hK2>t1W$d!6=i2J*I~2=w7F5ET^rJNaEp3Y=LY_{()%9j?x} zL3DEz%k4|r9aa%%qUR-t2QOCbm5m}b*J`Ja<_T+G#{-s;u@>fmpTLbID8*0KU5!5X;D?|HePOU?#6T~ zBj(-NH`;wI#i~MM6hK^_Lg)3hQuDM+C+3&vAH;y_Ix0=CMMlpIdFILAL)4pIocWtQ z?u1%{)za;U+@im-%%{k4#;>XxsIxJeaj;(nK-Z|b%lHttC{0_Z60lPSc~hqDah zW=v%MJbXwYe*xAHk(%>Q=7?lNOGlEnt znuv;I=c()*2o;!&z0xoM>gnQi+BHvTDY8435Hd4%8bt*HxHMUuxmB=YZYV zpjZy_I;?!LOoZL7+d7*mBIm=`py{FnqSgw~XkBIa{zsIG2rfQ|p4%)u@jBgw*Yy$(Z7+unE>I2B?qLorWvvZiI1~-hrg5 zzV~2_x2TjPLp5IXBLi)?=k1GVbq0j>KvL1?JtZb}M-G=FV}|$UqJp{;@wG}P$*A}v zDa7%*>h5AV8$}gjPqPlbx$$5?op9 zTGK4u>)RjPkt;ZK3)75UNfSTa#XM-qb34rxh>6v%Mj@YMXAr&10|YVwu9{(9GDwDY zx~28DDIMlB_bOQ*QCP_qmDdozgl8S?Sw`1tJ1qsp>E*R*gKW@`QEU93ukX(NZ z+Sxk1VPdO0=!0~X+SbOwdM%popMs$stgH}A92o0JJ!M=$x!#Vhpass^j$he|NECeR zzP2ly^php=!u!^or=G!T-K&W7CbgwT8#ECSLHq3WAa#mG;YhrZW1n_F$TTcgj@};7 zfc{#rkP6hznbV_fsa9x(7zFjpXc;l|6eQOTY&L8d|DIuDVldNYGf`9e;>%R;LFv2f zka>gdXE{CP{8S~P+1Hu-f|bn-ybocq{mH@23{!cCS7n1b#%qekHGEyw-kN@0(Kw*W zLi0~n$_sd(R2s*LtqW4TQ9hVGb4sX%+Ie6sK}qbt8D=r{x2>%@RF5n*HD=u}dN>v_ z_)easH2tV59x6bkg=9HkfPh1XaARIYs~cW#+#--5;!t}5RntJ*YLiBF6I$>lw;=B*{1h%s zTt3yi7>kpcxh&EONdj|2x6Bi%FbH&-JLc3!2dOvS30Pd$_l_z^SWc}hhg2e8>tga& z21KsaQw-1K|G6(5;c`l=*3;}BAcW)Zo%f)43#Kg2@Hb{U7yo3O25#(?+DvG={iJ3d z57MpJvMiok?jSHy0$;ixnXVjq7P3puQoujEIWF4vc6C`EdK~cZ#j^ljj~#y(AfKY#t^_dR=-pg}GP@1`4sRHKhiv-8 z$T)ygvC&7uHppv@y1yusXM?1*-D?_elSr7RS$Ei@{r)qN9(w1qCgc_)K^FF)*(pUO z>ngRW)46OfFK4@nShbnKXGenI2oIfTnvrA56wXK|ZfrVrSxx6bWhf-(TVoWGr+{&% zVG#cUwUtt=d68*3x+K#(a~WGK<1ElT6t`8ZRM}ljElW>p~^TN%XVkWc1NObR15hFv8SjcV4<*$RS~D|Y_i`eE|WS9k^} z39XWk)s}-oq<*#2vO=iH#DRlVU%P!|{Xb9XHLH~*$3A3p6FFuxk~fTvy^vW(89s%6 zx09eB_A@W2nC2A$hObal8B-vQW8B%u86Dkj(&)Z1cwp-+h!PfEKC+QvcSEg;14F7k zb;uerHK|+2OOIjq`0Mr2Z5F^bbI%a!svfQl^G;=Z?3V14!xj6Y11qa#83Lb~@UR>= z8axsFvI7;WhtI;f2UxmfB@mBSwk2#0JLR7zb;V%mfG~y<#Z?is4iV`rn(e8JMVQ=b z{;skwKGXzeiA7!lwcn1r$MT3+n8$!s+|>Oz{JhL}k5}Si4tXn9_c_B~lKvC8e5ZXH z#ede(uEEdm#;vSW2y-;oBj(Bs|GoYc+IX+98a=)3vJ(qw2gL%LA0e^V{^hHZh+_RI zHOx8MH^W!o+T5q;o5qQ)ykE_Jm6sFgv>%`kNgOYYc8`fE*a!*omV~cJd}B+AcaOQ! zcR2uuBejzcv%(`cDt)nEEth1=6-*mIN+UFa>*Yo8-=8YsQ0*87fuqN|iU0&&bVq$m zrQH6Yk`i~_*%T@$V-$^C3v7KrI3nk$5_+nt)e6};4QmDJX?&FhT^W1FOsR9aaPRnGTHJW!Be1wzs_Ip=iORC??M4OTzCHE2HL6(=;&tcyurt9Ee+>~EaJ)9S zeTRjht#5rlbp3s+8_EzY@qS7!2QJv~wXA?NbqXR;KyChK?o2tEnr8UsnX#^yR~l8X8(UNV%4ac2U(%0k6UrromKg`X75{Ku@BD^T$-;I=?d*AW!g4M?Re z7lAW~TrZ=G%k+*^I?Nr-mDzS!S3V*$Z(M16AU$8o@gAVis?XDj`)EMr`HC!G3IzsA zM_HT2V)gG$9;djDF>4U4bzG_& znXjsXj6^c-@#*#;$2OD(-^RAv9s0l}#Thi!ee1+?mO70abNMXUrVU(JL*FR82!gdR z3(T{y?ng-K^SJEIpzWzISq}jP<%jV38|H7xIIXlO60C(#@^$)#anq3B2DEAOIE)kK zVi_{G&cfgAUoG?POIV7)e=*bNccKiJS!PPo`+Vg#9)j9HJ>H(;6nB+zu(Ax7Invq< zJ2{y47zfsa*BifZC-Hno+6O|`H^~3%BP7DO_#-K$pbs9L#Z0$K;EswE@uf@SuVz1!~&QzAcdM%?jr8J+R646e%4jN z6Ov;twV4ElvQ7{Q2m*hG?(8m1qM&=iVW01& zw&LJ_KqK1cRWB8xr0bsI^hI3YHf&2>o!pZw2G2!$dZK;To;0Fu^dH#vYK{78u3gmz zUu7xww1&Q{1#Gl>P~1j-4jA5vqqz08$l=jLg=q3(b#t;|0dNPT0=D-Rzp zD4C4Y?zoDfZi49$?aQ11k{`n6B}lOhcs{fq5J34Nd~Y?sKXX6Uylp6*QnXEl*E%b# z4~x@F<;GofvMDUP6r5XnU_*L4*;b(n>m{8Cs3&@E%tM+Jo#?n*Hpc`wkq*OEIpQ>-N@XAo>Bj-i&I%sY`0KCvivma9H zxp;+Rc(p+IEewqZrKPDPGaUf`NZqbJZ2G~SQq!&YxjW%@T9h_s3(=w*!@C~8q6!}r zQKuF28@D^mkWE{+H8s1XNyWZscLBqE<_+Oyk=9oLV&O7^m z#&ff5QLUAs(okFryqTxm9Zu^j39Y1UL>J2$y6C00A{1B%7wg>b4EFP3BtKwx`3YLj z=5rM~ox~Vgj55;RKd`x0ArW#oTLUVF!!#J}dT)@5OerdLo1|HF)~y8TBqfj7&S{;JpfGc=as=d36j&&ei*kH6~4ESE}1S)9FUFWVJYF`y_U zmzQtpYh~sDagZglidg;oOgp+$6g-R&O4kWxo$mU|@+bP^7n(9qZWiKiQ=0D;pbgD7 zf8n{oQNlnQZY);vMtBOM7X0>Y2g?ZkGz~^Zi$JQ${MEM%Bsie%jr;K#shUhp_HZdZ zL5bco3X;a?a~teA@!j3IiVWlp)zXo@m?L+XPU@VCa0e_+lT2BCGxtSdi`X~DB$;Y8 z4k_=gK~THf{hzQ5e)Ixk5`2*Br5mlmK5gs*eI#d&?s@FL}0N4l_bnW7&(omst(X^i0*IjBNmeP z@FTAWg_R`$P6RjmsEb&>6~Pv>B(X1>07WJ2MB58ws7*S)bis4c5L>bSvCzF!Ns5Ao zs4Y_3({P4r9({GN`Nu31cwyJ@IT!bo%X46lcB9w9d+GD5IW%kORF0(i_xM3>OTm(0 z+3hPyzT`S^NJ`+bQsFDbPLi+H3TI_49@JkyD4gl_*e|2;+o>ns%}r=Qzr^sT=l?;> zY5)}5SP{}3H^3Ew+03|}ACfd+D=f2$B}cR=U=9}F5#n+CBUpf^plCA3oL36Vp@xf67zZgMk{2n3Xrj@+?r0z@sD1e}(?`i5S-vq1C#=_e zy52_nRnY9@uY9z5O|(j%L0Y0-($KgvYby}9J^rT@bm%H#HnZhbObTjdmNxlY{UBKQ!qFJ^>^wMBb$&%;MaDI}J-MH^iTaGshL0$iqj-0EQ!0lo&edmfRGuII%EH)C|9}Vcuq9W8l z2V)P^67AAv#Z9f#wO;%zo^JDY+RlA9S>f?e#OAepB^c07$tzC&^yDuytDjh&05NWP$P z`>LG~m$_V%NCYZTZ61A$(Rvd7W4Yu+F02A?g7 zU=Jf&SSg4Z)WYYRwMfH{=8DL|wOx!*G4swfqZDXpr8p=x5ZU3%G<;MdU9%w%v}QQF!3 zBOjapu?GNSooD=(PwyUa5@lFFXkYn#?RaifrG)070p{Yh_Yn4ku$;-0=Mg14)=G@~ zp53)`S^)}RKTtv|SEK7xV&qz{S3%#wt4GVaHpK07a<+}_bT;hlCT;=$bR{7hg!a&$ zoHj;?YJ0;d_WFhmEHyvJblD`Wp(huqp#QkllG4(srg>l+DSokn5u($9zTf1wT#AoV z@ou#zxJhdK_6iM@| zl0(F8ULui3-@s!S(+MA2mwn^Xw%=)*V4W6^AMp#*3 ziX%&g4&sE7h7wofF&;3Eddubu!fyJ`4h z)20r1Z8@UXM|SX9PfxJpk20O!3Zf>hJ64T{Jnq1#7yM%NkIuWf*nT4hLxPE048HnV zD2d5OwB<}BqxVgA4|jfyBTd9+tode^6#eIhQ6h8uUXy!Oj0<2Rja!?9YLTYo(wMza zW$z=KR8xOqixMhuK#(=Lae&;_9iC)&?=gu~ejx8BdkL)@4zW~ckWdu*S4)<9)QC|& zYT;8-nc*ozFU(X8Tz+2mP$b zS8FRQf0h~2mss7tk)Zs}{F=9g2<|k<6#(9-{VwoX;nJ|_95Ea!-}c0--W!@t1oPSw z7mj538fC46sNVaZJx}v*N9fky`-R|x*9MEom=~bAXPFmL99=NPvcynyY;_llDe<~b zd6fbu$VKfCj@5xAMo%S2K}vnA$jYU-|51-{OnAD@d_&w84dm#yb$Du=(4y<*twoTk z)X7KI{ff%1i`UE6SCPb+eSYny7WVrsTnYo_x7>TKhemeIq+|6YdawFnHf}=5rY%~! zrG`v;Yi9-oL9>fl0^rHI8W8Th8vw)Vt_XJM0F%IZDf-ZbzfyKlSiv8Yn&X_`qgp5)aVTPGPfg1UP4Pt><>a@D)H{t;>S zW@**3AvdFr*}{Em6=cmlDA>0j1&crv)H|8ju9HTzCbADx^z~cjP<=*}Zgh?B&?U6` zugBJZGQYp-KUl63P#md_iOc>KgN1fJuK*Xgw8uB!&~HYz+&G+%4FS`XT)vIq=%f(d zU~8HVV%Wf2tJ4#45YOr16eG1k>qRtm)_M<#R$V>B1iz4>@++)M8y=?GOY+RwKwPb`W&^tcbaw?T=wjL?>pU0H;(@) zBX!cmb`9rS4{K{$;yjz`a`gV8gBm5x{2~x$Q9*3n&MItFwChAtf(ZC2&}i%w^TjON z$``JN5Qv_Tg{LWhJ-}w7yMg=b>cRR*JSIH=x0y;m1NbW3U!FjAwXfa z7@V)aBda})%3K?puvm9xQYy-|6}lR_aReDx`~7;(OhI!+jsP|_N!v*bs9zqt78&8Y zIweh6zx{jlqsFHAlqR5MK#QfC zZNi2CywtR7qs#$6c++kSow6?T{Xj~&nzLv20IYxM4Ug!}?k#H0n3kD$QwK^*zlUs( zLf8a4-h|<`5eWSI9MMn0Si-=7Y;FHUAQ-2jdmS`E)oV%HoN4}=%fC_4qN`RnHxnMj zUhK%#E&_h+>L!XpJFBdA5vowT^4W@la1EYRPSyIz5}g?@khELnGdBKA)>T{;b9*CJ zk-9W*FRv@wsr*=deF#FocWHfzl za*I>OjPyxMgbAF1$~xyBd(`H9ETi1VE^U_yU?&DRi4&AW3-=N7+97q9aZCu z?~91qB_D9WoRQ|hL8`XxGlmV8i!`NmfKM`(JXsyne(g;y zOftNG=!GuGrX#pDlGEYJ>daWua4n+KY1IFMS!mq#k^?MX7h$JAYSYZt;zS_aM+*=@ zB&5I5*&nx84n5vfN(45bWHLogR-A4<<|2;v%}G2L7_Ha4@URX2oHPU?lcR zsm6+UwiAR&-$v0yZON>0r(eaD!mjKuUg4)b>vXA=3v$luQ&+<(EZjpy(2c)J)nVC)*-9=UVI5U@y=?SmqfQ*gKV_76GqT zixPw3q6enQA^NFYwe&20N#$A#64Zko;$7&^;Z%+>8G48adoT}u?>)`e;ug_3%?E@6m)M8NB|45qRXCpx7@zLd{w zJwIHeWyRLcG3307=r!}5hBrPn)w*$jS2;%w`XM~}qd1unC%R4;A&8EqbFw%7gyB(o zC|GyLCe7^sv22CU1hSw{_D!>Du@}KXLIum zCjPL(GVDqv*o)!jY6ahEC)o1+%i4(9H?ej*-^t*o3UG4g(Ae_A`jsZ)YpGUqPix}( z6Xt$Ijj7H_$@`$rAbSyqqgn`Oh7=Q>-E@_@c22#KZP_4>CEa*!*_CJx!PpW_f}{04 zSWbDS;XS^dr|cUs6yV+DvGyA{;%I=4_at-Ba8LR~PzUowe^Ip4w<7CMzOiEoIkRPv zT4{ft#DZgz;m39GBvMN9!uQk6Y&d!YbSO^tXo(cFyrHuyd=U>TD=#K4Ry+SVizsO} z*;!C7$0clBnQ~lYPBH48_*j*B_QZ_}`-xK}qd)?1*%13^-O%j_-B#(^iN5}(we(H0 zF@DH>8FTF-yWyZ0^{4urpd{*Kmrmv4>-Y-2d&z06^*XNPC3qe@!)W0gorkX*p36e%lbt?K<<*?bN%qn zMeYX2dqpDLR>yQ=N$5L$iGnFNPV+U>I92hvBhKz5zy-s5!AiYmct7?;@U1!Kmmis< zi`F6yFM{p|`QtH2EzwN$uR)jc2G;W*X$*qRl%|JfegRTwc=Nps&7$%thgo_&apy=>+JdZY_T+o8Rv`^WF@aY&Sv`fA z+;G?9dJ8$l1`iV36jIhm3Q`Tf6~VV}dr@k?Z-8C+-dyCh8SjPpwLl8a7mq}TJB^(c z9Hn%>u%U*v?Je_b3N;LZ3fm%@-(QG=4<<_~tbHS5^K#~@93^Yq>b!1{^l^W$V0^8& zKLi5wny=Y?w=Cph=n^Ckjh3l(w+njmT^zM2Fr1ZkUheKsWWG)Wk8|fAKMmEbl27w`QMpG7@ag6WiRq2B==Gn*V z$(AY{NxSMfe9fI(KI&JzK!l<2JBD%pg9RgO;6}CEr!f9((w7?DJ5i@$i;5T39IqMu z_ZOyHV{`~-ZRw_Ar0w$It4)K?T@Y7)hwAdT_aszC@{QSQeQ%52TH-O!XlL=fsJ(Nd zH!PVg z9QDJixAP#NJB#1C8~nV(mgxG74CMP~(g8Tsqr*X>=q)SgL1d^c&S<`?@HUK(9oB_O z;MkDFp1C0&nmBmz$Gj5()>XVX z6@V$UV*FCkl)R=8Y9L~e!E;gMjD&J}>)>(TN8tJ0B${|kmHbBT=66Wee^}G zA*Lg)w*-~UxJK4j@_C97abdZV=i?(Yr)Ulh-SuV5RG`d98vqzrr8xtx%Nr_-*(tNl z-&h`RQLRUM|K5gvKgJTZ#*eexeiBBnhzwBF6I84ergDHi54h1hLrcXQ{9;p&M6_+* z1Z=Bk*!s1zWs@IEzaB=w(bsQi3-BH4P01sX#kvaz@Z&f#Afub`rjqA9w|l~v;LyN( zZ>PCi8Y11nc-@JqSN&4-_xlfSV*}$yY za2zfBm~60dk6!&gEfD3WJpqs#*36!?nyWVJWj(d^`2K2COHfolIYe;COCy-`Q;p0Z zgLh@0f?-W;yzv9FnB?g&o1?0$U%`%-5boODTthMWQFyE^)2qsRQTL!S220z~3@%g9 z6~};I{N9B9zcmH+@9%sfQ49;+y z9(G_&tia=G7x@urG;ykXU3s)R4RDJMkvi+ov_`iSt#9M?f@voy28V#8wCZdqDg>YMa1 z31eJ82H#kb)R=nI3dzf+B|4dHQ#0oebSP3|q!FV5k%QbA&(laTs`BHpmY`kd7rQ@t zO7z3w&zB0f`Z6kOL2t<4>FFgt65@#T^>olLh}W4)TnG>)4)%1Yqpx&NdEC-|dSb2a zBqO!r=Fsy_+~W(LiZYWjoweHwc+vDRQd~x=Bd;UYt};eID|IOO&D9E19jXxnGxh-v zJYyLx>|hN?Qf5#ubqf8!>8e*-!YEXdB*Fiv@XI}Yaf>>M3u!9a^LO7%Go9G@O$^ck zEzL3>!cgner3|5@2eHr7K;>TIg2%VNvtCbmM~1y;Ko9VHI`aP|{q*}vDwX62zPEto zU2=;qXxvoz{NppLQ~!6}`B@h$S{@GO!z_Ww#YoiI6FRKuqzwIult3B@>EVdmr=8rK zf~6-%gKXM?!ds3LdyU_rMLpL~UtaJ&8(H3nxWx#cRQ3O2{g?g*eSPF5pguZ&TPd~( zMw?ea{%~inI%L9Nhu(B_7~LmsRrBtda3nQ(=*dm-6zsAzdswAs5=+aootz?PLI3y= zNwmH$H-E|M6tGgA2QdV`48uWLX%g2d;g;j2Z^I9rHsr^xUjml##)+bep*vk}{8RsI#045~B z1UoSjh>4ZCowc;Q+vzIy%&uTXgEHx@lJ**Jj*ULDcx+1`;44ZodZR*j(HnvihP68i z2ZY8Nq-LNgd3H9Lqa&NlL9xQl@z0AGs|&a}Rg&!o84Y_|$+7un0vFLs=&(Xb@q~AuUCM8BK?qpYw+I zr^;(BQ2Z?DH452BoEU6rH6!hkAq_4SS5}ddPD`gtR3{Q)(a3TwTn>eBW>voGbi?ChSJkEBfsN1NxF4*7_qkFq;-;+4!|)7n7Pb@9%QK8I($8|MBk0q1Ok# zd62QC3dJ7-B`Sq7F`+7y zw%Mjfjj+a^Gu~~15&ts{%H1vrhDlq8k|9-~$ecnELYD#yb`AmyrHHk>8K!}bX9}yr z!!&L7G$XMtLC;=hzd;>St>$F%?>7uJ&gONFcMz~O!MjHZg}y$M1cdh$;* z?X*eyH0^z@_x{K)0$my~*Nu2yH3``=Dr~fF@4Om|ms9<}w6O@^PfH;Yj?+DPz&S^E z4_uWPyItcXsekR!16mWl0s9sX)SNwQ;`~?jHm3(gO%MhN+9!_nM*Llccmdu@Ix$jWJb>(tnpJhQAb zR|(FW)5)W`0iEmN68I zlGGKDLR7mL7e1}*2)LP{a!C54PzeuQ<(m=_kYTMDVleEduyu{rmc%XdV*KSA z8$GKO#;A5&oVs%R-9(emKGt5AuEk78KlQq4d1dSBEtRrsQ&Axu0+b}H6-0|ZQ)fGA z{Len1*!-P;VKt`8KZ^w+O0#b^p4z8IbEo2)^I=F#$tNPTB!W{u|)~Q9Adp+Vzr`)z*)(Jl7ZXC-Jf@elhaNtR7gh3 zH@c9R1ItHQEa5J5pZ^BO-_RX|Ppdl$LiWVsj$L6bk3uSdpU&{ett_q=EUru+7Xp+J zkQ<1+gk)UtaL%oAaU(IX_x>%vmzTvmW_*jfV;7puB+K+A4C8jkhOsiJHAQ(Pm0^5^Tu zr#v@usDnoJOE=@~b+t5_w0M=^Kh3S=&c$*FhgvUwM;aBSgt+gczqU4CpZa&pklJA( zkWi5>YFr?;hjGNnqfO216DGoJYlYJ?nr+YCkmcyk_o(OQw3`C(?;ZxGSg38vC8<*# zC<%F1ehhsuGG00DC1m!Vcig;tj~}JXy(T>`P!SIPP*MEw-S57A@=raPrlC$<1fl7Jy9vQD^1Z0jc9p(_<@q0PB zApm|GQA0i_?0(wal9lHVO=9Ykw9V;-I1y^-#SjPUQKx6@A~ z^2>`l{*d1mlg^bs9q|^+3eC18peGM;LxPc6#H&MRpk_>`z4VW#s&(nIZAG>brpz0`IGq^=6(+e(kf;;9JzfaD%W2B~@Dk!>UXKdvu}C6whDi{^gU$8TL1U z{AaaBFr`sR7=lfOkaQPj#}X##4)UOmhZkiVyh<^v_Gor z_eWla_>y0x1((Aswh|x=$c@n}wQ?^`VF=Z$MR&bq4HfaIBB)q+Xt)CZs|JY+2dcl;5)5ID zGo9T)7@5B4pOi07w7db|;f>!yz z24d-VeBqFt67s2qhbl?sOwBQ5e$c5^h~y2M)3q{Uog<SiG5Wa54WkB$k zrcv~mTM+z@J9Jw7^#(VvwKerwX8GSl?LQAL|M(rF+MXkAiSl2fezT}-u8-#gM#ve^ zd@~mO$`+lC+|3fCKb2Xr1wyIz706UKIO<_gvRVS0=EW*{#BaSDUcFCXKDc zy<#=v|HqxMu4wkMKh0m>@^sc3#q`lH*Q+-)Ok7FISP00JVyLp%{DrHV760pc|53W6 zv+Z`-AumecrNUeaHpE=f(*n9qIGhHts{{j27G}Pc!ikKe{(#>B1A&|51o6I ze@=?`Kk-|q-AOr|$Pwas6wu#^H?0N!LC@>b(`C=>woCrs)b;-mzTea4k3rB`$cB6o zrY1oxg(9~cqwo*%8ir%sX=uX4b4vfCl|r3=74Iji*0)G6&^K(PkE_tW+LCd=Q`>3L zU`c*)*rWBHT8IlB&Z~k_P4+j%BV1T8METdP+?3&be;0Zkq7|ixhF+Z0QzZ(t_O9dM z?KcLEEuw2RwUJ{v{EtWh#7()(?tisU&!Uo@3y$;sK$Yd^#6lz!zv^+4aL;AixhD>$2)+L2#gXfhy0U!Lb$grbk}jJnezLBg6j{Sr02H z<2(q7(q7U-$&_@T=N)6Mmp3eo5=%BnBiXCw87r2ZTb`!nb3g@!3UnUkh)B0bE zs*Rmr>SjjAo3Bv+TTWp1sO6(B`9~h%m;LV2;b{LD^;Hu;6R$(Kb|5bEuktTJh+wkS zFND#~TmP!r-qf=Ian0pPCoGHpF*N_? zLH}%TQ#+Kl%X7WMROAObEUwM82hzA+pWOQcqRft`3I|F%QZyw?sjCAK89O5CRl7PE zKWh4LK0)zW`ty6Li$XpL#dWVbv!t(fq)?S+k_Y*kFlwz`Ck>FRbmAH-zh;uQBu*0? z)-w7R(r2;@sHI{8a!lMWF42n@U*cEVKRP-wzo9w|(+OX@#oRojc;MBEbVq5gpXYP4 z{2R@hTWWucB&Gjg&DEc$FLbx*fBIc3w>AlKrGA&teSP}bJu~FeW=f;3P8aZt?t6d4 zukD?C*Ssii^|fjKjp4=Hr;EEsI+Nd*5l@c+RV$A77raFewE>UczdyO%{vdfYIk&&n z`cRlF3G)?A@XP&Gvg~RSAm3e$n>ZXu!v6F-MQ-1t`~HaNiiTN$rT&vwuTsF{<+N*e zLK3!wkJ6DlDmPR3091bU>=rnnD{69sQ4)6&-&b(Y#aPu)9srhCo-|IsU_w^yWLM zwM~7hKTj;br=PTj%i)g6^=!O)r*2ZqANtBRt7_=xB0&BADjfmbd#2rY$9ro&Qh)AF zavy&k(0woz?RvbYimKVDLHkPZ0s0i-Y8r5R_US`_|Ly&x4?FyJv+Ln_Z@T;ba(c7# z?tbw0!|zAsRG(+xM;p%Eu%;6)>~5V|zo0jeGH&NOQ*9n7Y(7aj41M^0l<6AwYG>B? zn#Z-fc(W`*#nJmZejm-*XwR{EY*_evqVUIV*H=F`mMzi&ZZZo0WK`J=)NrwG zg0%zuAGgoszu_s;fL=rdUf(RrUrddIcarT~E#5PL5Gek2+O6)sjP$+kK<}rK+&?#_ zsbP|!jJ?I?%FE4wU)qgPZkr=p^v<}$+YwC#x1>FZxGf}?4r z*v$0go!q0vkXoxEr|hT03Hr_h8ScAtSupz|V*(8D5h<|;d?%MBfnI`0Rv+i0g~-BS z)+wi;quA0$8=70M0wvLb4`8VemCmY53Zf|$z{P=1KRqHP_Dz-An>v9~uQ^nepJ92% z_4Z72#|~zDS7<)WRJ?R2hw70X`VaQrIA?N3{GsO$RXTaWb4oCB#7tODzn_aOn~%od z3ML=Gcvs7bL%CC~-5;jGRGnY?(h*~vrCA>(36;PzTvSJiZ6|p+G4$NcMf~eu{N=K* zPinsUJ>E}Fn`}P*njcSf{SJW)jj6FRb=;(^9_@`7J^*47H)x2}1U!s?hqnT1WXvab zB$tY2h~-T>SFB7Gl#}m`tEjn6JJ+m^E5Zas@gNFio4=1;Jl7QyH~o|OT~x2xy3KRc zV}D(iOitE|{!r^*64%br^D(8dPFWc)ByeHwOs#1)F3#8-NmEL`yB6BSYO9#fJuLaY ztls^hTZ`iN2n^I{`r)T1Rt(~D)Xgs`bb1O$DLYXCcs$B~`eBlqRGB_>ffOIA=hA3I?ylz9HsxYAe|r>FbW`udOcI%DgpOaKcP$v0pbm~Fg% zSlId3aBkN_CgIMyzi~tJrCxo@xM^FPm$A3gWa0Uz97TufYn*J;$G3C`CpoE)SC1)c zR24y0**1(C(1iPhlUWG;Bqd5BE6b*Qnk;?c_zd$77r=IEUdj$M>s($(P?Y z+_VEf{1Xf)Gg1~;*NY(h2u+yz<|WiX5g=Q<2)`EFTKK0bW#D2C{@IYZ87othkdUPS zyuhhRZ_rWuo(HFZ_3K5gj7u&oT)!3cy1<+dLRJtnaWvN#=%ow-j1qY8kn9Ay( z0Bb-SPTsF`j<@e)9k5Z}^@&H}Bog6f4}QaIPb!Ns>ia-{lC6ggH<)X57))Hg64M{6cWeZZ-cT& zenQtzjIY!(>!*$`OVAv`Y4-WPg?CiJ=ug4_eE)4y4IE}&#T>c*Eko|@GtND~KJBBk zRQI-Tkfs*$H53~fzhcQss#<7ZA3rr90Xisl`FmGV<9>NV@7D&yV}y9b9JiQWzv4o0 zG*RU~!(bKH{mBVE5OZ=o)*XPxoSNTnj<|-LwUdz<)oB1EJr^RZ{X~Dx;7YCpPM{nD~5~~Oz zPc9v9HI2n$MwZ=1KuhJX`S7lQGY?-`a9901m@r!q2GsPW{aXiC-yXL3$^@WKn4Jqc z1I|#st5d^&mLW2jf5?{8uA9E)MT+jg*1Jz=sBd@hnaAl^T;tP-0Ar#eZZ3K=)z)3# zN&O}&l570cu6C1ZXTbYAiqqc87K=`=Du9V2#{(2!=VH2e^^1XMJHGCyfO8R;lGkl$ z*hRJfrBRTvkuev?la`>??*x_(k}!I2|4(2PZFEk2gF@PL^J_3bxj+Hn!5zc>j0XPdUh-ky<-|3!QPb zi+9VDPNkVafxInoBFz!m)rxCt>Nn3UY*OldPO9G3g|DqVtm6E4S{B2ZOg~mDRLtqL zR_P4iK4mmwI&?pnuCT&fnEin4b03AA575)C{yVwGoaEn~W`AnA$NbfFy}LpD7(>fg z{p`N~^XVEGZ1|6@sr~h-ywmYXR7fBUiJE?Y^7!lUNF`Lq%&Dp9}+b87HlWef$x7 z)79j-JyTcyk*9bS(w?~(gjY6aM=y2%w{PT|ni)HE^n6j%yu-gMkfU>TH}%^UkN&*3 z3jFeFzU=DSP9M~k-b%w`G<0_?o|yX~UC6m#!Y zWo6R5++&mP2k)tN*T6?-9WJ+xC!a=<2`o+0#`z zfeRfEnRC|C?hnT%6(!qiW-i-ZBF*R7-FJJnGH9q~@WtK0{U^>2=TffG`Ggor1AIeH zTkq#JV|r$_cjxU817u=gYZATC0IOb#e+Q3k({xww=x2Y_*%*7bQCdF$OciaP7LXe{U&Ox*;x^z$y(%p%!N4r6B8`Snj- z7nR45nv9sKhaWgz^TpNmr>I@7AeyO88bH3UV|r?)U8UdE)iihZ{&*U`K-wW8)HR)8 zR(yr7fHX>W>nl5Ts#$CLt$b^zEYceUjA`nngXh%p9-5rJ;&g6$O8oBt1L+p;t6>Qv z`ZNZX+h(Oc`|_WlK!vwXz4*#%#C$-SP5&On!A@*VNx! z8H6KHwoF|j7JTm6;R@xdGEbcS%M|o?eqc2iec`XA3Fhp&TAnqv&dno|`R9A%u6OEs z4S`0EK!7}>tLCq}LH(G(_U23JneYxnHOS#mpaGgnw()z&zekHVV<+6`9^GM0?{6~H z7@G3h-MMX}A4T`)y9zQuNAB}2nvqXJ*fZ`? zQ6l%7>h0)nLSpE4)O~x|Nl5p-tE)~9xRlrcuy=EErml({j1pn172PJK@gIyVt)IX= zVIa+1yu62;IWcOsy2=%eMj5a@CB2 z9wSdri4!3!J-GBaw9p;K=Uc@@N8z=kNs&}f&L<4^!d+i17AM!6GSLUg`~N|Fuqjke zUnIx&jrIH^^lYoRcH9iV;!TX+)f)6@LLm0&`+b()+-o|ii}zsTv7cgSIg!k3&-G7@ zN~8GfTXK;%W5aJR!d*cN2M2N^bEnev^pIhDqoK%Ap=DqXYc!q5$f&x8T+&UCfQ&zA z!fCsPg5Q8UslISW9xn^5beTxx>c~ngIQ#mMy>yAaG`uKt=oQH@osLbDDQLz+jhamA z;Clh!LnQ!SMMwNUl1EBz)ZqHu2APgKczTG4EY3oTc`$(^ZDG=4rC~1M?D5^L^82c` zSf*r%bRAKbj>3%N%OL=;kf@8#2{N#+7vueygSKhAda1K*j2N<^K3}C=@Aid z_Li44_WagPbv^;NiJN@4Nu~6FS!aTDW>6+P!CRr7Yye*LTfeGD z2m>RC`3Oqi45OZ%^Kh8#T$qaMcY9JvU%yd%GVXC_&VnzT2>xO(KV1=29k~yda|S`U zg|h*qG_xLc&RazGcS8%fJGixu#fPn{vjK>xkz>Ev_juzF)#^#yuTF~N%kKY;yc<}{ zb>E=4V5UApVn7eHAiAhjQmH*K3qPxz(?3eeKlk9qCUQ{uXb?DYH*x9NacI zu)ekVDL46Z&M6h+3H_*7Ywb<9ahw=QRW?b;s7cO=Mh?;_hm~Y@;U3>MVz-q5! zF=*xzgEZXaKSG^`GJ634BMe|LpHUMNqMqXwfv2K!yb3-j`FpS$`@tnJiGK7i<5BtQ zpHCC{#T+t}5}SRC1~peguE6dEgN~qWN8s628Z>BmahCgPd+p4xDSyMjIdBO-X{Jl# zpRv~&^f>G~^z4x9=I_-C%}O!k^hRzYV6La@l^JH^@9I&MKrls+)jcL#yq_vWEs>Hv zIqqBM4m+$tsKbsqBrg!b+r{I(9Dj;7`f{%Piq2s|dt~*@efwU_?^WITY-(Q`FkSHt z(2-uFYx=lbD*yU##JS(jlXJflTiwJl>&4ph*cU7JqE{EpPwR;WL#R8B=^MJ9pRDs! z{7y+>xiaj$o6ZgX)b;OhbFo0M6SHn5Ge{DEi{8I6(95I$W*9t4-}uiz4bnF>@cdKw zE*(^vi4eI zKLov4nurUO@$w7i4`|sv#nUu#)#C5sy1vHOJN#067Vw+xLLQVq@rRhE>ledTkGjPs zqxl&|{^O114zGDJtX9|-x5K}~9A!}3ZH`jA7e6Q7fSIGCYNGf9>TU)mJ63^AU7Yka zX9Tx9x+WcKq^pKzf!05M&$`9%EQn;mLVk15U{ zgIuTjh>oG^^ACCGY7H>RL!N>Vp$zF;t^0#_+9B7Uv?hn?rL1oVPbo0!^IXB9r+>w6 zCUWkGWpsn7YW}^rJ@jEMzyD1qn@5AUa`)ie#*G)fiNkX5;dA%h{cOzboamSuF)y=0 z1{v*|;J!MgzjZqgGT#dDJnyUs#5;_%Qg^&mTgj^7p6uUkHUBh2R1{LXhbOUSraws7 z-o!(m$fNQrVSAAXVl_HQfsR?v?tGMY92IY8y?eg<`^;K{O5^PweJBtAk27m^9{e~S z{CxUfC@@9xz`fc{AH>!3$C-1&`arEpY`J(BLiQd?ryoJ?trD7fdMknog9aGt^B2Ai^gcmnEYIhEyDQ!7<V_IrWk2zMlJeq0)&T%%Q~qd%C!gkb3E%KaG8d7$W#aJO9~Tl=|X(BFUKrZ<1?Oc$u` zU#_-4UdIHi{|z?3`g`%v^meSrIneaV4g$Ci9wFRE^R&l`?nCDHAvZYwZ-yv zzHEE34JMiH+oaj$O(rZbUmROQhn}))m1go57r$iva`T#ghQ9Oe!7ci4=-uAP?5R9F zVKpjjwRUhjYHg(D6q`Qx-#@&wH8ee9>D|L z-d1>aYxPS$S(Wf2syMG6x`xUxpk0^ohoecp{`Hq}Hrk5dX#Uu@ z^FgkjJH9MVBgs#<(|zSu0km}elJa0pQRSy?Ac>E2NHu4aT%O8!H;9Rj-dtw0^1d$n z&UiNB&kIrf)qHD~IGO62-6{KqfkGrE*hl&2HpXNQAx-{3lH>Ugcy|Qt(FkIQG$ zI<^y(Jy8F3 z&fVJL?m_KbmkI5+s}$$cO_%)ES^Sy{yMD{yj@ubCHOr!V(ffBdbGedmp4UXpuAZBR z%nSQKPm4I$NL2pZ?Bm)9&O`i8Z)T#dcM4NJxlIL3n}-ldCTY0z5nB9yJh_+p02orj zHfHyWB#_>)-tcr)FK^8G)Gi-AUAloT-@UQiY~qmEY+XUTJuG(a&L6eHU~8-I1FO2{ zZdnhVb2Q*OoVpu&aa7p382LIsE(KYB#wP(`wTw)B6{3-gA?`(|0eJ zdoO1C4|4mD5&c$DhKO$b-jMr_Aumm#!yof<^%XW9etP%v*FrzZ#^_J>6gMw(1EUc) zGG9hDoA0gX{yAal=zcI(V16eYa~#uec%8eq{f&LFei2rSm-L7}l6D6m@y32NrnUtV z96fi}8MJ7zeBXQ3b4Q;(i;?FfLPyON@aqL@+qg-!yfWYERexVCtNpX;?8nj z0%b}*A46H3=-UH>?sv}DF{jU%uurtQjoyHE^QnRQ_s4uMrs?bNrtW&0h#0uKh;PR_ z)+E+=yNCn2=7_E)yndK&Jl-aeSZE<2)bCF1>p^Nx(kn*;N@5!$H7Ie4G@tfl{QBPy z!a6Bp7gj=?S9vl88`bN4KU$pPyqG%j#@>LkCYfUVsM9cYYQ?qYicSZcZi4OIFe&io zbn^V+<&x^7)1;12&?&OWs18FnIgXMk{q(Wm@1y=lS4DY5%KaYLs}{8_<5d<$=jYYh( zmHHd=%4pje$>%!1lzQ`+HA(!{8`xkLh*Q}H3U8qGV;m_P3x{jnSokJV?vdF}YFIyp z4KJu*);+o6FzlRQ$gC;8g1(X8PS|C~JMaui=59uNu0Mocl;l(s4%Ao#j-CNM9++x$ zVwr0GcpCjLg=IEH0u_9=mn9S0_0h5FSreROD{RQzjD zk4=X%clILzuy7=p@Bt9O1QrHr+@w&JW-c2bl=1MKt&=7b>4NqW0HHc5zwfVgPX3_Pp1n)aakbK?=;zkMl2 zX4CW&G&h8b@e|b$ta=*IBmOpoVfMX`_iwm=!oC0P(kwAOt3fu;?#R#!WZ)77B7u~2 zK`CzG{|)$yI4*q$epuFy;dQvC=DQ<@*hHfDpeVu{myJOIsrN>2=sPQI_2&Fi!;a>p zq9(RuK}J_^u~SI43?r7k&UvW)wpiX;BU;Ibt#lvv|1CRg1Wj6X%nxcHm9zT+Ri9za zpgAiW?_94K!i)NdebK^#d>&ui%XPi4k`H$QUQ#51V6uNVDF_@7TA^}M(ralL4U+7tT}9Wh2xS_LeSY<|i5IshyJIFPO$~0$UEOrK<6-pN zuYnb{Ajz&Tc5b8n$cPC$LjoGV(8Mg%<1J-U8jps*;ywAU_>5{_pF)g-+~jzL^LA|r zHusP<8Dfs?%_f_T$YLWX2-WR;`l`Y%mpfTul!4>MBuOje>7{LY&`;+yn>hwT8|Jqx zI1fiLE@A=hiU((IrJp^9EYHOXFJrd3h67o_zg~LIczP&Sy*r}yCZ~C;*Bl>VxtwZ6iUe^DlBvQ*OY+kk2p!|!NC3WmXed2lu6RdgJ=}HG zm~64~vt*Q=<|65M%4R^T6?`$xflR!ux>2T;-To4y^59Lg!b4r)JUxekq|RWNffZWmx9Z z-U|Aoa-!@i#<4e&D9=@w%|oP`ezHDigcUqblo~cL(XT%ZwS3Q)Zud~E@HNy&IMb>Y z;kFxP%qZs1w)M5gJ~{n+p0hTw4TX@b{$Th*fkrvOjj2oe&?Aaec|{`(&98>r)^M$C zad*UC;|MApuxdgV81m`T*&k48w2}#6K=s*6mGXUsTk3l~{h&=E@jdZ5A@T`-l+i!+ zfM10Bt~!yI!ch@hLbSDEqmxTB9&<%Wpu5+?A{#8=g*QE3lhM<2YoL(Q41+OXnqa%K;BN z(SAK4gdx_E9NuyL_dqNys z&Pcv+>bbEKOq5TJ$68Mj_+asXRwmN_`-4P2Z4}raGUV@I?X7p9&t8)0J=q6;u&YE_BmOa8sjBOkG zf<(o$f^rD9!>E)VkDqAkkOdKxZb;&-6x$1nM9sX2`k^7mCmOwmn!moAIW;7{Rhyl%8G8(GmcSX{r1K&HAswLVo`>0nj!W zJ8pimyPyHLo$@r1SIYQ~qZY(dzyU1VY1Po%dj!^kOrCmw=`*FR{7OjV=_X{D4V5zk zTD?l<^ieKi6(b3XfxBcCtJNu2#`MjXxpE>LcAR33@yVn%OuyddHLOfN>L{bpSy4EU z{%d{82ACO_!kxKJO^MXb^NKQ3f{ABo?*F*vNiW(?*qeBMiVuJV&(Sk37qun&W*{rc z*J*bXL7rS)OV3V4X$V+bybQRpB}fGT3MlmmTkn+I^`rKD7<(tMsxf`xV>&)-qDn)-*+*UR?C8Kj0&!E? z`SSOlo7^{f4XWg1NooS=bOVMho0~s#0083UmFY%2={hwm zB%O8&aywm((B9?+XTSJyK9puvj91)TFS$0%((bz1Z#iRMvOm73^xk7!vPiYHs1I4- z_Zu!=NdsDb&}886ZNn;Ljg=d8WVM|=aT|kFS=5)9ly^qP%a-X~V_C7x6Kv@uMiZ|l z*v%Ft;gj-_M%9(bLL390k=csxL)b;>kzq%OD>a zBSFXTuCc<$jbwHLL9u)g|L*@p_@8p?N=Zv;y6S^y-eS=bIP3WfQT}HaXCe?v+O~XA zahI+7Ljya~oL~Q9hJP@?^@f)@;L+r-^)$}C9LA!=1gEjf{S8_?EEmgR07&cQ#2937 zQOy^UqzrqydFif?n@yzhCW}{Aaep)u{~LWOIsoLl-b$d~OG;or3cKnFZ;qkcFK@_@ zh@<{xIt_xH)J}@K)KShmG6X-Mtx53GwG8oIdimcJ2$Ee!YwxrDa;lHvt#lii(B2k( z-O=3!h(&0n4sC5z1^p@6I;G>n5dzc0CF8$oD`qqieR))jXAqOXj@wimTKKd4*9l9k z5-Ya%-h+72R}JAi#CpSISaFVE1kF5giZWWI5HBT?oW&hHNyr{~9D1|9)plP2#c|;# zFHaxP5(3nUJ+(ukbixltxXe8-ggJ(B*u*8pC5Kl(nzlNKXRotAvLJ^B9~6aUgYo_b z#LO<`f-<%rRp_JsuS2{2ga7>==vOpl8hgPS0I9mV-YyP3m-^O|2ClBTHfi*S9(BgK z8kW~AW#o2yD9E}Pl$TT50DK$VffRI?2yyCGBFDHOrnhXWb}t`(hrDTvk9SocS9Z}` z_E%j1K0G3b9#5}ZsyStYJ2j2LM?tb5b&|1(h$%>#Z(>v(sVp@{8RvzV;Jt;$CzdlJ zhp6DxtS*NyNvWjsrLLNs)TUK0Y(u<>`djJWcd6ztGH+31T9@Uz*7DmKwifx8X+sU< zp8PmiH=VYiQ2*2Ua;Wf@8MA~}n04)PnbA=dtWw)4?nN&QsSwLX#a`u^uN3$MJreM3 zWS`rn(5+$8lPdj?R+v5oA%zT3>kB)+cAXKZ(W65e3tED^R;_xZ4WZ$jg{S%0Ws;3k=oNYv1V70E``N-GC$9$<)X=+P8TGWJw0Zh@s#*{vMTCJU4E41QhgIS^C$`FKQDcn#x<(0 zvJl@4(Q%-X?Lz$=N4onXH-ROs#ylJ*dUZiTh{8a|uvr??Wsok+kJ<>EfGpiVN*NXd zcl+x1z}bObJW*abN*BS^r+8qx%?ovUO-G>miYoQy%yi#P5#{HVf%*i@q>ER@b7W)? zz=b*T9d_0BnobvYkfr3pIzqM6t!9}7BXT_84jzbK2)9=K|zvW&egk$}fw zrAP|9_99d3OT_BGhh9JI6(m?&l_to`VwI-npXsp{P53F4zax`@>*Hrn3y70mm9u>M z?^KMKn0I1Ln(q_4409*!E&g5%lCq$^Ha`PVwh+Ra+m`cCpSTTFL%Uw;A&dEa$BM2wAc&v5M6MNH^&=es-q9fY~ zV;*bJvF&fC&bZ7b`sz&bD}MgX7)KrnII(lHxspMrVYUrvjrQr>h*JCFfjYK0DS&_h9D zrY*(dk@0OgtwC=p&b|#(CTqHnCO3@<&$=)I_pFx5> z)kX&}%=Ki0b0jOvlnFg`5yWF(X?8X+$D=@0bjC5Miibol;eu(aPYhH1L!eZI(Fg$@ zElHQZo7)ko3L(906jYA76SfFofX95<8jnUR5mvG=62g$dzdkZe*Bp^Pm%yqAe~k#N zdQegPIzMX4G)Mm3o~;}Ul@R|S9kQIsW8W*O;cA*cm%~Lwgla{}3TR6tBbY z6m)W}>pG5oj7ke?iXq2+kgJMyeKGP19PX(LA2NR}dn5m~k@RqJhlwo#!Y*G?ki)A# zF$w)xwG}X|(?r31Bq&xfX~+5vsyU>=@tm$HZKbzr+XbYq>5bK??QdjTD6{?^6nNaC z2Z#4h!<$2e2Sg_-3!uMs!})BYj0H1Vix63OrWs__bj!lvA~)e)ef0p$gUXAX-m0eY zFsh0@-NvK1&08-J)Jy*-duLibO07JvLJYnj@ArIkTs_R`u-s1v3<>TWwfQnzr|1G-Q#4-5BBduD(c zLWH*^|GXwY$XWzFg+4XkWND#W@W&QdF&NL1|LB9YSjZYxPpBK4cwF`5pa~$@{|@g5 zuj13qTBYPqLHt9802ZxE^I`S>+6DC})iu@bphtZ*TN35wuRmp=E>$n=FB+!6E?zAb z-sM9YWqzkiP=9UmUGeBPbb?BIznh)FI2dnxMnS@QW7rn-!wPFM?Di{hi2$%AC-uo( z_0IH#{%f$%#edDUzPFto;hoOFglTKwKvCZ88=YGVqf-V$Al&USe zWKy4hm4KiHBUdq?oO19%vf5=9Y776n$graT^t>FT{c@+oe#(SFQJ?2)ssw{XI@F+% z2GlN_tm{yU|Bk`WW9FEk(um}3UOSUF1r49cC`iZl>t{=F#DY^+>(bhAygq>7N}I+A z5%$Yh!Ev#GgZLrAkHx|xWL}@?IJ>N`TyyxzlJx$+CbN*hG^T0k6Fbo?Pd9s?i8?SR z5ezEV5#b>_tkVkPFYcbnyLqHSX}#Sw9G68*tICeo?h}<<*(!>t512o4N*J1_fwhSF zXNS+`bRv2dY2G;!rd#JI-wb=!v`i8NvJbXC#sUp(C=j|(GqYC;r~XcoL?QTkfnm{*4Q)tox;g~^LTJFfiZViCV>QxF z>u`~AvdrIdUE7NR2D-J*;&A;F4Lri67pkgEDAlxLqw9ba$}bIiUrux-#VO3Tf+Zam z-{|g+{i+f^HTsOav(!gOa0nMjv?Mph|MF9U-kP|MzZOh}R|zRk5z!tJ!Wyq8OXXWA zXFd*V91+}2Zq5~32neu5^?zQ_$yJ#zq>Ard8V4cR-~4AVB_sT= z?lUauz7rKxV^3l4LotdU;&dC?s04COrS%=8hx!L@sAqTzvYnQVm*16v4MI=CvM$F{ z_k>Jg!pDu{0*)@^?~aS?tvfZG z2hM?>WRjI&G{d<9Qa`+q&Cez( z;U7Sl`Oh=$2BWd*@wS%Sta;ZNH|{SgQa8!|VN*VU878XY&jDIOgYI-jKhwO`8gu=-1$VYTbkEFqWxVBw(d()j`z~Dz@fO4!^J~oquE6j zBv~4g&T_^q;o+=JLREsdsg?(w?Q(bzd`QrjS3g=_a$3Wm?)an`ia=w%VaA;w)VJ>U8(|ClE;R2aityRZ%E7K#e^52LY6f)MCv+A9COlrenm5fkMiZxGrNs(87EjZi zzKVU7l?&k0C~N(|0&OoI0->*dD;~w!DZ&J6%i5A-4*YUDVg7~Y`u^p-YCd}^EfK;Bvv_b)?aRE>c+$mHINX(14BJqY@CbDp|Q}r99Js_Ywwrvt?g$Qj}DDf%OU` zd`VFLbyW0l4+|JLeKB_(nfOs4zVi$gt8~Ckl|^y(nzEzYvOrH|hJ^@LB}><+5v3ph zbb0*%{8FnyRr8rgYnFC|Z8b89Gqv3|{F+r9;>Gptkd+YmK?Ft~PnI+(f5@3FMifO} z+iG~sVyoZSejDG1>^cb}0U4k}PZl7($lAP?4SUmxBS)?74R<+9M}nt}iu$!db_oCK zGr4fE0fR}qqp!1uLCwi73&l$_Lc9unoVYE5EVHWjZb{S7tVprXPT9``e6wq!nkU-i z<)0v&by*~g!^PiwNr)bV8a}`HxM*qAe165$~#g|0q1tplh?f7&KS()u%)FQ@^ z8ghSc_md&ydsn)qGBUquQ?5($T!8RQ5`aRm6`O?@>@C%%Kbr#f4o#*c9@w1`PZ)cz z+RD|s;CfO~&$It?!F323>^+v+cjVaJR<>p3(?ql<+|Xu+UEwNjd(SZ~K6%Ic*A;h4 zv)5p^q^hgm^Ehei2Q4oQ0Db*)W?Gar^l2N8bzTPH%n(J#$!Wpi-RzO%sKm+XFR7(e;n^?HWE`ipB4?&7}$G&>;KFO!z!kMkH^5g>e z((-y%jTXPt-x+l*;=`Ucfq zt=qICCmd6n78jokDb>#RLyu2!oI52DSs~#WCcWnRLNdG*Pxge1g_`_On1w3mBm2fR z+he*zb^UB$B$r7JfS^BqZ~S$tE+ppSI&5ZNDom|i#JFnv2%SFVW*<8W5{a2v$VH?N zc&Be+jkgG0sNlt8p$QI0*ewX898lxJL`p$d@#UFdchNrVNl}$s-e@6yK8RbQc zESRdYDF-+deB~4Yzv^rMHuzq3xvs#jflA&TR6U(A0d|TWS{-oRSgSI^#v_ASzmre_ zE$C5<9(@;Zca_V!7ULKz1vhqa{@XQHex>ymyGw0!d|ou@wVlQ~D>X_Oy@kdqv2!P9 z9p-5-6TxkcSn*wD;Z+7`AAhq+aVkFc)`UR02ptmXh8LEBPfOdc;iaf`ef8HH#ghLj!@Ix*O zC6y|at@oQII|bPxRVDVrI9mMrB!J{}dLH%e_}`m&>R8?Ei@YF*kwPD`2JrD4HMn?+ z7`*1`LxlEFl!&vdS@wTU=~OaMTboDxTfK>@EhUHS&OopU9A~2Q*!hD4wZg&;j+ME+ ztdUvxTLBv5G~M}!6WvN=_&(#z1sshUT&W(8KBggB5Ys)*% zp2HeB@XWYYimRHs1|uc3v{UIkA7wP7HbR=cTt-_*l4g6GMK1P}RgZ$Os!y2F zIE@sl<5g|udSIjH6Fwz&|2oGk(>B4t@>g9Hxn72;p8+oeQ~&! zqdkQ<*bB*&YZIf;9VH6}O<mlWAwJRKv{j|y3$>Zg!ZC;Y*)6?;#Sue zhn+1gOeq^&2au+@|5O1*eUjB<7j@2N=A0tHaiJvNCWn%!;?+cUxOOxXXJmfI8f`ld zRY7|qOU*nI@*&r3qxg8jvvGF~lvo>~1JJiflY{W@t>uLxNOKOHX3!y;7ESc-b3FDm zHRO%-N#Sj-3K{Jev%}0f09SV9u|IS=yVP6n$9)uS;<%He$(i-^^N)m1D)uk!hs&{Y z4IwG-p7f$Yv-J4)e&|dPp+R>e9rSXj%d>m6K))La|6~E;)6vcyItqJk6IhVE#9y1J683Cu=&d~Nh<=|ZEOt4v$u>J~rUf+yKpCFWy-u6RJYHNoy{4`@C|s*@oGM38 z$fqijqcZ587aY4>2t@|i1ci93C|pM#AyFqn?nv?xxTrLd)I)#eARa~vFXqG%uHHUX z-(wFqtP?1SlgpY(4aGfh1f^{P=@G0aV+AG65Pack(mu!&w$X?XG{j7q{*15iQ zxyaqBN~w7__#-1(6LAJ!n4LWi=$xGlGHSah(}HLYtH1y1u%}$(?RfN*I*o$%>T+a*=`J zpYjn=5Clz0;!vuBUQiH7jr4MxYD=L*G9+%Hc8(D@8?NqmS%82vL(Q(l%F@;bNM*!! zvxB$7_JQASFK3ykFP)e|g{hQ^dOX8kuDS$diJ)@VjYy!s)#1w3GNC4+YN_=)n_znB z(%C(&U2i|PEW+`fv*nEPiH&csV}7Qdyk|Dj}}U)jf^S(9=BYaOEX)KE%@Hbk_-oSHo zSw;qfX@wrQf#vK_rs$?k*0%A_O$D&q@V1G7!iA5~^TwP4;Ny>SST>oVXim}_J59ki zXk7d8S(pU2g7V3Tx)u=&=>*vNXFD&=xzFgiC%|gT^F6{3!$Vyr`CDFk`iwP{w$en3 z6@o@sJTrtw0}39bUZEUZ$PdC5VM5DM5Ky07KR38lu(Gz)0} zky$jhi4Rv4cDJ@FgAmlRcaPdI$m>Y!6428(A+_ZoMltx%4Yiq|7ter?R+t*l z+?F)%0prbVY9bMc11VMN&3FvP;ZvE?PrDAltNbn^=8+b4R7>23+_4C!)m$TCg&eZ! zeGo8K?7iU2<;>EZat&Da&@(^B?t`owOP6_vW-3he2Z1%Yt+-%jh)nMkVyOD;Y;jaF6hP2`)y53 znn9s_g@Etv+S5*;kfxNGXr0#J*gRTkIKd&sL}5vPt1!hb zepXSer?+=%Ct`jvCmbx+`XN<)X2j<^Q|D^Tue>8MWgBlz!&KU=XWF;KMRF?js<3w+ zdo}jn-X%sP5JadFbKj0=CsrJFT(_x+@bA?me@yJp%v&}P{4v34l)VIZZ(GppL1|$f zvhE-|Pa=ERaxB0-VLb0E<*qUzfocq*O(116P|;I9+uLrZo^rgr#E>jG;3R58>Ae}H zgpH?AG?u`LogH=tKLkSo;FdOhTu@@>eb!VSz&WW}jMO+l_k8-!s)jIEbVpfECmieh zS7bC~Dh9ADzzLsHKc6DQfn<1%@$>0Sh$D+ zATBc!>pqbE+*u$V{%R+osh|viwav|57A(H_gY|P{Qy^WB`xJYt-s<>rCU)DlQB_&! zd1FnO55O8lk9IdjZ-XJrX662P<3(Pb3Hu}_uL6*iWg~?;`MmgW`ii!`r+s5C0ky5~ zEGwgRRm{l?%gGi)g|cLJY9W16!{y~3dk^;+NyR9!cJWD=S{eC^@5Y1DR711BaF9Wy zQAUT+8Q%XNd2bmNN7MHE-h>+n!973-2?P%iJV0;@F2OZe7<7U=BtUQp!Ge2`!98dQ z?hXNhyXyeMFf*qi_jBIomA%jTxYyciK~Gm#O;>ey)%C0YHCY09t1l*kAPEaIHUjrniQv41RCZ>+NW9% zWX+u#=j2>g@r5{gcawT|uffG-M+Lh#%3V=9I=oFM3L`c&o9kxzlr1W^rnYbDZBVON zLcG)3@mMJTy`!L@>87w*S+f2c+TS2dP(Ir;%`*qVu}f0Gtt;W~#x-lCTIu^;v+N*i zEue7^=Nd0*pjO1Gq+gA-P*caj4Y^Ah_r}2mc~8OOJu-M3fw#Ey_8?rvB5RY&Ub_GB z0(GWcL8l+#{WH&Cy2d`!DrtP5U=GrGV67lII=y_e;3$=?QHx&TV` z@P{e4c?8XnNRwO)|1`Un{ql_sh(p_f^+Q>##A}v%XLULu|e!imkKHF6Nip)*StMyIj!TAYEIxjm$xSvRCCUVFvumB zb&;n&rI_OiRgF@&lb&lrNF+w5ZL2VGS82|ag{P7T0fpI^&4us?L$K8l}SNB?vJOQ^0k;|Dvf%4^IrRQ}3I<#U*r{xXWfoQ6wXK?j zlFxl<$HKfhDP6%S6mcF0EC8C8P2P zau4;Uq4TSzZX@kwCe}OhA=@evFBS}JGSM?#1VBCNGJ_t3n~5|JssonQxI0SUo?{~f z+LgCSFGNkd8|vSrY^F02TI}p=+%6h*)W$@GW{@rgvEH|O67Y5I^IA*$vn((Gyp&yPY6&^StGp&V`aINEw`wu}gQze2jl2xj@KD|pnd&_jb^$9b=3&+u ze~MkRselMsSDy95nJll;VS2>0o5ccLofBQ|*+8pP?>FQjKXz4<@|++MYay^`lz{^| z2gO)Xo^r7{1 zQITLwSA8ZRJ>xIs%1?jmaMiX=rraxpQOZn|zdAPrU0W)AJz&wJNeTQ?U}!4J6He{2 zDiDjw6P_;7<>YP`ld>`TmZz$|KT0r^cxQ|&ZU5n7acJrAMd3_yIoFgxtCYf0Ib~uy zwtWSwF;8i|ZDJ}e&VG)dtsl>H38kZa^~UFCLy1yfcy0asrb|HCF=34ghQswTvm3fr zQZ8}oBS$9cn$Tm$1m974)vQP37xc!%@x8^>qOz%rR38SZ?WK~ecGwPrru5|==-W{!XFkN^Yyw{dyyPiz)qF!4>M*{$ z9iCI1E2!gHApC6oqsum<#2JQ+Ruj4G;=F54r)R88kI-ClGM_naEO71~f$v9(4WzEc z4r+|hy%h{2|9ooAHfv(Nz(E)%bDyyq@H-tC)|^#Y8_J&8o5vpVdMJG7E7wCB67&wo=f<=?M^gkEq(k23i4!@Mcihs>^86c$96wTco z{`wfiBgJWc8n7F!#;F*n1m*^-nrwD0{ zN!Q<@uB@Jc+(qUz38{@~+^=gf8NljvwdB35dvJ>c=wX{b$>BvCEz0_G!55LDbaI;F z#{50B0gP`in>K{y@8SGf6z!)*!ded%LFL04X|jrVrEu)2*Lm@>8fI8?SHRf)t9sS3 zT~tesirCa!0h&W52Q}MOMmj=~kF!uiN2ffGmMc&R%^?4ChBz}xK5kD1bCweVyPCIR z>=5^gnaEF~RofQ@TnUDMZ@i2Ue?3L}#rrsRbq1ANNmIRsJ5)hgEzgxrmHaBdGX57D zzeYu7*3?{8LBwH%@JD)$Axa#iuBwIy=e8w;Ob?8FZ6=d^FLxG;9 z65T$?bX{IgnZ3zg)HRv~`L45OA>TVof^#t6G#&#<;G+{$JDw zXt0`+#dhPfv%f>+GpYB;Oog&9AEKKJf@qC3;X64U)T$nlA6y>>XMiD?nlitGSA zgWHEF(tRdSErxI}2S5MvXS=ysJdgXvbtKiQ4)OF|-n!A<<70MRb=It1(o)s6H6MX5 z5biTs@?i-d7`)iPczGaW@sy%+2~G4MVEa!4$3u=e7^QI8R3!QSfUKV`gOmfH?Arr zpd}*-t~KJ?VkHW;XrC!MNOGUisXt;#&LNW-t3 zOlGc>O_x@;XVWIRtxU;}re!DbD~R+j;;Ou`Qk^0<%)+R5XhQpB_8V91$0gIkg)GSJ z6i@s<=W;QbrhQQE_kEuSRFRNZR7`XKG!yduw=h}YJM#Pok3@w#3qfuV+XwMm^qz(g zR%6glNbeGo4NZ?T0?8#SGUKNn?Wegp;lhH1G<2MZnkG)@vI+G{o;uy1<`coNebxDH zFjRepn1xP>J`QUDxTziih;^V6mSrD!HXOD*C6_s_S4I%5TyWnmPMEc?>D+g zno3a#psx4ky&cA{jtxNkUHUC?!5po6W}v|Fc}_9i(>&Q^rGVsox!kWUuRAr+{$vmV zWYEhFG$i|u`;mUwa_K?2Ca1Y3KgT#%wv!OsNknWfu4yFs$R8oN=VHO#`%G4R7~Upn zIPXTrBYksnc5sqGe<}8@1@RWoR@2FTx0e26vb4wkscCg@=bwe+e(u9bW}HZolIAri zkb1^I!Z2PK$ILCxrBI*Hjy2kA!Bag2Jc0m3UP+zl-|tGWt-`UbO8Q20NrrF#sxvF! zb&k%ipmV)={rt9hy@-6p0x7iw&TM1A$VpPbH=*jus1ov8fl(oEj8R>4K3x3^cP!mc zUT(pXs6v{z^fkB5>xepAn8$)%=XZ=6(7Xs3Gh&jJdO66SV&v{k4|CwOev)#3`>b>O zuWzpbGYHt2FV!7(ui&y7X;Y2zR?nb$>~hYTS>jqP0|XG!ddwB*13>R*)LFouE{gjKnFbebMLH;1Pu{)=s3Fp?|#_kWfq9wJLaE{i>5|zJg2K2DiWw zm)Smx=4~zp+*;2*)ulwLPQR4ChN?8;MfSqh=K!remy*g^H;PUnmo=%vZv2JJ{7&F5 z@$Uc^?-{4^7MpEqe||6eSOn)I<6`VzuFx~t{4`taj3P=fxF53|k#?A?G__|T~GE};}^|g(l7Gna9;$rWjz_DaGC1Y0p0dxar6| zSw332IZJiSy!3auxQ9Y^tS$J%R)&uJOnQvIo0R$uJ@>on@s(ZkD?>>(d)Fg5f0sJ0 zWgJm{kFoy!XD+Ik4F8+e4W<#37C%&vs+c#b=TfZI2iXTv6+zW6y;)`NGQ`0>S|*^HPaW00PB2*e+jcxAwVB6(oS=ldF3Ck z<2d~TfOaWHBtX@DIw=FjNLY=73SL2d0TRmX25iyIXs~Z?3hX0J!wxmiy z?+CxF#I0YS{s%%u)0)HYVne{hVa(lCZN&Sq$qGsFf zZ83X}*p{smbng30W3W$fN1cIiL>4z{d*q%GAT)uxSvgwV zALKtfTxgB-`DB^EaN{`5-2)`iKsLgbDt|1p^qzd*^rT3OH`vjeM^MW zB!l18yuSzh2JX(a?)w#S(6e+@QJ&ElF8oSy{Znn@)aGup6-jNgnnQo}vY6Ef2WAPK zf<(1wYgNnWbh8*rJ_{KiL(6*R@x)RazY7LkW1Ej4IdiKQ5Va7vvaohyXLv9&ywuQr z%5&f6oQv|*VPvA?RbA=Fl_}f0299|f(YCf6uHBvy%3K9by0fmNa#8sCKwycGwahDD z=uK|9it_VIXAv=f#Qtp0x%c6YA1})qjMLAtf6;1Xdvwgpfkv)v<-`Vh!LotGsKu!h zU2?8z`?R#!7t@wU+e3BF`&HG_n5u7WFQVA@3i)NNlHf(DN}OVwN$!@`zy?kUwh zzb13kyntg;Z44`?RxKq@#dGj3qAW5!bN@WS#IZK&eLZHN8)frBc4g9oam!=Bi!>?; z!;aooYVHJ{&!xo%372EeUK!0-=TmZ-EnWzpsb3W3nZDN>I^GZc5y+l$G2|+AtLp3ZBX?qa(GtH=ZNPx!@et>i04h2K3Qz`5{5i3I|T@;db()aJ+ z|2|{h-86W2bLYL_5)k;08T0u6hZ*y3C)fM`oH4JtWp>2sdn`ln7fo*oMfqLr7%$kj zf}|9zgzuc?n7HWO%^ttO9AZO1Fe7gsRNO54FIgOOucq~CT55~bD1;4Or9YvJRLod* z^F(DP9os8$nxfoMCrid{SF}i5e^?uGiF0)Tc{GBWp+JpLtj#y0m>ygmp)RgZ#gOyk zszuG6SN5T$EjRNhSl6&aG($p?SXYwRr=*mDdXbj+7j321%{TLV6ezd=En;5`Rg`~q z@DP@oiCSG#6-C$$u$g8q0T#=B$^UdiC)3BzueiwfY$4MhR;}vi`Xx`T6$S;jA`kqL zV!%c(zvn`G#W~M&KxJcIO?%cJ0gXpg$FyA!arz;2mVDd#pI7=(Yrr<+%8@YI)*FOe zCUT$+IS>a{09*ckgHtIcSg%2mu~naYXx9eY&PCmTQI{$dYp3I1TT&%TH(q79v~+{# zJt&L(gv$j)k$ah_l_oKNXh^v`p3W*!8!Yt*c>|?wedT12NHswo63F$|`koCe`CTFc z6NFk}L+(rE>x`(wdJ{hcWXTVPHE=-w-fu_5_l(vL3Nb;bpTgF_tvApm#C-EU}q-#&G7o{3-HC-%}r=!3|N~o)7N!hSnTF> zVnh_ao0RE)9hP|2fmb*JcJ}InG>^hrjt5K@FXCEZ3*~+&olFFj7MRogtxzS7qG{)nCthK78SgvvgBb>h;+`OKOY(pZz z{&n+CM#`4ZOjSSl##Ux2QcG61)bj8GIb|gVzgatKt-nC3wq5zS7Nkr&M{lHZiXjnJ z{?6&u8GgDM91FN#JwYK<=3;;!w|>p7&;+?VGcRIU8+Sdlgqi>=UD?%+PkFWB9i)yR zVP}@RTbYP6ZZjfDBm4KRXCvB89)=Wd5ZhAyO1|eiMOWKP(MjLu#2<`qiXzwJ5*BvX z>`!_NX#FqFruG$%Am7&$;C01iq&&W7V@VfBo7$KC)pEVtknu zJ5Pff<_ud^gyjbeTC69Vb>WN$13~BGZr;sEw=T_t%u}%JR|B(2 z0|ub25ok@Q)fq7p$MrQ%e`IR8KVp6hmY*~g)cp-ZZ_p5nt-9^{SIbJ6O4ysS&p zgmPQi<~1MW(i*?%F6{ z>tc0`meK*Yqu!Vclml1a#XjkG__zxR&1 zK+7~TYD`eOI!g$cn9ofgE%+g9W!%|T^PEb^|J+oj+;li)Hj-vNv&R2s-Kq_>+woX6 zITf~j(u@A#7jzMmVlBmCA8qK+etH>sGhlF{e2=fh>KlzGj13eqZ%obR`9@`|A>B#O z+A6VqF|MwjIOki`61lur>UG??s(F1i>3Zz9`8vVP@EqYO5B4T~M7m0zn&GkFrL?M`@QN z@?KDRO@CssiZN2=^X}&gdhLA)2y`qY%4IG^QOIdx0r+=2gQB4vcbc6Zm*w0;Qj+y9wjLCWx7 z!_N(*HaXj_#jU@riMP58U zQn?nzH$vY6o5K}rkb%aF@k1&|C(awhZs4}VQ1_fkc<>RW1_$ST&m(A_!MuqN@?%V0 z%~1T&#Uz1Prshxl4`Ms8^Tzvrzq<%uUz*Z0raHGdcb;dgcxSkZ6}U$)`o9!ff5oub zCLnZk+@ZpAXmj)F*F|frHP{>N;vkkK%6D-sfHwy>X=x|$GTL}L&H%QGe$#~&O}^)l z1IBwOX15yu?KxSAXifx;iQk2(s3ODl$|@~#V#M!c8h1nFd1IkzUA^9UN2q1ob~ygg zv&3IMO-J6|pC)1wM~bHWL0j2Mi(CsH-WgM?hS1iSZ19^=>?L2+OdArG zggTaB_s3&eHCYyna)tz1aqX18xa_FQ=bQWOO=7$4k+j}hcUe39gxzl6b)vw%!(~&1 zZQgdS(W=~B@A&gdxhUe4LJW1i=6}5pv?Ju8j96jz<)j-Ei+sVSJw3&7-Q9YK6KCzt zzJ`iwS||Lf$uN{HgO%GI1l?QwV9a@+6Z!#2NB^)t)(;TJ^gwT(qsoGX7E1YlkvBpKLI$YZ7gjFWJJi=U6 zEl0Onv-ezj;QOWW3SYOKQS*`ud0gDNSh7Iv>pg*#Z_;7#gMLI0PHd$C$54Pmp&dq* znK1uZoYMY=HrU|^TZV7%>uP8#t?4Z3aZptz;&5+7%p2B0+X_dPBToVwEhDO4Ctflx z#?Uy{)ZrLQwK%QKdii8F^jtnRvxmSBPR5PvM~UW{>PhfT{0{d}^*0$4kF96;M6fG7 zcY;|NuD%)S_m6Sw30fGTdDMydYtkgj!v!ZFM#{G~b1nOp77qF39FD5jEj{)&A=9Uj z9nsS1TGETSEKADB4{STq+>2 z3>TuxuNR-SB#6+AR_1cGzh!A}dynUwrgD;}?&m&-%BS}1R_pkjg|Y-;k!{HNbo7^( z+yp0>e9saviT`|UT%k;1l+n1FDmQ4W^lV$*uT!AproSJo3roP6<3CCWof2}GQ`gdT zq2>DPJd|x~A$B_G`oyBoG-hk!j8s~wdcjE@hR4=cbz0|n^47+C{_t0GH&fBH%fLYu zv6KCw_biNPNiyaaFvD}sO(;0uwNTN(4VZwp**>9~GU1XZ9Amu9DqhPI?ukLDsCbue z!jey{WG6qR)xhX(bfNtzvn_;vLr2>WD7oRNr1?+&@4bu?5aFDS9H^;}31OG2MhscYf+_{ett{BJrEM$oZZBHTGrMw>M<4yUPk^8dD9$=Q zx-@!>-UcGvKgVdKAJSOE_V+V8*I`yG9@g?SD@Z)QIwUn)LSN%H?~-PZHuJbN#i_Zp z*KI zF+qh`%?IabU|}PoS5m@8F~SnUWMgwIEN!O1)h+tklKXHEC|(Vo8M99$KAagPpQp-| zo2+w-uVrYg!FRQOQ`{T9cv~_YMLs2Jp}N|Jdm`Ut?(*o57_<6~CC`}+wmzq}lr8^Y z-&aveX?67Z1x6H|0mUf$Jyz@aACl~kGi!pwL50lavv}XwOU?DAWOy~>DGbc!3Nr{T zfq)Aw^byQ@%Mz><>6V-Gdc`aY7R+lRe-?>1pAngf^=4-OD*Q2NQIPPJ)Ksd5`lK&T zN#!t~bEA2-9B#s>8Yh*-LXIJ6r_S&$rL&|kPPG(Uq$l35Qj;k1inSLW(g!bdAT8l< zxHpOWvwnF+)%VV(7S8OSFfbbNh(5X8FjWjI2J1o-G~JUeq1n^mI=B*yjB~C-{G7<0 z7kK;l+T^3~%4->E>YmW5C?_>oLc&tTDH}!2n&DF5l~Yg8Q#rDwS7t3yDCU{@pYs22 zbg91jlH`EG{F9njp!A@{Um9B}_ct{ji_tnBT8c@`FXlLH(;J_w`+@Z}jX^u!A6ph5 zYv_c@X3V~e+&{Mh%)u;7?-_E`Gd%|r@(qMM|eBGju z#)G}sl9Qaut23h82OnabF$;Kv{USgosqwd^WCMG#dCNf0f?;||_ zPOSfEj#SG?TsV6uJ8%>qY6Jz-_)evj>r0u`9*%}Df}-|piV48OU=(pj;J`vAmzKFAbL$#+8WN;=CMaY_q7(V(u44&6?N5{ zD4=;eq99&US6W^oDk2fSeYBP_M(>Vq@Nc$@e`&f7QHx{?+@}dDF7rem{kUCZ$^<5) z!jmyNlVt+CoOdUNX#taZ1?uJtYg2Zzc2xF1(WZ>n!i2`J=vop&aA)3NR`$Oy;SSXb zHcyZ!;eG_cHA?`Zh#^W_5cv{r8ic|hc(WJ?@!o=FHGy3dBR;hkbXxup9JF4 z!N+ zix4vMbl^ecY-erztSf=gNOO6%?-k>;#O0pFqG!jd11W7=i_v_w(#mb5O{SJdgBA;8 z_Y8Ql`?A*|DKz@nuC2vUqr0Qg&{E*xsrj^0((S)LaewVobzOWIC@V=K+s9zOgtZXp z@b2&UYrjRg&cFB;uw+{AEnwLPahpV`W7|j0=^l9H;$FyFZ%2*KQh#8invus-lmS0! zw7n0?a!j8bRh!@glb&K`N2xs~2^V?zWy04HU>$Z?8Aaj%1-wM$a!X~rLgDz-YW4(p1s3`eq zd5y&Tba-ihJ3X6(^=|@o6$(UU3GT~cd7`f!g*VLZrX~eJjfeyce6?nBc(RIZ#{^Ra zQlzl57p8T=E|@M)yy(j8X-0NNLCm}QE||P@UN)fWu7fC{U)1~)yhdl3z*iP41WR^O z1pd;x4Wf^|>5yG~!u!*UZ#zYR$`jpcx(SM9may!l@I)IsT8pky;e82lm{yK?!fO!* zA?>hE)-h=O>k?ubh_qS4wk`uPM7oDHRu_np?_}+Ru@XU^^{|HNG6>#V^S=%fBoN#y_jR()Rw^)R_eE=QS1P!btiGJC>{ngar7TiSK~oke&g4Z;alquY z#^hbV1&!l^8YxHf)DbQ`S@JwtJF-w7qTP4maqq-=1moX{PYiv)9QyDr6%8*1&2yjN+VP4x`UoK%8(VWpMocU7rpbLGI60V@!-u+5Dreasua}&xvgJ+;K4$DeKa|N;! zK;Pv>-*v#8w8orVz%87>Eo`DxE1*R&3)oexL)tbs-m2kqnfoq&0O(ybcL#eK4%Ul~w-J1tbmb z`~i&$8{Jkr)l$M|4-zuzQUE!EfJxUv+$2w6??Nj&x=c*N?Vv-Xrk_~;Qoh|*dD3&U zZYkj6Jz@}e^U$WTn|1c3)yo>9erGmQGI8o$e(g8W2m4WKW7OG|w>4_{SHPmUrbl&_ zKqxjA*NO{>3G*?&eUMwvkA%^SRUKP72JND<0!_1oUEO?Qh-MFKf^NQ$cG2XUV)F!r z5)C8OqE6PSN(CTQwAO=41=kXdP_1zD1f`NM>E%G!?Vqq@iN+%c>8Y0EUHDAvsW!`9 z`afaw1lbY|8VIjh!lrIM5rnsgHA**MP}{nbb*NH-UfViaiwc?xM5&9}l+p6-$^l3J zqY`}y)2h15kOpF5mawnOKmxJoVU0GYf!s((<1w^*Ca7_j8if#>&Y-)XP0`PPgJ+?+ ziHK9EiF~kZ{6H?*zWM4ds%3=21??b+KLlS=7_Q*n*{L%G13ttl0FZp3;VP113(^kx zQvOzMzc);63qp>qjE|>lvfWmIj03C}nH$GNyTk99`xvTu9}WZEBw^D1t&8Iq6ylc> zOxZ4U3`c>>p(-Ii!$>E6N2QrFUt{x;q&!^s7fOsBUfecrA37PEUnE|Eqw>t@uLb%3 zb`c_V8&{uc9_yoC@XU=9Xf#hyDH%6X1vs+sFOJ;7qp$$Chc!^wvLWNYaRfjNzztnX zArs|);|LJePF{!n;mUvF3?W46f5(yA0?tNi#c~cmcfcK&$S#|i-~--0;Nd2a%IcF zLqWiZ=W-i25W^&_m|qeiB|Ke!`}|g5^!3G4f06R4HO^ry_sN=szeo9M9*W87%l~p5(+Af}qiayy4wU#;}=x5kN}gq^IHRP9=fMd*WA^rhT1 z@jjor_*rE{xd(aRCuxu--)Nc6JHqmAT;!$F%|9mEp-sh6V4}ukoK^C^3ejA0>t}h-7)76V{X#}3;{^> z-xyM<;9N2us+9uZ22lv$WilpZPcG_O-MQqyR--=*`HwzJ_?m7Ht5~dgV6#}!49RIz zqV>{K>!!y*Us#iOtgNTiXZV&Vqa~m`qZoP$$+368p??{Pr{*;8Ij*aKoVTCURF~_^ znec5vrbl&3g==yI^w+NIBtfpsuwt?hM#=PY{b#&_yl;ICTo%6ut)AIeI1@1**#==V zX{cRFU;+HF>}wD}YYgZnyuZ;B#(bG!Na{j+Yg-Qk-%0LB_kY33PF5>2CD@MQpQU!& zSz1SM7d_2#q1%|OU^>Ul(vT7d5*20VWZ5omuj5JbWH-++0(()1ce>$Lf&e<<-_fzl z@<*tY75q^ncN75t^YR}J^GrK=Sm%~1+R2@)6P5iyI9iJlX7eWuvjNo0AL`tupZITT z#i zA4__H35*(ZU&nRE(J*kt=W96t1ilLJq($i2t8 z`YRxEe!MR99_7MjkPUaTO~^LqX3edPUaa6k6|Kec3N|r2yUd6im7mCArA_aHlF=C-y4hto_N+Gu@j0nlDcPy`oa{rD83o^cld) zho+7UE4|5T(G|I*lGRe|_@@d#W2v*JveQSqTLZppWdmG!Iyk@$aD`NkKKB%4@x$lE z80&<@t!nAiVjQidYJZfdldOX0$jc4Fr+%A&?ZPf(1>r3J!x-5+#sHLHT77qh*^=o* z$C34FDJe9SJs2}&Rp`YwpjqN)J~9ZQr(h>g{j`f+ED+`kN@gsVkjF#2gUgE$njnRL z_QM0pJac0O?hgf>UMF|}d!Tpe2hIIEEdLjvW?n(^JsWnwIPQHNV(D*pJOaeY&K*7$ zU^aK+GFYR`Y zAQ}FU71HgDPCESIp>hy_8^D~9y6LOm!e?9s5(z`wFu=$Ve0)cg|DO^j&COWG>w)9` zyLt;~`lEEIAKP|~b8}CHQg1a3X*&9CYdYIhju>v2v66@tU={-ZqhlmoM4@f;^=pu2 zA2Rf7R;3WxbIj=9_o99)QT$Izrc$KCbF70E+gFQSLDn4@1^qxAXi*)cvo8x?@)GUl zTHIdCJJmwll6%ZU8TgQgLX5dTQ}J4eguLlB&t*aTc;Y*cPCHUDfX*5nuW(re&@03= zTi(`nA*OnSP9P0)E19@v1Gpmc?+WIQKKU5{Vl)A9|0tk$M zqs!-VK;Z!4v|EMqF9mZK&wHnn{$~YqN1sAqaXZ#qlSgDslYmyP2IK@B&2H;L*8=O=^){Z&3@H}PbPY_Z8uC`0!sCm)YC zk$Kx>u&!l^6vD>b?#a86@}KG>eU7f$SDzm<9WN4Tr61c(<29-pwd*H$m)IXc0|=O5 zoqumL`{KQ1#s014iC*Z#LIu|I<5S{?Ao71CnN#N2wvbQ$h^Zb0`^@V~jl z?s}qRJ(bZ~epEgE72d1OmZw)fx7%jR|FpgG`RB;hjS5U+>_uArVCTbO1I7^p%ztf# z;FinHmdDMO&kEtoo&!HT2VO^Jn_%9h!2DO-pA^7$v{{B}E6(b$T5{%Im#`aFWb1@5 zn^LpHN%R65FhN_VqVnx-LW=m^0be!G1)(3m(q9-&es|{2sCP-Lcllp$kJF;I*u^Y| z>#*6Zy|H^En!ekJW5{%5wMp?VC~E|=FjHY7Xy|r`NX#ae_#y*xOh=cV28TanGW*E2 zO+YA?-)I_Oau3dcd93&~Ql`)wSF`OaVFYk3W@aT%y8Xh)Du3#BTx)d;nT?9B=x(fo zn2lbssg5Qq?5qZlQS9YKSZ#!L>qPWXB;YL2`LWHAO_y|EG`P*VD~=~j*y2G@9@Vt`i%N*)mQvcPRXN^fO!$hH%s|^_Fieat&jg>ox!RYY3`Sci zj!bv7^5>HJFPinU?jsJ$Zn2zvXXM8RbcDtPGx@vUvZ82=6BqD zlG6}McKlAP*%ft!;xWR74f9-Jv;c%IX2M?@GI4t zHhs7lSy_FL=oT{GKZ@qQmf^gdS*2sk? zM+)t`v_@S8{+&sW4hJQ#dx+!mk1jg)`VNcDk>QG{+#Bk|wuq+PQ55b7J^_8px{K8d zzVpg1P{Yl8`psP9C%!R3<<}-B%Mm6H_u4y}j(Z!1xORK3Inh zEL2dm5|ehPh9|Zi=4IY2b>qw~0n?o%fM-QKp1mSZLY2LUZ(BIY?N7R1Vi+hu7Bya@ zFKPze96i4&YU`O#)AdW8n4&$c-_Jq@_pF{KT^qnOP_%E592I=ZZL0&s>Hc$1;B(CT zw4JHpYRD%jLJl#_I)c^mNrL@(sO{7it)TCXduP|vuKoE<@=KqmErm=bVjD?s#Fm+0 z*=}9+UI&2_Iy6g1y3Bkjy z#JxyEnhdsCoq%eS2TF-3ZB^a2*B)uC0VrZP!LhHiY28&FC#hl1M%&i?AOSLhR?Ozv z)x8XdW^{1M6}EEP*`ijBllK+Z2ENC@`Q%K_P*HhyQ)`jqaMA-+UlA&yL|fas zf>t$$4x>+ROvFjHn)hrsE{=2iKcz0@T~ru&_4CzCB;C(9TnG{Y=ERyFY+X2*tfCN2 zIT%Z{Jc_`FB<3}SUrXP!7^!!z-B+%+~r+tzYXZxD~u{(W|XoWmBOglA|Re1i{qfOV^4k zp&HtV)IM+;EjGT-9(h>sg0o0|{%hSgMpYMMh4=!IC@zesmEqpU8~De%?<4=>wBN&r z$1c%kTsTe*)s!8NXP}QxiEoY$>Yyi!hkVg%Y**SPixUu8cJWLXc~orRm0ps09o4?zhES{Yd!grl93~?0(`;D& zMFRLSv>!Ur^~-$NC7whMqT4oxJ_A1g0Hx;FOLVw@b+{7m=PptKzErFNyBk)hR!PBC zkTy%|1vk_QOS#qHD&LOTdf}(PW^(Jn6}}Vm0<*1SK1hp9(vS=2PY=^q)6cQi4m~qd zHu%5&b@jHn(Bqh{9HH8^)%0FOCt|gIW*_@Z^-Z<+@lOv|Sb_LP!43UD()FHT;~UgV zLj)Qpt0dB^>Ua{xZn$J5L9`2oDI)A&ASP*#ckdc23+mVD23>g0#Svne^1IKE3AM3) zf@@@aJi%4#fFI@phT*Uwu^syb!`k;K%E3#yx`n|~9D_Vt{Q!{0PL@RNNc6)TwqGTK zIqBqhdNT4N^{pA`!o+zh!p`0kEDnmtWb&}~MQsw-m(S}O&kep)aKQPub8$Fz2g7U0 zNsU8(fgM#v%Bz=3GmfjwSTStVr#sPYY2K*3{Y6%~SmajXKKJqAp0D4i7p<$uQ;q}E z4g(m4PV2=+E`IrE8p9>|moJ+On;apn%bKW$9?ZFiNxDURWe#t$1Ub0WynXyCPGx9} z>qAMX_lcS68@oD2nJI?t-FoqY1V~?f*%amHsTKZ2m5P;eQqzkFPR_Me|2ANR70-ja zs46cY{m3Zw7fsC{6So*AFrdY)Q_fC5)L4Xt%DbqR+;>1axGqP zL*&qW(roMg{>0|lvJ0uNx?-I`vb#&)@y&JEUjnA9ZbGT2#9lrcd#nqYHM^05QS~BfY8gnPb zY%=&l{Q8OTQX{8ZBGq?mQQ>t#Eg4;kEN@P_^74O>N8YHpMo3OVru;3xF2=U8wQZAs zE=PmM|GeJI{iNPXKI(lv&8n;f-%0| z)*g#{Pab?7=YB~nS{1I1N~5061eT$V)I~j_o}t~hHJU2*vz9I~agrkpKSd8iJ@%N- zEE+m^Ovre$gkJ7FojzeCQ@pl+WdPR$*3dlf3S^Ka%lD6#c`0IjLtRkrv#?;+w0&BpLgpeo)u3_3FDJ&1pD?aa+Tf60@-}C+)M$Whe&d_S1EsY{CoQT~~-(__X!1k@(Ne=GX2w zHFF*wU_*|kKMgRi`N?;W`hI-<*2J}CQ={Z~v3I*KT28|qZ>ZSF+b7Jg(x@t;hJ2P` zzD$HI2->(-BCdTltw(GpankZuw=^V^L59XQWB+XlY<-E8!nMCm9kwt@AUfN+vLDAF zQLRdj+t=EDp$uNKfXkl2;(Rq8&CTGfb*)rM8rp|gmA{>7pV!#K`kmCc`(Y`GxV+ck zjT#DTYlx+=?Q0-Z?7|umBQ$BET~X>+6@UjdIPl=~+|yxjuiji6w&$cY&>?eusMwQ1 zNy{qgG4uC$QFzZK!St03ji@XU+q_O{vDg(-;^>>mZto?$;iIp(72XDqADtC=dcLc` zfTEn=o}}*Qn(;|T>2ZSzOGW8%F8;zK1?cnX9h$G6 zvWLG*=t!yr8V=*)dX01>dNPSCgVX}o@Q-!|+ZVz^biw^cjM7rb$F5Mg1W zxG0JkhPAJl`xJ;HzASMuy@W?Bx^Z}XWNRKF@OpEkj)kN)C4Sr#KJ6Fox1lgMV&L&O zxcr<_bijjnn?hN|?ZrJ&U==;BplggWllRbp^xdQtbNp4cYSrKAD-$tTGw@{se2THl z??Te!IChjNr*1dO3h>oe%K_ z4r7P$4)h4J0@>r_qxBfyJgKs)u$(a9@2eU!JOs^h;IQv~0^T%BtY;WVAAIMTRQ1d5 zVpY$PhznuSTomfDc4OKpV%h0?f;h-yqbs97rPuy}wU!{ePf?!c?*_x_xD2J`C{HmO zHs9f1&cL(0`G08o>VUR>FW&DA1`Kx}bXf7>KHN53h7Y#^!yPu6T ziWPS#F0cE&_x`!L$-VL9bH4n3q}%OmFUUCoh;9FuwldRYT~01>?tC&>(w%+P zhVdpBNWUT!7_C6(E;yR{o1%8ZyD=J$zXte?PN4GqPk1@Jn{wO4@bR)zTL>2&ur+_V z*yhQvvfhPGW6t*Na6a)XNPwgxL?XqtP}0@`$(<@y{+)hbM%&F4>Abk+nqyd1 z#Tmt6C`LA0C^K7?O7u;Zy^oJ!R|j5+rTeqDGtS9|qQ%NXX<~4$2lF^KCMSfrQB%6! zZkDEsf38|HWZ1*jX5h_DA%-dTJi@Jfl|wL%|pf^|AZhD82IA_KI3{% ztu6GNLtE!b(JRA6C3YS>NB4JUq%W<>V(88uZ(niu1e>6F$(Zjj{a6boTVKyU7YIui z`A8u>gr81FbN~JqfI?an$)tKX-=rl8J4r`%g7n19FRokeC6f$mQ3C6HD8(vqY~W* zdVg<^$0qP=OYz8!X=+S?HBH?HLo0&3?=Ex-x+DSdj-}VFVD8q zWiQwF;+Y{Hd{wa)_IFh7B8{E||A`wtsDwqH<8lOc4*QwJgo+X)7@I7Lf12kDdOQ0% zF{*((Fy6!yF>$U422$e3W0-0L3Cj$icOFy3DsrEVLw7b`BlAEmBjEa#96_LLzyHZl z(1!SblKj?nKwY5cK~{;|o#&=ZdzX22-OkqWT(f@nwe#j{gDxhf#T)9{?!KeB#krbe z);qVbKBe|HjVi}mrPdJsxg`HWIlc*OlUn>sU)h<%6-X9A+P=${i+lOiPFRmkcSFr6 zE5k1TaV-#q4?W=PhGl?o_0W-RC}Xgm&i+I@<>9HS=;?a(8Gp`dd4ZV7!z12radSLW z$WbW-ZJ`m=l%Lf$!7o~7fYSKlI@+N*Y;SRO2@;4cd?(u*rPeCA~`rWN*kUaGW)lmlLnszTbXXXb+*!adBdRA?O z@WHdA8_osY`hQGUw-r@E9CFbV9R^ok*Mi>XH4{*|w;V9Ah4&h}3DZ;xl7|m8Wi@qC zZB}~C$%;xWU0#(K+zq~$rECg=E@K}$H4}e^jQY9;P2|dYQ+Lp~Qx0sV({FUhCpb@< zZm@WZcGF1pvBw6Zqc(6Bq`d-K4xiM+_h+yEep^V-FLxVb-^ZRUz?%I$h>dwGhMl0Y z;4dK!72rRP+zu&^4CJazx5Y&$tWLg}oe`b*-hkbAFHey&vqixzPjMbyQI9bm+S>Pj z`Fe+l0_9Qeg|=}!G-}=YLOnMW(;R#KMx-Edrd&ml8=}2JNiH^D>wZ9ysoj2gGH_LE zVgN0FX3P2Wm)!@7a@O4rUz5S8@@L9nH;?1JlV3XNgl(XKN>Q1v)i{++6yMxbfF3?~}>1rEMU zLqB7R2{9EUuT%;BPrh*1iJLfo*}8dw2tsw8oCOC*lJt(Z|UVOXIrN z;scI~5gNF}stb#Z=41NZwUBh}aS-KKz7G}OQF)>SjJ1UhD$=?v_sve64sUrC+WBE| zm)qA)mx+_|9`*4S;R1J}H>@1xzf%GsXTF=->O#CPufJUmkiV-}9eJDRAAFd#0v))F z-8yHwD->*~Chf!8a7pK4f)t2ZDAz~{GT1DZ2}T6Pb95`F;oYg7N>22GULg&peI zgzMAXNV{x-K$D7A3R~I7q%kUYq6Bt{6KpOKZ27sdZ$?XgI^W1LnOuRs#CQtp z0d+~{1}2wr;i3Eb6j8OeTQbj@U;F2T^(F#(Ulc6f4Ous0T#$Cs(6bMv-hb z(3``}(y1rvma5)@5@hi`VYXka-x>dHa^opg@AR4HL!jXePxrt~KQ(*X*|Y6+cfY!C_?4&mke!!_;d1sP{O}* zxB<3nWlShuFfx73DxEpd>(M`u_=DmuKHU>d!bz3zW|y2zdRIh*Q#dnU_SuiD^_9tn zqIv&66qUn$bSAQ6$J$l-fXb1+aYnXL@%e5h)+>$(_HGX63Cu%S&HE9j74VsR;$@0z z%Y6b__-JO7WSg4rP$+2OJeXrzeD9m|FBbUrF>DsGATRbVoKy*ywW;`5>Kt_EU{ZEb zzEkjS1qNRv9e}2G_}`jsbgvmVYUZu9dkkTW1Qud$EW9h!ezy?v!CVKgGPSCivQg?Z`V`6ucq zc%SbDdbsL-T#~8!RmleC?n-sztEprf9Y@trcT>-5OK-p5>xUaEEdw9!UwC1SK#l)C z@>8!c&d)~I2mY-*nuAtfZ+4+~z>W)yzr$b6u1$8E-lr@CxXNu{MWVz1c*m+L_GRJa zuH*(h<(-Dg44Q0A4$!Voq8H-g<)+TDh>Nx4Jold>T+Mc-X&&~y2vFZ_hlEDxsXLq&_Y^rWvc6xC^zzg-GJAa=#>GR!5|DGo z2hBOdNTVg#ATf!Tq%etZ#WNYjr7)pIrWoFc2kJumX8&IYog#_m2o_1R{r`PjKk#J@ zbTWB&r+;?2e0{r0Vv_sZ$=_Y5D~WXgz<=Go0eC|Cyg9oQJplF<`p$F}p(m|67*y zld_@&Rl~~W1MSmxq)usFZ{{g=diPR4Sc1_;?n94mlIExR4sh;+y z#_zv~7twb^Q092~ud;N>0=t~=nobu9M5?Qr9`4=lWeZl_nZ`~Hs_LR_H=3`0rqTWj zLsV}xCriY@S8}1B$hs;IC}QC>_i?#ZK0#-cYhq*9yZ@V&^=+ZgH=5;p?wP7g4Bh_* zdcXob!UwaEZLgRS8@Eymxm9EF7d;KQGk@d*HcxWcodz**4B;zIRGm|P0zMm$f7gix zpsI~?uNv+GBsyq4bFb_6on%QJ`$6V~-}&3_bR2J{%bgKkz=G1>y)6Y;SL-k_s1>nc z0jsSnRJsa+wLLT{m9}&Y|$cy z5@^yp0VqcBq)docxGP!!;cm zA9a_Qna5?X%uWBn8E*1f{7K?iXF0Sh#n&1C6yO*wQBLEYctJeUCW_KEzf|Yc5?_x7 zum-%m8mLiwyvA?j>*~3nH+!`IrHtA;z;e8ybziJs)IGz=&TaHJJ^p?(8@xZW2yql~ zWqP(MEBlL6$jJSWw6Z5|H~#evY3miyN%sw{;d$T``%_yNU&7J7^<-fbI_{nM;T8L) zj=38CiEq$hS(ZO4ww~-SBw#LHcrJ~yh!p>v6LflXM!Iw+vBw_(-+vNYAkElx`6#0N zbf3rcHePs0^(F3YXA@w(GRigZ%}3QQN}In=?`*R0jtu5xTi!_QGSZUnCp>B4JKwKN z5s08pCoAYt!f*4<_8gI@eM-rVe?r-dU?FzA{8Zr( z_{Yf@!?9V12KfsqFIxWk+9t=s~(6l^8$m>21aH&0h4oId;6VK(@ zf*nX0b-g_;h2Q4O*gr}4;wr;0=ilBjE?=rfRXy}89nwN6-6r-uLsf1+qZi|P-Um$l zX_k5S@aV=+SpBW7=;(zdG4xs4gy|FeK54^M?=NEU8g`S{4ac~;pqHR%SLFWWUAgBo zagI(2Qv&2-%iRLxb?(n)Qedx$t#6@<&Db$T^h=>=zj#(^j6OokuCui6GS=k=h|zn3 zWh+B11k&gs=SZAMd({syaF-qO=%0GP|@QGQTn}>bmu7(@t7U zr!%p9J9{sCw$4%T3fa^I6cY>j``a0(02=syjWI5{%h=udEWDkA=3WJLY3{!co*eC$ z_a2SqD|3$(=Ks}7qzzqeCyhV8F%L-=S9_RrL#ih4@sz3CPai3H@k@2J3_>Mb$qM8ms**k57 z|D4`vP`wo#coO)rI=OZi@aR06F1E*o2FH=SyF?yaZ@?M*j#d{&isKlt{k+b8Baa7Wiz#p48&mi(4gPthY|Zx$JD@bu=Z$NLxyTJRVD z_irprE|FL;@g#AJS#c@Hbn!2DOYk@8GvZhB&#k^Zwn>QYR<{+=Vh zz*Gl7xde#Z)tIVx5gB{(_jM9HRDXWm1H=Pwn7JF{Su+Cx7rDD91dYFD%X6|HzT|#v zjXK9o;oP%<^9#I?`>^muM@{WK? z!p-Eeu>E7Ft~p%Azc;x0mr17aj)s(``l;c?)_tsr^M)peON+9Md|PLrvZj%5A*G%* z_-;e$>VYZIv3fqUXhl6n!5d1rSWRuXi;Y;H%->3mtM!uz9B8Ugw|?;{Kr>M03wme?LV@6o{jpv+splUwo5C3OzC*fzwK(C zq=4Mx?j=!#vT&y3R><5Vz2zTkJM2e%r)bjg%zMBJKPO2~h38A^T7t52Q~4Or5hlK1 zPA#g_rUrnO5sT3JG7HRijhlbDpRg7_eJVd?kYee@EnAl;IGItuiR?}$Q(?XGg8JCI!cbR zDg`O?v8$amLP?Is05fGe!!rC%waXb%ORi@A{Ku3G><$4{JimUv;XB)DP0S}KD@K7* zerSH3HrnxGICLUYyFXb{1V%Ef>#S?i1^4O+um&;65}MGe_b;E(kGYSW8{-x1R}=3X zwT%TV>H4|K8fg=7BZ77S;;PU7SKLF2qL(r3hcL4rg|b>Qhxr_p5wN+G>0#7u94wU) z3M>UbR%>qy5*ylD+j0Z!0T=OtnH_fC>1Nj%-)?_>HK;9h8PZ)x@gPffv=S>i_4}Kz zJbUOxUSiRm_3F&*QPtq-$-Q5?@>(Vij3E?}HheD3ZAaMFNRI_vFU&1gYweO(X49#> zjz1r;d1JHaZI_5X{c~an|2cX~OD4-~ z7!jtYg0;?S-RK9l51C`yc45CnaACgpSpEEc-L?FA!JUC1#j*<(u^DY7skZni_v$tK zuzz$^pLjUUIK3mrhxe_6R&kf&i=-X(w@FA=6yGK z6QK`?Kbn+vLR4G{wEu58A~!4;JxhA09#V@OMXi@%lR)t&LIq zO1b{zOY9^SsM4J_f2@T3)OE7U5ME%M%_-9X>j>Lp#OodL%`$ZFD4%M!mn==5}EQ+cbP#|!$4>dz{3$JlU;fc z_L9|)egRe>Kj|f6osGC|$V1VisTt~dp!d?h>}>Lx=?cVN$(3R{36?ic1VjiNkk@J# zU3J=8^r!DduS{xRy($bl1O!AW3U4SV+Pnd>JX==81~v5UC-LvK8zqs9WzUQyHx-82 z4*FLg6!K|J0DUIOQ(WrHWD8CKveKL6NggWNw*G%GMnF{N*ml zWq#k+c3nxChH%z2uEbuDet`lfs=8P|fuvV=#4eU>Fkqlp?yu$hw%$CG72%S&1ofru zhpTWNw*Sr7xYE0Nx4xK0Gz$Uz#wB!ZD;Z}L#Qm5R0cuoEyDIfg*L=S6i2Es4kb0Nb zY)BxmAjBSln&xM6xp(}6+4dJ-*R#&INDB z4%*WkqsUfbDcO#a&BE10UIYz?6bwK?L53Z2L@f9rzGTNZ;l)Kn*iV&`h^W*Qe6fQ3 zJIfdDQ~1N01#fKVwG7QmB1Q(kJC3WB=H=5<0Ev0EWIlMldbV7UzwRL|`;wQ7;&mlM zXNBotY!kZ-G2Br7I2*5a$u;qvV}r9k9;*7p_%mS4^!BP#!jrad+Rb;yXKX;A&jvk9 zS1W9nv(TN{3Mu;F=$R93v$G{sV|Pxq>8CbK4uTsZF489YJPR9Ll%>jLw6yw)wzlOv z0#bkM80`4V;g*q#L3t_*(x-XqOXjz1270#18*TkfTRV4cgVCp}tMbpX$}38g_tl2> zF5%8XXAxnJ{XyD`hY9DBeAZe4t|07Ki3lm3QNov~#4lRJ7{H!WbKgeR$KBwSqBc_u zx1L3OMD=Z|ToYe+qI@fAaU+?GGK`J1WMxsn45-psN~1tcQ1Qw7ulFLtj>;wVo3fOG zB1zfXB)-E&uV0U!1T$MSj(`1ejErh)noLV<++WwpVl;MJDq_|MIA^BZn-C_d_i^Po zE0gZxc9xO7s^t4J{g}hM$yS1hfjQAwe)wMoP9sRsFVpzM%RGV`*clcIB6G%2 zu~#78=0Uf|PxU_W+UF;AI>|pck=jk_o5Lb6`Qf%jZ!w{7Pt@PUQ|hHg@vNk<8bag|bzeR=P~| z3fDeC^opP)FLk5&WL40D@V0n`RdgPXXaT#0oUtR3I;kW=A+qX+oDdI0@rrUxCtHlN zW`WD2ustkt!o0$aejXhM-siUKDyJVWw$jd;E6@A%POo#yb8qrS4i-Cm#_nNsBU(`9 zaMV8Gu%`L0cSN$J~(YKwv0cX)#N;ec5Jb; z6+u<;QGe!9zXk+)UYPl9(*dhv!>RpTzF~*8;Ali*eK|X={>3%B0sgT;oP32(|0KRU zx((s8gOO+()L*>ijEDIUFISxld?fEOi32jO$9fchq5#Fkh*`g_54e87KQ8-g_aZ|L z)o0ZT+aA^9Rd>Jx))nx%Kj~HkVrAB)?zvlZR8!6I^J%bwVdrm`02zN}sh%8+L%qo( zUyir`qYPOOO2kz;wA`PhAkejdu{$&bzWZ?VOdRX6Jlk#1k|bq5vd&_;nNIqD3t#b- zjV_6zZ=J$IqN+sugICucI_sQ6pzg9x{^DZ_oefVr;BV?M#%`bJzHH9?=X_-} z?7doep8%8?8)VXoNZk(e+bXtiqq-cU5WCtKJl9uex%tP1Z4C z6tP8Ga!Zzv{2WOhXCXwU>K?H>wMcZhWUVMC-q}w`bMA?m%|iIj=r|0%5~<^o_dg8d z$z(;jZ2o~#{Is?I+2GTCfry zMn?{*4+re6Wk4$60G|{m+__1h4C3W%gKSMc429!k#f3Ai`bQk*De{H?-fT`=C1g)~ zGTwzzE538ocop&m*AB}@UT@OT@?l{vc!gASRMFwXmGjF7vUyF(>=@cCYKj-$(xlxz zqkrO5FqFa-E0zE_Vq5_-Vr{-Xjw@ttJ0c5g=QEz^xd!ML>NBd(!4=PM^t!q(3a6#@ z%)3FD^&|=Yq3q|YhIS5uwd|cekqER?lq>Mqen~S{e@G6c`8kPC&>e)#B1v9$MZd zO46e2GG>;f9gbRmpcU04&jem}Z&wLQRpRD=8*!s-{J%*!?pVgx`9QR#Tz?yTRJ>Ph zx+JmlKT(eh(TENeXNJ~^d-cFbK)zg^H{5=v;fu>DYhbi|7bYvQYj9_LPVp&iMRp2&b%;#A zWQLQ&De$-{w264j>e#H}n#bEOJwcT7Z)`M9^`{-$KlIFVZ99?z6-I_gz+u@200{kiC8G4f`2dwZWPi9+uI(pK~OlT?h%*9lkpw~ zEcr<8X*bE94cKU@`&*9MG%Lo3NkL&#@pzwr##+bo0jLfP$m5gyXaEqC?0mS3;8V|f zspZ1&X03hALgah9s9U6A@}E}E$A@OEGWfVPUsBsr87$R4d1Ei z6)UNFF9t&t6jY>5i^19?Nf`6%%Ui}Hs=e5_J7PVg+cW7X)74|O8YqQpmH;ckd+~L~ z|20bRbY;rD)2c4^Nr^64ROr0lRX^H`RVmSusL4f|m2L=!uaNkH&~I*xG2KDsGXi)( zs~x%1Qs}g<;kH`+4YR%fIZWyJi-{0AarJPi#-f7%mys}<(*kYd6Bdg2OKe(QBDbqL zk+@3x4|xRz(vvin%PzU?leQKT>z@{-U2! z=^mXy{y-VZ33sv@(r!~j)Rbj{tuVb%HL54 z)VuDj{V`Q(o6*BK)E-%<>Q$NNRbMi-0RD={q5x@Eb@kk!Y26%r$d_~VnOj(zR=M7^ z_7ugg)iJ(75ts+@btmV)tvpB~5A9UiX;jBu5$#v66k-QPM>lvF)kWhU9~-K6yQD4Ru)OVWq!BqmnrqPzuW>qRtT{E7w2zYBYD zpXpH|xmOB#+{MV|p-Jqw$l}bHsAxCv#Y}`sFI?Cl^$e>rw^DcPxvS@k0wM}QWl9r%0`JPhQ1Uq9?=c~F`dmrINI z!1rEjXl%o!*sv4ukg~7#usQ(wid=@vcj_XmT(Y9<>PL2E4p77GM@z-Nt~>lO+u=b8 zb5A%(7_sMff`TcCnqI8tQhhQ;Hwu79yOm_I5x|zMoClntfkEvw0iy`7)OMh zM}*U4)GO;6ikF6jbel57GUk%QNaS>F2HgqtneOz+OAoVR|68`28bMw%d<`G0k7VFY_C_ss01eGsE>d4fN95pD)1bDzy-p5pO%YFLy@ftQt#a0Kbr7v ziGufQY^YkO&oXaSJuf64pNJ|k2LblVcG>80hUZfR+Pb_%4_~OuXE@AIP^-(w6z3@* z;uH~a0|+^w=Nq8sM-BmvR%yU8eimqvi-U?Mr8=&p{G)tKlvTc=tn3h22XH5z7`gN4 zvGX^!LMdwILrFxwdhCH(>%Zla=UFUKYA?qh6HWiwYJNQ}nk#xG z-(Vj!^U^eOqrm>Pv#bzlgO!8iF=LE<&yvVb znJIQWv%gNuO9B%zZ!O>WC~IDl$q#D<@_c&)k8NbYt{_^8uF%vsIlRy$ zOagta4jo%~V9Xn8*O{B+WLJuGo&s4}*~ym@i(Y3I)9iO5-c=^yGa{fiyBlC)k^I$|r8 zY<3hNL2NsR6Z<;K4k2Q}$Tsh!p|!1j?tZYVrEUVRREbJ5=Bae0q%{o-k54(5__po@)oPaIj0%Oum?65(M10eix<+DtcNiN=?J+dkB4!pX*S9opRqG1F|~g&L0|h~)>kTVJ&< zNs2TVOXW=jX;qbVX?}VvpaXH=ITjkb81o_MX1aI7%mldvMxK2+Q&Jj)!&MKWY}e9|{X&>+@V&8{XTNk^ zOOryclF167@j6ix11+IP1hrgyn#@2|IlI3F&IWYb#)d1#S}V}nUtzS7wV}kU+QwY&+LM(5dCix`z2|hvE`DajyzpuVos_Gvyp)=Ep z_0`r$2`=2Kazer^n~-*E0L0KTu0}*(i0PGO6_eFY>i?3O`F}~(bop(@db`#Py2mG2$(Y8ww zWG4;ilM|yPM_}?O772w9`4+ict-E**Yb>)(f6^GZfN5m2O)F}!@F=<`Yqc|$#=I$g z2jm1TvZl2kg5FxGGB~T$_B4-NSWoU*4Jx|-c7Ml6Z|KG%q@n-v7cbE>4%%HEd4~a# zFTdt9im3OzZCFc}o@emk0F3#?ty0=~fLYnktQ8rF4ZA8IXl*2o z$aySCwr~V?;>nMOtmLjtV!xQrrgmIKaIuE1zue>|ooU`^*g-jIYQ!(B6@eDT{I|G7 zaceZL4PSmFd{~<^5Q6H`#i=`31MrYM>*cg5A_4wR=Po(Jx?8E(NWFAaa1n zM5HyZr*&^d13SJ^>{5yjTrIsWpzCLDu`l@qR?o96>j^1>Ihngmeva7t0FpVhf62u= zJP1@{f9(JnuTvI0e&~BSU9d$JYrZL`ZwXCwh0N5tjElw>f+-tE2kj6pz8tfZB}y+1 z?dYbN_5Y?T+f21Y@}|4;CMJ3qrLcbpEd&6}U8`q@1qjDe+>AqKA<522Gf?uMs@cExu`Bg!MOY zIQS}qJIGNgRz@wJ1FFP0<1vU?LL8zdd8jbLtw|lb9MEF;IbM|8ZnJ}V?2f6g=)+O< zQY!E5Fu5-|K%ftqntp0uFK6zMN*=tK$hsSyf1*Ikq=N?m$-$)8td=HjKYPFHkS>9e zv2!})WxC4hcTUf#9~G=P*m7IYv}%eSCk?VI0^elG_f~l5LmI`7Yo_~*%Rnz5zVt(MnkPw|xbjgP?Djb3Y=O@^R!H!ldB+REy6rddU~x zm|s4!$vwu{$*46z1!-NaBzuLXIrU#G<4G^u>Ac2hK=aXPm0BmB0GMAvHn*@x7_1%Y z%o&)z=?mGIOsA)^1+sR0Ixs}?)So&JK^gB%czPacWS{vt>>gS+Mkjmd@So1U5 z{+0D(u2AM>#pBk{P|(rs6J*J#)sWA5S;CN=vMfa2rOisCo9lL z-s_n~#qM{P`}f95tEWT{h%2t^`ALNJ#_(r0h$}a`GdVH+ruhi+g94?B9*NsfY~pV# z`cYVfo2dCde!i;`X~i&NL{krGwzx1L$tKbQlYIo6D!eU_VE&p)!(&)BjZOChCy#(R zN3E6qb?lqo0hqZsvu>Qmx8HP$WLJ4yg#>-y82!<|rfS7}h`pCAHKg0OC`GHNNja#A z5Zp@>7!>_>>#kyb@fWEHM$1SL6q>wzklg6l<}TLx;&y7Akgr9F_0FX)GA>b&b;7sT z2I9|q8=JcWet*-Ty3#pe3vc2Qsj3#$DZ1n%67$gfnDfD2DcaatBfmdWOHe3TcV#7y zLmLdBX!}mV0|N!EQ=M|Z=p+Y4Ukh4JEs%)^NNhcQFlD+)XR;@<&W-nWad_EwtU{r8 zU+{;IoC(q|%vG34`OXev;ScFABl{3LWK--C3NA%%G>j^Qn9uocHw3HPK^ZAArfDes zT{y&-r!F64$8%|2?Ow?V69HP%Y{j9|}5rt(;gb5q2p(CMyoYxK2B@F%p2q$o?F+_U5hQt+stjw&;>fFYY#twvTCj2VqCQjqb-0)|-& zk&jD zcete?6v5QYGmsS@soqU?iy0V3tPf@2c!u43-uG5RznrOUR_M{kXF8uP5R3TB@tVbhSv4KXx5!p~Vu*JQ;j)8ts6Ngomv z;HfKy;y;_Abw&6vx}8*w_WTmILEcBNFCB2Fy+dIG?)wh87lI8e8?vX&MN}jloi<&k zGf4Lr@xH0Cl8Eb?I82oce9HM^f>6V9e0@_bRA{N?BQj8N8z#4ZFR2JHx5{m+#SyMw z#0cED&vg>_fLH=fU0S2l3U@1=HlK|Y|MX>Fe#moa+c_>cQ#NAID#$8NG#^MX4}2YBp9aaJtLYk13w)2S zXsx2Kt5fBY-kN_habdLjdmm`v$Wm%Z@Iq)dxiG2$sEs-XHW=}?%rKY{XkPs)wEZDo zv>*n|s=(=4RXE7rR={_NZyFS+ zN2wU;Z+CGIC!zQ z%=A^aLE24}W6{O3J>{z3(b)(_1^xKBLL*u4cF>&cV-!7Gt}k>~ zs3TZ9>%TY$tNd?}b}&kf#ilwYY-kS`kJA}D7HT?_pqEkF59F~5%T_;aC-ap`7P_5& z#BDee-8H-4AL8aA(CO zWgAwvrke@5R>1CMCum&GrIWr*xkHrvLx)P$(5<#)FS?aEHl|w`M4e(AA~d5gCn-k9!oC>mM=V0PFvq`I znqaVo9=jOc-=SwEhZ_rLvKW28v9iYtjr7%93+RT0I^;C1a#A>03^6yK`e*PI>%48? zqX<@2Si)zXSJ|U<#yUwypXJGSn7sBkTa8lsTZ1>MPEYwvO-R=zX~HApEz=8nTZ90v8SGBLYvWVBXGiQbz^I>1_>mo zLZd{B=T2PPq&))$OVf@LaDGP)7V15A8<>LL&}zu3=h(%KBG{|y32!m@(5K0lj_k6# z7pq;42HpL4XmF>VViputlxBg&gkL>>UvBbCeW8KSyCAfZG5Q$yn>U`T=oU%cc3;Jt zQhSG4D{5YE!Uc0v_qYx9pKp>F&3yC)yK`OP(cx5W$(dXH!s3*Kqa%7#xirc~9k zZ5n>_pNbSkV0=>wXD-zi!Y2T?Icd0)1#^4xn!9mCj<;8AheF%frF7y z`&O@90T{}zW6W8ZW>x#BJF42eG;C@3!U+--ko)~5`(<97Tyqav>t25fmec@fSo>65MJsJBhn(`rwE!`vv zjWgP9J2#S)+Jz2Zjzy|_{iFMrgL}dzjxyRN_r6v^qaCL4IxbFP?5AmkE=kogRi$E5 z`MBwUbVG%1J85?X_lIE9WE*fS;vFiMuWD!MoZ?878vzHV{r9aPZ(~lcnm9$uhcDwe zu*kEE_vPuoYPFEF4zLCs%{lRKJaAjuV}I7HQ04C!ELBIZWo{6nu4IwAz;w~%+m2lVsAV8tH4%`g01%>t8Y)F|)GFSU*--=UTB zVHTAA&ueMzHtZfRRKbf~dt|Z$U>bgo&faS_*SF<0-Z{bIbes6dAW7HW))y_LN ztxf(hF$r+{ofQS~md|Lfa?(BE9D%4xuCp z%dXKZq?AXoH5JrZwM{nc+GVHW0bh=E->6FT^16&Q$5)uJsCHnGwEz8V9ebX@_H|3o zX1RR}pJwi{IJ~ovOqjuS;KxJUoHPMrzKFC6vJHp2=YrW(j9erMXV0~rKu);g(|*NX zc%zmOq-yr2_Z!(4aQGk=F!)Q zq`SKt1Y{SGMp|H(rE@`$?pi=VK#>ONk`U?c6a@eA`~LqwhjRvIXWzN+JHy<2pZnZr z>~%B;=)-=xvhvt}qdaM^Oq2?AR{vrsZ}?+1ik?vYoJ=yv{Dd!t#5)OKq@Bje;?e{8 zXnPT|zO~(!)p&hcW`aDS4>?>dSup=&B}a#g%;}7oMEH75We0!n?fX$!Kqsc$6Y5ry zHF7mhIu!;5CD%d<7Gb&Q7Ip@wop!dXNP)uOIprNo;=pe9QtQStAaw3~A-vVy^mV6X zo=B^L@Q}lP{R)0Vz~l6}HyugT1`dfVc%Nx)|M``+EA{cV z+f1?ywK8Jw?gWdeKCe-3tlCF{Gxnu=E!1B>ztcRbhaC+iQK(l8N?zf|wRj>x{2T%a zHZ;(YJD3!oscRI`)Zv6ec;M?-E_}(FS68DhX`tJhByAUT)2~gCkLX5!BaV#qou0;f zWq~%yUog)kpxH46x?1(T^J5%c=5&%1QC4D*i{$I4XvFV-$GeqYWXk38$|+1WeaNTD zR)N^NZ<5PL2#QdUKzB+=Yu1Uxa;o7Zp?_tJnK%7GMB)7#M`v$Qj7R~yqNUvN6kC~8 zVivX1CFvb?Ar+v)K!aP91ZbU2idJP3k&^DXx-r&w-AVtaA-T1D^i55B` z`a;kV8N?>=r&ZDs$u_u4REWOOwRIbPnfC06hk~CHI@yx6O-oRC5!qMpk&mZlkW?ux zE_t{oR~wsYYR$ghlDB=NYsZ2U&|FqC;QgK8O){>yA>FsTlbi)@X~JiQ1|+!i{S| zhEtatXT>ZCp3{@NtaE;0_Ov;^b~Y9{7|7|SRArv)l-jA`3kV_F`}=F%DX-?u0)b}G z7fO~tE=!SVR@A1SFzd(LV04^tuZHap=~Sz~7PZx09;Ayp84OZ1eWN`voP4|zx_64X z;)OW3vCvfBKe5=j4kjzgw+q>LD(0j(tmK=oIx4fTbgO<2xoX`Q-U}%@X0TkKMJcon z!RGb~^~~3l)<{(>L*Dwe2Ql6nmcH!!3r(I>HSA;vEL)lC3dhlo&3olM2fa#E4Hv#^ zjdJWQiyZBIzr_M>*=O-bNW=?5&O$Z&;-TLG6xP2%KVM${bK3yXG_6>ybl2(Bl|pU6CyCs{oa|#M**@7Ra~5lGm$mBBUYeK z=#=DUTugT~cNp&Z%IT;K4zqj+57Pa8!LEh>@R3{z;V-&CzwvThN|)p5f|#4V^RT-h zP65G(lO!~7h9&`4F38dEoO}08Zr2pHxh_JLTMP9cqD2%hE6@1ooK3ffFx9_TKXe= zFErn+PLaV7DZHaLnzedZe(DCB?W+Ca=Xt6e8dy}5EahE{5}M>r{F^OM^zA@q3w_mHvd$tNj>jL@ZHhm8*i8SX#P4i#)A~ifd8{P8}tB2uu`Te zKM!gNc1qr>od3}!F+hEf9)Tc zg=do!@SX90C=Qtve^K!2_OIez>Z+u9x}0#y83D_@4kUKR%fG>L-J+){TGF}@)6ayw zgl|U?{*9fKc^}pBLS3#027U}Jn{x+YWG4EpJ8Z56XA0rqF>q~LUA*@ADx}5U!VEnA zfk*6x1=lWRW0r$Za%Uw|Fq;#H$i7+OWsdQ0eUHN3+F47(Rd*fQk2akR$WwHCuw9KF ziEWJl{8z2oNT18kI;4@NxLz%T{@_VW1^cgFm;*@}>9pP`pC>DHgwoJMjUTZj((RUA zaMJ1%mnc3%a)&8-ai*@=@L8f=g*`tful(_$NE+q6$#8XnCvV}B7?EkCz8!Pc{ubYL@OR!MZOW7ErtC!MrXAzB1Y>NFo6H2cP%nK z))~rcATjG)vy-77yI*}&r&D=Z|ErKcaFf5+p67{M#beqfrXVXqh~oUI z30rd>gOrM!n1^6PpG`EC0cp@}XuAT-<(W#je)Mn`;v=4nCK6!s4a(3hTuQeBb630`$arO`IuK&KbR*7!VpgE{@foe?;n%63vxI z#cU*6ebcx5l&vh9t*3$cEqbW->mC|`gq`*cJfe<8CX}uDYb^gde?dp8T2n&?HT3h& z6=dvhE3&h{%|HneqJlT}`$pE0p6{#qgvPlSRqFNr;IXWsZ@~?^otMqt zT*Ypj!$3fX*o&1=lGv^H&ct+;hBbk-0;)bgsj}*0Jlb3pThw<-;fviTZ-CK^1xt;} zY0U_dNqFhulvwnEHZT2-?ApFDtFsL?PMwQkatHORSIrO06rleu@lsi@S2hk zZ_$x}S8gr-;B7_!#z8@M@?P7sYo>J7OMIqq|otL_-+`+Zf0@1Ji=u(zuS$Yh)mmZOW8nrs?KmRRA0Y3k~7~UyUb*62=@2^WF zn(wKFQadZ(+c=A9`E`i-AaB`xuCI;-)$%E~YWn$`X|Mhg3p=8zqj^1H|KG@Q)j(B- z`qI~DZ$2fby^FF_YK4r^h)WOeVfM28vFn@qe&!Ihe$A|OO=5(h!HvH6UWx9^qNnVj zuAYJK?CC;J&95S)mjs6hB2677c!)11&+gE-4y)c=lO5EiGm;Y@&xJnB)=4yTB59kK7rH%T4g^nT%A#iF2>m>gF{H#9pDnpzQA+r-66mhn>`da>u1tP2iy=&+ z{B1Oj_a{fS(Kk3%hId||TPtSzISn2bOx9HHApn{!B>K-%_jlg@*M$F8aBoN+p}*n6^1ME>bFSIyq&^E9w(ij|*+mXDiR z>~cCg(x7^|27Oo7CRgxd2p@O6rE9C-obb@y)jCW9I6=;llmm#w|A^9J1p=Z|i#>(_ zs@n^YQ(zn9Qzy}pW0se@h!ydTb*xeCvvbhE6+^Q#Z-*Y9uUTKLcditamZdJMPSdLG z{@@51{#|uQZ`I;r zBIX8r*wFspFW)oM6SQ0kt@XWaJy+-7ASVc@)HRRQ7DA-SUn5~D``Ps%@n^jh zyHdFU{=4C5h zx~n;TM^#@S5a*o_^&Uod%%KMf`zR{pb+>Y7ke#QbzW6Wp*6eHQG{4BkBJ7&}-0{0r zNPUN%D+`z{cL21@o~uPE)C#W~6mX3RLCu1MO{A+nD1Mi_wW)Lh%Iiv1PRwe3Z`3^h zA9|ZVbNN5)ZEk-~*}pIQvS}->^=vAam+i4|k!sW(_33h$KWb=_M!HHi8` zfv9mawl;e(*|ZJDl`7(_DOH?IU8EB-#x)#lEGwmH9sl|z{4BQtVk`1`cj?jDv?^%= zV(NUl`VntKRM6(vtJC*9@vyIFr(SKG)yd22yHO)FBoQ;~>r3W&ixL%&LFzPIxwM27 zo9#AJWzgX6rHM1Vs-*ft)o2qLjY;c7=iQ~r304vBAY{%(L{?$ikzoRGIig-u36W)r zR@m3QnLe}bE)znSD4qZLI$9ze?p2s(WFk%e(iA)5GQwtk-TvNs*11?@7I9{e>pook zv4+J&u%emQ8D;)Q-GD7qz%(!9%HDr1ub!vDL6G_n?0^y1c^F#kopPsZt?IJ@9(+Fm zc|41g#p{|^XRWVE&THxq-=r#EURTxUlNOMI5JfqBJ$qV`dfeG#=S9wK%KZiP)au8x zb2Mn-xO9`mN)~9wKP0SoZC$+qHVC<`(ExjuyG*Z2VVNluzTr0zHeq+=c~|f;P5aa5 z4-)r7W4v8P0yzDVxy$!vV%EA&yxJ)5^3d*{alvkKJ)Gb=9?dzj;yXjVj(~69yqUbl ztge{%6P3EzN3 zBxs1d&DN|GTJ|s;JKgf&y7~(Sj`5D2-F`L7vOctO<#r0mdp}0=W#`dMMoU>BwPDTC z?E-Qe`@&77np6QAlOXo#NKN7Nkj?H(wH2-c)$XQNJ|*{&lyQp`{nBE{TQyy-ytq6d zv+w*` zX}9`S(5M!duh&qt6hr*ZBk0xB1>_xVJRWp*N9v4Ha-Pcp{kt^X=JgRTgU5bHB#-nh zC6Dm>31=;TIV<3O9y*fYP~-LX^@xo~Ghu~64Dim@dcPg}nTLqxX+^9<2OL8QihvP; zUCK>%&=Gcxm|!K0RN|wKkuQ^}HuoG(Q|C`pYJhs>kdQrHbg~9gZpeUcvt)4c2-BJIuHeS9eP5v1(^(e2DZmuq|V!LM-5!*BK#V_cc-dFkQkdb?Q93_g;rKCm}F;%bhR&SGR+53q%y0v>Looj{Hm`qu4f0pt9 z?uNO5Ko0Fn=bp((5^o%=dO#)JktY3q9dNb?Se6FAD zfCN+j$$Trfn>PLz>Hn%#vcREUzYn1DF&Y&6oD42OOfx@SC+jS0uOzxoh&h`OWOqJQ z)Cnyk?}DtZZ?rSTQ>R*9@>SSBZu7a`JajWbuRu=|MSsw437F6%Dv=`MWoA>q4%p)iZ)D-Knxo+5h6-BhmD5End8d@d8m zfjt%nB5U!#il4FYX3utE(ZrfD*_5HN;bSjKj*to>xTt`yo!i&i z=3t8VdGy7+;Z1gb8Q5$$ZM1E(dDDQSk9$T$_oE~+ZJps>y{vI!{V%fTSz?}B-WxQ4 zG6(L2EDxO~NrN+hg1G)wt6;L?&&68NxmMAFCD}pj%_K}pQ5Om9l(&RGPZU?}^}rSr z9LH)kEtP)$_6Om&*J(%1Xm4Ajja4ARQn?)=QXZ+HkC*s#{YjWUJ!c)uGk@KuI*GsO z-lUbwI2;==5e+f9_(sCgV$qc`n3=)52|Hu|&OQ{&Halrhlkr8Lv})0n1&c)z(L|#n`Y%+pTC|BCs zFgt_QR3-6W>l?M#7J25XtYn$K&z>&eT#)H=qewLQP9o=CuaI4=%6U+8QjL-nzyaWfUfj_Sd#GMt=Pa{ z@H<{|GH#9~$I@}xklDeaHWV0^pgbleG99cRCu%tLI3=T=u!Dn}o)p5Va3xb+3&UQG z+EA6*i{`S!tLQ5tLm8Y5IjYEg?&OWj?MVuFuxuy`Tb~+In5eS~^K66l{7-C)h&lZQ zE!1`?h6(e&*rUC_@6fH&M|sx?=U+!=*F+V6Od}ghS+uRHuG81o^nEl-9^Vq3?S#$I z$L%TJjUx{YyNuSY`^h`XS{q4Hxcj`4x|nrLE}eSD_M==3g&|Dwvd`c5=YS_9)Nmj` z{`_D`(dM#%_-y)@mGu+fzVw55nh9q^e7*>X zyI=bi=9B-dCU;`GU!(4Z8%s9$ckAvTe8!yU9BTZ%Jm&}HgMDN;8VMiP=hZ-mjJoVQQBPq1xmAD&PjNpV zhmBC_uJvjOvMw8l?2L(D>q6;b+L@}h07~Uh?7QS_T&h!N>~XDfaM>t*de}6mYAcm! z)-#=(iunV`5UP5}=v1T!9-M#_yi8El@L(|h4r(eKb@mW?-4H_X2cVy865ji6w?#Xc zr(D+)z;ql2$t4abwu>cDefzzb8DLSHfMK`mC@YN5 zi;dRp9hGVi#Y-D@+h+iI(HN}w|xAVp>c- z`>421dJ5}hW=icRtLWbQnI`sKGIwtdJ9@Rgvd^ld*Q7sxC34z$dDe3pkJf!6OOft2 zENoKUR99S)CZANhPmW>^+CSyJP!-(rpO)Ohx8z79I~=>0SCw?46v4x>+A z+Ai~<$cj2_=5CJ9Gl|QRlYB2z8*kP3ZYuOrC$Es_dDagr(3Gd{@FEl>blht)KO|XO zM^U%6ow1zLY2Y&;q07TQe2awT#-^Nro!&PT<(#q+QFjGs+z3dSn{8` zq79)s(D!mmxT%k`;J_H}d&%&4;e~g8B^q|*rf%RF*1{#z6AoDH&7)t6FZ=%aXa}{J@oQrI zDg7dSI7`bl%J1fl(xmc4(n47@{BJoiYCp;Ncyz1Ie3a_Y45({yW*9sV_cf9H`*D{* z@97~wI*9rpak;2F*##(oF_i-1TfVn@d65%eHD{i|d-=p!MYfEes6mLiZC{PouqfIE z55hl5!Q1LPQ#ZoRadBAI(}igsy{A@3Qj=$Yh?o$6=8!<(IJ160;eQM#ROs z?JDj3Nh)-t{GWy77R3vvJ<5Z53nEm7l%T-TNq#X_=Zu0?;pI#<3S7}7A^1-4`;S7G zRLB9-G3?Sk1lTbRrW&y}a;ZYX|KYEU_?V*?eI?Wa;7SS9ibf8Z_fxQ`-d9Y<9%L94 z6PZ*Fw)$-$1m={ErdiO0&M=E1l(_@h|vBOTI5kH^rkF9Dt#s>nV z{}4Gb+XF;WUG2tW4~ARMZz)+CH01jFYQ7ARUWH#mShe^v<9)2UGVF}TH3$_hNP;hl%-&}}pF&jwF}`QX`BP9RVK+|I{NhtuQQq&2BWMr* zcY*DeTUd2BlK2imRWA>4EEf_hXaM7~T^pvr`jV(4ae-@NMW$5ar~98#QGP&ylcL`W z1^zvk#qg2T{q@6T_>)7E!XGY&9u%8?R!8;q9K6Y+Z3`nVl!rK|e*OiF>bqK?#OZb) zaU{CM72%7{EKnFktRDdK(RSY`f1FFt29Aq(= zw*QNdI&mfWst*H)J4!iP?vfvd3Q>4{vUD?*=PGh^4SuTUpEji?SI8WwXaLJI4SmIN z@mI!(qKlzw4-<3W+Yu>@80APvB6H5bcmFgk?e>lsHFWmsG0BmY;PZ9(kNqE+%R}F@ zf)kp;pBV71p)9ikQ!6v9MGEFCCARcE!kpIn@x1L((6UArlAkQd-?XejBa)8ClujO4 z*u=+As}|5^zN{4_edKB(c;drqa%C-OsN&Bnton}$8Y~oNoDWejVcqF{+BkR4Ly;lZ zC-F&`+`@jpNOw?{e~1M2=5A=!YY22K!?+#EohUjw&{z4b!ox4__-3=1&#u)ne+U7y<`IocR|xhpgpCqgg`YZ2;hzp zj1Fbm{Q*|pyXfanCyG0QHHCH&4_cZZlj_Q=x~WvWflC3N;! z5&kILL&21>$l4Pxv9zbmajxj*!ig$#3ci?`Vy&E)mfrgAGhd5&>@cME7QDNN1;u1XN#8|aQda%BuV&+?o`_g7M66VhQOQhauQV!<$uX_)v_ltso zIebK_P6H{!z@0k#O?gS`eQhVjTOuPXvx$zh&lX_?K zqy8}wEZ;$8{GM&)CNC{ksGV9>ACyT%n6CtfSKJ14XrpU&Iy;9{24pzzDzD29T6_dQ zHO-{V!+u}<7QGKfK!cx&gCdh%W1JuhwXRo~M)THiQ(BYG!#Itx%y z61+Ze&WPX#_3T4#_3HY;)EwSe_o6IwLUsNon39l=a_S%>&rI4`il}SdfSPz;UQN%g zzWz_X=6Ea3rbJlq@hw`sFiIE{+VQlnbch|d1XL*8LYrU=50BYsBJ$n6Fj(1Ie>5XH zVZ|=Z$~XERn~wYmy?%&>E%DOwo*Gfh^9FN_T{0dOP7B%4)s~mhUb&b1`;`#eh5+O= zCiZ?%pId|eUPM=>mKTEPc=^Wf+G`DN((O1&XR%v>A0|+a?8~5b5~edaA~L zR;AM={=CoCsxRcASaxKo88IP_$c(9iP*uvWIcc*JHPZ026!nzXZ|+u)^cJ0qPwR9) z^efahPvA#OIU`dx@eU>>tJ9@0hrxDBXMA;A{8QvP&V@f8tW)lWmn0sxezjVcv^}qa zl6$07d3E(2?rGqg(l`~~;&FC3`eP2k_XO-jXM>R_VSZA~FL@pl3*_4!$1)jrzuwog zS()W7dU_wty=g8J5}owx*Ew33(05{3*qH<$Y{q=fk?t=hn82{z28IO63`)nSbtWr3_(b!DHD$pB+;}hq-e#w>CnA>4sJ3Mf3BX6Ny!IWggekmd-U@B<`lqBA^Y(PAh)ufbKtOU)Gn3zI~EN zaQsmuZ@vBw0@e$Duzu(`6UE>E<#xK>BRcs5!0B=pgVj@wKzc)Sw$v>Qm%qQfHZ*5c ziwQ|q(-M36D|?>gt#K3OmRnl-xLG%83+-n{7i{-a<1mNXS#DT3m&Iypje_`r^!%#S z`wYdQAG#~71+@s*BP8W@j_lm!TWn7H~_#c&*k*#n1 zu4*%xUhnyw$~#eT+iC7lKJIzn`~3QA+b6B*;eF%Fj?`vj>%G+j$l~nxeO34ay?(Af zPt)0a71QqACn+?&ic_S4Z|N;(%wic{jTW+`x<u)9~Z8ewDiy-LJ04 zW$QHqQ=>h-XKb{xr58H~&Us6S>%IY+CsM1AP2FtY)@1nbWsu#JJbZvoK4jN@wX8B& zgg>weCDr@5Ncin0Fm(IxVmq0sx2gpZCXj!i2h?4Kez`Noxyfc!wHP$=r96_dk??R`S(6*Bl{iRdP z@*Zb|JJD*dflW2JS4~W+ghF`z-U*Mc`}-nY#kSVJsy-?yzWZI8wfbc}(8~U+9l2aQ zh$RCPEw_JnUUeQzFOLNmnck3vusb34M9$T#Mk^!EbuEYtjWK(lx1d$!7=FNx@S0e!Dhjk7JQ_HF{c^A)p6pe+0~V{!hp z#J^1=+}h@q9dd^U!ts`@N#1yT=h+2y5t@x^LFjYI6$^7qKOUZZ%QiFh7h-1El&`l78>pr)Z{vTrOs#u^Q)0)~FY3XB&B*{C^^`X%+~`f~lw_w8 zAI`-2fN4LU-}rG3AODjxG2F4cef((uLD5$D69%*oEV!L_@P(xiQ8iwi!!o&V$WH$`PGm$=fGJyqBt?_9p5aO$wubx@M=?qkmr6^!mhJQU5<*1qWC!eiNk2@KAGxs!OBVO^BIZpCHk5Uar5+ zsGn90gUfCcMbD%`N0{pQ_STQ0rF5h`UD?lGX{sU;pEVfE6y%+1@{uJ;{YEmiiFo1E} z;z6ErAe0NMcka;AJ!m9iLgyxP1k(2-Rk3|%^9dJML4dcA-e~XGou%P}<|aS}rqM}K zgx{tOn*VvXy@{uvxjwJl7kp5OGwHY9?$H|r@6(mVK3df&Px;1hp;W_fEx>BYc zBjkWjQK-12bVf&anrc4|AS;w>4wPpvf3_mxY#6C3tJ#TMTuu>mz2=-882k&~6?xC& zR3rrOY+lrkDZjGj+e(_ExBL(*>n!*qrY3NA=$t`(xho_EV&_fPd0Ev?(nffnd1p0E zHm!8)Q5Tnzd^6&|@hHGCo&6{PeK~E|;Kqz8r>}cc2BiI?a?X5N+84%9Z zeCW(LvgZN_T`!l0I#KUyi!Nb-CY9SVO?h6Fw?%T^G}YU{$Wj0xId=0p!2W~z7^guY z9xJru`2QkqU-&9yMk@C3Z0 zXlx1hWi($EJ6Gp#NfF!waj^`--e*@3aJ%LDH!4QPDSoOAw%rJLP~bb4-bxXa6Rhv2 zvO5`1t5JyxG_&g~uDKjc>gTEPa6dpu=ssx*e}Se}yjM_bKhvoAY3V)_bNNVWTDrRG z<F`=d{zO-0J1D-=y=g|0k+_wgOjwZY9x#1P_kV z3DI%B$fRtsKCq(|0EoITL!9@g6}=Ry+=<`zn1a1j{tQG5ZB|}=!tvcwyJfzZbU#VK z2@KI$1;FFc*u^!a^qe8CC6P7Vn^+y)1sMaYB~TYC!f5`JSFrOsnB@rGRN1pxCvc84 zykp`m{in$FbN7R+QpK|+TCggYr0#87One@k+dq)`F7P48l@$;t(Hl8IR` ziwh{md6URB3Cl4k6t++{wsiI+BCLNQdRm9BsTEegpjpa#%T9KlcC!+P zwaQcdAy^XV^&>tg&m&;^vq@F<<4wLf0e@$8CwA1wfG$)ub1+{U?YUzx0oR|{u&l5D z%Sfb;&Pl`qd2G^ie-gq$a+{5I?fh5@_j5TNs#dXD>g@41=|qyu#^zZqYa2 z{6}-3^hLDomI(iCW4f9AiY!5&RjUs(%CWqT(tji#s+f7Ils9d6ry@yuZ8-~i;kwj@ zV+-#JS7pBzaSFnxh)a3OaHkAjm*}6wU>AzbT<38=8Y7TgB8CxE*k8;`>Mj638_QQo z^Of%fdU*%STN*5Mgb<(kwvo4Fy`XhFMT;a3^ZbzEdmNmLx|q-XOa3*u`^Xsjvl~0j z&GbwKp!?!fcW}qWzU#awu08XNmi5XA(Mq?i)~7hS1n`1QH36VAeX*>RG;$?hsgIMr zCP57~@ytkOLBvt6Hx^RhW0SJ-vU1zXo47{h<{5x>O4>IS=|-*Ov)Hd*Ju5895b(Xs zphE9Je)Alc2auDx*8w9<%qWP(ze5!BZ;ODHx&01b6oe3O==Qc=Ln&dcnv(0Y>Eoje zOn)#Ww*+;&Jre=Y^0H}$N`)QOeNKebIZ%YXstwG0XC_DRcNqr<#RyOJ?-y;0|AXPe za)2T;fN*=DYf{#{vqU)Po7(iNmX68sjQNC)XYQwta1HFN68S(2Dc$05O!V$1^R%G} z!t_eAc~E2=rI_xANa|^$ai%U=d@hrUWI*axvlduuf$p4$ z!HI@*2G(>?H02_1=M*wI*erZ)UY@rdXL7-K!JTfx!6KN4Nwp!Y8)c-7@9x)GR zHJw5VoEhg^d`N~HRqAsu@=20BNh5_pG=h~K(#|8~D*BPL=$QhN{8v8PiLz4+X4#7_ zh@uT;V5W4HB{2ztTHQ5+%H+Z(qrl?ts00%>Ys_|XWh~lSy=BiLHo0p~@AGsEqIhAb z5Z&kU$4-+0)oWCdJg&T$G5ln$*96>TIe=dq6&Khirx%dw8+P;4L40?v&VNZEdkim&*8lv-{eS;@J zXnAz;*z3kYkkE4cf{r?ak{KtQSuGZ^RK8@-cB;(&Hl?>)e)WfGRAg^=B~nI-oUTkU zhLe<&L+-NaN{a1p&wOk(OjKH+B_P`UO9~Fn`kC5!O!wP4JHRQgN=_)>uulbSK{yVV z@)UJE7XJ;pI+AkvY$Z++XzY+smv%(yh=VrCHVn1>f&|M$v~QMzM`HHlCR9mr4JqqX z{Om6V!9-$Ca=UFS#o>S{bN1!d_15TLnZIU*jl!;Yt>3^Mody4*MM)3z!wknoO+4y< zjR*|l@w_e38FL1!IQq5f!L3t%jpPW?qhcPT*^dGpG~Jaec2X(~H-~{Ed>A5!OX?MX z_|v=I@c%gE*MzVCIbBbx8p}pr@p?YW?w8AP+47v&znHln0PB6gJIBzX z3;{Js&*eBaQuruDKdm8nO^5{Tj5y*_qOuD|0+d*DB^HO&LIU$dqv9>=4*5gP+W z=P}blD=G;kef1lRoquPwS9dXQ4ru4dm%peolY*W-EKCu|t;=$uje~=$ICDiX;2CcGkcFl?g=^UnvZ>&LJfuWh9?2XyCTLL<6$p8Tk!?#q|Z*TOnfl9 zi|7XCsV7;hmjbxrD3bH&&fijCuJ7zNKi{E*0+vheg5_<1+accUu`u>1p0^`Pt3idg z+;KOXGyLHn9(XY3nkXR`M64A5;4^@9+_wNW&e zJeq0Y$^=DcFUqbIVE9P0cJ9)gV+8o$2anVozu4A~tRN>>k|+BycJj3286Fo39iHx*XPFTxk6a2Z6-&yIOk%BntUb{_pQQ)arV9dR~v{D z9tt|?y-LA|xDb&+=<|5e1T zve#A=QXC!?qdC3NhHbzX#A!T})EPj39T7buXiaA@xam9H=PIb%3E_c98EGF;hT%YA z#NXK!q5nw>Sg?MGIP?W*=Kxr zfrCm9%IMR|`R$$!EJ2KrpKd9V1p;UWXx2Th!jqm7aM0UNJnpisd&VxMNE@x2G&3T0 zaU5ijscap~pUg#C;;YDiu@rM)H_st`pq#vo*3O7{TD!K9z-L)HF4L8P5MB2R{joZh zATUwDK;C$yIb9k?j3=0D?O&#HFp_f_CAmuU?fMV_Lsl<+-|PksiIglz!;P19Bc3NR z{cjKje0_7ah^OkoQkG#iez`<|B^a=!$63W{=*Q6a+gay()vL%7sRL{)!}n_WjKFsE zecWp>gIImVqrmP`f{&yJtpj-{fGXHRRseN@>eWDNvgGQ&u&C_ctei*wouHZVd>|O@ zDiU9z=tz?C2T>;y&4BQ9^18}i>p%1xIYA%!JB>}n&{>Gv&LS{enwgWFTe|BABT#D; zIKZa$*F_xu%Lv5HoZd+m_>jyKb^bSilCBOe`*1GZfJi;xV{^~fO#x2l0u4Q?=PnE9 zlS_lqw4156Q^Z_jt?RODMRdHeT zxipO_v=!aC7W**m55{{lsWbL`6DCxm(c|x62{ef_&PmqKI1-R!;Dy%zX(e&{zg7~- zzd*y7nkD*eCspuml8EDknsCQj39QPu%f&uXqt;ycuR=W#dGJ|LH!S!#9@x2Q?R45t z-DL)*nhid=DrhQ32C}#GXhwGjy1LtYTQw{M>)LQ0+|ibOkP&MvbHOb{W zFvE%6Tj93SY>cl1XhGsx-wfMFM=BW$W2$|tA#36a`@hMkqudCEJ4#*_ro0X?sHocw zmLv68sapsJ-?g@EA;(pOJMi6??7o#;^UYtS{2SwceKv0@br=csN0`;Z_Qr> zkubU(%frarPRtY@TsL&h#eou|K+gZ#=8MV?XuFXGI*<5U{+Xt!UO{pvM$%AnRea3< z9pqjFT&;oi+F z^}UU2&HN%(X0LY6c=(&`h6Tc{0=NfW?;{}5t^eP`HZgG7!;)6Vrl+2*Ss1IjuU-FDIHa}ALZABD8$bR%oO>CV_GPsMTM5D4&CJ-C^(s@oLn-uY~OryvNxF!>_I2LUJ|#=|t{Dik(N0YHHF z+aW~-9oN_{I=JdT0UoFI{~<2CftC7_7im=ivshR44abak^D%9~RU}V=ZOwFN9il1R zn)Yr8o&>@8(cg(;`u-8VQ1(|rYpySebt!!L{gsn(ij?49+=!t?VV!TL{n_4q*7!GJVP=v8yZ=R8=KD>@!qBS(N}F;f zk^y!^X;YPM*8;HqKInJzrPhPD&H7_u6hNgH%S{*HxTi>Y7X>6(jH6DJT{Oak%Bv{= zGZnwa?#usMo$?yhi+M`L&z%qaLzV;TU&oaxH-XnFxG?}-pdo}}pITyq59G~Cg>mkT z-3#jwGeg+G%`aTV7MKKBU^SUBLEVQ+bhVrW5-cEm13vpN*wX#v>VLr&;2!x0w$w5I z2W&a8YpRR{zWEPq0ogyk`+u+nnAFVIC$j+9k~$7d3U&UR{lHFx!T5{RSs$!twh@7c zDhc$h-u_hh!9H^jn5pr9pq7Z>s&bhfO+(BIDPVm74XgQnF=&O;e7{t=CIxQYJ0ZT= zK^Hs2A2#ao|KdA(H(hxf+Wmj=9ckStEJ>F?pxb=5IJ>~}cRcVfo3$Po_Dvovpg6P) z;`&c~x56uTV}w+=4%H09EUHD9BJ?y}^q|Ea!&mGknrMmJMum4*%H(hrtcX;lgeN?9 zly$m~U+Zm4I{9PGPb4NQRenXCXrl7DA)`v_LVI-O+NuBv?0yn;9o6U8I*lN6*cfNc7J`L^?f^U;X}zPu|fXny0*f=_OQg8y!0f z*p=h?@NohMc=V-#Xvk^)UrR>|-gY~1vR(2$nEiU5Iz+lii@yy+dD;LqF~<@*@vL?o z(b~`n(@c~x1K!WFXF}oq6+gPff~DzKI0Bu)b1x;59Rw7`0j;v6J=Z2cL`OB6C8)ge zWZC8)o49x6CB@g8FWO5FW~1jtN&MwnAv02*d-bc&?#u{@*q@1cx<;L_o*gnjd~DSk zP3g1tL$8Mv&Z$^L8M!h!(R878HVAQPo^2l9&5>B@)+7G3x!K_H-NMd`i3&&Hy_a5M zv*bh()9|#*t7n?AOn=GZ=Z`3haSdN)*>&2b8(l> zbDtk)uc|1DgJ{cz_&VS;+ZW9puw`_h=q5HrdiRyRW#xjpUUyxS-Sg%_d*9*4r$$Gl zSnVGAD)e{V5hcYsEaXaMnZQ$XubAN>XJb-i`v*b0_8xklD*~$B@s#;;vXIC9O=rOG zuVUA+ohi@Zi)DACD&@Ys2}KuuyYI?F4p00%JwyvBntFpj(iwi#-I?S6X|^uxYRMz^ zGi=vSX-Ojm{kExV-hMgfcpQ~Zar@aezTeyWqqsNk$X(C+MeBXeUC+~ACmn{>iT%6& zpMUnSwnJ!5YvwDzOzBr|^5Cp+B3fTM+0+0s68)yKG8%Y({5o6VLdEHt1Y)!}V_XPu z+W&C%)j@4;&)+Rtpg?hl7I!J`1&X@`cXtT{D->^w7I!Cju;A|Q5L}A~E!G01ebamI zcjh!5eX&~$`A=A#udthS1QXH>-r4EnHtP|xdSG09O()u_L7t~ ziSv!$?5xarQm+d>M4)SqXUrgOJtOBy4z0JiY4BxKEZJRFTiGM>>2<_W0O5KxyoLo# z4S=rF0Igp1xSMQA`-Mmz#IYV;F(h%@BO~6`B)PE;w~ER@KT2EB2jeftIUbp=r(IN9?PtEafnP1~?>YiyY@!|cfr-hus=gj8D>_U;H@sHEUz z6rz?E57)`h!ycQ1-*eb>+?SAzUqJg0CTGttSM6D*;X%Pa(Kl} zG}^q`CwSb7p*F-8&4{P_in2Q zDg2 zGtw57uYr7!v;#YvROKD9tZ4&7u1?Q(A4y4=KZXh%{HR|y_oMfyaN}%~z zH{PcAkpbMe&|xO2c9GZowxmr4y_fYN+yk)KJNYa|I!|vjm}NixF74Z4zhR!u)iV2R zTKJWbF=WHD<>@j}8H}Uyfb~JQtjYIv3IF%7``il;z>xp$l}O{+01T3{$zoz&xHS-4 z3%yAD+TUH*Tp~#8ji0Z}s;%i(Sx3oYug+`e=W0^;@ul6N9Q>s;JO288 z-s`HDi&8w@)Ir%bF5TVZ5noA!+%^zt8}`1t9CWyh2HIPAJ#N(TBD;8E93ZZE@Pcn2 z1INj7X{h1zU|z00_9b>CU#V)xra;|;1C)@>h&8l3*tBJjD#p6meA>x@&C=UU+Yg10V&A1n@=RPan^SPzYR zAU5;%K`nderocM~C%DWhfnmtw%j4bYS^mZxbz6-2})9dK;kR`Kx_SrA_ zjT?2%X17^h?Jr#ymhsKxlP09{tHI0;0hqT`LfFwULQ{Rt9^6LG*2vW)uUy5-={@Y)rx5IEyQyUL8BeHl?TG7~!YIHj((Smu>%4K8z~nCUorJp=|}J+>=7Cl^#NfrhaiIwWWTj zy17;)uFqpy5z(J1X$C3(nbmIPj{*mpN1oE(#`6*&SOa1=M?_0i6s9{bafh3EC(IeS zB*#Xk`nY`2FQBNa2A}4iwrwmS!w52=UY(E838-L`221w)AHw96d9^qkTxSzTW!nmn&KxoBaVIsoq*VE0*)P zCl+Cs;%E7*nx-KXMWmIe&O%Om&rRRQ&N+vFKd{B_F|US{eD<)?&DWP1lw~dW_JNVz=nz2XQq}#f>IyfC`Y~Pe z-1wI_B9(7hB9*8dU0sr9`8NuHjjdN{1CY03!lgLVnGR;%Yg}r*k)7H_5<|u$Yb0qj zBUcLe8X8q@-%G7H&Y)Axz}dwoYsRF>2>^Zfk}69)bY}fwbpUv+gC)Y`&_L4sTciy8 zm|`ncNU_l<(UIib8>`C>JGS+lhi1xeQs=yHtQr-SwR1{wnv9FEfsw>iP=9r{^{`6F zW^U{Y^fi%HD*g5H`a?1xW0)0VP_ZTouWU^0S8iW=yV+D{gV37J8w$9Rzwu3#hm|es{N>;1r3QoTehwqV zQGhs6t#rorSUCw)lSaP~h+P*uWcO|LILPrFDsS1RM3fPjvW{^U+&9)@+jjX$xFmCJ z>C<^zZJTeraj+Au&KQNdb+K4u{{3-8p>kq*RP10OH2eYl;PX)*`LBfYN^3=x z5TihwG(1$T8M@*;x6hhrMY~y;IGgrte&5?PG9(+^4YQZaNHKC=Co~nTKmO7A!6BZx zF9#~ClGoG4+JKbW#EMnt6hCMEbTPabEEp+}3(r}tAFjnDI*bJqI`R!La4Ih&Mp+HMA>`v%}4c|l0Tcp%REv_yXRYt;G{Dq9Q z$o>>_7yVEfy_-V%dfgohqnLEMoUF6-+kR@h0^(_S=`EFz#eM2=gBC8UrM~&-sf`F^ zAuNjYa13rYOZ9VsO~8ahLf$}GQf0U#N*G_jp);}P`AQ;fJ)FhWuAtq^8(pTpMlZ3+ zgVPz5x?rRz>h77kkW?mGu*Z>+J>|!^U@-koNp?=VimA3l6tmt>EkfRILocDt!=`fH zAh7TA)CeF#zLLLU~f&LUbmorGDY)>gMpX?$gT$PK_pH{0Y?!golII@ z@#J}!RUAcN`+M1;1B^7yJGFsGxD9Bv#gUtf37pLDuppSacN3n1FAej`-^q9jV=hK} zN)Ku2V!#f|T7H?XYy)-h?O|s<&vbuF0NtJYc?Qp+7d><$O*`qK>}GB>WiX76$83rw z^XZQZzG5MWzx48;8UT^u}8fJtfiC(fGlJwO*H+WKOw!K`b^I)cj*e>=AM0)kZn+FMe9Vfmd$Bfug zeLTrLcs}lU?xr^g9N)rOMII3ptK^9x}msL z#OGkO%PP9BziCfN>f-ZRIwV4i*zvZiX@+XqUo&$Ey;Qf6SczyoFJf-VD?}Fu0D}E>)IwOR&^qQ5<*~ z4uS+#R{h3~Vb9>$o(9-*0{GccB zKzSUc?uFLGyl!~w0Lj|9?;F?TAhtROS{{owG3zYG$_E;LlpQX#RpPYOt9cMQ#8?50 zFy3`oyX1*WSp11$XW%oYS^G2zw4AYO8*_|rKt3TI3#pv8yt=9oQlp&1SiQVs6 zYrRJyWTA8(=XB^?q6+;WJjRA!Ep9B|pp$xyE6i&Vcw?iX0~%hi&rb{4aq>sGN`!_Gn*mX-c-T1ALDhN=FH z0TM~6?$t4%(mPpWmU6QA@;iNUG~$ReO9OS?Q|>N^$+lDeHP4A(&=&(LJ;jM0eCG!N zV)R9~4_Q=HHby`Z!IK2~+EmTTxD+clN9+m;mllL$qgWg*8e%bf;`BqZ$_qPw+n|*n zUU6IZ600d00J&js?V$=M=qW7W&uZ$;#5*eal`dw?3btJN>?BzA@Tp@k$l}+`< z^*$ouvTxxVca8y=j*V#?VSEKvYzk?(G=^;h(rx(5w+h0ohG|Z6$`!kub;bUeN#UL# z9%N-rhH*TbH>hcJf&1@0ldEnrVqv537D;3pB$W|(w%J^)K;+x13fMMoSG9c9#t`bX zmPh4}iyV!-vg;Jcyo1ed(Bb%iArr<>RlVo?ncE(o_DxYk^${MkHqL zuIEasYh?!T@Z=*;q`BCobWuhdH|5=CFoQ`c#b%wBb3zFlJhdf6KYv@%R@8;ud}>*} ztmV4A{#u@`R@q0tMk}+=QWOyu0w&Fe0Pt{;iz+@~h6DI=~o*(LBo;viCh7FeI zWRI+rkDazu*aKm4R<^1;BgY9KwO&`8S9bC(ydN5Ozk--s=W@ex({}ZdhRRJ1g^Ghh z*WcXUgzQ!XR*AJ8HO2(>mm8&1&8bzD+2*N_Sf#;R&adB@-H@-c)8vU8v%;~ZuSzND z#M5P{Q-Qsn+;Q3pBP;wAQG>2%jhJ~Ts5yQeBgX|SsZfH@2J~5;PG8q-paSA9szHuI zgU_snnj5*t$oAe_xFsdsuKf>l^Bi0dD841i#v1X?)HS~}i(t+n4@{7y;7M-i$gRWS z%n>k^3jQWJ-&r$GhB{!bZ>R-ezEoK6<}iu8A>ZbX0awn09XlB7Hta`If3X~%fzS!^ zOcmHkvg{3rScnqojE5Al=@XnNH;1h}rBY}#F?l;!1ulF1t};}nK(1~V8!?p&@4)SJ zU_k8u9!O1>K-cWVqozqd+sUfMcPWnGfHbGOMCotDdlD8qrPsohmk@7B|KVD?nCS=- z5`P+w%e^&ZFQBe-hGBOf9mDzEb!TT8WIo0UW%`dMyFQmwfxKIVH)w9%Hfc@1k)dq%WQVzgen@1Vs{G2P~T z6038u?bMd%;LJJmmlN*$1zt;G6&8s*d)`uUbY!W=T3_P6N4|K!P&>7TYAk2iL^%iJ z6^$MDdtpDl2hX7L2iLKpre8lFe#{4bOZlPV;jB}mYRpY44|=TFRkp@V)qfCp@IXp?dD_j2wkf_M)npOvq*RAuD8x8y`iPg|p| z)>n-GraYo8S$@b{ZMUE;0KN6~ZTEbBxcL=*v+YcO+L(H^io2RG(4^~%BN%fRb5m_9 zt}+ToP%15{?+dTY$Hg0`8237Jf3gRtz`=V?>5piXkhkbfMFd(8p=@cqaI#)o7fZ9C zhSV#keokNdWt)@*^ePVLyyV73d2R;z+)9m_tgc{|H+A9-JI*eYrKb664HEgCG+ul7 zH{Q`<_pb9yjHotcOOUniKJ8s^u1&T_#x*YVn?SQ+V^4hy>_&l5Ek0%LJR$p&Ij>II zwdz_^1IpDZ`J?Htl~HQ`c;hwR3xn0VKGJPnXCDasLroT}itKGYJLV~SY=;^{1xxnH zF=MjyI4xKDa#|54uCQl2AXK`+V_q#omHm)oENy9$*(NA!h}`VScS|KPcD69dS3i)_ z?+puYS5xH|HI8UyDIPAfZwJe0igeqW;KvN|9#Nx!U&-|g5!@uOSxcGB_1t)INombE z$?4A4m7b{&u#vcnBdQoF+;X?b*rs)(-9yE=OGy;c`$2qR=WFhp^Y5!|CIW^$Yrm^Y zO9!CGX%7noN}qR_wZz;`-0Xc_CQ%90Hqg?D(_RvriCbCd3T}O7)869XXU1%?i9C^Z z+Vp9U>Jdp4m5GIUICG~fi|Rg!vQ@nG%OiO{Q)fPZ-L#0I)9=K6+^ct@V%k56>!p{vn~U zJP$%;3Qjf1^#|IS6c^~tfi&3*v)lZ7%yHH<`L@U?@z=I6{l+?p_7tW=1LBy9 z7r<Hkaqo@>6^(f#N)@4OZ?+T%tgjy={{>U6ndPy5}FGa zzLalwgVZMo4x)NA;Rkim>;-v$P*S3fcMv~9EV5hT$!=lPJGG{Hgw#F?&hnb#>7H=- z8-uxi5aHQdmK469XyYTAnB~H1InJ66C8S^bf3ugo2=KdUAoFxg%S|X+OmOTIv+peN z>~?P(rhS8TfcxW@l=^}Dg#?`HwVF6Qh!}Q%bc#>WH2L{4ooVlo0KZFb@MzU#Ay7rF zm$OAr)@b_kV}o9`#S@>nF#Y-U;Bx4=+0d_hvF#v0OjDkU=YcT?i^%bgDX+VZWp6gl zt9l-9{LogNmo25_uwB09AuPQ*DpU#}v-OaKaLX^U;qqP6(*c)SQfE;=8}W35#6od# zp!jFa*o(C6m>Tz%3+p@)A$FbkU(b|KK%h{y zC&-=O<7|}f)^rQSG7D*c7W(3@GRP9X_QkOUPt3e)4%Yshs_B$Fpyfk&?*(s*ODUJt zm;{?E{x`8zu>ntR(w$ffvqB9C@&3#6j2B@6)7(wX$4wHmXf1VXjLZ4hWkoLU9v!f+ ztW~y?Wi%sdg(2@c4nkP2fl&XF zD2m3rGccWuJTrcS`D5^0&CA6K!+b(k*SA@?dAY#`!u*gg<=i8yg4uG@6TM9?qvm1w z7GGI@syW307z)$pn3+)mq>v}5HVC_y#Q zyI@=M>l=!>x2GaXzrBcQ?<%>qCn@RG8EGgnC-Ntm&z$R>CM9!nTU51->fw1_LbcMN z+JJi(5*a2HD`?A5VP*h;{dsl!x$Jc(2_dG(VVM|Rr-2c4x1z9rr7^dP#ko31o0if} zlu3%d*o1KJ>tP)7q*11Fjf20(o>t0Gsl~bC@^%IWZmAQ8Si7l_0wXk7V2WWZb!-x zWx=bdWjPB>5MNR+v#Swih4|%_kHSQ|2cBL$hrIsrmu%R5mD6Yr`Y!3pK0q3-$go|Z ztu-SGr7w+(qbDBk4^IIXQ`b@^rg9uE0+Amv0s}tDz{fm44Ln#DUo)nPy^e-`;G&xS*_a9IG)3j6lCN4 z**Z*OSGC>tg*p(WJ8FXGtFdfnav86|8Q>dVGP}1jPZ`(~PAZcl^dbv(b+IVAJ;twR z*ldYnse+~FTIOx_U3ZRS>pYcLHG-% zCVcM1NPGlVNbF4M4)d1tUxbmpYh;yQ1tLXQCl@T^t5{dSniT}SY_leJ;~JP&dODA52+GCR}O zFl?{HN%PQ+;PNKEe5G7iEItp$Ll`BuK+6G!%H&X0Uo1_DuoGnh$o8h}P3M77BN2u( z=#rI>Ydqx4ci4;FJNE#s{zrL;L+jfi?6p(&bQHBR-dZ|l9Rpy1u;>{x_V8+C8cNey z0#VEH{VA1x8Y_z^2q&T<#Z_)bJ6W(Q=xi;7U!HJzegC|t?Yj!b^Q^ZApOH^gjH(I{ z>rKSl$+Z3F&bkK!T4lW}V_mtYJ;*LL<9k#>1(0Ac`|@0tcaxiV_7mF)#3C;M?VgbD z@LaUkl}syV!hbBdz;q9v0V@35Cg-e3SQeGt;4SWw){VzD!Sb=QnI!$2N3UTGr^k^! znle8Fd#vZ{s2Eu#P+)!7=1Xt3PgZL4$Ik4-&eQ(2RcGtK5V@yyE*|u>YVn8O5N*#? zl{T-#IrLN|Nrsw~pw7d&?pjU3Rv+_5UDk>{J8WlfPodO>mc18hr5Y~rUEt;BFvRLy zP`{UWqDk6zcrXKhC`=LXw(Xax0h<&?m3N}JJz$!+ilTq&c_N@6=gea}-)L5}_KqT? z>m&<#yN8p0mOR>p^B_iqt{n~wsn8w5r`#4xFKC7Ahp)OHJ6@>X`Yr1sC7`AaN$pTW z|olvkZ1V8Rk(7DIs z<8s0?oGPv_xjjPXbjptUaYD5@y`>pzBVDF8?xL#PZB6m@MA;aMok*$h6sl&sUF(g7 zLw|1@6D~)bkfy02EezX}69qP4J?G5rCURFDuNU;SHH+<|S9gq3UYqgcbHRzL&WV&D z_UdUKKz^$h_32e9t&_Tr2eGtwU``zp@6^|&KgMlCO40&W#=?ey6bDD|Vuj7)7nqW{ z0&qQ_bZhZa$*F2}$WC8p+uM|`tN=@`+QsP`kpr5nOLcp@Tp3F0QF=4PN8`S9DlJVn zcqxA1c(nl2D8vIu{)FsvK(rU`4QO#c-1WYORfqU-tn*j&_p#;*)S917*S9^kAp0;? zZV|o*NXpfcPtMsaX9N84oyRM%*dldJX29>E53^rg9#k#SCD@d4*1e{!(E+LJJV;q# z(l8~TUn{jFfF%|t_hGFWy>oSQ8@^QSveT1$SRUa-wQ41-Z%w3aBbRz7wmeyyvD-2aSp0?gFHB=aXSY&6KDi*AVoKdaZld>|~fHlx{C zo%ta=q87ol!Dn)O!rVHKU3~*|2fLkHp{nUUjAZ^WR$UrPv+zC>)Lpu}wYbdD@OXly zOjdW#4eNro_ncGeox*zR$wyPn!R+PA6+{pE^AEjO76Gv$ zmh`-s-oy&ur$;YU_(JlB<}jDKHj;}q=2c-}qfD!fmXF)B6(>uIip)X==DRw+UVt0vk>l@PAlx6jR%WQe^i2QyI= z+dNkUwq_>blM*I^N^x&ZVmU6oV{(qamGMN~DwK;D1kp*yf2mCb4N2!`5r}~LTzk|; zhBP8kho3Z~#uJ3UrG&qo0=nu8P8)>4$SH+HMAPNQwzz+rQ**i-%~sgXF?+Cod;7!YKzF$V|$h$Iz$zKQ5Yy-QO4YhpGj2@ zcv?x+&xbHvgP?M{woI#Oq|Z}bH8LPpvEz#9dhD!9VbE`xLdR;?Vz}c}3P<$;;;A-< zczkojsjZR&aquzd0Vh)4`k;EWZLY0zL#xi4qn#7~2_fSfn6D_; z#n!eK?plOQ!bE_+>@&@Q)=X^-#ETHVUMkPTKq9>^srUu=&bL2)DN;XO-g~o6;?=X! zSu;skNryZ(;0dUH$du6+OojC53qE-5n(GZah|RrGc0*HZRyqT2EBN$-PIcqR@EcUkLk*cYh1F$qmR><2nI?2-cb?m@8I)ZHez9>c@s^2=gTNAF`s z_9qGQ)ZZbQu6=VCMSwI{a?XwL>$}aJ0aUOPuXX*NJM;vZ--KeN{H?Kmz?2iZfN~;D z(T+20BL>Mps|Z&w6a@GLTyXL@ZX*i3Xu@GIn{_8nu>jVC(B!v!s7LFx3+j;%ZK>jW z@F%Ll4eQx}0wIX;qe&H17i)?fHu<%ED-7P)Mp@3*!*Q}Ge-JLuDw9#|N+>SX?~QK_ z)byR>z&`wSmtIjEeX8SIWnrg;YP)1cBv^9u%}KN#@p9NLE5puM+nTUm>LgvO*M>@? z-Esl#?va6_fZCVpv%^i3HdpF3sL}V^b@$Cp=-F_6f6;*rbZO--RLC6@v0>DpG=Ezt z+?JUnH|%wrShUQFn&yf*1z-_28azfAJXF`S=o;fggOWha_-XzIEOyy=<1-8vD`dR! z?yvn#S_M?OQZ_J+pxD;vg3bi{;;^ai*{V}k`t1`nyuhzEUXCHIJ$UtddDHRf35L4a z^k@a0VW0xuE~|0%pI$jJ0Z;*@yni`qGGYsY;e$HUTm3J;O)h5F0%G!?+ z!xAbGu<&Wys3+(~)U(#qxtj?q0z&1vWMfYoJp*y-Uy6%HCQX^3Z@dgm*t_ z!M;e;fh)AvjG5|oYx(hF;>7R8LrUuj^-a#sd(LXJU)5^9fl47m0Z*yAB;BI5@QIMy z-ViF^pT7_uY+Td0D%YAI=T=95)T>jO<}4wd%Ncga2-=Q1&Wv_d)9b#t2)C3Ht*l&| ztRQ%zYAMW7{w`9e$e(QJn~#RyT^9aCe|8~W#E00!&}$eNNA1$_lZSRwBxl({`>cD zmsJu|J)p%4@+=5d*bxjA-5>-CU{xhUH{4c{i1$_ciTx{y~U07V@q}O$Ht}OcsZ-x^{I3i>-itz z6UQDXu>-TE%)L`7$&|Tqm)fW(h*(9l0d(ra(rk33Z&w{#O!k z+n&UqQ{JMC5qAqVa|TU@1WwMHFqTvFXl*cSbZH~!A$C(X9BD}^g(+KFOuoMB6FGiWy_rvPF1~6PwlC9HcfxBzExM zu+-KAKIp0&RAo1z-uj97V+PcfepsqP*p!XExg`)*mTn?*pS`MeprhbS+f;dqxSAZ< zw#fX&e4cz%*Iku&%QKySNo##Mk* zVH@sA2)W@!rH+H$Uf@)L-!+@cUdM_ ztpF?qRn~Y9d6JbAV3kk9N{tF!-w$gAR@*AFzFm{Yhs1!(Fl##~zRpZFJ@#sByjRd+ zUi0{2#lcRgoCZ?%T&$&f3ApMz*zqS?>ow1bwK1~ELHH4WMvMM$tL9Cu%raZVF)8Uu zY(>sz3P_az2b(u*t5H^Wpv9VJYl#|b4pzN}g10@R&93GwZ^Y|rk?riTpD=4}0t-A2#FJicd=?LE zLSAdx)~9}PYQd*TxBBxDRiGVDK#szqh_&1q#3B2H>$+@A7IFG8%Dukq!fvFs;pYsn zyG4pVnM7(p4ecFE6F{%JA%Ki|6Vod(B7()W@CH}&a_>)jh8aOiU-568bNgZ0uV$wZ z?jHI;hzIg=4l^*hR@~-sX9RAaV_dr*(`NZPkQKz#`%k}G_Qqk?pqbb!^B?q(^w9$b z+c4aUKH4%h^;YHb6l=6KqK3kq-6}1-WssPd>8y8k%0v!PY`ko;Ccl;%?bIg@dB)u& z&{D^HI_Wb(#plOFDA+J!_vRW?rA3;FjoW$+w8V`*SBZ17YBK1_5m}Eu&ulWYy`37w zaK4QK@K6mGRoT5}kOv#pBsv7{!Be`Xfk-~=TW}|nMqn1=elGAj>aF-&PN+F|WoHGJp6$Q{*eQ zC5$gLjZKKC6@+iCe=GbTbQLcD8kIac#LXZ)Z>yA_1|`+P?RNY$^Y<(Y^0^^nL_9S$ zV7iRgSb6!5#F`-9%YU592K$#nF7`F(qM%=kP4(9}Xcrw( zpa2n^%@NNn;oHVf{ zacP2fHCqDxqIHvQ3}U)c)uRv_{6=Osp046WY#yA}kgOq|Gc{ z^q5P+N2lV5bn|ULBU1zV%g{@8#SUZdo6O{)EOxk77@-xbBJLxXF`1T|c}rL-IOH|- z8h2`jW#H{wzJQR`(;8>^Z6wUL+d!2BISIlD(tTO*O4<#PW&z?@oPLrL5tM82S$LPU zm(6V4%@ArelJIVFHf0izZ7MR8E<7(#C!p6xhNmXOx#zvF{+U+w=?kIXLM;aNRxf;Z zkfSea7Y!zJJ!%>3BQ&JDEG8%VRzC-a=uI%7F{7;hdCrTaBV)RqBC`1U*J%+g z#MaYrGW-eY#}F~El(_?YJx_={;|V<;wLl(jp0G6~6g4x0&fvUnHQoJ729iwM3(3c_ zshDAZ?8rr@8xdFiq%)$!kDQm(5|E_5*?AEWL=ROy#U6Id%ck0@H2DpU+)ceb%j=25 zeXJWZoTu12657D-2X)<4vB>7M|QQ4(tYz`k;HmENdPa_h?;xV)KdsH|3NKm$A zDg03hAMWq^gZ7J5v-#O^G^Hv=BQZg!pRduj67^+v!V1#KhhR^x`?*n2C~ zKR|BfHGY!luWb$Y#Mcj6)SFp;AAgQgGAQL_Zynm0TO%sgDt5_|`bHp}pQWXik*$gd z4{Z|$v+f>{j^n;`eZjAOs)s8!Ibh9md7dP7R|8$|9NzsH<#Z8&x`H*XyJ6iLlMkg` zp4Q_tY&Cn&VM(h#GtGJAN=ME{7rOxVV89CmDYEx2j(q96M`}9omfE?$3su+n4g*7b zg((6rb*706)FZ6TL|AHqJ|CZHM`R7!JV)6Sg)?T2#pn?;Zj+`T1icAyK8R1v9rU9^ zDM?|aijRE|mn{7PG_kbU{2W5F_0gY3Vn$N(ca4p#2uRpldgHh=1Y3`T1%>t*SpdzZ z8#B{~rsQnHWgg7@V25Y&OuV{LA%i2A#Y0;1xHI2(p1Oa}0~a2)QenqZD*n^;xoCsx z`k2OlrYZ1{gFkEp`hGNDUSAkC0>vOPo4fVIzSN>?Cnd{W(1#yA7m2FN%UiTQ?yqozfAoPWjRKN~qIqbWj_A5nO*uM?{MzN21sqT0c>>NSbyF9JoRJ~HrCW4Q- zfVb+sphb|+&{mKP3SKG%#Td#{Yvm zynBsgA7H+$vDjjyBnCbsRhE2ypVYf!h{A$KOxK@8u1qEVPQH9)THT54wG4VA)9pJ7 zRd_In@9Kx5)K_{={6bjVsl7^k=JT|AOc(cWYZ{5GT7mCk%nuL2+!-z5Uu(&x90FB0 zADfVa1v0V0QeTq!8mV?2A{lz-eX;a+#mybxIo%j3-MEX?=j0XqMeGq7VuulB+msRW zD-eJA^E@$zrqVZ&hq*qT41h`@QB3r#8hCX((!VY+?^EHr?8NLlm{5C!evjNNw%2)! z#d7v>i`Bvk^T$YWtES{*YN())RZ~yWYE?e^5%bf)^?W9JHz{UWPG7?-mX{Q=g0=65 zP*6)8+N>5Zv|`AFvH`M^`%ywv1mzHPICI$6^}M0pC*6ye-=mJ-nJUB1oZt6!>9sBy z@Q-_ZNLWQbqaVV)6B%zwkV2@Af`&F73k=z-0k(5Jm+2(X8NGb76OJ0zw_smcJx11t zJ;zywZ9`(KJldH?RrCx~U&P%j|2>#g|F1mRy>FgTfo&xvA>irihQP|ho^m}&_mGyJ zvS)h@{N9c)_xo;A6M?IuL&WMmfRLi3GS(tMVfBqn5{0yHb9N0Ba>p!Tn*@ zGi18XWSt)?7ua6o%K7V6Yg(QI?!%;0Uch7=%A9N6|0`UcI|L@NCR%-)@vZ>-8ky$L z4U(4Tfhj#3@pTKwJ3?|NPfBq;2}pm*(U+AcB*XvHZf9rDE_BCI=T3im^$+BD$ja}x z0m0xnN6Mmdj!%oYOSB3+wWJu1jzklm{xyfy*ammkX5hTYR|=CgTwMjMb!q~&u=OPz zu+;e)@7#wHkmGtoGM$UgUo2%}OXOr?5wr{iuR0nQU5jIZ>j_zlA?ruPFP_aQzRzFZ zTh;moaT_L$TU}^~p+o)K>VL*N+m>($M!=zag9|y4`T{Fpi>cgVdiDHBAiAd$l};-F zC}+74OCiBoNGZIa^Z%n^80!+}U=V_A(Ad?z?fMG6Y@_Hy_lDLRCt7sp##pPZMtP-Q zt2i2K|3Ys+6l7}XBsjPGeY#Ke3%h+=*V( zf|8(V^%H3GQd_PHo7C){93xfAufV7r&8&bdXGK20hUDGVkK?HsZ@rpM6=t@GNs4kX zn@4g)j=%u{AOA#AC*gRP$R1O_f2is%dNN6J5Q!23<6#`1FjUW9s0R_)@Ocf(q!As^;hKWes8YoAMxUt?plj&yRJ`Grk0N<_ZSUNwX6bf$3q5WSZ z)^z+$4X>M1d{)X@X`v2P#F!yP z{m=Q0HK!bwHj=FwD?pJbq8w$1hOnomV3BnhtB)DsRJ$u!1gJQ}6;v$G$%}gab1~d^ z(7DwZs%eOzrn!tJhh@YUhy2w?_xn`*LsHS9bj`E=I!Ae>;34M!+%sOxQ&j`N3b(UB z?$~W03cHMBH(Q1vx!zH%kU!hwu1RRW|ErRG=%2+F(i|OyZ^f?u8G(P!i5QX=(;06( zu;e1V4a@>^u+`!l3T^;KN)L5ZyT`kZ#oDZ6v!iG!mgYQf#megzW|6(DA0=cUlgq2a zU;eVvzO=G^qd+la>v$35JnZ`*r1?%*OZESnFv2A(Iq^2#c@*|U78(v<7KzVA&KbF| z91nwHO5+Jolj&gpS5yDv!|BuTe{8HOVkjB#Z*F7`c#DXs8Ibws^Jlm{qMqIbGlY^Im}*{q#wjIYlbp>#J)diPxp3f?Q+j;qUFT(EoQ3i^s*19Saf zHV7XjBq1xy8I)@b-k*|2RF@;M=#emb?iU&hD1Dc;>SY?O#MX3EwkS2?sD3#ABbl5` z1s8D*`M>_b5O;c+NmW9_^EC~>Fb$cY5QlSrZG2g6@`t8%o?P|J5BUQvgerf<<6g?B zWrVvhi!&|8g`oGP8@ZCVmGF$tPw9iYvP7PnyWwDDJzg!?+RSFyS7MPXIe3=>B>z9- zK#aSZF;Bl5Y_0UVkjsA`_^y5I*WulY%y}_&j306Z2vs;s+e5fqW^<~ZMog`j>~bNZ z{E1qKA?lxARs$xGA03~47Q zcb~tu9XF~zP!gtKz4O{J;K%-Tb6ozYyZg0U{WPY}q6FUWQC{-qc@l@)ujav&NosZv zEy2#aoV>&QvYUErK2@mW@@=zY+n=e@nu>~Yh48@H%8(MQ=kw8uH=!Q4mgRg3oqkCY zxU%~PIyLzYM^gQ0ZI9jmzI}>55pnv7GR=`3jl2J9=%bNw|7kBillQ!nS*kxyRFq=T zJfHOPvrM#7hi9sEM+7+~80jdPjHM1psszQ#Fk+UO%JW#1ahu4j9K+ zEtNN*Jp~@LW|cT4AgLTPYKjaE9&!KWvipk!y6in~5KRfQ-^yxmK(x^5GzZ;N+^adtYjZO?TMfOYxLUU-TA4cER%lUVlM0IcJLpM>C4{LD<9(3;MqYj=PHYum zPuRda*G7K%AV$-Q3Sti3Y$6<7&O)RAvo(gDOfE4LQeL-oiNfQ0dHEivMJZ{?KM)mr zXO}|-jX|5k`{3Ug_ls8xqk37GU9xMXCNakFB*0y`&}vgvw=#?ur%T?Tk?nV2H~$D z6nHa`@FH(R_LN3A-K$jLwP6t8S zckSueqJ+KY>GX1E4M)l-?qtRP2CInR<{cGH?#Odp2lAQ5c~1l(oUm^_Kp#VjY);$$ zPHvL&+DSe-FGJ-#b7Rc;zpaqwcaRWq^uI75*ECrmq-DXIj&x77;o=)M|4l&Uv4lri zQFMc+Z#WT?@_#T)ZR}(I3qx>My!AgYa7+DP7+7uRO0pH zmu8c_rDq<7q8xweK*`h!Wr|BFR`QK7n9hRUvpVh2A2k4av3D&|{u{Lv%m33sFXPD^ zwej9zqjZ-@y4((*e_?oJLCR89j9GO6FCe=kgCpZT%pK{$|Ml!`2XVoogYZvZEU47z z!g+&$kjX@3fVNa|AD{+7CshKkh4`OyZ-_Fn@-n(M+G0EkSz!-?a?+IKs`nr0|BtKxfNJV_{(xao z5fK4FdX+96={*8M07Z)QUPG7On~DO0fb`x$N+{Ahh)5^&&>{3*q=Z01k~e;T|Mz{K z^PZfuXS2!PyE8lUnVG$Nch;tTsdA&4MDF0Y9@F!uStRK?680>Iit8@}ttGcqdArTG zQev+j?q;eK&i|KVeT~yy`J6PO@t7tO9P4e}Kk69`dkFnrGmW82?-OZL-3~BKrLj!o zxYx4yGWyORoCm6+Q$euzUq8mu`CUh&e*z^+BLf9{$PNihrkzMEFa70%axjx`J~+zq zy$g)P`#*=^|LK@xATQR7(|Z<9(ZQHrZG{gNT<&0bp?3^LcK+WO z$N17sc2h=0HXIW6Sr&sszyT!pmUzWwBJko#_)-QuHmyG}_KL0r;{9{+6f~;D{!gq* zKlA^)k(KcC5`SGw%LBsYih5mrYNDqiqjn{xuFv$cViNLy{68Z*25O@M$p3Ml=F{() zn+5zDM`L);XhHQr`b!|Qi~#`WB5;;mKG9!+AA$*Q3RVx+)Y#XpPTY8 z$e;NS#}?f-9R4#QP;zT(KHwMPN(TZfJ@feoyo&u8vpYIk* z|IbW4WxbN>>$FqHa|Elm#_6mK%)j{05Bkr%?aNks`10e7;zy6SU(P{?9wdKWB4{Lz zN+13>d87WV&6##Pe0cqlD$ZlaY5i9F>rXU3bLTa?AA}hm9T`hs``^0(uS6u4cRIIz zZ2L;qva8J6Xr`(5t!HNBSBb68T~U>fjyU$f{|D(SQp0Dxd%OFTI61d8Cf@jk%e2|= ztJv_-T-B~6B>wM8ZY{G?3XMcQuMGb^i68&88UN(pBFV@9f%_?T9OaR>54i1rgu(4u z=ncEUpaEDS*P~Pv^z8C-9TgVBEdPg;c`odpUS-f?Xzspzbr%*jej0||AcbL15zY|v z>BxBQl}Pv@HW-U)PpjEOcxtw%A=%KE9?R1STc|&P&d`f7qmPfx;P+V=IA7*AkkEAUgn zvi3i|qu8#4A7}VJe)CuYWIt*F)4%u^3tSJwq)F@dnS+z{zP4INqFOOe-=g1#pufvE z(4E*U!h^W6NQi+TtP8b1Zh_s31YrMePMsak!L)*pL;3%9VK-5*Fw}XwTiEr*Vf&n0 zmq|}3^0>Xm9CHL_#@w!C)mYqKl6ITypOdV~1vD`VtOt-Y9e446nX{bz#D1IPx`|re zLt%lCYf?byMU|GVY$lj#=XmZ?2L9c#s#<=kBi|Jq4AH-#*$p8lI74XIcA<><>LUgN zEdsd+-wCBnpUcd3t!~IwIjQwXR9gPrf_1cD{+`@E`*N`ZcK*POdDCr++Ac|J>Aybt zfL=EYx-N5nEOn-(Xg|3o{?y`T|4~jK>+{F%69e-Xot;1 zy}dpYJqN^V!BtZV=~SaSlM;!-sZ5{c=~&MFC)kEijNwvdWvn-!L0ZMvpqpyWME$M| z%VQfyt^H!c^c^CFR@-UyVkq?2@IKMWTXAdo*~vU|zuK$m1FFTyCeXtbgh}~J{E{Sw z7Xq=xCf7S)w{BGHA7B=uoo=s&D;AIo3q|C%iw6dL5$T5Ne$+vRuqU?Vn~6_xdCe(M z(f zLT{`^3<|!D2#^=sh-8+K7&#b}AvU>q6JWj);*)FyVos88$+xCq{f~aN6{Yv3e?M$SlC@O9R0MvqV-Z<$1*c^W2~AZ&~-#h6#Knf0ymXE7nfMeU(fy<1O%4=Na`IU`6aqQ0+@rXLm_we&xAYk1nm0p8K<5vY# z7ihqZdre47e1L{I(M?~xkI7BppmWXOFbnNlR$si+_jh$*f4_XF!OYThU*RnfES=~I zuymiXI3nu;GsL<^Gfl&!--fldaUq!+te@LULsll};%1BOq2KjP{P+#ckUqa)fDqZ9 za;DO{tP>yaR2Fw)vT*ZsWJ^CrT!_jJRCk3vrBg$`_Go!7&l#H8InBqO^Mgk*vRn^% z__~d5Ax18L(sc*L;OQD#lFKVmBWAfUde+CR4+Lry*4*4Rcx_Z(qN6DiQhTgX#W8;i zec)Ol=e%ez{49f!)TeR=!Jhf`HrJ1pr?q?L6@e~JsT`$d5?-eq;H?cU09elGw zasjqx-*$%)tiQ~@SEE1MLeX0b$$H1wA6b#49A$OzcoSOAc`0fu*PG)&Ip@Ujcg?u5 zz-%|)qAcgV{P0~n$mdj}*_i-GCoq5c(=c`p2Vtod@t&33RS81{FWXE0&$+!-9tIe} zvS*;T(lMRwj!aXQJJFwc%=d-Vy6HBd;wlM+Ca!J>yCAnhTn5Qliuc_9ZBwZ&^--e2 zkkBU16Z5ZkYpaB_OiIPXLV2ADat)xtnZl=*x7QFEu>ba8FluEfFBrW7DnoD|t9EuQ ziF|8nOEg7{1A@*rQr>XSH3T;#^^fFXgG)PgyWPBK{l%8eMnYLcd^2-$y~BonkRM+N z%vkieF^|V%KZcoZ`kPIgC!oc!4RmrL_hr^GMe}TxG zT$;mPpD*QMeU>(C+8QG>PuIsV4q}}Mi@vbCY8%!*fia3h}0Bwzr${~dlktm0u zcfM0$B)A?CVMmslSxhW-jC(8WK{5k@!-!2ugaqTt$n=5`Vgm(p< zdHTI{?yBXi7v~N^gBl~+(>g*B$irqoKmh85!!^G%=nU=$C`nmwXAZqx0K36LE-u=e z1w+p~(Pvj_r=jqT?bSS5N8*Qw2BueL#`0~+p5v#*RZe1@C)8abe$B>9ai4DmS0nY@ zdII+iz@q`S*WoGzGpJ-EQ69a#8xKQ%MSTaX^y;vm4^fn zKe;b-o>;rO9FX~ocxIjjC`VUUFy5ix0Hu@r@uqq z_*r3-0GPkmJAU1#e%Q+}*q?Uv=|EWE#kgC4$SRiX9tIsb(2hOt-Owyw>GNl{cJ>3@ zZe~?gmr_}G2T`A{ereY~`2DW427MAaAmNrLhr&c+>$j~@02WMsCCihH3gdssg*JJ~9 ztTpq@EZ)l}u7^17(d~8Lp{9AqA|%@6EH6&aWu&QHA1wCCEf?L_T=QI-q7rje6MC^y zgYE4`X?A0>U>H>Q&7nmY0;gkH78oRY$p&Puegb6byG$z&;Z12^=oqP5M)d24Ud%D0 z;r3zhGq+XjHFNxzW=KPy)RK0>t`lBNNu@v!ytU#GZV`&G)*~KDqrcJi`lcgRK7i8E ze|;=-#85UT7^*+Xfj~jOI+@6tg5AO{j<(Y*ZqMy0O)s3Vem?q*sD;-R$$qZ*ox6c) zr+%Z@xx4a4#NpnUefL>C&DJXSlU(@I^>1RU5EO+o8{=uJUU{Xss?CPZJA;&(h-v-p zonj3iv7A#s=g0NOfo3@yUDXbqyf$!5Q|zTFT67A)_^aU)S?@z<+16L5D=y%50cYRH z0-7Ur^bY<_tJlPX2|z|e|1 z(_ZuQ$Mex?mX2Vts5OJruv;j%uN+zMuORbHg|rVfd#4}8`wcbknHB_}-QM@S&3;c| zzsv(#WgBvgvcMkPH2Vnx(8#6sJSg2##TDgfwy)dwo$Islv#zS9pMeVIn@N}J_JUpL zt6g}0?yO<(aeJP7tWTi)?O)jTfL>?!3ZR-w01(s^-@vosIUVgtiRBA44Z*C?v zoo_Rnm3Adc*>XHC)3AUZ7ce14R|tDZ>V_G}@^V3 zgI`j!wJr`S%Q94_-;Dmq7?c$rX40{(G6l_g_GZQ^wt4_mDot6dOs$(dzczS&T^P30 z8L^XpE<;4Q|k_fKf1_EQQjedjW$4`;ibTiV5Y|VPL((xMvxA26|hCbA4?93sS%)up5mC$F2@m-3{!8Y?uRn6K_?6_|% za@Qcvx(Uuh0^`aW1>Uqz_rz%24ym13>|fOmGX1U^tV`MDOjcE#pPF8))$$!oOihz)x(eC}W7GM5ABq+a2}dLhj0hum_BX zQQx-b8Rpul^54)cqB`hVzJHt8>TS!VLFS3uq(;(FQgf)voL6@ZB9k<2 z>7x1zMLu(iaS18;LUexn=D(4Lb(@=D>|z?)Gb_2O<+}!XWI3v$s+n!Q)q3Xf(r`;x ze!rSu#*zp^{<;8UqopV>r%9#TUIg9kSRkdZ>F+wzowF6MK$lmun5stinD*N7aj3;u zdESv%$o2TH*@%$)sXR%#)lJ-$=|84`ULySo7ri4Zb)$Z6fO_qYtctxo+_cpKJA@sq zTXzpV4JwaFi{(lYq#rj7onmgd^-hTI9U34!4=QBL>W`nNADokmckCHzjtQGY?fy+| zczJf3?sXJTQfiOw_(Oekx*yGDZ*fYELcCV3;UQ&TcMhx#oj~B;%ZmRF0OlgGnUebt zDH9VHyXR)q&Y<1Y8OztKfb28iymt5cSs?&u5Gw53AJbf8dhEQ~71~(ij~a${Z5;C& zpxT;i%#KESHZPn2eKm{ME!3=4AvxELdm9Ez_@{WAb0+6!$DL9gKxWuQ_#ed2@DPqR zWZzl$0;&D20P+t8CE@c;43qjyM3^ z3X4*^H#di|UgzwV+cn%Vyxn{;FS};svxCc*!h+;a&j`0KMhUkrmPg8-MJYDX!6NuvC(mbjSM3>8;{|4X84Kyn_2BLcVLceoekz7q* z)Ktg<1Zr=K0S-5C9_E;&_@{p#j8lW+>!e>^9q*2(KMzyA5FGo2k;=~P_K?Q1?lDiU z%UK-JuPgwjC^g5rCOgqq`xd7;Q`oC!4HnX_(7p3p+pG8EFv{Gf)qLAvlao{GidkZd zO+?hYoybx7qIEXO;4eyp;aR`>fgKyD$RFpuqgEX%_7<+gB>r&!&Vw5Bu+D=tjL#O^ zAN$TLQZrJ!E6a=cl7Q}R)nFre(@pwINO_Zg4|i8>+jYPD*P3+-iv~AQdu)_}tTalc zeO{A9Z#V4u9u`wzup0)%f(P0YcP#es&|cR+?+$f&bB7ULqCYMy?y`1b;L=7f!}4YU z+@VpYAym^y(iFkFT%pv#*!)BO(bXR@%!>wDb@$9=&1;b0Ig6KAC!vtb0t{565OZ>t zUz`F6>_2B*6+~v$ncUuioq3%XNuk+7%Wg$YZ)h*)jOy6@wSH6EUyNL z-eR_oZeMS1NZ|5h@;!kSM~`u=LK{Lbj>Y{B=;BJnfhNHa52Uv`4v6=knP5WegZDgAi=*!K9> z&4Fyu>{k#o5_ zLJZvTq6n^J&Irm6i}`cannnjyxKU!lM&r_f_?Z7K$7jO!F}PYpyhACX;*_ibje_x! zgjX-x>j8pjje$s9PP1>P%udskE09pt-zKAeF&4MZ;4nh9>oUAE?dXB&s1}UhAfEpK z9PoPuxz5DwjcGrye@=)77~T5%#jk}mGkXWCZx_N2-0FkSjKjMdmY5txb&_}kRCF^= zX{T0YT&`-Rf1d6!M-PBQ`j!l;uM~bcaH;r8)-VGNyH(YNyXGQ&Fr$aP9@lW<8->{# ztI*KUvX-uO`0n1YX8)M~*;NWS1hO<&b4BT9(Yk2R5wh)Mfw*dn36b&-Hvg*v)A0!@ z?L%W+4o7wg%)0G;zt@QLpjy9Q6k6=;0&^g+anic3p!s!_5+ho_yAzwoymjL~?;1M( z=$-F&FY@nALF@TCy0t+J!_wtP@Ee8reTMEhI@`V1a+w#tblq|^&J=%wPGy`zSol(V zOflC_GhZkJHni2Ian*RqeNwM{jOB&MY`nkj)Fm_CWc>B2LrZAN`G|Q~l~Cx>v4qI5 zgaZ&`vL?XzUwn!Ku?MZWk8KleI+)!@xAOM+p3y3V`IMTH?`98^D z<^sa_UNnN#_xa+j;}+3dq$@@lsnohhAj?gNRPsQQm0~8dF%tqvGEMc&(D`wpy57T8 z$(5v00IB2g>FqO^Ac-UV-EL^Xw}6LTetS$h+a^h;29XU2SQ~XR85j8(Jan;cRFEVi zX^#2nV)qa4RSXr#&;JgpHy1_;)wIP&J7bInUZK9+H$|rXq&AS>c=4RDr8(ARayCt(0)Cvl?1x6nsA-govI5k@Rlab*lfIX(l%ZRj6xrM|1g4T{+SiRcgJ6! z+&v?VP-Z?YD~XF7UW1^HM8t&t)&SHmUHBa#n9TqJMVuV8r8rUUIT8wEksU<-VL3*} zH@P%PQCQR@DbmS%hv6pzaqzX@)g+yKn{mEh?eo)(=d1sH6!ijL9@Drw>uP7{Pq+I9 zn9S72@nP>-7(WYJFvx3Jl>jH7XmAe7gT zLs-OF)^_*>01|_Bl*W3sTr6=k z2ePvXfpZq=nO;<&=N?7Cy!KY+-A+{TiZ$#KD-YnVWN%BIvu{ zD!8+3SA{$P_lNsIf>e#2*u^djVMm87%hMmfR*7hSEF4}2zZ5p*5X@f)s(oJC2{S#G zD=w5$e)lGsyX!+aNB!J>Ys&pqwg5cHDpKfDmBLBNL49)@v-%XI__1U5g)}k5&);PiA zW>G738-vx}1YWQZ@4kG78Rn zPM(QO@D3N}^gjTlG$tW?ca3RKdWN%1HLX-pm38N%`1=7Gvz1)J<1hSV;7kB_&egp1 z?(C-S?9J}2J1yCPIH9UrDo5OKX;yRj9iTTR#lr4{l+GBEIc0DS;wN=(-O2w{NtNDk zihq|bB6V$eUqR2&~P>+)!h;Z9ea>a0sw_Bofx8x{11-!<#{Re6DnX`|Y}0r$ z>%OvW*|m#py4aC@FP=fCkC2~9hm;rY*$#%pSD$=dVHB&s934buz!|Xc%hnn;d97-3 zi?OsM+KQVN!AwT(=MAwmjsF|)V;>TEf9m^UklGW1yxceQ(^M?XpGQd;&sY8=*av>D zdnq5NH&XHQ;yM08Z4gP(2sNbcNEydM-Zp!-4<}Oh3SX&2_Gdqdt@Fo*Ad+h_N5OLe%iA9_QMImll)f?R)D`9yvlM| z`5Q(w?Gl17E8crCJt^n#3xS4&uz628Uu7IJ-3Dm@7Jl~IAovw8Nv2eJl{<-DEof$Q_6?x zF;7INssuds`I;6xjV^nV>}&67u`_p8L`v{h6NeP>mzp*YaUf9iu!z|D3d_JF{R}@$FRum zu-YE{@`4j@g;xh8k^mARj(7){*Jc(s0_H0CAMAFm^v{9QD%q>}J}p0z$k+Nk1rsWbRAe3G62wcJu6>!5(shsy5+jS4wh^yjV1 zd`b8M&m*JjkW!!R;9dj;;yxRhla6VJ{PA7Qve%fudrOyby7e6*@rnmAlYwt+$TNB? z_a3Jga{8*XJY}dLv?Zy(&bs={I0Y+ywC_qnIOHtNUYcMknz_hg!kJAl;u0nt{IFRzj+SYuDgKTrA%z&r696ez{@L zn26L&V!e>pr0R+6p68`OI{r$LP@yxDt=K;q0=vfZmJIWm9%c=vE8o-dHh7*NBnFeU z){SRl0)fA1IAwGHGncB)*aRY-Fk&te()d z+LV!YgAWhcC1ldd#7~BlC*V^0^qVxl7n&dUm|@q2c`Czb(wL!bg&_VRH2ffP!KwS# z;ICtzUeDLzU16&GsDZ~yUqwa5_JCX;jSDlHMO?4_r>50Wx`{p+{TEz!i7>H^SrV)?UWzN{I~xzCR#=va$OWQ_Ls z9L@Qf%OD@WCbJEI^DDQCzH8v@o3Fl|;WO2eP5KE|uGEpT)RE%U5s;z9y!fH#fWr3X z2O($nAqD58(aNGn_ybyxa+>UYS)4_mA2GR31C`{BeiF64VjJzfF}aIe`f=0#$jQ^) zw!laYTInc~enebk^*qT8MljOBt8q|vr1yqpKS$P5u`B&9`;5)Z6q*rabiOQ@8zn9% z(+L`ODP8*f5PxA`)N8dX$}N*9MIw-ug>XGEt${r}@j{Dw7|g#HOoX;b!LITZry@&A??V}a}#2e*=~dPve~z2C1kRm3e~$3-1vJsdgc zK<7h-a5a;XQ@Y=k4v4+nfrIu3?RiyHNP>y=169~7$)5{NM=!kk=w69R$3IM2XcRJ8 zqWR1Dn;!^!6^GMp-c!2&1U%XNN#GyJL)-;R@#3tlnDK2Yu!*I>pE-&-heMootSVl-v2+;pDMOL@ysk zM_u>jz3LaUlJ2nyPjk(+86`;S8+eCvqn}s5$X%{j%*`+YQVNrkH}-!h8kYB4)0zu2 zs=qox|Ljs|^kd$Ms;$%hqE;`(Zty-`vf?{RU!ZSz*iLsuZj?-$UQcxv`K$;sv{G%gghN;IUgFGC6M~)hH&66TR^U@b`us2Tg{5ox^E}(_Nh>+xY`8#O40O% z^~d&BPO459OvZlR$`5#z;;9@1#^GCrkFL~c8=h0m<-wqqQ$G$jw#6%_b zP+S^y4Q;)AA$elduM6Mtan%)a6za7#1*DfmKB_m?11k|#o2TYftsvdX8&?XE=sh7Y zkkLT!%L2-I+cS0VBaG31a*Df>)f>_Q%{@H@^QUH3}NGj+;Py zF+wT2P9R!!j$*iqd>{T0-$NaR);Y{P2+30-aNr#SA*pPb;Gr=%2w&44&<*$)8v+2epBJB4Kb1@B z^?0n}u;c+W&7@;z$5lU>NXOgX+dsLx zw8eAUTfA5A{x3d#^o%mT#2m$61Vv4&3`A%IU#IHe|2p_i-ud7E&tH|cQtfJAF&Q17 zjsWqtg9O5P=Zwuxn;qc#3qiE7pITNk3GAq&aB`~PY=t?Pgt&KgC{q1-<_v_QowK3Y6g3kzTlLXkpscKYtD{4g;7AGOA@#9bXNSTu@;>OqLGR~?V40~}uK%Z^a_VsnGBUC7BZs%CqP>mxyko+L zr%H%?_mw4f2wKU1SDRYy91J%SFfcL8%Xvd1aC48RQ z4sPuETUVQZM8nxW-8MM+s|657#Epqd%mEz|1xG6VHl~555Y-h)8FhtONkal(ZY}3d zU#tbIN-+Y-O0>`2sn^_~-)2JK9< z>g;80b2EU(m~AoL2g5eBSoyi-UArcUQVS zPQnmlXrus$Pxe`b`yyzR($BWY0+wJS}a{HGb2d39q5;6d z)ioKjYBDaHA9;Q>J2Kz1hK7dz0nw=2`g$~p4;dUhj$gcy1FU*!izZ{a+k_mL4oTsW_m459clTw+c#Kvy$?VW%vUE%&L^Xdh}Rr~82H z#S(YomajBpL#yX8g&N(xuE2-&sr0haoWbz5wR!GD2Kf&p2I1m?_RaB%>6FAn1!Ra= z23<`R1`rtixm2~xY=N8H7iS*e*$@AjhiLNpoL1GJ{OXfBTK{TnYut&wm2!6a*POpo ztV>mCpkf)lrb2YSI5M0?t(8Ry{LXPHxZ;If=CvAH=tOak*5{T<>iuUBjD(qr--e38 zQ6YJGW1bs&>3v0A@z(b;BNORMZ0C{7r{$~pYBfAEqfV6kBzL4!a7$l6OVI3OmdosG za!rK@a!2mjW{8>&1G8R)j)Ig`Wnqayso@YJxx`6OecsVir(|49z#uO%N1t5QiMKP- zXk=wHGxq>zQ+*XaycZEYgDPxaA`()jVFa#tu5liPdI|<#%OBVbk~BI9c`0X!QML&2 z8q2l0&c0fAiKxoFLgMM}N<|tu7vPKOOqM$=&qBKV&3i9!yH!a<-s~Y$7PG24iLyrQpbIQLmskfWKtG^568z-{u!}m$YXutj(*Meat-h{lI`kzvB)1s zxQKNs`d2n&k)-@e2}RwH zHXHKO>J<7bbhw|gGr40|I=8&{zUM$IDd+9QYO4XfkZ;WAi4TG?$v1X=43s}dF~(V-*ayC2>Wqo;PvIay1IY zmpyf>l)gOj+-U*~e3>skS1*YqzaQrfy!%BBqOXv4ObLt+qE>fHhJy6B zwIPMiM%5;NG%H3|nv-+;Df;pm)Hq0u`hH|DpL#?6M)7>Ba*1BIp7WKgpW1dLK?cxe%z4wKnCIdgxepIOFZrERKlv{F7OkD`-ekPXvNs1 zlXWS4k4ZT6ygV{(5OoU+3`zA;AUCf|ae^ADpses_sW&qWd;^jR=PA3Ue&vLM#)88w^xG)W<^>-`%zs$2IdQluP!rR@=mW>&Y@snrABKoO$}&l7U)a4+!*@B=(*izpH#vNuf&= zotic7*bQW|so3env0~XmUu%nTOW~yPimr(07*bYxbh1ESKqRXhO!^jFl!NEtJ7fAI zm&wlaP1J+JSC29gyAg%$G9=vfpO&BRSI%?^jWEqYr5M{v1r!dRpT^$*-7)=Gr*4Ue zN0Znpm9YTjm8jI7NUErg^8vn!ESO%>pG;OhPntRy-090UYmN3)V6mwb1uV1JI9hkb=mpiDKbeeL9ccLIkSV-km zaAIuyg+!&rONoWH#x{+&bJcfN@WpWHW+t6mK(3391@<$`d~X(&C;#wiw*8qab4=yw zQZcUAH50pcp~9{Ccbq>h=@DtEk^W{pC}2uTr%L$0wTLPkMq<;^u?65zF4OCukcYy&s;Hck2Prj?zg@&yGJJrz*i5zm zNXs0^L!HOZ{E>Kr8S)pxxZh3)^n~YdJta9D-aZ=zp z2mF5NF)jvof1{!$L)|+XC=fBZrzNwbSP{)p_GK%SbT<@!cUvW1MfRiOsIPhWq`vm- z+x%jk^`K}W-bLBA_@E`)r=C4;^N)%jN$j~}773GQ&bBgfAX=&vGLDYzZhe7p!?oK5(wG2^WPH0N)ax+mZ|9h%ERyDh|o898tl5RUi|lhAA%Ti?h^W3)yud?aEy9TtZ!Sb5aE_4_NMZWjn*Y>LCUr#>1BUE!p8Vg7Aza z#}oDFo%=Ei(VW*hkkn^kNtvgILcp2@U)2*&cww(igd<6M(KkKE2lbSOran>?qVzOb z1+cx{SC`-2>lv%t81&lmJ`(YmiyYY$cbFJ=d+$g87`GP=fTZfVS&vnRgHE;G#T;O{ zSD}*(K}zw3+cfNTt&&R!Hcl~KYUc;uJmqD_)EuHR@z&XIy)tll_kc-|tK+Y+Gj1*=eK;tg7m`8taK+Bb zOD(I^qlu*Vgsw~}w8|?(^kgepy*|XPC-tenJ0``t+Z0MpiRuf>D-xm)xLJgiYINkc zbmNg-0lMRT-z)7XpMfAR2Paiml-Yp0xK#lLV#b8e3(EH<7>OBc<{x*irWSc9_>7W) zsAFf$L`4NRUI_b#^}YlrR%$YVj6TXb42X-`uNqQFFM`!4FO!0}ffi=NhfP!dq!% zj>Nf9Zhez2g$vO9(7sLFo0xQuRdAL9HI@lC-VA>*SFPCO3q4uPt~Om6*A#fx#z3J{ z^@wJOUbNlt%NlFBff$k3-39TdS100Tq^?Cikr59wm&)GyHazh8yyxpagI7zw^K^*b zTO}$by&&N+Q=<$w!u-`s?nKaqN+etXL^5U(V=JUnrLK?iDZ>3Ek) zws-*t&#G#Jxr0FOs%oyegF74|ta0O2J`8zZl08j48)3`uY%HceHo_9Y=!0DDm<4F4 zkLFO{krVTTtF6yCZiWrbCU$ZQtRrSHFLcnc)u(f7tVYLQ>xp=Jp>DL*anZ50?fJr{ z0G>Xm<$m>p*S_0SK~7o<3s6fB&DhkHYrf(^PKo{|7aOw`0;Qw<4#;4ik)1uZHNQBs z{Fzd-p6^v&dJ?m;RqoS$%ZKt}#*eDa`gV-tPNXe&40C|Bt*Q6+-b>ZC8R8npD08Z} z|3u&}`w3X;EY%YavT8A>Dmt`#0gBw&pZHdvPqp%tYVuV(EG9-p{jGS*~pa6v(3AY;^(BkrL`j>gsPv5fXFN+?xOdgJoq z-;g}*?NCDQOfcwtIe|jQ_H}=1 zJt$;0{z_LPkH|LSWZVi;VsjkM_acpoVX<-9HKM@QD*>(snoS}D>d$^(P=)xsQ(8 zZ=Y>C<%&Y1q1Y+$pqtsIbfUtbrKR*K@u-FiqCXuIAL`m3D1#&$c*^V4Mshq7CX1%3 zKL=6=;>&g5kJ}46Sc4E zIacInNSB6rPn;)vDA$v5GRKq7}9F3hx5@f1Jo`lO_P z;U`v1o(*ghud8T()`l2(#4Uc<_NY0Q*Ek1)GkvZq4LscXC40v0t209tN2}(XAuu40 z9}ZqV$-$am`yAu?h7JxQg}^R_%MR~FV}_hlcjYA}i7H?mUyN*0o{y)~*LXLRQ{Uec zXY;O?>P|PeW*nPk+c^*Sc`a3&Zi3s-thsdv@90N$~FU8!h zXZvF_MNyrVr1VROks{Dr8@=AxTQk4HCOB1yM19jx2=VmjPytfoU3y6zPu>)9)PcmZ zSok=}_Pe%4PJ8{G*a+|N13yEn+=>90zbl)N$ZK5C2Dz6!I^ovhYT+A!IeC{&P2o~a zi?$Q_)GXy6AKfe^nFWuqu+q=smV_=;BCxr?oB$g?EhZ#Q`5geP1U|4RA-~<4l-I>^ ztGeHF*X4@GBnH3aA4d<;n6Z=bt5;OYFE}s1o8F&H*2dvlRzSoCE3Iwbo29SQcvUEd z>QF~H{r#kn-Oz4@s!(vo*{@OS;zH@91$>rF-oOSWiOZ~=iZ5M5ds-pV%sYf|nalQK zAsc6)s0K$o@c%>DcR)3<{oP*mT9KlNNR5huh=_ElQBaT~h*W72kRrWzl6wV2X%UcK zf)wc;1ZhzbLXU{_5+Oh+0SqA_A?*#``~SYz)_ZHQhRNh)&dm9py?=Yo%*v_ zDHbfZ8+J_=&M#i(!+%ip?5|2`Mn$e@J{=(Nrt&{X)HS<)%JdBhOZ0 z*J^#leYN_tnTl57SYp$owm84s$!Xr$st7qn&)bdH#9j0z2Z}C^xp#!MJ*v;BsMU^Exp^nP*r zcqSj>wkEn!*w+F)?e}w`U$U!Pckhy)ceRU8(hW(6D|&%RH;$ro?o7qwfg8;p!$YL4 zvmM%Z2LMN-Z@1r?WJkIQ@X9HA`8{y)3lyn+^Fnm*G|%IaEm!c>5~Wt>%s1Bsa@;FV zI`F)VOI=A`{IqV~E|N{4s@PXrAbI5m*D7O=ZYZ91_RBcpp#VfU-tf4G6LGk?%*z&U zG_-2u?RP~?Z_w5BS60ww%!=Cg=KJLg3H}c4{SUngM=UQQuRR%a{wy|}g;My|{^-Xn z?(1}ri_zne*YcAk3Fcv}G5x;hft%%=Q#BfO8vZF=JLMlu#kbb{ zf0ZUah2>`<3yYEPw20U+nDiWjaK4T_d0^r`mcZ0NJ%yU#xa&|yD9j8 zdw;vhRe*0vf|K{$?)!Ij4e<5MtjPX7h~2mg7twp~jh zg~(9d2N_b#^SGLj#)%Hn4bC#xEC#Jq*TOT~OxvV7@2C2p@67<`9?iG?V+{*GF1(o6 zXp+Uw<%iZ&ZaL&+ugLPQtgiR==Y}1hyEF6<12g|iuf{8vB3rzek5^Tdt;4ineV@~AnQ8i^ zJ_25DC@6BR<{9WH$TIzTi;>!P0v(+@olS*QKGy$*MhP~L=u&% zcm@3|(YIGlhzI=OPE>m3Xr$`X7jj_Y<}B$e=~FwL?y9+O~`^x zxdL1&0L(O?7M)SmEz>Zr&hzYArd@=cz!Q0qLm$2Gvn2|+$VzW)0%+MRgQi2jFgRceXib|GJTh)u3hrhn0i)~m;nrf z)wom|G$c4hs@o~v!@9VbR&G5x-&TRK$Te++?Uc&AtzR=!JZt%ZPqg7fp#95{!3RzK zmK>QrcOy3<_vLdk<+<~3weg=ei<~(+FkF|psi!>f@>RnNeQ3skcSg`eKsSCH7)ZpsYR!w z9Fo$E{7%DPwyBcNXFp7P$|tXF4VZ>$Y6$mA3OxMa<$KY!xk7Ly-^HqQP%%o$;iIy4 zY{byD^L~D2&l9)0+($0BzMOVfYSr9by_X%d`l+za&%)*rFlc`KehNRebZ}l|;K)a! zOu{)qOPiccr}H~57EXFjH&@RT80$2BJiogdyB;AOqoe}gZC|qcP?^zHXrxmWK@0-& z;B@WS-^j}AEorhEce4Y!Nf$!yl8QF%JXe$E&jo&4snn3WuCpo{H&Ka`qgBR5>E|t+ zZxVbrh@WoQ8c-{0E?*N;)F1wfd6_@;?E|x+VtvzbIpnpz^*mb+gWPJ5Ne_{j5c@0H ziKk9#nnCSheRM;R+Q zg(q_lT(0rHwaFPMy@2~ZSNgcnEyc0ryj6-@fc$jn!OeoVfu;_D!Xb_R6YH+}t43>{ z=j5}eAd-8)-SNpMN0Z%BvNW-`%qNU@k6Bb>3QFE_`gQH!_rF%=Q$5s8eer&E(b`7H z(aVplfct4_aFg3Hg;Z}NuH%I-s1*z2IQs;U{*E#41K&cUTpm5H{I2>&uvNm+M(DY4 zuY+v9kxreGfmcIb;dY1T_k`1}hAZcaeBL|n@vwW!>5Ypj`sGxUjlI>*0h&E$YHIe# zw7Vk1C`Y8x*}g|9Su--(zF|v#`8H%^_M6k3Td4anIY5X+FXhqRwIn?<@8OuTW(V5T zr19fN-3PXQCsvc7PekR05}V$vG~$e`p1E;Ej|-=&HX$=AG{q8?9>4kVwANN@D z)sfc<4UwOlFA^ImgWZ*X26`DG!sm=H8whYXW zG4+*Ok(Xt&QuUtsnaMLa2I}{76MM@sQ^r|wg6t#jfnl?T9#>gK#yW6Me~xDQ$IxA! zCfy*HM^B|nhx4O8I!LaDSlF5QA84l|!2J`(%6*bmn9sMTB&XFg6w;Qfb+?5+IJE~cBz8X3+QLBCIdR%i?)#gMEy)|i`W~s`u(f*t<3E54O*Ep%-9Dz#xvyE{(aOr zM(Lx)^Thgtbfo1gf8pJ>;nm{V+u?Er37=HD3rL>!AB>{6WKMX>9clbte4#v~IcGKO zRDN52e%M$BzPe=6z~TA?`A^@wbEKn;mjlbw)nGHnYdj|95{fD?(vLxLMP=Bbt1`D? zn!C(n+g`PS_nq}05i=AnJHo$nv^sedUL%IQyxiJnSAUNq{N>GaGh-LHMb^HR6%}mh zC~CPG`0ZrHHJ1lhRX^Tp$U<+PPYd$YU%3U1VtRAYAIwi@z-F+b5{BT2j z^Vrzv)))7>f{6HPkH+)758G>h5Y(UY5fHt5} z8l)6krfWW@S~W;bs9n|0tnNOaeTjEk`jugVk^8-A9Pgbpj*(RZF`0UkiRxHjaw%YR zYI$1rZSM;-Ft-%3H&DR$E+0-wu@smw#VO&Kv^Fm;IlQvKEhV&Bs99nL)mwiEC!pC- zC2abcR6i>^{(EXEf8MtoW`I<_p#2;}i*9&ykQbpQzq_S+6;*pW3@> zX^>UDyabR4fA#WTH-sExtCuG~p8PNc4|rv8wb_vNe)jsxR>&`)XtIP&hq_KdN8AX zr2nw4mGtJxY>BPvXZ0V=oZFN$cOyU}ufwGH0=%+naVgj3DEsW822suvy=8R;cXOhpd+@TSv?md)+?I_M4%;4~x%cKAq`% zXRLGo`0!BC#rq8#;*yHarq_nAPr!KB?Z;lkX$8nGZB68dRt<|V#siyXkQr+ z^Xq-j+)_AM-}Z1?>CE*~xg4U^2fmxU0EF)qUa{ugtgY54pOr1<#Y~^c)xB;BJK1J=FJH}(CoNPwVBWxj_*Be6~eMT zBwvkA9xG8&s`&0-=#p5y>|$nRRy^geWG3F?YwTU8+t+>FfVbkUO=WVJGFyX1s=KYW zxLt*tK;6d=XMle`Eg$|~Js28-Z`QTq@^eR=WWp-yH;`w{+yj-T7DF?01#vG5Dxz1N z9;IPl4X}?kC494fa_dnTuT+BSG&pu& z8A{_xk=sZ(Qu$;=1H>JW+LGfHS3giU{Bl*a(CJD`LFxL!!|SyCl)O7iMVs1LyPn0E z<6<4D&i67KkgB_Me@WAARefo+VZKM)6vbsdGfULdWq#nTpddZ=%1OPoJ!4 zN<7y%c{590n%_1MV|vWT>G4MCdl{~|qOlR4`=;7{)jI}34|?6#zCC=g!WLT|C>h`0 zym9X}@p4>ZK%=az8;9U!VBc^rU(7_T_`1nlzW(||7h5Y+SFsd2dZqqYCK2)|xg#IA zt2b{Ide_12jMa@K9V?EcEZnFxrhg?OIGrWBhO1 z@4xRojm3P}GQ)z>OXh<1qJ3q$2i&ILEnQyhWNYH{Q2@~whAvV6JfUZr z2y#>XYH!VL`suNZxTuLp(qn#|*Fr8>&^#TBQNLfo+KW|Ym_*LawIEvVR=v)Cb!Yca zrwPC314)ad#lpJ4TQjw9^TO+KjT+Cyqk6ATe$&%|_gF?rUrLjGaK?^5Q`JI4wgUd&nkXxq2HMW@(P zb*pr5+JinXW)^VSvnTnS(!%9k=k}j{^?GQMYvWK+sQ1CIG*v!n0dH70WWDHcigyW| zf%Be8Ihx( z$pOyy&nu0FhL6^rf@f)#_MC$Ar=3;lCmo{hR(^Oob0zlln?ANo(a|i;dvaFqHE#rn z>Q)+w89n>Uo=4P3KcnYV$~B<8ZmT{cFKM}~OMVZR5W{!pEwLAAm;SD4&% zy!@q?!=j*9QFrYRLKkJXr1Qi>az@n1WJV^W?{-xmv_Hj1`Rilng0K7mXYV&vSv)-G zOwW8R1dM=YahWpgUl(%kmrjej>RA+YQIxlC<7KlBo6q(2H&1FMyJ>e5dvcUfoL27rs#_ z^b~uNoYD|_yzS)&+(PT#^~Qpw%VZTU*uC?My}~jlKNJV!up=3k z3~HSsb2nyL2EvaH+DajM>Ry${M!n&8lsV~?CY)eTzI;aS=)V;d08wi`01~^%t^KQl z0cR7TeQ@YWZDdp-gj@*Gs{FJXbYjfHdw0M^yOXa0ku!DFG*r%k``NVz6+M^x%rmcARunGyF~j-kUl^@c8J(qiAw(IAN>w}jwZwp-(&%BnTm3`01LqbcZ|6c5g(cYz6g@VAp628>~ z@M`yp+8TV5VC@6eIDl71u)34Xr8bMGbMZIdsI=XnoadPPJBh3CNXBJ=1_6%I8|G*r z-~~c_%{D}H&&Z2eY(MFn+*5cpd6=t7_mX};^sQuf#G1>EhZm09JN)$z3wq=WJuUS3 z9%5Qs}^dEaOB=+fZ~$>VpQlp}Jl7ZJEn?p-~9lu76GNar{H4IJHhe2HU| z$M-spDc7dNZ?26uzeEKhG*6jTjn?%z&Dd~ld`sYb$FJfK15ofuYqE$~RJrm{IV68d z#MuFKTbLbe#x97mSkLI;AHy4QNgOH4c$9EY_xaJyKLpUCxnj;uo39QxQ1eWu!sB9J zNPj@4Ex^j{5AI=Nwlz z=6}g3BVcM#;b~8IW@GA$0<$jJdO9aO9G`ddy_4ctjzU9OPgoiT@m z?+}PJU?>YE1&P?lS8T)D`sdR1Z}^2?u-K|!eA~7{Ev)p#MtD@u+UUPL??EVqMFuG1zM0&6zU3T_g*FwCwQ90nP8X}b&W1qnxX zb%w5A-}-eUDSdq1EW3i3_wdJ##z%4V3s#O=+lAWLYe$DdeH_EeszPAQlsgP@5ZGje zaHqdgFqXIxCowa?O$=;SRDY&OdG_2_^6Dw{Fs7wvNA^Vc?u*kQ&A2r?;hFJIzxKI5 zwA`F=RYxMAkFu_$LdW3Dt6RE4bUm+h)(8e z!vsPVB2VrXL#s1(D%9d(J4{p!B%IKPLsC{r4PJRQU|UwV7)Cvexjvb^HBM=39lK{` zdERQAOMrY5%@a*}6n(sJ!%*Ea0eeln&EqAHlR%~U;PBJA8UBWG2YdNSXx1g|aL&`x zx#db-y%y|&)!z&z-tRr_C0BOZkgr1auFk4Xr0sUTuusr{at-fT;3I`KZ`R+qy%){B z*MNua{9WC6bdA6DDu*wN6r;#;V9;Q1&^J~8Pj|iFmU+K@_HN0@NdLFHp2GjdUGKn% z@Bizr*WNKxhW72doPrM@6sJqT+ojE9j=SLdV(Dv8PB?o#Ww)< zdT(Ih%FEO-^VzEL%qz04hny$2D+E5eCRw+iV+{-t)xV}|GgV-8+JqOAgnTZ=T4U{t zw)etdOhXJk-D{tAg=Kt1bFM{at6&FXqXnPWl=cDl*-(>eEh_Zl@DMbecNQ z)T^p%5w97`hBX-aOc0nk2apcAdji3t1i>f{2BZks_co9^jT{H2*L~fEMlrWG&^XNH zsr5D~7==s&)7!udFHF%Msq9?`y{-c;1>5H|9@#^{2;I(LCbb!oDLlfU27$x(*39*s z+BqX)qvlH(S4nF)42+dJy@jTkU>LU?p|@rr^eq&UM%Y$o%>_ZAq-78@Ln{>I2i?OW zSXw;lQk#s?W-2B^t=l&Qg7PPhVqny@D+r2u5n*cvjik?cA+zrHJ6diL=5UxYI+Cd< zy`so7E|waUuuN&+re00kW%jl802a7qWj((-(`4c(eK;+~PMl$EsPB7`==23(WMBSg7nV1hdF9 zHG$ntYeqxcP+AuzTz%ttddFV++S;q3cGu#umN{2r>MJ5bb=AmP-p z31VghZ3@KPMSu%9^sJ21fmig?x4FxTsor_at!5x!@U{&<&VY}<0qPiQ7Kk;3D!Li7 zw$wUkbaMn9IP~cAB`6>~sk#l^N7=(k#<^qxvQ8cJFGVU5`Q67J^LkR?#& zH#UTu%~Qq1*aU#-`-%n1dXs~T5uRUpF*RAC>uoiiwEY3O49nKfh={Cog+v*fPMm;^e@vy3NX!j!8ur<7n51v>urQs`Tz}YW5)wZY6`$FY^ zel|2o8)v>L$-^*)q+q0tZ5U;!2F5ak5!*0~UueM9(2JLt9aNHjPD8_ZvPh}-?)2K` zVmd^!S>vRXtgB`c7afkF`^5IBy6Mv1i|3UrK4}*m5TAEnR7ZS&?1;Xw_z8p!4~|G1 zoZdB5XYFpFGn~ynz0pFJaA>}J|0U4rVM!7Ocbw-}pHyOU=-aO|bI$55;XjHLKudbp zua%i$i$%cAiPjFM-WRqPDIQu1pf|Z@C;SM1(=feX+0i^Uu0EV{TjFYWdgnAYwZAjr78KYLFz#79!li9ZYq_MM{}6b2 z0@}AxoRY{|_UdGeNp({8<6y0r8KxF$R9D%?S;^Q_Xgk+&1RPCbCzvr zB<0T<)aznI5YXYvVr2Kfm$OLPO0cLYsuY-Y0T&cU;myTJ-m@2~@Ea7#u@xEm`+K!k~O=- z4`X7}t5M>^do4^NY##$9cY~>(aj<(tI4VI$1c_V7#DWvbNraWNbQ$&EzYCgtaJYZ2*QIsbm;fGwjkU2{)uJ-0J`{;T z%Va)idoUtmF7T@v>tt=?)>NO>Lg8&rvVQXSl!gM&c{wC|b__EmZc1)tsN3B|R~ZA)MtH?r%BuDTr1UKuf6Ck|M?(dpY}`G8ODH$LzdPkoq!D?DZ*^Ey zp1hH;FCjGI`EpzO({r@CXYc-KWW&BuciN7@rtC#~FApkn%o#NC=BqRGyq@0UDiQi_ z9DMDB@v0@>`PWmL*h~DJ#Pg;H0;eP!lwjX!F~{y$-KF+ka!h%in0|pIyCn7FCpriv zlb`b_<2u=!F^6F;rT{&n+pBYbXJ8v#&&YiE(;c>==@Ot8#Zt8C3jeF1J75sVR$c(t zukkZ4^wZBF0`^f;8`_N7(7g%>{g+|sMurq#NYbwLnGK4IJAi3C(#u@LzK~DM*L2F$ zr-W&{@%s(hjLe7cEIWe~Er#xD4aggC2EJvj@FHyrz^q_gbKBe7>47iZ!AjHn5^&MM z^EZb^_Wc=hH#-+$oyF``4>FkgdbFenbcXydZb&m|N~1sAnas(Wf#m3h&&%;#LXQ-y z-Oh~KkkKK$e@&O@#1VSC1c*Ana9ENjJv9k?1GbzLr>`BcH#Gr)EeTvNjC)R#O62 z-;ClWohNn%$xp$UzVKcVD+fopbX;%j^?Y61)k~OO+pOGjZZzpf&*ho21fEY(r=GhE z%V;J&n&-bOY!ccKEkleMa$iLc$PjOg;3aVs)}L*UHs6Ygo)qa?S~win;C=Ue#`)5s z${_dMG7g@zE*VDZAam8K7lT)X9?wUX5?9(1d5NOU?#W7F8q3`Ky@6V$4ceSkGxGPr za&`R6hh~DMx!G7bPuvNfv#Wwz=Aj?n>2WPkZ=6G;q+yeTcVM>T(gOnHxL(;D3)^R^ zl24#3dnXDt4v!t?Z+)QQe29yh z&_B_e&vE66d2z3Id7)wOv0l!+S+!$@V}NOn#O$PW$Iae)htj6 z;H)rLI5%*NcN5-j#)JLFCD1#k&T=$SJ{$^5l{4Y@OS@{?HgWN7COFu%&Csqf^sPys zIXpZ#`o~I)k#yeqX!oBwn@OObDs@uf>VG}Ht|k0+IQXv^z{>L`_#XWDmu&i*zXVjU zd@Xfe?fsnHSZa^7s7PQ>HcDdQK6F*sOEGa@B=E}&mf(Z^ypXUVHNZK7UFfM|#^)07 z1b^(o4=PXt35sxI#`90?KZ%GJwo5G4LyGx`Npbb#bdkVVcsNHj z=wzuK8a2t+jB~@EgG2<=Kl*?m5wk6DG!$jNN0{5D;K|_$2fO#h_F;p<{XqyuVvQ%s z86OW8hH+!MuwL`h6S2sg%FCJ z!*2EzYsk!V+CwP8s-AcOP7X10f;K!xz)%>@d-IS;O0^&)65DVJaKN0D>|Dn#x;0pZ zOMBwaLr8U$O`wD^C=TY(Ou3wM@O9)~9PsagVqlz9&uD^Mbbf@$!D_3Mi_rQ7#f*Z+GlU1y*;h7H2 zOryY1W7fwhzGh}Ou&qKmOm(h>vf;rreb?uP3J6&|aa*I;LoB)DY#*z<=1+T*ra(xt` z6|*eYPdp&RGC$IE1N=Jo7rw`<$r{`2%HXb(-NMGvN=T7#bU4bKOvv4)40_T(Oz{~C zqZNhKpeSuJA#W+YLJ6#nX73e-Gm6S z#w>wKm_pz>?4%;Fh$OKXU(91%H*4zrSZ-J;2OM*vM|+_s1(lFXgLI81@M4h50yRJ* zZo$}V6zA3EJ;N!?j}XfGBld%Be{-wsAz%Iz!|FqtM6t(URan8n3eYu8BLLg#*T?ip z1k-IwJ(b74=D#+BkO_+0F|1B+B625k$V-oI znui$Y7+XQT&fUkX;LL-e)+#312(fa@d05{P(P)Z2Ow{j z#h>TdPGL%7f=Mkv*f((Ig8$FJ-aItw!o0VLz!_e7%0Gm-(AI4pce9fpjd<|s)&V{x zTd8(2dY|O7A(#HeTE!Hd4QP?y=#4KCNIz9a6|irn*v}qd_MdKKE%CKGy$gl)`46No zZ~{jsEOc`w?|DRE@>CUi7<9P`txQfhZixuM#~=R*5J}9qvk>je#0!tZp7?mg9Bi?}G2l1?dp4>3 zD&6KFVVLJE${eJ)g>AqP#v;P^RMgwlAP&x|VePZQI}{<%9LgDqt*{CQQUDx<`MURu z0^m`l-G^oZoEQqSKzZJ%zA>pd{~V~%EBxDjg#(0Qc}pH3PT_-t@KXH_Y{CTZ0O=!V z!d!?3un1#+o&GMgefD-u4bUJ#a2jch+Vv|K`K#%vE2YK977~CLfD7+!R1jnSC+dl= zIcmHCuCPMb9Ev18L3t9QN#?+^y9Ef19Z(anWQ_eMOcuaC&O_pXJ@ed(PZz+EOn(=J zKx__m1tYx`ksCnlZo%*e5d2CU-v5=oDW#DnaOz&GoK9%knq+C6|k=VeDe>V{w~h?^3O(dpkYH) z=Yz??t%OBFIFL_f!Rs%g5-|RZg+#*x23<_qhd7i_3ISr}3&J+{Gqx?ikqA!!s5LQD zCQqUPLL88XR*ZvzjH%vv06Pk0Feuy+grO#)0jh0O4}(7V-|19qqe1NHOCWm90?3hl zJoQ~j&WxDNttQF{3Apurup)iHp55>RKD_~r&)tW7NdS=e6x)F7Y0|7Eq#p3;0lM6< zg$F4e8bR_eefdwj4l`;N{`tJe7DUSy1h5$lu4X(e(UhO9smbFQ`}JShBiilLH~Q-z z@NDqNNo_YseC}e!K4p=bB5${GK#Gn;iLVC#F7LMh{r3QO0Euo*mz)+<&n6 z+q#_(;ws7HCn0-=TLh5uTd;{2TUde{eY}!&C9r3XQl<8rVDAA0OL3hAR{?&-H56Av za_~nWwYnL05>^38^%-j(-iRYmvMIjUW^(&$LoigpYjFmS>B-*ffFy)7xzBEbc2R;CJJ=kIdbW0y(XQ;AC*FXYszx*J9qHDZa zOJqeQAU`tbv0Wh%W4}8C1fnKXzCh?{KmlnLj$@lRYMccw^Na{Qs$WRu258WAq}v=z zO=)_%zF5&M(P`;V>=K4I0;B5x2%Q=yAkQ7Z_a97t{0;m6g6tj}gzf!H`2PxAN=K)1 z{qO3WV`8L_YJ6g@TspaPc+*gL^yk+k+Dky#QO9bLSyO!4T4(GrEk!P0n4V4$O651| z5nmXW3f7r*w86`Ir_>In+#oyuH$Z7!*xX+VuusfTC+FXc`j3Ju+1~(0ns96xxjujl zl>LWZ+vkJ#g4+lSKILF`U?O2bS!>NzLC9I}NlYL99AXmGe?Ya6{(q^~nMjywfnLea z>Ta%+^+W?QsnhaTa62GmC9Q)=gsH8Ae!C%r7|W|Us9@f+J(!*dU&l58+CZ`s5VxxY zl}@)`6bAGM3OlRb$Jw{2y(r~Ff7R8uhyqTOCkwzSAXM+90H|dX2I=uLrf51yIV6?NR(Q=Y;p*WoK*=6& z_8NT}?oM~ckAr(q0BVO@x&b}H;zKO?cp_rxPYKU~CT7{=$64JaKoa>!$nF6`w%Zxd zuONq7K;#0{iM)^pBoQ35qn{Jd$vsstdBDm4w}4F-0CFqP0K<&JXUPT%PzeoN0^e^9 z`ws)d0S0!SO~BYMZi7y?EMaABu+14afS3Rfj9cSJcJBt$f#oVzLJdfpvhxIhGXJQcby!RS{5ya(KoTOOfRm_ZT{_UQUgoSO0I#&@ z8h~nnYcLY}>j5SA94!6=Pv@ALzh2A1E)&*P440ydf8#TDs@r^!P6xpS00pEYz~1v4xDTg#6B*0O{=%&P+} z8>I+lw&Bd}iCC~ASme+gol-N$u<|2{)Xgy*h*)IcUd<4kH9ZG>%XAB3&C@C9A-K*b zc174(ln?@7U6pPzfNl*4+JLN;-9mZ!cLp7u1%%KRVWyU%5ZJ?@c+6s()m5QzOeqNZbaN9kVSUBuPcR^`DDSY%>%EWRPa2XeWbGg$x1H_oA~| zsUUZ#6&8*wY0_+>`q-B+n_H-}vrq?7jBdLv2!uEE08aq99)ucLPybyT5Xb{Iu*V_~u4jS5-GBu9 z1?bEcvsZCaaP5PR!3#j=ABG1W1<}cyL;reeJg%Z@*S_>}p75II%EGFRLR-_k-Y4(A zzvoMXrcR}dV!UW&N;yu>3e4LyIhBT^!p;aMu!3y|bjIeQdEJkwxy{1!Z`jfYEY1ds z^{+Z4CoOHpOcW*tig_|#;`CU(!%)S*&qQuM^kZm`ak5(yXcBT|)7BdNGzgk(P2kMs zu-FUu>HoL2DDWuN&8aaEK2PuN7BPSBxwxvZ;l)1nz-CEWJW7xC2aPPHq?)Fu&Rzm` zgby4&CU3Vl@Ji>wOowzV<6^KvU|8pcIl+1wE@ouv(kO|Z$kYt{5`&5(ZvPZ&NzVMW z=(7#uknU{64Gt^&_U+w*U3-HlEYyU)ZKppv?zw-`H+rSE2AP1EcgAvd@5xzgQ1`!u z>0jSW96dZlc6r1Q@?G$ao^bhu!{%HWnBlC^wh?HCc8wMzuQ-DRXk-j_2y4e5Caw_n zQOAv?>#_KaFE=gg&dqR+bXw$Cv<%W#H1okcG}vaHLuCWFgTAlrPj0J|ur2IzYxyPU z*v6%WRO;Ol%@VQze`0krXLtGrj2XR>{PC#MzF5(V!MeP*gZV#0tjWeDbE7Hk_1a|` z1H#RdYa6yg_NuL;CoArx*=WUWcry+`(&KXX4M_<4z}#ly{9#I{#d?1gVEa!jkRs#FQTRGQU4 zT9K~n8@Tjlsb9EKSRZ!hY~onmkS8|o>K)1-I=9V03N%Ns16h}#-(bv!+A15$1AO(5 zKlmaY_F<|GHR>H)K(Kj3gUOnqURW2ueNNh_=^J1#opKUelIb0UuzZzjyN>iGRM20; zuLbc*=jG|aj?lhnJ`IPqSns^6W1d@dgz#9B&E?alm%+bG)u(e?=qO4c6^7+>Qao2e zm|?!VAbj^QqA*coCq=M2{Q2Z2PeXW05&psBpQ!rmqsGTsHyJUxct!Gdh6pWL3PB{eV|)I?o~ z#L&Y1l8z_Ir_0UrWm;-KIQT|F=dxUPvkKphxCtk12L8IP43Vyv$UQtWWO%ua7~mbq z)9sI5tco(&667oXn4ubB(Cg^`Amt{JWWeWEcHc_HQo`moS=obphw>}G&lXwujeA|wY#{1l z0x0dl$KOr8o5yKaiw__2oA4C~;*e`jcib8vbDRoW>^O3Nn@{QnB6!=bjEl>eid)m^1lb?RMHrnOi5_xYl3gD}N(80WO{80*(`>ZCLM&~q|^7|=SX)NZ>k zF}caOY&|a}hAAJ#yg)fzka~ycNJ+VIkvH#8RMng`PG=gwbFLO-3vU&?$$&3VzattN z5b+TULo?gWjK$6Lna;%vQ66NCmJ^dULjdqLt|+P@I!>m%{rpUl7dlSnq;lO1y1Vz& zr-Jo3tLybM8t2k|p$;$`iilZi!DFdj?a+3{)ZfRKgeQx-vQb3S&MlHuAir1H9}8m} zHT9EDB#5I&{03~Hl=VZXbYZ(CUwi?u+Ken zVNw_Iv@6`bjPT{-0_c8X@fd=K%R*>+J-EGn*P*=%ZvgWnj|q|>{I{Y8HU=q`{5>0j z+cN5iF+t~mLFNx}SZ~4r=cGW}q{p^fWy4**LvU0n%tEeDW(IFIT>fen9MKz%d(E3u zmTEU0-txiqGVp?V%tTf7x6J8cP{sBBEfVhmDSl~d2C_%@Oign{caoo7gE7_g4<&X5 zmCcVyZb6Y%A0_(2$jdP(vdQwIbA(n{u$fEaVRH09^f!3!bII0T?J)bLWa}@)KXk~O zgVoc{K)Bc@71agR+kB)jv7;Da_yB3Xu63qU1lP`r>8TKWVR$>mYFR(DK(;0zF>Hl1 zSSqfLJMqcbkimA-si$ZF%6qK^)6a z-!aL+{wGDu6xcFBDKhQOYXjIvk~Z*^(@t80<&+YRn9OOL=etsQn0ssc-V6^}4yw)} z6>Zi%P9%^)mOL}ek?J&37xyICl4ros^&B!KzB;0J=bhuGW*))>SVgbyG990oV)wkr zrmyG0Haxh!?Vlf9^JZzyN40!fI$#6&RAg^V;MFVJkWM<=XDaWPWlWEUdDk-L>|Zc8 zXIA~56omPln!L&XCP(xKYqk2w226CyhSfkAWeEnBLwHKN7RG_iM>k*}R06D-SAX_< zGSJs=?IWJB=Jvq#8=nFt0soYFQqjz5ck@(Fjh{BAtq(s3ntBAh^|Sj=>J0O1d+%?4 zWTC#|^MdRJ7jx8=Ld=+vZjp`1yQP)D1iZ(23!eEUZ)PsY_O25Sw1&Boz?lYnDYgwE zF};%aaT%(%QY?o;oBNi~`P8E2W*a?VC7@CG)#gb|5hlRC?L9|kHSP!_8($sHvDenh zF<(Y+cUwQm% zT#*Lna+ndxA<1%H+#uTBUv_x5^w&Kv)t2LmLX2~R+%wXjT&areS=p6JCRMi^uvif>yeQ~9L}~}ITL^LCWiF=RudJF}HPDjMbi3OaIdX-e>)$en{+2mp(a`T;`yq<0CY|{QIl6g~5ZJf(bGJEk zgIDzrbHB#KPP!QVkPZ_(1PF`CrcUqau};_>`c3kx50IgD>Wwj}QtXvsx>Qje;@19= z@rS%B=o#F;85ljY!AZTuI-7w0@CCI|67eVNXK*U0fGWkjN=7P&IF{tp7@b?BdL$dN ztFnXf#%!+_MIN4{9s(wu?OZylZIIQqWyo`-(oL9bK<0)9&uu*#j@|^Vz*E(}Q=Fk$ zO4J?eoes^Pq&ITN8#D<)^_z^?Y{vcXWhZzpss=Oa;V;&`0woJDOSt3e6D;~)M^vyS z;n|JUn5%!#fK=gk%DQuTcoU%pa>U^Z1!CS9Ur&8>_og-MQ!ZCruAll#Ekd)-@aq)r zR7goz%D|Md>=?ozCXAXT&g5uAfDPXg3!Th6Z#~Ptx#;|nn=)9p@2q5$4ChpPHn`KF zOr=!v9@}8*es3M&ZbQY5A_)$xH;pwKM^AXAGqXyWE1CZ%TZ~d-L{?w2dy-p{t|$RPnkGc7L{YBfBoi6utPm5j*7kn)`j-6*_B+@a(&D) zVY&h{<^k!wK0(E5`1SbR>K(O82>M4TV`yU=T!!)Wyw)~~>1E2KOEhu5CP~%*%ESq( z&F8|{#8|v4YGAdMyTL8H#?h;tg-i6&qfNKH*L7aD#aOq5gE&;de6WY#YruJcm{1Pw zv;x-vqFn7ZZD`Uw{HQasdxrUojPii^EbUI?<&fov6_6h$b&%n;K}+tXez&D{vJeC0 z$Z80G+n8Z96@8xr!Zg@u>**W-S+GQ7YCt`OdtTTN(9$b4Qd)2%0r{O7%>!yg+Nssr z)6~DRz7P>tzcHhWtGyZJ%=wSd9Hwv%!)%WE+Vo;W4PgP#=>?l5^Jouu4b7jZX@o7- zrZ6A5FrRfF2ZmfJpXh_JoOzHZM|;W=@9*pfbIO4m`+CvfTIT)n4XUhg2aK)eJa}w~ z)8o(=DVBE9u_52&fj!fO99*o`aB}xB*8Dl<2JXB4o|4T4vE*Gb40uT~*neM1tl*Tz z@Tce)coPWmlmjW8Ot_#nZ$nkE9Z{DG9M1fvCVZ1&@>3Qn*O*M4|Peff`+2+s*{s82FWN7B2 zXr`tuu_S(C02!^xy3Dp#!{OD;GNN(L{12MG0gN>3JFi?b11CeoA2}|tGpS!33NAd!7AmO!ugCN%^%Tot!i#HF+b;qV ziHD81086=Tx_K-12b@_jAMEix{fiskMg;yDwo<5>Tk*ai?2JFD zegdEnLPY+2W*&6P`TiB6!hz58e(Lf^@{TJyxUYO@w6a)Gb0awKM9eLU0{9=A1$Ox^ zDWC{eJ!QRDjShb3kwCX7bpP_Ftcu3x=jI{ibU=TFh3XEc&qpfvk8)c-w|~9NY&_o= zgVk^{J?wgUkxLy$qS`V$qrM-ia`vYqf;nXaO=$crah_jDVo4Wz^=`=RuhoSpMVuG@ zl=>H>n0E1nQ*stGtDuv=BBpUH_I0dN(*H)sPr)6ZjdMu)akweCuPjzdWf@G(@oMbs zU{EAtU))qWAAMbfdf*PDH^ zGfvUH8$WD0kS840zk-Jf`^-s=N<=`{uY>TA`Py%SsxNQo{RR}X!yf<3({Mi3LPo?t ziKB?OZxbI0hOY{)E-#E%@9C*LWcj-po9_QJO^rTc;eCG*E$DI|E5*qAz1w7Sp>5|N z3kO=g;^4TG{r7Rf-vPP{c*>0Z1AoYd=wc;5-|^pXNvU#5yAGy*6u!SVocf!skOi+U zzxso{;@bt;!SbcgZr}LX*FmpvW7=8mV=CRjtEV8=9fvEid}&E?jVmawAiXHfW0!w< z=#Rb!|2oKGm;3u&UVX#wr1nJkzVF~}|F?hj_{rGBjZ*|Ag?8+x_4i7Za(}@M4xOeU zG!6KXB6j7YodaezxB?`k7(w2aj8r^b`4wk_S8a zNZGI7j_`tj+GrJ9^`PeDX{( z@*2ki;FSOe|Bhq&F3b2Wq~uLt$>DEdTQ(9!2jPwr(_=rO-pCWeD0t5 zxdW(UByG@u4zb?NsZBSZ0_QSHYh{UC_`za$Fq+!}q&Sz_!5jZI!yEK|&KGqSW@y1# zg{7~4-(Tn{G}eIR9jz#Nu&g7C{Trwu2QQVsI3C`b_wV^@deaDoNOReT@)8IwXv!@c ztW7>e;YVe~7Q5=IBnkX(8&CiL1#2$p*@i|I<_ORK8&Q52`KHmV7KS?&l5V(XNZcX)3X{lxM6-;khxvIDPzfgFMh{glPa$EJt{OT$^KgP3 zu&=`R13l)>Y2|e*eSO_A|nh zF9l2W+V17n$<`!2zZ@*2U)7?UM18B6*MSp)o<{XI}R^a!2|Gg8grDgtLzZM zX4d%qwus=yC!0@?6Ogg`>(g({@0!bu30pjn+*-Vwo~XIjVgj&RQ;Vv5!d&pt2CNh; z>%JH(n}OoOBypr0;s-EW>OD(xqerjV6>shJt^N%;l(G`Oxoa}4^d}q; zIi3<$4u7SDdA4y|c(mt5D#m@v5b}h3}HZcWb zLH`#^RcX5lQl2AW!|f=R5bOv;J1Z@-8wxl)GNk8#(M2h7gp_C7bxjIE4K4JB2Swv1 z5N_6(zSyTTiML&~9LxJlKSYU)i0}&=tkr^!99?`HVgC-oxYdg2?+Kpd-xqZF5Sp8RsA;6HBAB#fXu=oDX#FZ`L{gYuzC+Cn?=(u3T4dCtC7$e-}?O`04=$^&Xs z_L1&)t>2hsm^*kBtSq%aRsMWDTHot#4Ua{ltRmZj->X71;Zge2ZS!4~svum_h)T7_ zULH7O*bWq}zb-Ba9_6UFO^++sWK(PU-{gvuxl7%kWzKYDKgpc& znQ8xv-L?d@f8J?tDBPTSq*Q9n8oLdhpOArEuK^EpD2Il#!?pVv%Kk-pdd+eW6iy7t)eeybj$p#Qw?lw8!3O z&#ig(N=;FP1x6`L%5485Qn;m?=`Op0_U;d@B~7hW?xji9#D zZ_JK`UQnisY@F;}K{Rdbwr5nSBA;p%Ql6%g=U7r{A19mhKl-0)r(}h9nui2u5+;rq zUpI(ZEnaR|$)Eq$jU6gID)<<)p8ZT>A?0Ynm~3=%$%-zi~3HI#Bk0=CpaNek+6EC^%YlYE0s0eE4w6QZdK> zW@f9-Lo+UbzcHKzP8Owyu?s*?P~jA>Rq=j-Mstrpu@LZd(Ar;QWoy08UZ(^>OPwMm zE)-wz8_6>AvHt1Y_>%)BeCXj%^{f~mP`qc+y~0Adg1T)?e2Xu=tX-q@EW~IkI`w-R zkc~p^4c*Ltp_$?;KE^h{y8e3l*fkI?-9h4+_kbs0MxWtDkFx zY)}6Gcwd~4d*-`kX@gj**R}sHSApe!h3E+?oK@?X1Xg{#5|Y2bAC0EcZSoDFiNSFN zxC4LYX@Aoho8BQ+tP6kS(i%lr&9S}kd2SI~chXqY_`PgyuINsru#!;y>%=;5w{YV; z>qqt8U?NgbM{jtRqr*Dp%DYzT*6c}PS0C=ScUyY4G?8o6H9TXxp?cxSPs}k9Ewi}t z9<9}`6iVvNEU!9?Q|3_Nht=mC{&Q-L8(%8P^@KNIkzd7 zwX&^f1N)iem0f3r%%%6nTAj3Yowct=z2dYFe`?S!e9bR(WC#6}+P&Ve4 zm~jWLz1S|L+?Z5_ARP%5PZzV`)`Y@7zq{nTnYQ%Ei=EXi)4@dX_Pu#PQ=Hm&=tgvLN3jQ1pN zud|fT)cfuhLT*Q+^)<;iz@qPkUqD%j;_F*8OzUP*vkt8uAJ%6{4{X^pSBqQ~dA(|T?OISJmF^IU*7Scq@h@9I8T>rnQHN)Pmj32M3z+{q~e;`d@VWH z)YqSm*E`*tR|}t)L5@SgTfIX&Na3D`v4XjZpt}uUZS!uMNxAa<>S;I&8}-_+gT6y_ zV)DZzu8L?==N&@!w~aB`T{(}`TwcNZ*izPh6ytvC>!BC%$#*`i{z)o7zP>e4hl2#W z#g20;VYt(Y;iodk-&F3bv_>>&4+(mH-H&Bk6V-@L7hQNH9J!qM_P{&;GgQT(7(y^( zPMEWmaU}~N&}lx#n18_%e9$5svwVIB3KX5dIxN{_asa>aeEeBp6)~|R$Hd-6`+Ls> zSW1S&i=9Uw+#xjdtfV)arE%VAu={>KVBL$(&bvfc@BGr^?nG=3%>UddutrkQx! z-0}WX6*4PXo=VMY-O>zD6Pq^r(k+FOGvv+ST2VyZm}|k+c^p(h4qeZt6F2fD6{>VJ zY*UwM5LliwH;)_0ycsO$L;7kwPsHsBTw6*?Bb|p1o2I{+RT-!~2s^spUXiupbHXJd zRS>xZ@F&SUN8-!JbB`vtH~pS`vVh&2CQbNe_ZAmK$bLAs4EJpAaa7r0yZXv z`!1b!>RMd_7F)^EX0fZy8X}lXcvbIIL(2-jR-b4pd}K*@bLyy4%Tw#oU-Ss5Co9KS zOVoT#TqQRY(P0ogrrL&W2Jhem!JW^pmH)*1_kQNFNWrdSONXHfJyIS;lhrzsO69^k zlUb@GLzCR+cc>>yF_B}g3Bqgl6o*(JUQgC9BJ|%Ku{kUVRl&817OC}cNM7E>hh{o% z_6uGiWCH9_nE&W?r!=Ub&B$e^>B2l=Rd@+9Sfxr!cyQn}#&6;ez4I_wnG=$+sm{9X zF2VOW<_h1NwzX{lET%x^e7`8zH~Zu;iq&u?lmD^Vazg%Px`6V1Nx_XPemi+aw*L4slgyS4sSlV6VQdQZDWrrb5`3;uq1ztS0M8saZQC^?-BXb_NZ zktf6oyd7AwwUyDdd-+(fe}Po=!*Th!h25%xQRMUUdY$H&v8H17yExiw8k^g#xe_*e{gxWD5PH5%~cHgWx_>^ zrfH@3f=pM8smQPd@lW=&Tvife$({UuyMw-zHqIhL?tb-(fTYHkPK{j=8i=lOx6)@W z9oh2R9y=MIv9mfp%x-UC-R=F>FDNM<9^eV)=9H;Qe+y-UL@#2{HW>7xqUc??@|r-S z_dn>p_6$+_+E~N3In5=LC6{*F7It<+UCc@kowhmC>?K|Rc*6eTL<4RNhX-507>sAyc z9`S-Akl@|el^SNZ9!>Cglv;BqF?UxK{}Zn18m!qSl+B1Bo=LX}fy`PR0VW#X_eXe( zrkbQ)BKRCYX@{=YzZb-7cP5ML`sYuT;pcCjzDQ9ois(1ANc_BfQnz@57z&W$+h97j z6rHxc2wOn{K}8y}-9f0x{vX=Z+s8-r7o zvE#Ky|J-~h&F2B6&Km*L6V#j6dUCm?N5>Q%_u|8rughpweQDyDSo+YFv*BP5tfe(^k=wbUcP$sC9F73uY1f)rB_PK1 zRvlT7G_vh9WWMt_`B&H2%jSa!rGd)*J21&2&%ZXArHCJrH%(@HxU89g%{I!PMNh~j zg=UhDo>}S#&@0tdOTDJbAr{$fq*dwfvAErtQ|qOQLQzvpnjH@~olR|M?lbS?dtr^< zMZ+04y5)_pBOXe}PIC0nlPWP2Xpd&B5ssOOiX?qq_@v_SUS4EQP^J_*tat_rJ@b8nYM>+z5%di6f{76Vv%3U|ya zL2d2L(+CiWvLHu=W@nRQR=Xx3ZS-5frw|YyRw`4DRteAmu5Hkqzwxj}QZ~4X)lE7g z(g2sdV60C9@nEM6k!wu-q60s} zxfBh4c>YM5GvjM=x$LZv`xkZjoqM$rB`hdvrB6FsztAoGsIElJLga(Gx`-wY8K`jW ziMY@-@D(9Sf%}nH9NDQMMO2^jp0p`f&>Ji{j~B9zFg6a__7Nm|4b~v{BpT(VY1>HLS){y zL-%uIr{gBqo3VQdo>B89&*j4lz!qO`>&x;rUC|fMrEfC5cir0l&PFx2-8*emxSqxQ zIGm`JtDJjV-nk@xp#QY9`buG++p%V1rlV$?92X(KP?(S&I)y9Z3EzHcIg(3j0DdMw z@!~ZJ-zL4tKege3{2*=Wl8(h2IfJ~%NpqABi)Junc;f!cF}+w{>7h0gu;mgC0IdL2 z_a`xxN<4l5W%lZLqtLd#mb^+jz?1c(ty=oSX6?BQ^Se3Z#@fF(=n47g`RZ0b+fpZ|W!ZxYsw21PTQxA%^-id^zkW)| z)5u}O{LS)Ezv@f6&!MN83U1iC9H-0GG$F;i5POsMAyfFLBzimkSq5eb>~a9G;~;I0 z+StNPxazSQ&9~!({v>KA7$+vE#HO75jFvxmZ>nZn%vZ~Rw z|E=m6BA(1erBKukvS2L}w6ep}ivE6(g$Y~hi@SusOfhKNM@3PXVTnKlu_&_O?a?#w zv#P|OR*82n7&K>>cEP&j%u;v$+dEWX1E~-~hSILeY(Q}vyW+c`Zb{?Qr@c4*MiOes zF5l2=ip4m5>4$iT)OTE=~TQtxCK>p>|qm0{k=>kp^KV>{o%I&zgE9; zGtgD<0#`Hf)g|2CDbfyD9y&@rxTn8rkKB#z)=4Bd`M;EYt!ej7bGL>qbycOW|4-9+ zl6G2XbWXr6;rzrv$eBfgD}OZkv1~^k&(RIX`u=4$Qkv1X zXfdm2q!OD^vVk|M`O&>We>#s}#+jQlw0wF>rEUn6xbeqOd}a^q(W(Y~r4D`Hy*( ztL9S^l!R|4dM7MW#=!F0jr-y8V}b+=E$B7)#02pmnYp=-O&9?Zdicg-BjA(LyC9cf zhFXx^0{vXTFu`q*?`SH?$GN`quB|dd4bKDqj)SLJg|={7a}B5zqgL!uB{g7HPO$)# zIuL6{cbqDQpC9TrAJ~*$ToeI@Y!?Z1<4u*W!PFk9GiG#j9mT6A6`X7^T7DA35lE2c2XwHPpbo7s@0v4hex;wWv}{x1 zO0;NkhtnEH$;>TT9dIG|ny?)IQp~;e`2BPVw9_&l0TV;zk_}rhB{b49+}wP=(iyq1L~cEQCAI@mD>8~X$1ey!ZD%z{vJCi&S2U%!COiWWZ$`~ zsGfNyEU@LvT+?=qJ})YJV1Xjm~%ztg2P5svg10?Z#0-Ke1d%??zA9miZycA zBV?FKAqQ>=Z98}2l;Wvc&UTJBD6^N1fXxcs!ffe9?a?`awjdaS#julrNpvo`hD28! z@ZUm#)wVBo5sS98_wj%3TNl_px+TIga#ZKWB5-~}u5 zv_J(jZv}nbDDKb{I&_Ku(4S;V;-I+G#|{KUV8Jza6(M&R%fTf<+y|w=(h3ANo2pUIrg)^S7T2| zIA?R8qGJd4W7n8tZ67&eJYy?rQS6EuqP91OZ#*9PKC%DvZ{a{vkEQuN!C24WRLLhZ zSe4E7Y*K*Jck%v>JocKr(eGp~=c0o6uqY>%0|;G1gQrsT(-h@4PW8!SU&Do-M{Yg) z4ET*p^y{=en(}eV`j<~bhBrN?z&4HqURo_Q`NMcgmriLiKo`F+J<_@)7xHeAPHK?D zF2K>LfOj`QL-qwwBxu@6d#|@rFtpLr6Yj=Kx-*1LZLP&YvX|uZM2JlP$4oY599r*% z!x6vynZ!fzvBz%hd8AAG^Yw(xD%tmh39T#j{$2gvx2<@N^|13JNEvoW2|-s41bM$_ zOdemr-TyZJEC<=dUEOwNzyA@wL6V!+EYmjeR&(>PdtMZ}wg#E}ulCDhL60ICQQXV3 zR566`s!o@{zF~-Ny%6E0FG)cIpv}Q}T0$p9k#ga^fITgwr+|^Hj6Sc7()=e_=2Q7{ zW1E0Yej~JE{DEE~V54l!qv}ObfFzZ+2MyUgl;gPOx@$Y|zjv@iK9NjrTO+r9-G#K( z!Y6mxu)O08Ps8cj$!u6nsY34DO^pp*+4!YPcDKJ#%63&E_a_vP{RIUe0fk22lLX1{ z|J86yUB5Ag@T3S1DoPb-WdP6U-GyYsJV>=`-Feu(XL897LkKlUVMYCSTfEgt5eUDxqx7pl+!AOh)HQDXY!a;d-_6*|lCO^CaI7vI~>X04sN9;i+cO zE*Fy_ZFNtqgR;1Fb_n}R!i>40bOG=F`z?`&U|Z<9W>zHBh2Kkn6g7x1^d~b@ZKASF6tSTV# zv(}q^bImlRljk&9jq1`Xn*at5$l-V+jy)T; zGJobT{XH#VL~t;^KpKUh8o=iChmjgBLXcnmb5$*;0>c$gLdC&^d8Sr&+2#)l&saHmOfbm(M%W$Wy}>n`F~F1}od zK!l*^_VeI83D%Ff^<9Y_zIOKnwRA1gSG7^DX0NVziTWeET}HxSZ#YPwg0!GVz~_)e z%OgUW9d<~|Zls~;R%W{r;ld+QTL@8=V8OZ%(;1b12M!+RKa-PQ$S(tbmO{2GE!bpn zo#^DBescwfk3b@R1McOqQf$ff`X_I+PySjzsqzVKfjLW1HP9*rp@vr%gq8x^^f-5u zc+*rbLN(ueN+Xtah0kw;#-9Z=-}@7)+3~9ejMU(ebc^I)T;W0}Jfj&#-ElpqA*^4S zZY$hBtsEx&J=|J>e(PNIe3hr$=xb*Z+qXXWJ-n^zi;b;_Q)5Ax`*2ao?GT@7|9t_s zaoybF%?abe@z@#)EX*%sg;}pMrEeFl5*(S&4jb&@c$}wtWp}A&x~LFD9I4G?&=Gby zPluJmSkjYt4QQ*Xc>0AVOM!~`_|V#gnu7#mpt3%kq&e&VU4-=MqE8Qf;usUWbNqLt zqZpvna#^5vj3013eb4&^!;Dt@SH!HnIEFoowZkE=<=7L%GCJ zL`xUZY}sPDWG&!i-F$kP5#fbRj?D8x2>Yx$4W9Ht+9pAPRg9%Faz&oA_A@`34b$v! zZ#{kVLb1pQ}Bw6xcz1a;Mp zrr6dDg+ny2TSX+=gEG2=_qUjp%l*c#crpt8&hJn~vtfISqVZv*wDw0OA^#X*?2O&d z1y3o)-JZ!3?x$7Gs8yWu|3}}DmlZ*vGEW%+J_||jNi)1$LS`bKye-(eEu9Z?l6y21 zfH2>RW*fKWT7vXYl!j+d2I6F#4_jT(%HfX?t=8sRV7wXWZGJh6yS)ermnZV%5E4sT zViP>_B$Ur=yd{6t8vC&Xl`5uLlJ{fsD?Wlmd?>;;AP1CJawv+t1!!CQa2?;!(3BX# zB7VEgpz3}f$GQ3LW%OkOCdk#SI_2vE_e%9wQ?4f`zYcwrC8#lTckH%NrC8~=OCf3O zqxBE+_~N;br?}YZp%f57xAAuBWS| z3s&Y}k{@G<%NO=V>#%R)KiIuPClQ~qff~I3K_;WSP??r<*r^%ySzaBNLZJ?|AF=A(-A{; zwD;Pm>ydAHS|-&96!*}D*a7U6;61Y39L&*!bV@+d+gQq&DaZ1SEiruCP*8+Lx0VoD z6jC=yBefT;fXnRWYWZI|DPTMB$Xaa0m6jiPXoJf|a)x_#o;66~5XKxzgd801My0s|%R&%vn zqa57Y3aidk{mw_UYYzZ&gPsub77^EW!mU8*oI$%CJfAFqxyh37>i{C!pn1RjzwH?` zh4S(JH8Ojqf~nsd|7q59n-od?hpMiIdMRDp95fA2tijR3H=@CBiAZw+8gq(lF~b zBxAU|t=v;8Sk$B?G?Y02wl0JDk2e!Uu!)}&HlCXofSNTUdZM?@MFx}|Mi_F7+pCD< zn4AmKhtg365`?wqs3afkY%-(StYbZs7pl*I5?`qrQ0tbc$+~Fqm@jU%;`Ial-+T6X zwDo&!?XxmnR~LaT`+t+`6)sg?_b!13$R5BoSPpV`lyFfy2@@7-)HPPy$l6-gf#4I! zUV~g#9K9zqt4evJ>^n2xm?|Q3GawYYqWOVoEkiATA9V_t>^6#SW~%kro309k>D&@>7!qJ-E@%$Pt--}+PiM-+30yldH)2Omt zevPX&$Sk$~#AplWtkqLyw6Hv`9;>C2au!Ssu+P_=eGn8isr|lLHlaW^pP*k6pKBM- z0fyHArvS}I*KV21g{^%}nulF=Jb<~c}Tb56uXToxNDlqT_ zEjOL$VsSu}@R*HxXq6@``;^!40Cg^p8E$JX&{XOmYe)3Ng&pCVJfPKd=KF98pfdO8 zB|i0J` z?#3DZGH*%Z1w=BqIicOS?v6J;Rmh}YVTr(O3j3$E%3RKfsgU~&Pv!>*AkJTvAuaB0 zXfgt=u>TC9a{E!X072NV?lcS0&j&K4Jg7cV$QNP4is|R@eEo%y81Rj9dJ+6j1*TNe zR}*~i%N~{tP1yw=mV@WUMX{|^BLK4dy9;ZTqOZn$qkobQ-#yAOY3c{ExK7JmW!x@)H9AKLlS{t@g zp|TlBXC$>q0`2b!)?9&HVbP;BK@qgs(bv{!jUnU*3#hhze($?A_5eZe3h&lOaAS$N z_KDT7HuO(xh2zSOT!!rI33*kHV!t*Q$+>oZqY)oSt)AoH<8P3*eHA)$xsZ*8lKrfo z%reC+QX@h$MP;Eq0)0Z=5!W1MM=3E1ZF)f@ziyf+nZ@kZ1&XAlzm3?oT6Q>qSH8Jk zgOGadzD7~hO3z=?jR~pG2o_l!wFadWtI!f)o-R^rS*(%83HO(cG0d56KOW&`4 zKStI1$yCskrb`!tc$TXd@L>9i7-eb}O>EG+{5bdt^`P6$wEn79c6}BcZc)a6zF4oB zuc?g~NLn&}=JkKqzB5Gci<o-wj-XOlAUx;9An4(3OvO=I~nzKWsZhs@>}ioWWqz` zTR!AH{Q!R<0XpF*ePZncDBac$YJQ^<*zF?sz+^m8p=06GZ+I!`ptEnZyHuca!y{LL zMM1dXa7*%fKVZao&oz;b(+}PnZOOg67wiMV-`MT zXUm2UEVw>8@I{#NkFH;Z{4V&5ggC{5I6XkQZWc=vganOmXSmhK;lL^Y$E(Ob-(UCI zyY+5OhE;GkQ~7%QhAmMk2Q6gcO`)9rYQ^q@;}=K12tZXzq;KdHWKdpb2!v0K^ktn_ zAGh73m^%za?j{{cOJ&;%H_?8=j0C;K9hP`g?0;st0~fnmE^&L8&IhDp3<1SGm8b32 z9i1<*P2|xzK;pwxYP(chwbVz&__!Ecu3Ai?A`gwMI>7mP;6p|3!N1p1=|*m}O)bn@ z!(Xl1Fv?APLDt3*W{^u!Z;IT%yPGwy-i{sJ0}8^fNkXO zU6O%t7x-XB(N%9lw&pj}?R7nxWELV1Oh2gtfQ!`dJ13;k-^-I6z9YnL(V|qVv+H9* zUYW=Jp3ULB`K$Mf1CRGh3prnXXlRVoR!zd>4SJX#bA%KhpUuxbgR>s0;6EvnG{3+8 z`OCG-D;b5m1r_rST(NjMCjdniNbp@EC2172ooD*beITzGqvpuAN`QyHE&O zvtYv0c|CMwIej5_;ER$_v|1ADB&)E2di~j}JckOa5{?Vl7lalE09?t(F8~fR4eLmA zbFY_pgmaY1j3;!~^Ao}Y#RMwLvW?G66pE8gqx@_A#16^~N?2fE#J7Invuw`Sve(1d zc#l31gn2-1L6u64)O#}K_)6I}8*N8?w4mE28^evxvu6~4?N*wlICgjkm5EBV~J;L zO~r|)LpmHKZ9-=l+=_6$ZdsSL+u9oJ{)5-@wqV+#lf2EMr?xPmY+dW8W@9_NiR)lG zbSNtL72>5f@?4beFe;xQX?Op$(|^T~L^6JSfe+i(x2WWkB4<$)bVI8Of){BpE|VzA z<@1SGfF;lmmJRv66op=lLMs&znG5Xn*x2J-axF+Npf50qEd`IhtJ^7OOT=BaoXHb| zT^2y0z7ZBIv0fbY$rSU6qIt#?`{?}W(oN4SN&&lo+KBNrsW^&j;ckU6l@2*-p#nS7 zmXKoaFV*jTl1FiV!9wcaroYek^j@1qbo)x>*Xg(%&WL!FF>-cfPzdl*Xu%*b$XvJ` z9>JfzpK$E-tAKNaBP@qCn?)fR^pxxUFA8!bl=0itPb2;70!e^?KP^9H_!(RfVaWp%8)2fgkEq102YZsiK`JzZ1`#$VexHtZNp7`qQ z^u@`h2xEX`K49Z@UYTo~D}q<(eUL6pCSU$^zlmsAgg8}v{pAAAhMalF;mRQ=HMS3? z+;S>t=MtSa(-M#L&btrZ3Ro5?={u<-934fmwX{p)A9TqV6SgP)D1sPi&HZZaoxGh0 zOWE@wv(kn%6VuT|VQL|6<&XlczCC@1C&EKL()pVjEb+H*C+3nVULXoAu5v7{?I9Ta zV&=6Y;{J?BJJjkh=%ynqQ@X{Lf9_zpBr+^N@w3WctHbqkYS{y;emf;c-(~nNsP|Sz zjMMlAL}l|&I%(&)DE(ljO%G~swu40Z%2jAMAm##(O0GFz$;*PF+33vbf+P77Y{X*Bv19*gDi+cxypSL88X zvS`Xxaz}pDl+CScpdRHt0dm<;&+l>hq4d0u!FUv^VA5V>N_uWp+Mspgi;?$~v=Ex& zQA7$j0J^`~h4_Ft6A1XTUvH&Y5;bkN#u_5C#2bKDLs|Caq2A>2}S0gSeffINsX+cL66~{<>d2naeR)qQAj=2*vg>@p0%w zzJM2)ni2B$9(!H&Njp}N#Wp)_IllVeNNV~jqv3-+du$w#5vz}Hgo zfSaSj5m2pc)>ulA;j;L<{kgjWsQEx$H6V~3(w2zBir1_G5}BxS#JXJ*5qq>U2)>e+yzzyC~$ z?T7Api<_mjOS?V2bInq>?v~trnCty)J83W5>$PX3r{s5HpasX%$P&+o7ynr9=^?^? z7wvtEzpsYo?xG8smlFq;8q}yjH-5}L3mz1~{ z(1?PAvPkudcMyefriusW@lIoXNnXxmdo>53%KkycQ8Lf9Z3vIEJbp4MLek)@A#C59x*xi5R5fZ1(NC8-$EO8Qg>NLBWtAYh ze+r#7JU9|jb5ISHost$*TcL6ntKbiqm@8toAia5xM_g4@Uu=TD0IeXdSVJb-<7T}Z z`vd}@yRVNuE6SMRdWY;vn;yYmG88tItS5p4g|fY6QdHE(ysih9f&j3_~$4x;f zZCZy^KoirjFs|3)I3htZAD28qzYWbW*5NMo(aF%oBo6glh?i4Nskdpu&zsmg3_^bJ z=Gxr%)287bYqBb~EU$l&JR2$FIU5|%P`Wg$K6*LBItAYl)3Nszzul12MxMZU$atjr zxwp2^Q>*Bj2Z@Hz2xdvKtU<@MYs{Em?IQ!q%@jh=^xak|%feA|?X+1!yl#%aW zymewX&cd6Mx1w}bBe#V`L(~oZFl&U%IuZAFEUoRCW-i4o?=8@PCaWd*ax{+NOP`>2 zj#r^1Tn!x5vMGWD2Wk4e!B=dgRobcwWDR&=EEf)?z2T@BcDh%dZ_-rMlF<+0d zsk^}|DSgP88tI=KFwy=J!zFQP*Qmy9pqpCYwg0cd(S-xLOI8&JI8JCSI*bImz!p%qk{|13I@^gs*jz?aEM;napy?cL8 z^n7l5=i4#BAr2Oz&kj7-wNjksg&L+Bp3~N|Pf4QjV9|goHB}GU{rdH)+AKQ` z{~V9qn}Jp03``)igtwA%A}2X?lXgkc)h+96q|*nqS+=lil_QQxMnY+8%f{Omy z$)4V@fCh`GipcPbk5vsU867V!7K%C~pVc|2V##f;5d*pmJ16nm3D0s{?V7j9pCcu0 zc8hZr%Ge60r0H8MG4!Nps1RUMw!RfjEtTP+bib^pkhNnFULs?OI(i^%6$#w6Srn<# zG{|}wK)mkK_BL!P&6@fFVR^WEFBVE%)XgLun^$-}p5y>Gbh18_M6TVNA^6E)=IX% zTut(pfA=&I??3s*d89?xFB`u8nvTu%E^%O3Xr@J8mKS%H@sq(Z`Qb|ASaFrbezM(bwz4~0w0xnlR!lcroJ+IQ!w zMwj@uN3Rn=BKP`x;C2$v(+EB8cDbe*gg+Jc`LuGs(6y#@rECiY;_tclo{0%TSGF=b zsKUFKRK6({GJ=)-vs-$`1?RK#3{qa`X|jY3-6NH{=8W&Z>z&I=w7%1gn{CK}QyDDG zWtD%`&fuC7s(wyr&v7m|d~kHO`}<;3vVB5!P~3n*GSq$i`Mq$jW&q>+Bf?EkaB9#R zztB9UJ^8YOjVNV{RcopTCoqi|M_4A!M)tIg@|Z(T9PcP5O&8ew$dM|c{TQOl-O|W0 zm8O4dcf=`E*W%c44cQ#W)tMw67<+81-(>zPBI9?czAE~teAM%T|N8@rTtn^}Z=DW_ zHI9mrFZBrfQf3d`-1^q^Eq!w7z=gKR<#iU5a@VFGIwNdhnDDs|xEW)M(pdl9&=K>G zmSdg;RC$rW@S=X)F|BTHl#YMKK$Dmz2*NrVjq7zFY|**2`B!IO9vQ!u^boCeklyx7 zv%JwjCH9!AGpP&e!0<(|dQ%j-(WCBh;v*sFm7s8vBRd^Y%v3+Q(RX&_BY~VX64q5a zKDz-T2(>t094jkt3Lf3{IHCZ37NfYs; zQyo%w!RL;7HyIRg6ewQY-hh$<=b>0CQND-E0ANRGi(xuNb1*VH@g$V2v8^^E#9t5Sq4c#NeQ=OjE|8oI1F@b#H62y5zG4 zbCZ?zEb#RJ_3(>zsDzPy?*oOXp0`s34oo~&ozCVPs^{7C*fl{)d3L*^qfv=imcd${ z(56SDL_&|Zo^~kBSys%MXejHMWbibLF?=0;EjdIdycoKxR=ynB1GMa4X=S|LZOdyg zOjvt|ZTBMW$rFaX)-HU5+ zcTXv<0fH1uinO#8cMtATyjarW65Oqn;u4@pkdWl%^F6=!&if$cKga|A-w$0kNTFzgqp2pYJ-%l?4wtYQ^)F*Q*a`Q=f*Ee>8Er#=0+SC}~Xnog{ zWY#(GkgNsrW9{7oKu}Xe9-H?ua#KOP<~eVW*G6NY?u-u%VZtYxx}r2PB%5sBdCPzI z;(D|8d-6-7Z8J31^|!-sXHp0|Mu<+5S!3=bV9Ffh4&3wk7FsB?z}55UV4U2dP4udr z-cl-GxfF>h->?MdP~{sXBpr}wxG$1C5dtk zz}-q@j@8V2Vt`}EfNB7v2T(cl!o*0EHQ%>t(qVffwN}3fU7$N7(vI*~O2|YyPCGEZ zG_D8Ao2mtq?q3-)TdKL$u^7YF-Nf5^X~}#GbH9y3Gp|!D?-8}C8p`hoB#AO-c0E@S zoEjb#bi$l|0Ero?sdDZR7*MeoZ#&cpOn-Cl^Mt7>jMOOT52kfZ@|3SNrJ~0ce4@MF zcn#^-$9-RUPq3O6!Ldqz>0_>t9!=ZBP3gJEmJ&U*cM0L#SyURSrv~!7=x>>;sc|Dp zj~B>CRVr(?7o3OKE$A$KmU2}SX(rwm4@*0yEHa;fgtH6EQueyCi5miXe+WuX)GJkG z|EB&D*b93n?pgd&UOh&T-D2vf%&Os&iFi1?Fx^IR?BnzQbTR+JYMN#{ET^$6w7>YR zWva^VaVp3{wQYrQxiOa&R$N=7tgyUl;CRU;Rk)~@TFHBUTQ||7U(~`i9OL|p`kgt6 zRDpL%iu>C8m{*XLAII?gmc@xn=(Cs5YU@pk1F<89$U>4 zkCx5u&YJ6OeB}Dr;{H0_GA2_m6RbRAVv{aGFoRktwpy;Un|kkWE$E|0%%M6zX~Twq z0olwKv8lzg(^AZ56wOpec>6`LaSX)gdZ55LsQ-1@@M$ie@F%)vpf0sFfkPh3rcL0O zX2Rh@ig_t5v2wDhi#%@QM%ig1V2SaQz_M&XzUR*KNpjKm+xQ)hoL{KxR)n|~fTn}j zi3k?$lDT+ZqVlL*nl>YxwToZx44iKlH7Dz)kV)==H;|T1*K-Dlx)7dwTp)Z)NEr^V z)IYq-+Oy=osX^e6eI%*Guqb2YQs3hS$RM1%mp}7ffh7}lsHgf{>`h$Gv0_3^5Giu; z$kKWWRlDdL`Y_&Jn1=kmUgeRj(psx|0>_o|h+-%*Zh;jIFDB%ds6Brq?+ysul!k$bTCJe;EB+!g3gx_=|@~I9umNS2jthmY_ ze56%QDI^583imxnb@A)3hb;vRjjTkda1x4aeH1$s?b!dw>YUmT= zoAJ+i3hJYaV>w3=^=P)3q7X+osO6=(mp3&=wSw%}^a$ zAuY4>8Jy-9;o6Ttyswb%&N~f+rMz^Z!F=4Mq>Wa}<|Oi*I|5av2D~oE&8yWa2#Mfh zwua9zG7joZK#yu`#nLL(B|~P$osT{gjp@tLlh5PDC zr)`T4s5)ue?G}}u%5I(49DqzZzXDh~8 zd`hp5#r8>B^+{L9`k|&8j!QdH+RZ&#G^FwKB6S%rpE2M~GWm>~UIqp+)Ezj6Hxiwc zE4Hp~qf59vcdp52kUR*mkp0HtfzU?g17)Wf=M6dzW{`ho<~hH&{g8A7e0ZHC6<*t| zN}zEHB8V(y;Mo%v=j6ztmro%k;y|o$I6*EV8pGQ%DK{^qh{wVs&(lEe1ZVV|95dkl z)8tjU3G>+c>vZ}){R^Ys;(h#UDGZEDFKn*UJJ7Zf}^JLMlibcP#^bPdOFq#l5T z(_FP%XH_TS{EFX)=sC(Qvml6(B)cKby}HT0IbGJVPk{MWu^Q!=$2*{_UnvtlcS^$9 za**rk8n=gpiC(g0zogh&^XaR@%H@LIZ+)KT35-k@5Qt9=51I(rq$(!Ld%9hpBt{q- z$~aF8)Dyx6eNL*C$?ZDxM|qfen|vZw51%&W zpuDGrs(R9@X!gFOTSDM`{$wV&Q!&`NKA&8;RWBl+oZHOeyD>f^t&~O2HqxCN8VuNe z7rVd1F=!%t`TRojt((zu7p3K54o;}`9k;5J8Tps+TJkC;{Ry=U?xo>*;`G1=ZcXL- zq&2nuFum+}hmkb0&o}0!H2OY5q9}zotfF$^E&2KvvV(}0=r+WM{)dw^c=_n%DV%Pm zN;OtFX<^sD6xC!KtJEl~JTz~7jCQA&lT-IN4NF^$V5Jxvy|)zG)HDGRuFZQ;Lj~gV zDs*4p-xG@Z_ef8Or@F?hlD5ByomOX};>8l1<{O1{(#9 zt(I}PK@Zi$%3?!d6Qwx*rmKY0T0GT^zdK)}MFgN>SL}(6V{&xw3B$HJQ$=Xat8%0O zz|q4L^eJ`FLCkHURZQMgDbpM<@dY3em=DHkWN5Pl$~h2-xZ0Dm@wX8#4VyB*Ck6Bs z<7NLGXT_(BM1k~#mMDu82FF((=^3#b!ShLTc%_L-SR8}NKJy7?DEZ#6ZX4RWHAl67 z`L(?!a&g$f(X!8K`yDA>$^!U{zXv5XanA5pcScGS!C+Fn#iIv56hjGoGe>Dz4xi~R z44Z_81_X7Rv=oQ|;Rpp5WmU7gC7-!p>Kt&teAPvE>a@GCbQj4|{c+bStnTBB3#z9( zhi>@sO^|6zkg`PaiR$SV3HQrkA`^Lor!((9wGsoD3>23lU2JjY!`cfFX!-cQ1TSKr z#(J0L)UUh$!1I+q|@LRjfAz-uaPe@ z8oQ!DOh#V>XJ`X{@7A*Bi|!tvf6wG%-u8Waa?II7WT2@dRTh*vnvEo%nALNfoEKd> z6Sb(u~DhKkIHO4>Oyk~7$#LChL;=Umt8w0N0YSCo@_oC#v^%ie0R4K=kIT-XoE zWiFmS*0L2%q^+fXQ{~vGU0cL1IZ;LL=thTFQIRJMqEa@G5mOw)Punf-dkP?gM6;e} ztifVV!sru@bUNgUd&>~jjj|ze%kb}g12ghRptn*|!|(G|SP=W1HRH=|f>-l|bC2}c zy3!M!J}#5y7?a|i{m7LU8c$>gY?Pj7+RCb%U(;ud@Y+P!qu4DX5Pi#=3vUQv2)tr2 zk9nGFcQg7vNZs|O#$IJ~$I9{BQVM6d^X2lI@)NkaHR=_wMrv_0hq0Ajt5j!O^?Z3B zNgLvMO?XDf+sZZssl&sR-reWApY?+)&WcA>T-%;+U2K!+vpggaLT0oqc?3AtvZ@(h z1V^V3b0o(-1Jz4iNwg~M!xrB*r*ne7t4#i`K{%=hJ-j#Zw^+lNM`tp#v{Qu_{GTjM zCw3_xODGY%6LfRWwbz-9cVOG`{mx-c++hyyFgVven1i$a~o-VsQV!-$^#HA}si6(QQWI$1%lgMfuf?cxRoHT*2|^3A0$ zZomw2e{OYp@|03PSW_)iFiQb{hMh~em-}6VNJ?994uvfrhj9Mu#!xp3L1~-2Be%-L zEmiCPh+Z$~Jmzf))cX3Cde?uV)$=^ED5#@wut&GaxB8c4mkb4H?5>~NpeEtxh8NEv z5tHvHP0iMxh2+|-po;`8zl~CDpsXA0RN~v=d=n zboyV(=x_LUJC@u;ic}RtO^Iodk8k**6+EL-#yK2kqLfktWcCyzI4xCm+CQZEz9HAS zK;$@075E*Yh^Fsie8fhR-}sgP$f0o1*V33L|MI;>dra_2Nuz~&*)Tw7Hr{iIRp!nI z2_SJc_d7e<5=rO#lA3jdb37^CTSgLOWf~~eEhEgs_ePSt0?P%y?XUI_d^>;vWjJht zPZF7z%wbJEp88vqcw->tZ6JlYksRmvp<-aI^TC(B;wzsgt$vMUpmd6*m+y?)L%At= zsI=0F-nTcwbJBJuop;%ZT<0~cCCVhFOAphqnfDpB*cH>Kmz>_Zzb>lfF{BQeNn%=< zDCym*)`KXOtt$FCcMvMAA`fym_sm2!>c9HaP$8$NOAmr%%{0`^RIM-P7x~2OiOP);dran88(&8`}XzwO(u-3t9g)V@50 zN3kG#w@Sq&@G;t#E{)xLKU`BF7p}HP5JL7&Ywtlax@Pp*YwDgK53JRNjg_PtskqZk z2f|P3?KH&ce{@QIkE7psw$$s(MtpJ7P#@>&%x^q?EZ{_nY`0*j`IyLGUSMrnwR}OV zxsZ0)@eGuf`&2lawD-q(xsrEwE~8$j+|#*z_44&7}rxi#aDbSLPcN(h;)KP+= z=$V0HFx&C;h4m`&ZKT1J>_LCad2eT^5If>mrA(@Jl5q!1KPwL=Mv1q0sVd4qa^E!c zkbTUW?!80%QJnW)huD0V#RRxAiv2T4AU##PCgKe78MZNNDy zY`ffC-lnzqnd##1QrWCj*K(Dr{ii90Cvyx_f@QJBj|Hc?fHS|I+y`lb-!UwA=HhRc zG2n+l`-8KCCI7e8k~LQXW!<5}-w zl&Wb<8eX6CJCN}3+rpcjyA5o*1@oP=6Rf;qZFr9o-Z7wke@Xa`(rv%eur}v;5U*z& zGRS~-JQ651LH|H_{PwgU{_NJA^{wVDCkmkwyd8<*Uk=K`FZRt;Q6ERQdSyLKTlv}J z7~&H72MfA>JQ4XTBQU$}(=DyZYRI$u4o$0Av+0x8H32s>8sN0`|ISnr?jdd-Y}@hD z1l12qnxLi(#$WePL_dh(t6vI!5H9LGui+9{Vw-m#^(@+h>01jQacaUlX)gb|7*M?` z``i65yv~|YjbUKa$?29d1AWm{dYyyX7>OHANmJ+N8(zz`Dcg(L2p-3DYx1Iz&?@Sb zl3%h56eAPBZ@C)zbB6s7namv%K<~P!(Rrh7kuve`gBfGAR|2M=HA#2%vQXAGkCY4W zbFeJXes@iI&utgTyP0WQYo-#`_I^*wHV~hn3lM%6;?H5W#^tx1bnNzBh2}Og&1?DS zyFYJ^D|H*(4)mGCCt9WS{;Wr@WUaUY;ol|<_4@f6rQj$ILmA~v=4bB}nsWsesY>29 z?L6gl<&U9cEq@!Glq2)pD84@bjgxAoukYAX(~95>R_zYy{voTo4pT*Zi3uYtFD_mr ze;Mb5>UffK49ODFgbF5;kPH`ltMGHem7?(i7{DS z?c306^VA8kmuwU}B;|x&LcWnEgo2qFzM&ma&Qm_G*zk!B{X#i;^JRJ@3+@X33At`?}Y?u4hHRn z1Ro1#`acoOt~V?ZdJJ|A&E_pTys=))eG+6z2lBn!pi_KzBOo+{Ysq zbUEGbP6_;tso5;;Jv8=d!$7aNTk@H)O`C0d32Nzrkjyf5s>xf6qH12$Ri9Ucq29NX zAiTSY;a7{eMQWfK-r)ZHVnA1%*!A)bU*m9y$|Gsx(9G<;j;9PR`G{(mo5(3RHcKVF z&0>sB*B-E)ub-vhD3>_8zZ`{fBb6E%H-ClqcvKuR{&c8)O!g_^RisrM<^tO*Re{!xIj^2?fpLM@rFNy^HwU2e@p3H73gn2GJmPhNtDKS0n)7hf0^qA7#cM|nf`?6Y zkM?%{5{wpjEL2!lu*exgGTGYROa`vt#%{{gU@6`Dm&T;Hr#IA%(n6+;C%reXP(tMqimbMaa={R@DOx6)0uM6 zVu2K;wX9x~q@wb9QFFV!`{zX9d7#5Y)11i>!CEqgmzdw#UG4q85*DTAquXW~Wrc)+RdCy zrJd8|C@$r`ecl5!AZioej@j)EP77Z`j*oOsn4r85O0^46ZXN|z(2M4$3_C&rO;q`U z{$n5CwlD2}y=m9VpeuyQ{`IjXFj6(^J6Uq=Yl=&P!TQO!7nWpH@{2G0`^Y_+vjWQ3 z3_6?nV`M*Mau1Vm)IBoUMK3y}8DBqfcCTODcI-anerelslS{tUb?=g-L9Mk#@V_NE z5H%+YGGlt&xVOwAS)_tElyUG?SH7&k$R2+ERJs%w)UO*Z&VDKZ*{! zX+IQ-`W?GVQYEGmeBw7W|GhdKP0AK(twL*Sp%|Y70wJkLF$S`fm1BX36wW1 zo~1>l&B@&7FAZsaGw#S*codLgaoa)_N z+tgvjZ4MAw^Kn(*KQmsRHr^R5MNI)p^+miFuXzuH8z)E9(j^sN(RaQgi{0lHiN+sA z8Iom{N-cgi@mB9y5Ob^{J%8=sLx8xge=Uusy{0v>*Lkz{)6W_Df!}H{3`;ZbE83g* zH;0^*dz75T$l8(K`(++Q@~q7WrC?_n37KR`%e|(kFWSt-$amlI+Gy=33{eopEcp-I z@<>8au2R%&3J3%^(qEE)s`||~`_mgoMY{}Q_%(*-cX<@iCtBD~=4Lj=bu)CYIl*f+ zG&8i6cJhUvdhw}=IH1U1-X=5jcGPxt6k$UwQ+U>_0`qs&yje1zpba9b&JFHvK6BtJ z=g*f>?xA|s^?X}ZZ;jgw%xj~nzQAqv!&Hx=4`hMz&rE2yGo%=JG}EML(YdcdO?qE4 z#%N}nZkQfq0tK1a6BK4xiSY9TRE$d?C@=Kf0GXt#z((CD|!;6Os`K|Gagr zTx~U_gYG7=wWk$4r^BqX*}2sM_lC9II4pfC>!fJ)-4&(kx;JOCBMb9m(c)FKhwgQ& z(R+F2xxd>G_mS>Wh+%qn0*<}4^1@5FBoNO)~>6-Z2S(gps zGONwaX{T)yjaZV_^zl8B4Od^a5J|2A*`gXI*D*-4f zopkWm{2SLUL>PP6zQStiEHN6ox+`FsSpAeiCCkVL-A#|*Z^w27s*tZdiMPK!r zhVRMzG6Z_A5;nCQ&IaBakbJ&b2fRa<*P@7E9I83Namnbz_X=-qNgxIMcPQ~Dgvyev zKT~{)O8J@yg@w@KWA0j^Z;yN?WhRqc9GgHbXMX8{1-W-wr8*GR`EI@b;6mY;@3rK@ zmN66#Pmo-Jya_ct(L--RO)8qdOgLxshbL*<9$GGPaw=G!jB|=NrS|#iZ^yLUOL5;4 z`W9ZASdNp|E*i-RuQAw#=TGWSKpR;<9sbULM-kQL!9JNG{H938TkO#SjZ46ZGIo$R zc9P6JpBCHA3tIA)UO%@`h|vsSh?@;iPz3_nKveDl!HB)kQf7bp^i@Mjk1jgsHdTGI zH&;`{vAlbyr@+tKB+f^nCah*G+$Bs@+CoM~g*5R9XyOp% z)2QC(GTpf9{gx#8bbfXyMd|}|QYFZeB(u1#pwhh_w z+8H_zk_&JCLjU@4S9J%Xn?6Y6GLIR-2BN#0nqC;5tH9zap*th`%yIflre!(7#1~Vp z`iC8@^b&89!$n=cgU(W&*DrciD(-0as39Xpd54_qUhUBC&!e<~W1=6Z>&}d*c*24DG5m^72 z#WcF;P705?#R*;CrOuM-1igjMKNJGNdCp?CmGWh-oLq3Heu6ge0W`VDbcj2~ck%L7 z`SXT@?7yx`8KLyW5~oknB4<^;az7MuKV5yP2>RzOSN-D0D~JEw_=!*STl=jfNOEgu8r-48Vw;SRE?PX z3C(lmNVlKtQ}6%9-0!!s?NpP9q2`SGcW+`Tys6sa$;Mk{1?u&_^?T205wyOX3M-$L zPRDlZFMsa6c(26S_b^UwS)BDtIAcKR;V)^oqI3Yu;N!h7)3g)bUp_KGenePWOjr(6 z(7idfq+2C=uT((>fF`EDKXD)KgzkGtC3|3S^7J6sfsBAcc; z!i;7vxyAZWq4{}f+0k7iW5okh4vz7Eu9Xvwe0LYCb8jt%G<>nENJ9T=xn&oc2b*(bMB_WYg^`S=^!9s!%f&?wqEE^^Z-gxLE9?DiE;(A`#GvI#b<+l8ADOQ! zS6*(WE>2IC1*)Ny=MQq2oNFZIwmpq`?_s$`ygT`Fzv!*Wv8WF;y@gvmTJrn+BIEeM zZVYf<_w<3F|#StOT~+nx&wWW#Mx@+NDn1smSl;*L9Eb`wYk~`d)te z`TfF%TcW44lYyP+%*X#+K)2-A&`t&7PRme@xe0s{Kmg08kBI|(WQsu%hF|Z9tEQKV{ETzXi+((wsLmT}SV8}?hz>oSlohL;=diFyg|gFVh@uNnvV073 z)ym42uJAn3!c#bpmhi{^Gt&AHr|5YG<|7>3mHi)(%#>n1A^eBmBKmU-U%{tGfdqey zxM+8F-^WYel`=lA$oM~oQtvxZ+ChTw3~~Apf61l(lFR<3rk8g!iTPu3d!c$9o0zrO z9g<#Hauz{g^I-mziGzGx?)ptA?Uv>wo2kh62yK)ZT8P%42RUqvx}Za z)#pl7;4cSxh|IFK2zv5s8~n%53-okyRvEYof1Hy+z{2B&xr;xTO_T0%_UTiJ%iJgW zm2F4LUwv{$*z}9=(HCD^a52ABeFbzW3dh50VPs`0Jo03sA*p85YI&(~bXcn|QU#sD zbKYgyNn9h6x;~w~x%IRvjRu;2k4OL4hM9P{@kTk`3Xgqpk-voFpZWTxi|Is7ur>kD zSyDoL5-EF}(k_psf@uW+4V34tJ8x6cy0O4uo64jy6c$cBBi&f{W5JSh2&^?PuThSs zHki{%+OMsAt!n9A-zpSyn^E$t+oy9=jA_T`W6V+Je>I7%HbSgimeult8JX|uABSU; zp3$6_4$FQs`a7n{kWKaf9}(w^=Vh$T&4*cjI|*)<>Yjubj9u-Kl3ALrtUi?~)hek! zJbDFO3DY#b9LBl?yCi9B-J$7nfLIu0*N4j6-c7NeoarOBJp!mhx%Tp3Ni2QrzV||< z(7aOfkI|6E#(%?ow;$V7>?q!F`jb~Z3%G2OFFoQd{`k{(Qsr02+stKC9;#!Lt*=e@ zJ{m6!Qg(x?zuz6M(0Y=fzcN_H-h6$y(RFxcxUA9;HJ#4IS77MRIxFn+=++UY@$~>h zzX1_ReVWFSY(ZOQAR(`Exd=_0IaIOW-^EzC9r;=0{)x}UkUPR=@aCeN$TPZI+e9dmmC8}mJgb^^YIC}ow;7|{5M8( zhBxof*b;6gCuPN~9+)rGNgR(UzLe`^9B4W+09141?=dhCY(0eJNq{ zS71*6uH~{JUPcfFYHk>D5e{EP;bI>`E-!GmL0v{SOi<>ZaNNm20B-#ffE!&ian0kW zT;09IUHJv2uXV}c%G~NOTMIt9eedNW{vPA5E&`xkf#~FMI1YpRqwMrOlrv-@GPxwZ z>vBIWC?(xLCjD&0IpO9T6ns5P1}T)D;yfp*fa9X-;OOmTev$cqwOuLN3T+VrHFe43 zL$$Eti>KR75yE@77)Tlvc7?5jm42Mq`xJ>Ky!l62vB;0W+H3oN(2Wl|PM7&T>F=$X zgIR-IE?(|!RTst|V~$2IkH^;{7@06f$jioRZMWI6AJ@t02ar4aTCV<#6k|Q26!jHvoML0DD^ng<)oO zAy?DmqgbAe;f3)ex+htj&x@?nQ$&Q13J9OKd=g|y~HB8=q$fRw)G!= ziXbk>{0>3)#7A}lchY-b{a*M@cysC`2kDu}_e#L4}eS6yF730&%ODaqqUGY9aBJ^!dT`=CZNm1XO(ppedhE&+1rQv_;-A9 zA^g{xa7|o9P4zRt#m-E4cE6~1%655YgBIJJa@cn}9BVMUs$qTQCN15S`{QJ^lKYjNx3^JYMD*OCq z>l)dD6-nOT#G?7}>)xF+DEQy#4j=@5oxQ>N8+@%|H9GWXcgljawv>dq@>+C-hU@evkWzN^LW}!%jjw7h6Sz}P4%8G!@Q$ z-y&R2rzsA+AEW4W@sB)k$AtictLSvYmqjr6<2F!sC8dbWZRcO{96vTqind zbhLKq_{-t8(Zc8O^VPsVTNd=@j*rQ8FVZ$jwuG1odN&tVP+nE4ldY&a!Xv5fR~gA5dy1jZXLWCk|B%I~ZRL<2VW?o6{bZCLWt2{hGq&sYH(&0eG`OntCFkQQ!^Mz+{AdRF{N&@3x;W&3i2}X zCT+VrAp_ZLI@15B&tDXuxAb}s3_NP1=V!CYX5VoV%k8IBt#^3SrpXXg*?REQ&aK{1 z>8zx5X#hl^S``;?9_iGz(G5KQ9`ux)77d)OgDSuJx7!w?U^=wkN#o(W?cI-*`k=NcOz%#vghNCizePx-c64oY zw|{{8_m=8Im6p-bN!<^p%M#uS*&JV?(3i0eQ1)QplIHTX>PdH+Ytx3&yT75G!-er~ z=&`qQNvJPkzbEHcXiZ9uv)A5e#*R^M5so??6|ax#%62s7OtYYx$@!PAoow!$R~|^) z{KQ@f|6*ILb;*L_{*D0Q*L`o}&WlL3FHocW*E_=13>Y-#+7ud!N(N|@PC1Z4S6Dp52Wl#(L*+PIr19big;EWADGH zyxl^lzb7LyR69$SDcAhv3pKTwQH~S8;;w`WpOrKS!6icpgKQtBU7kO`Z>(aioxK8| z5Edl$*oQcye~-L~Zz}|}Wb(QJhw_mUTn0vrcQbf73roz#24G?T5bvn4o0b4A_u);#yYXbKUB}Rk5qHCJ`990OWwRLevWi!WSV#b0X27rF;_mC<^O9f1-PYGZ z*})EI?;tBn^nYkSmg5G!P1i}m=lApYXCthEle-k~dt+hYfWpsJvlzsYj9-YMs=M*ppjLoTSuuX}be z9RSQ<5DWOULk?G@55W$s;Wnw^SGVc7RVHk_90r{XVLWbFt2)d^SDz{I^NY@(!L!lS z0PK0=8umsU3O*Z=yRAREDQH5i*2xx02OTi=Gp>cA`_{0_5Oiyf0x#2)r>d1XlST0L zG%oN#2mX$NV-5%*_nZo-Z)Gv{1 zxa*EJTpj^V@G|*=gTH8w%Gm+hYQ*O1njc3vdSQgrl@tC8str%-NnZ=OXyk|A+=521jCf0i+)}3%}^P%{>PMTjInO>)oF5_+Hs&p2jiX*5UpN6f~PGL zgNfZT&kM{ubv8cBnd!Fimr4!#q!nLni0pT<2he|8=x>mlS%gU~#-u!fppVLC!gUA^ zbHOm0mUKgE$0K5ixcdH1iMTE~#Hr93=c9@`@g9Czyb#gVRgpvYK(WWi^HT9e%OiW{ zvc=EO*|e8SpU=Y3*Zkn?DgXpc4G+4;>*5PjIJN_~%@4T@*ae@CxFFk@Ua4Z4rlZv33Yf{-%Rz14wDmY`S5h6EY2T9?$ExlvSRVnp9JNyGhs<;UmMTYi*DmE`_~z zKRa3xgpY`IP5d0bf1mQ2@k}%8HTR`Ik;*78DQO!lnP|v>dqXSEnsmKoT4@V;!r)e8 z(Ug^DNMF`8PA|{?Xbub;5Z=;yTplmkh6>2zEHjWkV?Yo3V8({(S`>}do+`VE$R6VXny)|?@Xah)6_6!a*Cwc0aQ%Est~_W|i()1nY&MYy{HRG>of2x z6hEGwpb-DhbHX;5r&7VSLZXu((}M|@(tlIB zmVTtjw9(5LR!l~SLClqovfPTZO2y6ee9k%6j~_N zhy}uKZpS!bb!qcm3kmVQ+qh22Hr>jN3&dT)@Q{CfaO?#DyUC9m<%eJY1we7K*SFIE z@EH;aHj71oPUdGXX9kav5xQ-2&)jCoxHJ57KIG$c9Sp~dJ275>O>B-<&D0p;xsu$T zH(Se4>55TT&mCM3o4u&k3Y4Oosd#{fRt1f#8Q3e)b7Kmc(P`X{*R{YMB&#@L$&=SC z;`z#I&mEz6I17GjWoC(By+Y4bN@~l#UW62BiF!L$3i03ik?Mxt3{5}D)S=%E8F;WO z`G+OL>~tvp{K_S>><{C9Cy0CI`foC>U6ScvV9L21ZtnnxJotACfQ|9v#hhxR;LWAn zEk9ts-8no6X6_goh;DE3A2i7b>9S{t4%(g{ynUVtfFEsyM5W3m=PSn9@Gs4ua3p_z z2J+}BtLeIKdg3rO5ekD_s$Ul>*7{FQlLi{8Y$g$?pK>D+zmL&sl-_Yt$`nb-okpF) z_PK)r5-Dm*ZF(dQQ+j-q|9#+|l0^2;R;?!2nSK^>;gD!4^>cHVyFVc)Q1w=;RMwi4 z(9N^j)|dbLzs*c)jxIcchJ} zX`am%jAlv%9_sznQLWF#SxB&y1*Yqt$rNp`%7dkA)SnbSX&-xCJ`<8!d2o&@X{qH% zJPCaVjzs_yubpR4gUqQc$O9cw#(uJ^C-;p{)sCDbH}%FtT>lPF7ld8sOZY`S+&0V} z12A47>HzVEDGwk1#*5HPVZ3F!ub^W(o8*6h43TDhCf$|0w|cFhd7bibfg!IMpVhX< zOGnS(=j>LbIC@=smQzO-qnExOl{=Ri2CY-Wgq;X4>-mqs;||a2LVItk#I~|m2g$BQ z39q+o*LFun1`;YykIA@j4cWK)Y3aI}WWTWWW&F@gpL3ivg|De>`s%vGf}COO&A9aa zJk1##Hvb0TU$({t3;ED2ve4@I9hiB`OQkXbOs70pTUwllH>*}d!i%uhsP&WegEfcN zn|e*uh3<^^MLgvD&A=fHi_8nfEPM3c3|w1cHf}>P6k+9%q}(b9Gt-6cW_nbp3C;{w z2kEc};QSfuvGpNU5T3PpIrWb>16vyhOis@KL#BH|e|UKjPhp1XIAq-iUI2H<51_kI zH|*Q(H|(ea?AOp*>?D^_2`zgz>7lbZ()q6*=L7!fp4!b2~z>q7{_Y|Q+{|g6|&B=!RBkuB+icq zAbVjB-pPKmD~?jaQC&cFF-|j(*(k8b^=Sph7J&Q(8}Zyr9>ey+L=0!-Mh}sicG4$Q z!{VdQkc~q)hw(`mI$|kmPwxC+aXH-zH-ut6hq|vhsA3&}XAr`@&2*a0^waNkDeoYe zy4QV+)c7m{XJv;e)+fT$=Wlpvqt00fFe>pku}fXx*L=GHTled9(W+$E@#hmj)et#v zA?J32C=GjJtdyMZj+{flmFsT^C~kMH&G4t`q1-v)#j)>3o|v*Q0fDRHeeT7$kj` zIp=3yyI@+&&U+ru&2amyb82pJ?~Q?!ygR2;(oTG$U$<{4oAX{c+&c91Rs|*>gYt{ zjJO$>AdM5mST2&+z2t=;>RxxS+q8foLN@+P3UJ|kr!pvTt?l3Ks~)V%bXXJ9=>f^9 zW$55MDs-{2Cy;et4#q z9a{1(v@R*Z+k(}AYvXMbH>~||r8xoYmZH?q3*3W%jkUgJ4A-|n6V_@Z>-@y^9k#iPKjsG4QV^w5rf0kiRg3{NXkl5^3jySF zIis^_YP}w1wZ^eO)`OVCvYB$2Dzn$DP@DVW4DG*e*GqL-m*THSe_c|nd18GQL(%5k zf4F7Nmw9*LsQUn^-PUC#2xEfj_q9>7Uhn}lGF19-oMizz&;v#Qd$C`D;*hq(16z2{ z5H^3!Qvuh^bhV@aUk%5y%VojyAsOeG^wnFCSk}p(@?Y*$uh*SqCjnxc+-)S#y{(vm zc0a!?Mztt6v=M6mgytW~)OtI%+n3w$q*aR6YyJ%|HW;$7 zxJL~KUizL*FBv>OTg#T;fty-YkzHTGsQhuEnoO_R;I)i+2)XUDBzQJ1S|0KLaP`(v zZFF7S=u@z86_aD%@Nx7fE{xOHc1icO!(>UvBj~keJ`N5gSQIS^eot3$LKtri$*kB^0GQ zB{|n8x~urjd|~&XE7KRU{Jry9A}HMJ+&lDg>2IHQ!r7fUYVmZ7D%$g!X8bzv&iVUx z!9WtSK#==eDEkS}bMR3rUk?0a<67{&*VrrwUw4pC`)PDNgI7DF=JpN&I^W!dmj3!) z|L~NwG=ZG^o`HA1IlUda$n*ChVkdNQG;u%Wdws{_gE)@&a=ug(EMMxI88zii%#EI4 ztF+Cs_*}kF9@DYwDLCZEYGQrs{u%9=K1F(`=R+cvuj^k@NfpTsHv-hE`{xG{H`7fa zcfcB(lXB2CbEv^eM>g{`htX{dQght!#sqbZ7?EV)dT9lE<#w+G5vJgy*dkFcSUPKC z$CgRxAJ!IAxm!z9F0azPm29&K1!qI@bA7km;fw=_{(m=BIaNWc7r($(zq?Mt?p?csFs$e8K*3 zY>lyeYP)KQ=4P}+lGCz07ztnaOFuXcV;>7C`1?t;Y3XRIKv~9k5edK81ijhDt#&rMKHi%4hWnmh zF#nBNEm&L`k6mwRWJXIW=sD@1pCg@*AGjotC!lh%-ipVHP;}aF`~7sQIe-%NkTl_w z^Vj|n|LGS4GPLL9ao+b&^yA|Y!_!NjU72o+HP%4|nOp5k&!;qobr}oar!>NX^Ihi9 zTkVCh(2PvSaZO6DU%#y94}5N)-vVUP$#YM}Uf2Bf!a20^>mCVb#;(Y)Dfk=N7MB%0 z4}C<)KQaFudK`X=TPc5;r85|*!gcDEjyQ_!}Y@>dmro%eI3bN z=R3E%q(`fZ3PKmV&np5b=Fr~3fA-G@vpUcZYqfVAKP_apd}7s zgh-RoP+pkiyh#&haG0s@CS%ak2-i8zInFS77(@Bz_C9 z6^*4V^9>O!^*)!0h|q;we&&;7L4I%g5lDskQ+f$MZcPtxV! zYu=k%xLf$$iKtkHI<9j1kJPg{l3ir~&nvi_(nDrisB(nxN5TM`GA!05;$gBn8iyTN z0MSpZe7j=dBZ>Cu%!42NA~b$sT7;?cV#6-ObpFuK6T4V{*uW-c)A%*%e$eAlA21bz zsG*dIU&^VhjU|DJsije+dTvsc8aIFPB)GpA%#Oebm+V?TV`XLb8-^ zfZDh>C^>3j&XiyNeL7q0MRBXak;YDMXxVQTpw zyr3H5pDBL@{Ww)DRt4~--q@fm#EQh^d^NuBXl8^44ZKCv zFXu*^ReUy@D9I)6_(Yx?I$68lXne{nYD<;nwW~WX+P8uGv$fANKxl!1gjX%n&>H$w~U0iuN4hb*F*Rd%+yqZI^Cd916W& z3+ud}CRu)Lm3{hgIcU+FF@dvxHq#jj%`woxfP#{V2TLCtZ}w!eWEL6D?S5_%1jasH z_=RloVdy6p&_8{7`BZXmY*+mk^X4x7r$F!*#$X4rP0 zNfx5xci<$p`_lc?)6K29TK65Ul$+P$p-|V!u$hlj!8xW6By#1LGp{xZk#o7cbE!$Q z_14@>t9S=h@b~BK^nObE#uW&K`Xyag>f520DvkY+Vf}Z?GnD7>mO^!aplm{XV*BF5 zSYE~b(`AlepA&uj!-eg6#CQR0c5mD3OlS%;_OM?3iAkR@5am*O#ixvPTn2cv|cdX;PU((b=_6_!WZD6G;u*BPExh?R{I8U?sJ~;nzq9* zyu-9^Y_QB*$tLO9hz>=Fe@d%6CLLaS5m30r4MzO?vvs?bYpOQG35(FS z4me9COdo;hJbf^|CjU2>?N>wH1Qb^ref4o8 zO*8yf(cvy`PDW9}<95m#hDxli0uiEgg^FykAb>+5=@%s0vCm{_7bcAb&8$^p>2Qj!oaU4`tTN%F+Lgg1eOcpJ(X zcK+P9Qu3s-l03FZ0(V&Q;G}-SNrc>bM))0l)Vp*a(tvK|m$O5~YnEQCy%vfaW(Jyr z?_65>ZuDxA(WVC@)z^g;x~JA79jQQJkLC;x5tQIDi`F>_8R|%~kh$>nj3_#s7eu$B z!$`4R+lzjxW#f}(wkf#WzZ(gvEKZ-E0~qSPfN9sSZ8t>#*T;!ijpe8W0)PI4V#fg2)y===}s6}G3|Du8|iy^V-% z8j;bKPbb661nuzrpt$11F4O$4I)Tgldb$$ECoprqrlKS`$xIwmdY!vS=?cA+zVH3| z9IlaPg?@(wNm6a%)R@3`^nNr32Zl;2@8u7s{Yg1d9uaxhsz#3LUA?!`p7OmnTW|Mu z*!QGVjR{(MmD9J?%*96OP1Or4E5=vKm`6~;A;oKEzgSQnxm}`PygUa=1tCr|iY_bO z7vvUpWQQog6=msOZ@rK3{X1<*>SYxd;$MU2VJVu>sgec@6Py8N5`F*_&Vkn=H79}^ zOCGOmeYI`C+xJbZAvwDp1yF|K(rd@841}&oSj`!X7m85PEX@51`A>zYFpG{O(`uC>EZX{%;EmLeH z&dqhxb6XYD zWa4?7lxrM=F<=H+P$_@JHafz3=-Xq5q^eBf;~;#sc$S`(bMHyXdDh} zp)A70b;#T~rSYhfGl^S+*0kdyZS?qWA2qCwJ#Q#_=ZVo&ru-||w|&~oH*R?mDd1pbnJN$D+a zlxE?EgBN&}J9@=ZEQ{wT=M?FWllL*eTvcO~?LGJ$2P4+R?bRC1AGU=o)dXeOU$BP8}@X&}f3WjG3eM_R*)0mB|GE zye@aMi3dM)A;F$=`HXEkCy2EdCzM=CMKIQbE2n~&^+!p z%W5H7b9){W67jY^M1fnL%j&{Zv@}2XJ0pp+Q9Y|8L2X9Oi`YZKlAZdnlTH1bKR9X9 zgwvNb56TAMRT?ybRyIR8QG~hYtX46ILeIkw%4cIg9k@6Q%yHp0r7BZe` z))z+i@F=M*7h8io_X^)Gb~7o6F{jI)66sc~ruUUwGYCY!YmI&vS^IBcY`z*bucgh! zMhUM_Vj#-mBh_PU3)hRE2dP7#Y<3-DS{o{}xc=^dSyBBK@6qC)*UtE<#_8Mw)sF_K z52ShaEphcnv@=|9>a9#Zm@}Hp3a^D*;9WcyFKH2SaOlS>rEvS8X>q}`Mq|LWU!>7mlF1I^s&+{x16avuf^)>YOMHhX<0@Mcd1PvX`2QiJjgA* zZvWW(fB!_Zw6-3E!?JaFGI`QOkJxtVCiM=YufhEMjsz4^MTKok0k9$=8vC$;g&^No zfp{ zFyek+I5w2MU#J_+?W{AI6l{E+Wy0%lB?jpY771UtM5uH5o431Lp+_R})zbOz)g3i# z25)m0$8m5JnSC@N>03Omf$&={4#j=LjLB+`(gPv}?%`bOJAMwVnc-!oa*c`+O-(*A zH~AnSHo@Bg1SevSqp8JpH0x&6^nK%2Z>$4(%hAZtE*kUEL)MrW?9DJK_p6|YXJ(zq z@){gtZ7&=!UgVLHTI%XXu1Q zX*9cl5LM%VUL}Vginmr(vVCWC2x6xp-T7I$#HlErdyRA|6LX~0VK#VOJOLAms_Ujf z9>JM(wW_8R5G4;myS+4AkRa9&+5?;veY^HX*5;3@kce)Vyg+ku?G$rcXCK|~8yGb& z+8d;d@${N75DFpd`z~Q$YnTOS86rd|+wwi$$e4`M%%x@Kdv-+q~4I z=1j%b|A2KHi^%_Vo25fp%0%~W)}SQC$3Q)jok}lrTC)K5aX_Qj4gRCxw@s~GDpbU= zrnc#%L@uN1a@lr3bA?43)=b1T=ZLfLZgLaRY1N|gnR3E);yQYD*a0Y1vGXUM&q;2O zFhu!m3(R8ZcD|QA`SndQn4R>!`eaPKZC3kEK$OaeNm#7DAB<_(D|Go)wLps6CcO7R2yIb-)kjg_^NGOd=WAXy_j zg*ztf#G{6SV_60XTr_Yq_ZvqR#XuZK@5a_o9($vtBdy@zou(WTc%)Ax#k|JOB=aoo zl6*r*#B4OrOp&!4tVoNJ*2xw#Zk9;-_r5BGUMKnNA}o5-CGBwPEr)8$Yr znu7Gjg+vdc;G>ssyJ^~cKr^si>VPM71-U+_+2oYWy3wqNJ2*eMJqWT028I%gByDcw z)3nY(rpC|+F5yGEX_^ggzCgQ3W>eN6lGuXZ{p=w=z&o*w$v|em^jopw>$koz&wnlS zSI5(!{(&-qHPnLPN||nIjOOjP6iX?iSu)_7wGWMcH6z5r*Zju&yPxLp$?m{_kH8XK z>ZOpy5-f8H88C-`m+qN(L9&GLe%&XseVuP^t+5O@8SiS`|J_SnOnT_A#eKf?r|neN zBhi%PL)=+1)qH}l{dI6+UgL|r-958iu^_$xX=w22+XkudaSm*+`NF26YR_}2R6&JZ z+@(JviC;f1yTNLrf{ckAG%CG`U7ljMguicns4E%^rE^kr;K7cmh|ibX6t*&SFH!rY z!=s|$vxgM}zXhjHj!ha30a&Qu>FRm$3jX#v!dp(-`WKZJmGK<1tl`bu5||@^=E^3> zXy)FWC>!@w*~b^}E%oaPGs=#|N;8HgJ#fXyxNLBuQ4*5%mwop>zbeWYKM+zO4lMR- z+e5=+!zKB*pZB-`2(xRqj~(;17IxKYmxfwwpB? za&Y*=4N}E2?kwtiTeWG|4zip1M$<~0Q+^a9i}!NtJF-( zVt43SPVhc}by;6zY%|Mo#jkkB&LR=KtrPw{BVei}e0;|)Uq-u1jH0wWuj39rO;5y0 zAYc%7La*Lkw2b|MVplUhLjFXD-A-+lrm2@{^>V*xZj2s0@>+ZDn!ZNY?t(>Vmu~WN z_;^$!HPHN!rJbsb@R;b<(vB^3D>q^Z~Yb{A>ljH^#!eVm{=haZ4A= zh1SvX9v&T%Be|X9#Chm;Q!nh{1!6Y+0Xx9 zwXv|RYg;H$Y&`|%YAKz49e5K+9w<8!FIb%ATt8ZZ6iTnlAPp?(L(NOQvT6A|{gXcV z7C%sS{s;9jUH3#3KHj@*{fm%+#F)Yb0bSb}+C?Tc`u;>bDYjqNpGtM=aan-ZpVI$7 zYGZQS*S|K*mXu-yQ#g*-^NKYZJuZ6lV4!T4;={IJ4}Eev>Gh{RF*NNgh))a0Qge1k z&tkfW>Bf%Fqp24#$^Ng(=%Jq+YlVCZGtIA3CUT%tzqTaVD{n@zV>VcMOwr%d9z9bt z=;lol#9SdQyN*NmqBz>Veo(YK!$FVl{lD9;@=x#D;IM=K0a2faPs@pE(sWRVJ*^5p(| zB17gD9q9G`e-9jKz3{^lU0Ag-2!W=%)hbH`R&$dGoaH(?H>ojcTTd$F@#{q-E_$=J z$o)qwNA1A)2htM5Wk|dKuz!>;W}s|pO3i{!wAC`^H)JgxPb)5qqo~)NX+Bqblr(yO z@jB6i_|EuGL^T9y@n*C6vnz>eEOL9a;4e?SVdkk#3#DU@bgX5TO1kC_m-Oehp1s_o zXM~Qa9M{KD>dJnO#gY1nn|2RRHZl_b4O(S@7aXYQ!nY&1%im*360Nq3Nru)H<><*N zjS!Dw&OBu#1$F#(=5@{_d#U|SHfU~h7K)|R3HT=%+Ts={TRkiB8XkOOz>DAvfj3IM zx{f+Nf9|kWG_xS3URv}H;7p>*Vnw1l^MihpwTa=zC2O~MCFy~lu7$Of(t;%Va6sbq zgY@9_C&mBX_&EBJ38Q&`81a8~MQ=;wJ2b)s)H|ebCG^(<{RHNm)n7_*3#kn?xWBt3f!c`i&l(_-+Wry z4xqw>>88df!?KJf%*u^q$;5#l8n2J3`nzIAbHiLKpON3N?Ij2$)oyv0yGX$en*{1t-zW6JT}Kml9w*x!frP^9Ei4qKK_cz zvubUK>`Nfbl!TkD#Jm5>U=4(SQbe>t17U9k1)OR+>~rL*$T~N4*wQliQdrYd(9Y4% zGn?J@Lp8;XQ4N&OPwfqi;9^m(5ZDxDHbBIj*VCRLDwdij-A!d|LwvDm)+ZpnJiCjQ zxWUi79o{3Ee41#FgIAMVFfTz)lEdM7)}%t9S}2t+AGd1XaQtiZFty#U=4f27#0Oo5 z!q~V_cHGk+zF97t+BQ3s6+qF^(PuweubSP#2eCa?&91rBkQMv!#*5M|Tj`wveWj5X z%z{*49srItVzG8ELfIccL0on|*3cpm z=M$WCtrI@ZWZR-si?)po9iVf0H4wc>svw3HxNy2IuLWUnF~|KP7$9K$&*CWBdM0KS zMiDoUho3Yaq6kY$6<`1nv*BZ!6j1w%vjxHnaP6v#qxu1Nqi!thCk1 z-mGDRb4|)|q_J*YJRXj=TZfM^o7{Y9RaI#zH|y{3H>S|u_)hXE|V>UGLr z!RYgRe4e9oS-+m2hZi;8{*w-Kk+3TNH=kYJom9Hqs!XK zj|o;#a(u6R7Oh;PMu)NLD2v(qExjKWMLDF>0+D?Z{%HuWqfcqc*&Y-6`muEAk2x%; zE9q>r`AU647NOAG$mt2Owj_4br0Roz0{})G*Q1w8`Ov14Z%7VBT0DW zgnxb$1eqgzk~MWYkAThmMHhR)&p$ZKhxX|(o)Wxtf>=WCLl?dMyk$Ww)bA4Ugc zr?o7TALRugSL3qU-y1%@tDf2z$WzgD;$totKzx+kDfWAIBlv5~#&)3BEejy7p)6}e zWSZ$*v5YCi9Z(p}?~nG8g_xh#Y+R>S0Y^lXJlwnucmz-`-me9CBR_My2&e)x0N^XY zWXV9!?26F-%7>6Nj9{qG9yfB>-^xf5IVy?ac!w`^v>lInE}kQ@H@4$~I8ZEKuWJ6B z)oFMk&KD>FQ|s*Db}+_sF#auGhar@t2I*)MINYS1{-S5A@{qduf9slddn)IGdXF>jd|!C7?qvFkq8 zV-E+FlEa2FFDDM}_Q;Ul zB26|fIVO!g5!w-eg`w%5Fk8?UA&ADq7t*Lx>f)o+0KE6O-ZsQiS)76m*-Cn6(N#n6 zAwAX)bm=>GjBErRlU}!tCH2KEGw<+v0~qwP*P8M(6UBa~ytK~5QFr;_XgYpcZe}RoVirxRw z*JgkQg^bt8i(t=etAAxrCns3j{#zGuds5id4o9brWW+v%vrKU&d z8XMRDT@n1X>7p@anl5VXO~o1s&kq~Baj5D5ev}lG42YdG@1&?ff~vkNe58NCK(oupe!<_=poUlex_;+^P}HDOj0U(5^P?6Xa87FBII6LL$GicUS-8!` zlJB1aZ>t2}&C!lLpRkOk=5;~ORT@u-w(<<3okDoJK_sss_wjLLAUlPu6sqPpvMfbF zN|=vDn5i9zae=7=*iG4`@KNd-ZQeJTrt6@=`HwZ$c-2jIsHZo3>MAX$SRh8zv3O&x zf@sReCZ=ez6J}oQU&g9)?frC|6nj9mqKE8@l0H021oFq?DvL5J7}-fg{!m}iea6T$ zg1`UY+~oA(A6QKhnx75(Pxxd7c~j%4dHgUzjJ0@UV58uqo{20m#|#C$&Eh_#Wqfh8 zb9~IJ?(Tvfxd;94ELuaviYY{A2W{i)_&A@}AkpM`K*eLwO>nL*+MXIH7<$@R6{R#) zw0QOM|8L~4c}v69`|Vj-z(A$&cjs@_s>}EU|64*TW7U1HK}DXVs;cZ4?Vwv~2o;3A z<%(s2LbDaqi*iY8FPf}#5>%DHV8`iQP*S8zYxp>bm5{?ZZ+TyOz>|F<;n?Ob#Tg1T zs>ITA-Ei-uqZzr_oJy-j)+@mBX!V3{izHjNoAu|I({A5XI-w$3_#<>@6q#A4kC}S) zaYa_1OM~mK7TB(s2ZB>o{@Ye;T^KP$u6x{-q(tsPR^{Sf`YDy#kNDmZ(%dHWSE6#! zm`k;EHW;dLASbPh7B-t-pmRWOAboh@t%kbCL)njlH&1%mBuO4G-WF=s0v87w8}hn9 zjbmX(9_K>V7Xum27rPC)BE+BbL|m5+RJh#uzM$knd$|!x(T5z!F=8QiFzt~AtJIP#3N!UjWf)+J z)tjeEJJz`2#mt@{^YPKMGP?~b3;|u1vnb{~sDG!5H=QO-h~=eFCK+E-?O6VXOkp*S z;Y&N04{Y%5o63D|WPNKYkXv6J;pgK=oS0#vuoP`cS%I8{89%p7`KUZyT%J~G6uuP$ zO*bNf3VyLK;yIsgGL@kMQ%bCaV2*4gR}|;nA7o;F<&qic{6u!a`Alicb9kr-z*2&T ztey=@I;b}IPir1(8pG`1BDjHL#UsJOM;Q$q_aj9#ES*IcD5ns`kIDOjhmXl@d9T)Z zV<_+fz6y%6+%?{1@)hx#+qMKfls@Z6*(R%2twm+g7P*S1s}-u*3V&@%ZaZ%g zQ*6Da!99M(;lf0gm3L$ItZeUeCxL~TO$P*w$8n3MJ~K#-QI|!>ED6nZt35%=nqWYg zi97-sPrzmwzCDVw8ID&nbR%et*rH8OX(P7rn%*qJWVGUV55S9gX|j7Ulc6gugPf?Jt&BCVnRt5ENK6ABd@D^EUfSkzam{@QTPmAb3PQ-Y02fU+ zjca$d4!+`R5Zka6yDO>AL|0}M@^?6$PtVda9$QexF3`b0BX**#Rx&CNE^KDbDQrhU zY!g0r_V=He9dtb-X}i;+@W1S-&Qg3>GYMI=S`stYq8q$+hxm$llN--k1p9)hCT6+~ zW)LG1gs1HU6^ZksByw37b5kk76d6C8iBUA0wrkHzl0MWIVLbqGC8)mLD%kl+UHE)s zL3U@JN=8o0zxPh!F@M^WiwhQBwfH8+MsDers`Q@MmheiK8}*p2{>@HY{UgPJrk+VK zS)6-q2FDClW^uO8bH}v#fz8L9)Hl9)y)~8QDBh@e07`Hll?pV0ydVcLik3t|r}uk> z3K<_2%^ojxF7CuVwgdu`h@=r;#$a44DW2>VKL(UV4H3}v?wQt*m(23HbRh0mxSD0GYha5 zm6!FN5mu1iCxQ_NmQo#P=l-8$z&bC^3Bay!g!D0EI(a9%sUMv1n}P`(U|tStKOfE?uM9hL48anv~88OSe#9XS83GT z;d!Q*A5q3SCJG275b`F4R7W!FwMy(BJ~#p3#fDk%-#0uQhF+96-Ly9J$(qOj)I+h__gA-5AZR0XfZ&$+;L+H&l%u$i!?m7qCFW|O z{1>ZRcr#T&OYIhq2QbZ#*Wt{RN3vB=OXcIxrY`p>#|4f$Xm&D@x2d^gb82{gtlAEU zhy0m%AOYX(9v+R)56dPUcn524A^Fy^HEAL%_&K7>vr8dQsfYHc8TvNrXZGQS?KV=sl>_fH)mP?PuRMN9zu<6+a>iA!fUA8qK>3GcW$nKo45qJ`jr1)pkHNGi#h zYL{=Hh28h#(|=BSYv#Yrc1-VyB+VGDbIiB`-{>fA?91r((uY}iD7r1YWa1uCmw8aZ z@mPCG3DYb9jDzHRKuBkVrS47@;tw-s*6ZO#8A11pJW@y<7DTBSCy13L$QxEMKN7_1 z2nWEar)bVHqI*j2z3=GvQ?E-e0F<6hxCOAjC*AFi-pouj4T-yQ7ZRY4A1iY%u<9qe zsG4cA%yDu~-o!pvFZplVUXd)>T}@c({1CkHQz{spUC`JxBD8I>6L_C46*skfJz@ELp9S=nJ8DaUIo4A! ze6ug5GEB%ye$%AeCf|%pqK?UN-H4DeZ|k6-|Anrh)v$N(I99%zJiQMhaY~@G0Gem; z>jvLlk#eG-*NJlaS0W9cc~n+`!G_1))yAn z}Tx+HwSKl>+s!P-9W}W~)`2w(e^O(kqo=HrLK}ikXW(A4nOib{P z$T<}a^$D|hN;8^CA+-0s1D{lkz`$q;JG1eRbmurRk8di(>Tgd{FtsXg@F6`_ z|CWaJMq^}tap;(5xAhASXTsTu*J9Rp5>8iJ<^aNUB)kNAAINf3y7(9!biIsLvw%Y) zDqhgy(S{G6{z>5O9i4iAJM)#uzVp9;zgCDvkVwPxyB)D$M0m@(8mPzvSa3AD8#Hm} zA>|79DAROrgh9mP2h?xm3BI2QGR3xjm?L`83Q=T&5JQNi_2vj^ZmNGS^*)Y+eVkEO^%6==X%4VZ z2F#LAEgURPw7~i)r6#TS!l}WBCIzpM%(si@$Jfq@#l!y05s@N}_4CbR3SfR0&X+f} zgiUM(9?SFqih@8dWxo`MIQ#t#0UE;vld09BKo#S$m(cmuZc@W4-DghP#x0&x@CsKf zg>35$#SmD2X(c^ZvQg7v`+{$oU-bh{JE=bm-xuooR8GEu1OAyf`Oxpu1xYi*oJK``|BoA__xQPF$2vzDc2OBICfCH_!2xyy1H z-HBW?2(Rw=oPx|akNo0ig^PfTw$87&Y9=d`FDFHw$JA)O`M!Ii+o3*=vso-Q2%V5> zT_-~7MD|g*3QTVLHQiAT=BSQl!2%Ok-}eTVRM0)f2Pp}1Tbhdv^QhbIRaJmonuRjkzl-iBkn6V(Rm(J<66&L)Nn&J6Fcc5X9g5bj!zav$n*-?{! z8#sJ_zOxu}&x;hR5QCy%yk2_G{yp5h&@o)nW*OeQI*a4eULc5;QYDZ1ff^9xeuK2JD~(D zP*ULMvqdXXYMuw|<2-@aMjJ>oZ7*_7BXQIcDkW)@yXi~^0FTO>EATjs(V)z!S zWQ&$1i;}ehxJbM{PfV7o8-dlVZ`@ODH4Af7AS(1blaq81_qEk}h8Ao9@#%fAq)a)o zx1#yNQoc6%&3y8kUxiXxg$j2_H`CR$c5F9ET`!$1!0Lw`3f-XAhB{iX?IcWBLXjES zjeeikja#pa(YVVAxe6<)Lg<71iYoI=!t1UA++_f~RN%VlJ5CMWep(zgVQ;T+JQ zu{0LP4P&VKWQihgN0Nd%Z)eSDF|9hFhE&~x*Suh`kr|x=>vOtm;l@q?MoW29x)(dN zl48G=dUhn>n#q%22~T&~mx5PxgyG~zUAv=44+$0uz$+R|f`gyK@nLH9$$q`reK2>67%zxxKXN-zSpY%!@AR)N!r>L9?KpBUa1;Fp@8wk9tM!U7-k z?2D2N^rl<(RdT1~`NYBh3KM(o0shay6Maa3H%!4WWui=BUY0vI7nxlxdqV^2mlcT#>P~m%6c9$MN9si0mNypRq3Ih8*SuP0u{7dJnm|v9s z%n?A&FDhKuF07w!AX5@!_+$i) z2YYW9(3PjOVo7zDIiemiKAF|L_FGm+wQwaX+_rSDTJD_C*}Ks={U5cQ z66?ddp;cV*9l}(hfQ<1R2SkQ@GxMc=`IF{+rxt{ooi2kEP;J{^v1-pHnS% zT-2e6hpZtl02c?xCo0 zw@9zrd)e&&z%LA!zL|Xa6|e4dN>O!G)1F^rg-)IGF@!7BSopm)FlFp2GA}zgbX#|% zvWUG@gn}*cIu~C=7Na?E`Z8mQ|2r8u!Z-eXVzk2{+H@E z1O-6HBbyci7EE;vB?6m9%PJLBB;tKltP#WE(G=R@Sd0)+C&=gK@k7h`A*hfdE+k{nPd*1B&>Lj^vZr*ffn>C64obKO6F=hpSwShV7>~<@@Xvr_7 z<^3Cr8oW*_uMK+JemGu|dnse}Yt$Bc(r^^|0ODu()4c}-JS}P$M3dnQc-E{0K}y0t z*R5yr#6fiWfi+e<6A;u!J)#=6yeQ1y{pB_ zuH7_%CQ><2CCy?6-&kGwsVBzLqqF|d{zGmU?z?nY*+hn$*vx8Toj*hIq z??Zax4Es%Lv5rh_HaUeVbOI#k1v7qNckm^a`&~RUbRMnv1$ePUA@Q)a+{)Koqh5Pw(Ssk{)WjQd+ zXJfWXgI)-75778lxCOSyje(1gc3H~@mt*u_fJRKZx`@lZrUt+(u>%Y2V=0?A;h#J1 z1Z+0F29%%-`ov8P`sE2;S&K;=^efm~vyPkYxHn>_lRJS=G4C6*+RHz^ktz2}pLM64 zO7z@*_sr0Gd%6%=bGFSz_CwMaEM53KpTkmXq968R(5HZync zUOBGV%<1iY!FkP>Tsm9(f$epMFVM#!!(YLJk+n&MYhX>wE|$pC5LdllyoY7OyTzPC$G z=zNUT93k~Rc1T}P#9P%$kfx=!zM?-oOc5M^B*ji;y;EEkFg#~YdZESRC%7N>XNu!h z5;`Hx!bs^gDMW z!`Y>P#N;Zv_}KhE{;Vi8Xl*g?P-(~bMZ03a+)Lx#sbUN)$=CH9Lis>E$95vC{YK)?8h>MU>`4)bH{h*rAlJ;kxqf%gl(_N%KPKlv*`s8P4c9Hyr-I(NlU z*LYgyX@0JyV($4isFKvrZY=s-BIUUW+ZN79@eBU9s*wsN8~cM1ls7|5!&$14mM@2+ z&X@h#iiXsgitDT+K~uy+41rG1`V)pn3ElWF@K=9iU5yRt7+PVwtq){mpDF%MzP8C< zHT&77w*&3sS#Y8hmJXgbL0B=1rbcs0ul4>^Ks?{{g~b(@;HFs@wNfq`0~A-gZpovU~bbX|2Tp&_>bPid0gcA#B)*dFptpgyw-$zz`Z8lrlp;ifFB zmXHSmLUI*26ldfO&1}t=2OGsXkitt6U0vf$$~ENw9-|iwx*7XvSaIQKgI@9vFY-Ag z02Vy4u8WQ#8TLo6c8TNz=}W&OdHk5?-V+%4ACGmf+D{=76M&{WX*=WrT5BYert;C- zW(LuT-@Rw%rVcvbn^A*~lej#1IqkrN9*7!P1E9 zg(cGwL{spFF#jk(YbRTF@bLw0>a?`(JPxcqAdn_JG1i((Zd~N8x$*+@ipC zB5(U~ix|lJsG;nS8(5;m`p^)VPJMr!D!*pdcqJxL==8~YI1=n~s(h}G$pVloy4zZP z3E3Kr5Bk#PN#f1CoEt{1b;4RGHA8e%8W$#(iNHn|d|eLg?Wr)atvV)Ub$kDb{LNZV zg}X~=vD7W+GU39)?ub9Ny>^PfMXxm_an#7zj- zXYgSfdZ|^N^QC2;Pj6snvML@;;Y7kSt6K*`LYSr;h3|l7yNUoK04uE&M5!byNQG#% zl6k?2S)zidiBEjw{~_$HgW_s}x6$BEaF^ijy109A*I#U90=Yt=g)ybFu7GetI6VQ-QP|td@63cHJ`XU|Cy>C@z9LY@j#bLlqd5 zSV8GE#2QX=IEAJ?yG?~d$yiEk?|M*2cNy=c#u{FtOGsr7LYys6lwVwE9 zX$%zDuKR4g{{^;|7`S))9v4}2i|%=6yQ}H3_Dcm8yz4clmR79y<=>E5$pRF$+KHP; zY51OTSP_U4X9D(fOPOR{7ev;f#3LOq&DiC5r?Z+dI=Zr|N3iux_m$TO)#zfe+K|s& z>xH$621gNJnpV?#?hkwNsTlO;c+JAxcnr0ix?ykemM<=IY#s@+ETH6B$F?Fq!b5zl zKq+%OE0+eB=7t{qd1K~OpO{G2;{0D*%{~DzdI7u3A$-bW7v0lM3{RMDCuqWA=8m^h zov}4lI?IhRUNgcE!bO7t{co2 z&cQ38?7AhyQ)~-hnyV{Z`842e(@s?2cW`hCbh6j@xY)(dD|D^{UH=1@C#(8Fr**#9 zAq_ZRfFb_`+k2+eIkda?hv*Yk`}w}&lA~R=oNg|SMed)1oEvP8-UUivQ*_oWYE?eN zew=B|*oS#?mxsa;N_Jxg!`+GRyq;Ok3wyv0j-p-V6?{vE%=|I&@_%x6S#)~Uh%xe1 z`5!FOFINr1v8Q-n(C5VNsf-Id&}nQC^YVMMPPDu(ja($J(C#;zGx5hC51O8x^FHW|H*&ETXh%I}iy8|Bic+d`P)&Wh z@jA!cV(&IwM>CA3)tD8w{#Bhzg(jo(80K_W->wX-abM17?EGSN!CW(ZPYgSRImDVt zY_nEP1)K-Hx4)3~zz8m&OWVXeuqil1 zJyEh9&uT@~NVpWx+IEy89h_VpB@r)Ln_LwWK~`$9_mcDsjLIPL!lnaBF%66QWG0vN zumlVM@BTxAnUvz}Yt}uZ-u=+n0Q;rQ{jy#rZV^CtBoiOkQ>iPCpryFAJr^hvv^K>p z_aRtRh%qrRqLv;*CDJ<_AMZe%OAnS?42(S$B+9HadHckFdsfaNNz74`_$A>}ovd>F z!kb!4J7Mk}u9vfGvPnbnwKteE&P9^Ep0=7!P99a7>X34D&@8`@IBT992Fik8hwVufBf5u~WQXnY%AjRv zeJ1ty3Y*hr9+)IuFRppi{#E12Qi^9Hv_3|7VAv~@F%=xkhQv$r;{J1=a1=Grd}g$9 zp28BjlXmn3z}FnqeL{=$EY+}BF)qFodmotH^+0%E!|Cvb490a*Pbe>}5wb?0wm0fC zCr``*EPlx#Jr&T^Z__Mndr!{Efn+%&ue)5lKoc28KL> zJB4x?#^Z@YVW?!*fD1fTrD|^P(dFSb`eg!bsa6MfdjI{*POF7F6ZlM?sA7zW!nhy9 zeZ)kUBQ>$@RqN;f7&CKm9y_tk-bndttXo?uU!I|;dPt>@_C{g!VtT)4%NqzZD}`ZZ z&dmDEo0z9>wSO{le*4w0TPHSJR&4WOy-R(acP9%`x+rnFgINTXqJ`-yhj500DIp@*+>fp;Q1rx^sk zi`j8W1IG3xXif8m>ak>ODnn#1a^4oQ*%0%bh4ZO|$n+1kHq7AZyFkq>cLQV7MQ>*v z&2dPY|KsNsbZ>-%(1a(rz0X%7<@WPx;A^VE-ZEYj3!$Q2@+{dP7lCFvL};)5hV%iM zqk3%3xJ;L4m_VA7I(hMJLmRVMAHK6x_UVdA_?KPc8qvqmYuiS{5c$-}Jw-N#dGpo9 zb|MDJND*Cna+Qcs*ij`N*+Q_8aXZ*SzMvY0C@-c*=NnShCr1&5KtSOfAM|*EE>_9K zYWof?`3I?%;e%M3whAW|&vDtgya*g{d(Ux;slO4&(FVOW_@4m}-2Jo(*}NvACD285 zZ#v@HY~C2#@G6VNaFDZQk1S7}&i-jnvOWp1Tb(H{Z`!;P+wHoawI(`&U29zx?rU7W zA`9>DI8ER!=3F58s55u`l(rk0DaDJNs=cD$v`A&EY0!is`q^~mm*ZEJ?8=hy>Wx$4 z`HYm=n8T_MXlt`AX#=mgy57BI_n4VRV+PqM%`q@{ced3_lsK^xd=SmFV7W$(#igk<(B0bWeaMzeLU$;2D<_G&c&i`@gI# z+A(}hIBv-)4TyzJo_TBbaRBUR4rEA7OI*fbLXj=J>rI@}Y`?qk@NqC>*#<1l;acw5 z#xHj%G!d$dnth}WFhwp%*+n>RDUE|vuZVa&(HIWdY4IvIf1Brp;tM?gN5I@td!dQ0 z;f3san=jj|Zw)9F>8T5lW#P_Ngk>+`EpNq3z^ibSKTHw1d1xFD;X}mzN+U#$rm?hV z1?^mmgANF%2?3qS#NPGD+A;S5dzg848BVgS6tn_8t$Gx5gsEWC0{ey8WD_mTF4q#?UFin$pSXW0?I(fTDHS{x84j3@gQSd&u;>)S#|ny-=$Qs zoquK(E(XGOrG#SU*{miS%x!OAG}!^JTFWFyRapB3Dw6SsumRK7Foq=+H(fp*dGtPM85= z-4jck{`bh|Q%y#!^5M#{{ZzSaAZ_gEm`dTWSx_C`6qfe1bBkFP3~C>hQ%c`_+?(#7 zHsWY2XEQ0yCJQLdF+DouZ zKpZ)hGzOE_RP!ZVhoVBKUcHrfP{j4$yPWxiSWKun@csXOD&-eXxLK&G;5c33jD_Yep9a(798mq%-a$!?k)aO?Kjm>KZMaA z5GdK0Lo2e&4B~{Rh7OncM;s9Of;|1pg{Te|29+%L)OE)x@VR6FK^e0^v4^br?ojJo zUb{^Ke|?~|s-I!*orFTDhYBx zpS-j!gZ=y$9^Js8Fl=A%hJ9D>@{ZNM#z~{z_?ezvu++`VTYCe)I6BQ)Lf)@kdm;-* zO;Cu@$)qiK_IETz7aD=Sj$Hp>Q`>rArO0-dypxnJU&W4Ec^p9a)cXt6X#GHt(Fy0R zec=YQT>Qhx@fNyn-`6mI_e*&L6uO;JN2O{Qm=0-p%r5Q7<7aVv%lcTGD8M4KML=<4 zqB2(iXUWDXUo4{U;uo2`*66pu+m07k+NHhJ0GS6@iQ|ztKtFEogbtPq9g8yB;x7uI z85xE5O(@)U(W8{JD-f8z%y&_u9#?5q7m_2D+o|%H_fTRuO%yo$2&U|{1Q2l!G@N_$ zjg^oN-lr;GBn*vDi&lR?a{vj-JM2P^T-F+%&$VGn)Rd76Ibaws5BUugwKD{|#lYUZ zY3H*Df{pr&NiK8Mrpy9)p{8H^LVn~bDZD50*hHSGW;MFViwN+Jij{~c_iFe6_$OlS zuBK`my01;Z=eIUC@*BW{LPEjT#$4}4OvEHO(r`_r9Jkga{h?7h{A>IQ51UvHd=`s3~9N)bT^7sN@QuPLcBvDyn~hJeMPeppu- zy;C9>daQ3iILsY1M}vtwf%+hzWu`#@8}VLxo+G`Fr!9RTU7A6FaYjTcgl){{E1S@A z2FU!($cU@n&C5Ss=+7v6^z~?cpI@WawLnjrf#`n~7=*TT`RH~zDROlF&!xks>>)^N zpMEjH*n^{7elfuZbk-YJ;-m=sU74T`d@Agllf*zenbC(z_zP`=7xA2+`;79(WuKiGL{R`0gQ@2)w_Hcc4(w; zt2x%N*f@j8)2#sLZUzLXw zZl=;xnR>T2z;|{=?$LErW5Pk!@jO@$L}QEsFPLG~b$r#EYwW9tHvDeDe!ARyey0@^ zI(9H+I$0MOR0U?=Z~8o2)~o*T9GxCShz{r!A$@HxVwe6ik&_aC9@^h&EM&BDpW15{ zUH|^jiiBA<|m!APd0#BVu%Gh%jJuhToxU^t6)^BG7D367@xJVZljc3iA<rUGvW#`RZX=nres)y(9!Mb zQ`;*B`jD@cD+S8nEaVy+EhX4yeEh?*oWo`TIOzkq+tOQ50X$RKf&jkbxD28h3{O;} z3Zp^NY2lPBp{iO9H=VX+^^ghO`HR@C`le)hi+9ld!{c1i;QonAAa=N;)l0nJeY z#gT$R-R{c=*$yZ|lbJ8Pk>N|;rr|9qco1rO3c87oAAwd|P)&ygrB5HOw+L=>GZ!-S zVF6Amccvf;)4z0b=2MR;RU0WTzuY{!cd*dnZTMg+wt6t@-nq&xy3m+#o5)c_b&{l> zy8Qs-YO;f|aI`a3MdY&c_N`d7@BZ*l?6WPUheXG5aU!2IX&7SaQ+PK}{Kn6ma!f&} zL!aeZB2jDVU~*}<9#dTPxU?A|+ydqg8O@YyjX*>i`AE&G!1}Ty$3x>qD=na^PHM!M zP7c{BC4kUQtE%a-zN-L%Qe?nwy1 z0pmb;G#8h<6X|dYlwOX)--tIioVktgV28Xj+C%1kclnb=;~?X2VXD;n#!a#A*1tua zoWEJ1f-ofPDs6&8#z(r0xlw#}w9J1bnbzE&R`^$Tc);hQ^z4+FUP)`Wjv~#Pkqibo zja@SL4h$oTruBqAsX5pwHMzZR3BsH!>Ax|07KTU!ajy;;ngx5gNv`NBq=L$IVJP=y z(-p^QLa((HotlaUa2F@doeQQPmcD0BY`IdYbLKWUmXtQ3=6A;_uA*65HK)noM`CcN zN0R3dmXOy@S*%`=h6^XkZ$)C;czl<`A$ekJTs#hL?9Srcv3%t9^*K;^s%j}Jp~*N@ zPpN|k9o*xw!Yw3Y=`-xDwUpsw+Q6ZD9%CgNOKL`B9OD#%dt zoZrkh4fFOuf-*mt%Y0XK-}vCdHxNYO1dvJzYFY&%a|}RIOrmSPd3>Q7eT@deP8HpI z6&uMo9!?i0P)&9`n3?V?6jc7{wB}IUT2;rPTIu2 zm?-Xmi)_|ezO}cjs2yvT8W!WE*ukMTijfo?({uXHejMGzXY9>LSwnVVn89zB_dH}} zhO=n6{eyIGj)&;RKtF)}I~r!f>BNPApX3HWTG(oyP0&x$f*@h7fsNu?@R+Q>|f z1tXO0J%foGXB~-Ry{tA20FA?FlIFq0JV*-C8bxzpuWB2yroDz zH-mNk{XKMZXRQIxgA=!F83YDXAbPtsh!A`Nk9d4~SKMfVl`(jqwZ|M95v#XG=|s1! zTACu(k)y~CPfZ$w|0{vRl70ZM+QZ%Pi?dO->!f{b_IEqudB@>*zMXmnG0oEA&(RVA zTXbt?!!3J8@|l$%^G}8%s3@&!Ytn-`zP}ZjGf%rIq}=U75-Eko?1=_*h8Jtt5PcHD zGinNIM&>H$h`fBO=j1^C$`Z=>Y0g@q+PvynD6kU*67#?M)ky64gc#hipzp9aj*cxkwp(@=fQ z!VBoI<`7FDCQG`_wb~O3!uIlBKEMI@kTxz`7;VuJ z*_}HKx0DNj;9vO$=xb%+-!Zo%9%Th$$9pl-+gavwDtub3nJ}o+ZA1>HR zT`&lcwe7dDh#VYY+pVtgOyrGzea^VrCt3KHvJ>8~)gx%V<~!C`e5pT*)P*ETH-k~+ zk2#{Ao!(TGy@LIak|nM6G}tTeQy4aEzM151xv`*k70^5B2g`y#>RQQ%J_rvO&$ zulT>*6u`{Lw-HAJShCN4L&nXuJ2+@xK2Y(RQHbR;8>8~eg|i9H8T*is^E7EpIS!vf zg&TRg1cmv=>je)#0zM$K;YHfKb4qrg*yimL4A>6^_zlT4_ez=v+rtKe89E_DQw_=)P4%$~c*W16olg1~8d0V67_<4`qv+N~j$ zD2$L+HYUDh7~77{o37GMVBr*t${P2lmarGQTPQbNCRr#egG!PrdCOy zn+k(~1CfkY>Kj(`Z&+vNPiLEqfpkU*dz+{|9}?EG*Rn~gL#>q9LKaGTl(8Es7?vWp zT7myhy*vi(Hdhb9@&0yPhgUxo9^p<-gY0Z}V3didYH)~^z@Jrnvr}k)y2qNb|?t_Pf%q%oM7yBhrcsvcO zc@EpU6nO7o6hC%HHfCm~Za@f(k7HFMWAk5{-N-ih7(V8DUE*3@fr9+iNP6OyDwG_bKIA%E&Pt%RekrL46PhS zXfV-XwPa8(C=^uZ=gkY3?PZ-R<%Ox2>5%0n#G`tx(hpm+I@U}R$<8H{_$;NXuG!Nh z{h!vrxs2xQwt7f_T5W&)7%eDl@dv{v>8k+bueIK&*CNcC)3fMkvUBq~Ujlt1*nkju zDOq-4O{B3E>_shnh6&x}LGkWtfWs}c88Gpliu(|J!PI^wgfxYo3*86S3r<9UA^+R< zxOD~nXM9%Pm~2~u1z=oDs${V{Lal^2aNoi=TP4DM1mX*f@tdwxbKD*Yu4aCWL`1s{ zMp~R@P!1{F%wLvOXE@}!fHu8v-#Z zldS+y5Ohr1)Rv-0u`0~)0Ui%cTJTHr5h|*gOTqt_Jrtg-C`I*HUhMy4 zjk+;QI+T1F8UvIvw-83V4o_`{cbc9?!Ch_K>YP88`0ZjXTLY8@(LP$U__a-Z9uDgy zk~p`du+EC!91hF+@+)gG?nsua*3eGPkUJs|(?B;?ny9a&p+UciMiBS4fuP$u@uXSZ zT=dZov#VcM@H1i?365Vi?XU!V*`OI)pkG}uQEjwl?F^-~-q%Q_Bx_lYhX4E$llEl- zjCIHw4O@b$PlRB?mShzwnFD8*Y5(rXhhXNIJf!bEy4xNhCQ7AoCehbg)#lp&<vj@PCQ@^%DQT!5!BK zo^I~op2`M(aZpRvANYVlNyez=;?|ty!H4mpd$e>9(JT2&HWBuO^W}{5B_(2D+ zV(EY-c7j`Tf^%WdkP;u7CDQBu>FYN1W{jBFVDbINM)3XR+d$=5EU`gbVc54b&5Ef) zm-X&$zq{+LmxF^zE{l&1T;}FK+aIq72OjD;T_=0}Ue@A>C%#gQwmL(GM41W*xVlrY zYl1T9D1^iOTrH$90n#!wF)LtFP4puvo^^8#`=tZ?At?mlpz&nRCQywS)rU=Y%KEs8zHVnV zx<-=ZuKSbgSB&tANu^wY_5E$<_)qg!1yVocSCA{s`1FJMUV$ev38T)vsg}qJ`v5e= z8K=%TLw`0*sK9PEw`ZYPaB;VR=oi%=3c2&G-K8S2?#}Su&8OJ0m4nPeamkQJFL!tE z3HLiu`v8V6)2x>0T>q7#57+Evzk7BD`E>Km0>6^$pKnn%E3mvgdzL-3Kfn{Ch5H<; znd-=AEZ^N7)B*2T2LK9(BOS|cNTEL&$E?T~ngbg^>4Fq4O1|Dc+zsvylAl8p2=dTW z{BKV0IZT_){~#j;zo*paa$udbzc1mcJ_NZ4K%)1*2+ZirOjdhMd7bY~_<9IP(%h{Y zp$T!c8S#DJ^?@<2Ofvw;HMiiGz7YcOK0(ROW)j(mHZU@&9?e{>oiXU z^EhrdO7gG2e*9UIY%S#dz58Z;zTJJz*OOJBaBh3|s5>V6*$ruk(+oy|rPobVU`=DD z%^J;8?Kx&8qFaR|;s@W702F#T~43VweI`rDt6A4xNd zcldhV);JG6fNT~SZe|hXSt;W^O=jH_9zzE)qj_cS{tA+Qoz&mSxF}Xm!SF+Eb!Nmm zc|)E*EnuQz8+>@>)6ghbF!dy~=TT%g*Fb%%-&M;4Sb)~^%-rX4pl?L!_DCQjZH|pGapT0dmzc^!)mb2$)*40XM1r3g$wwpMpo@~Ej zy!!i0d7_&>9dJ!f6l`7i=gQCNQxMl#c&@sY28OXkEE~>mvyr`fDNZE3;9|a+dL9Qn zv^<>!zeV4a2}g0dP1CGd%zVN2jAS1DS@QaYxB^K3Aj@dNFP;_uL8qlKf5%rd&@w}G z2VPP?>u2`9qa4tYRUM8GA}WP!Cw@6Gr1mNfo7^D1K0np{d>b5e4fYKNFrC|F{`7ym zJ#G8>7HTXP=eZYlBS!Rhjg74K;$YMB%d|{3m+QZ;YUWL?Ph%IB2@+s$C5MS1;gNq$ zsI%_}Gw-Jd?{&RA{kzE~Hu(F4#2!3Zi2ey(y>573AH-gNS7Vn{6)@s~IQX%928mn6 zyG$4^z)tFTBX`3??EHMZV1D`B9@S;^ec1&Fjx}oMU_Ar2cDE;Zj`=T1+Gy zzQQkdOuE)hNOpDhX7x;toiwc&Sp1@RfElsONptg%zt6A7r{LJ9Kl5C*KP9@_ZA>=( zrfDJput|xO37v^bG2shnI%vu0e*W(1^iVhnJ}fZHEYb*;Fwi%E^iSs(*J+i(C5uY63s`P6~Qgd#{H*!1y^{Vzgf5M75)4k)Ir7#PtxI6ox3+n z;`7Pt{inUn5J{Vc_8u2&#>{sa-O(F~@{NY<3)jcxe0Z~{{pTY-LHds!`Q^g(LgQyJ#;bdtm2jfw^U+yhoz`+5U!s3!eC3K;OiSU4 zaEs{#L)`4j%ZcRb>nV8hr{BYVSYgoJUhWFsY{$5l=gay2tj3nXV|k+I8?}K{nnyv;`sXJu$(LgY^~T@(&L~e(Qi61fiI)8Jzi7WL#5!~rVk@cH zuFkuBFTOgyQ7l8|yB@eC{jXAj**;mEp$QiZT&UhJZqRc}7Mg&Ko5;SD~n{35a8gLbcmKeCM|Gj(3CnR6;acn7&h5Cf}Ts;v_W(H=oJwp#?d3H`f|E&O*<7kriKD+D8UU8~Qu zAr&-+#4g-67dGeKOooBtP{5|t6fh5qC^QB&^WtSv?wF3USj!S|z97uYoF4f6*!=rA zwd;{{K)~h~Pq}PTP||8^U#WwIOFJewc0+6ekNV{uM0PAw3_-}LmehD#_eQL(XwS%B zluW|9F29sJDh^PJeCfT@PpmBhL1Cep&zrZV3^;T6UArFX_WbonTNnNu$LofkMjU_i z16o6)s)aQAQaMd(Gv9Artu>l5M%;yrF}7=p95!3je}32v0z2n4>r_ks^41eM>w=#V z=sz#o{}{}5%uq?RkLKY{n>Gxe&eXhc`EHHAH1{cR%oteDTIr{Pu&S!h;UQDU$lr4N ze?O8!@v57l%QUkA50vD}@#}qvN)y=aAs)1~W+jUF>^S|gahE$by?Z2(>-hZn0f#jN z1!V{umy+!T-w{H8%{@`mFj68+sQDSy;c9zNvCncU3OUcTcbv1VPZ9rf^6ci?j*(KV z22)`W*EKkeI$EgVeE>Vjsz_VlpX-{~ki#e{+84iu$qHe$ubAsJp4=T*v`9Enaod-O z*c#mZ?yH0B^jmNt_IR1G;n1&}q&uys2}gDWErr2{6m-AWfBytbTt&ZnOj!uSf9n}X zpb{?#{bN~RcV*5m@#*^ATaa8rVN&?q{g{Bt3+K#lE@TQDFY=$lj_r>VGk<@Z$G=Wf zCvRXs>$F`p&9f9#`~fVYQB@A6-cR!zND)r>;;&7|EK7Q(QR7U0Tx$88{)}rB$+EGF z!fEmGtx76JKjb4(-I8TjYTZ>XBR09Mph>Tqj)Wk~7r!`^&kS+&d&Ggf*Pd>|DuIB%U{C+~6gFkfpb;pDl{0+<2<9$k04)gp6^hqr=fD6;0!J2rA zf(HGapiz3_4sZ4od?FqOUT$5{mJQ}+h74~sc|N6w*a_W#%tIz54FM9SxJAF;Oun*A zR=%^#>h1f&;K`{(ETtb-DwVy#eoqQ{oIatuj$QnTwC;LeGqDNTG5b>pr&^2`IbQUO zQfm2%Iyid!=OC3p$m|!FEBf7`FapD!kRZhOYg@(NLtcil{~Y~hM7xkhXBPu>_mA$) zc`p53vk}{55fvj0TSRmUIS-jKiA@S`b94N-$2BurSD!TsqE4SQmSj!Zuiu;H7mlOm zxOpwN`fGO`m2M$P-{(Z+u^7_--dK`W+?=6TDuj4uQ|zD3z18UjcGNMq!=+K)=lsIZ zfkw8UN^I22f425Mglw@EF3AUv;aJG-zvqzkr3MB!xKsVh>S5_cC+S9YxSYv*Wj|X) z*Ii0uni=M*KlgdOyhbB_$~D_Fu)oair}}Bk@lmP2ct9p#^Lb!KUMbA;ueDE0B07l} zhJ#x{2v1i7mykhlvVQP)stc4S41=fNoYxp?5cgS^9osp2`mK{FV^WJ#kq}0)Tg$O1 zErKW{gIrlR!=KzN&nt1QVyU#$L_gOK?X>sa7yL|qExwUt-G~p;QHoUr|1^2hmAYat z8|=y~B#62iJdS$mTGVJ?rpA8${$=~BsPOW9kLjXt;>1<)@4wB%DWcLljo7IBsOI=$Q$VftZ+QClpT4_P?m`F))w63JG$V0fhzYa3f$^l!Fg5jk>OF9G z5is}nc_vcpX~`t#0AXonf(=2QDZq%?AYIr(q>03 zQBbK!6#4VB87-tFe3tVVifB8>=ipU~8f69avsc)d+j1=OJSiEusVnm$n3rY5Uxpx? z?MkM7p6bf-4)IFv6zwHo=~Qa+O*m1hnO>$e$R+-v&%y7$P=S7&z?CeSkp@%3z+ePn3tOK<~@C}FiJkn zacY}jk2fiB%={iRhM;#U$$#%OrlF6wF=ZheQ6eKK+yX;lb#r%yH{<$Z_vfQps_Ed) z%(7XAblAE#-t~TpsmJx_h$7Jm|GEgaQo}_ig{E1@)aL-^j<0*dEu+{a_Ul`0JYL={ z%uM@5kC+ivS&s5{Ok)R)6sa|?Q~a3E_1FGri@*i_4nkc3X4x$@s;xh*dt3yL!pRq!Y1)cWR5>tBqlkLmByKJ|%;PG-077r7s9u63SFttIQbk-Ie;TvuiSI%{kHn7s*}A;AJ83Hq|Mm z6_rJ2X1~rE2qh<{X{AwJ`2_to3D0H_GT-EBl7;M8Te*a8k0;|lFRbLunl!P24{23# zdPuWV!O116TFL%>=zRY6@iyq&%BvnxADR=zvbwFc=_`9_1=|~A_Sxx6l2mBqz_MXx zMN(=3Jt9x?Bgkek1T}0{VH|9UXg)?k(Y;pM)BChOq<~R3eHLT0-xYB>*N4Zi-ydLg7Rk7P1u z>!+HZt$781^6QyD;+Q{*?>pLyivQp^3WfWwh29DhQZ*4X;Lep@vu>YrYEB9Krr$^* z^DcMsO7R|(~tD1(In!O~j2e@O57RAnG#WAZqbA5{;JJT8N62}_$X#av8;-*#+Gl>T& zy=pNiuJXtGiQQX$aLAr5$C7hu{ib`BCby47LK!nEAUxtxR>as<+&_LT75r`6h`G>J zxmJNS`_`vY$QvvK*}YYmj@_vPEM>m7{$U*>^()Ld8Yupo1P!hjNzq}24Zi`30{U(6 z(v3BFvf!UK!vyT8)iYA;bzC8u8wPgW z8=tfb-Hpb~XTDxXogmj1L^;*hYvqZ~n|bzY*D$uEKA+bA^`w_x)BcSKE`hm@OK9ZD zkq=xKZG^oD({)b1c0%4bP7h?|(b0-UiLbi(kmHfT>Obiv=T@Aqn@41%#QIPxP^)73 zumLg*u>mz^K>ky5!-R_uiN&@roql6)xPaTPpPx=IlR_Wn_z`1 z8`gwK?YOxUR>tNnnVtNh;rSK=tJ*&PaIWB1Q>2O5mXS~2ZjtWGu&IqjxrXmAw{-C$ z-hRB1CN7-xauW_O@B7Va^uA+=ERf)LHOl)YfZ^}~YHHJ{(!}``P0Z*zxlzI?9#qa1 z>;dmyyhfq4dVui$&s(GX?Cl9J03;12G5a<(qwRs6Up?07XmW7eD1qtVSF4eDMAK9& z2Xu>iX8=XWvHhqxZg#tkr}2P3WPBL__9J#r#XI{A!bTzTe00sFneNp<$F6HL?(uMQ zqE<~Cmnu7#xn-5a}UaTHBDYELC6IJxc*nhbfj_ zO3H~a?^cS5ShHbK#*RweJSiWj&KmP%ZMKo7lEnu{ku*iDnOtz1*=|~+-w;dY))cF) zj<0>ZOru_70Bvp}a~nKgtPs)7EpuDH$dlc)ZRB#drZ}VW_*X5%kGFG!KziFfJ$Df- z8z8~6OeO#!S^2%xjQ<~-6j)Ie+=euyv_kf;}qmR&&kLIpFT9Ha0JSnC# z;?1^y0Oi7DV`Jl&%s{_St>`j#{RfK-Wk#Ya%oy2xIY>L8nnJQ(DyO@j)WC+@av^MJ1HAVj-rbl z#M=Z(1w}prpkfYM`~ypI_SAwJf>6tKJvUeqp;Z%kqOtFe)`^Rl<6698P1hA_R(_$0 zdr&dqBJr|UQ1Qn~gTiJ`aDu(Je#=(1iu2EvO{eGGd6cbn7exU_<1rX7pLml|1A$vO5VD3{Hs`Ry@f8Vges!VF94SWzSeo0j4d1nPQm zJX?YV1CGSA9Zb0OUDK&`tUPha!Lf$Wh)+P`29FQf*#gI*veR({rsU#&Mt_PMI*9lM z={&1B|0qx%RrL-mEY6|sure;{Sow%EsAa-pxH2}_19zp|`Q~9(lIR2eylMvr2xO@z zFP0*be@>^WO6dzqv4hJnrcXZla4zp=?<;^8bMw}x#Ei`pcn^Q{Qp<)>TP9XfTf#2? zeG=+#*L4L7<`&yCN<=~-0mS{{m~J`q77pKa6~Mq=0sTh!Sk)pLcvGC13+|Z-}_@2WI#(K zFE8JC_-o+1haow9EmWLThR-D8pw2H)Q8Rdlin~qcU3x1Xgz>29m9#2sV$|mUX_|lT-I4z$r9dG`r zwdT`QxstgR!$=Qw!Bw{VYXh7rhn=3r#1AUa92^_^M3`ea^@H9dogX~@gyYc0hWarCi;XNDdzv^=D5}eRlUZyq%<>$R`tv1DI z9Cx!P)E;G=j;;GWd8n1Z2Hf}6i_W&A8#guNYM&_KLu1i*-<<;AE6(|Q3>#fR*j=kZ zK>t}7!WQOp1&qh9WB8NlmIcXzmIbXm+NKW&T9c(8oZ;fmyWVv=OJ{dDd$bc(Ls~bJ zh@(c7V)bcA(=!vY0U{$t#yDWjSVjMyU*jXKb*526<7=(|2YGh^)KaVuK9NJ1&@?!|+9Ah`UYukP>N?>>8<@1OIXnKQ#o zGOSq>X0h@tdG2-HWuikncAC@Nlnyfx$yoV?7aSd9cDl?E2~90B;3}T%*m%$&m92Ph za~8FDNIc6Bq;T+)o@Wm6|_6{0670j#~zj z-?~KAvDDqZ(Jc{1{D^9*dD0{%p?6u>e;o;%wQTvaP@Y59VvKyf{npIA#g|9*t%@=39%fm3t%)bgDY z;)3jLxbrtH<%T0V`NpQ0Xi;m7a>=4*u*`@{adXH@(2}=&g6bO13-#)?>86k4zH1?n3@B757l|`6F(=^^85?)> zd?d}7hN$*^o#7$q+b{&M)My7YB^Q-o*L!xlQxqj&xMqQe%-yFV^A~$|0COUSz?mK< z!obLx7(iOUh>5(U!*mcy1=gEhj#q(lGd+*$dlQ@8hR5+)ZTYjt5N~Qr31Bt_Rd-Q_ zpIx>SXGlxcXr}FfQgCw{3hJw6O4}(!CakkPN8e?WC=l&r*EKEzp6O4 zE*2{xjhh1}adF!`e4MJP>du3bnZ@|Z!bVNCj^-`jT96F)Oz#uN^?EJOFwPW%AVM5K zZoLPWdAzVxZz*f%)U<53jJ>MpF}E}bq2G$P@2;d$Y9V&ip79uJ0r_~t!yM7B&}}Qs zuIbd2zAQkRCP(FZTey+Jb`!N*if>5Rz2uZyzOP=fx+uWtA!xe-4f0MYT-h!S z6m|&r%V(6_FEGyOV_IG?EbU*7a(wd{udUNS$vl?Yki0|q<;rnDUol4#jRaaDfy?d4 z(#*whPyN0kt0o|YvdOn;H)qQhZCXf%ho&3Z%waw&iEGP6tPK9vrG6LHdch}(E`2+ z;UvxgI3LLLjt?AfzA+3h4O3yAt^6E)fIV5oJPDokt{1o63=^2mcIa5OnF}J7oprM- z=tD253A;nm9%EGn9n=b=We%1Ij}I+NbajUzB^MPbGLOfsMk^TB3|Yg6!?LC!E} zW1MT;Jf9~-Sb)N8UqOeXE_w+@=5I);h=9jXtnr3K)iO2!RmVaxzuweTm2GsylJ zUai@dMKzUKGL@PwFUZ5M(^|87E|xNuIql6hjlrLc82Rk4){!=sWk8hLV<5tT*6oVm zq1U}*TB@Eps$m+BK(6R1#q4ym_cpaMyRW2Pt0eUgj2$%tOM7*)1N4&WScx%M>>dSk zo9?X%?nq5QgC#4bGIEpc3q9;VOU8stcImvTAKGLG$n_!i<4V56AEB@SQ473i4nQf3 zVT4(Ksf6lfsG=#0JT-6vO=6G2@lssLsrI<7P?Uo_dP@A$Hv0i{@mSoLhd;1$sz-M7 z8NW|MWL#okwM-g`GP02)S8(!KK7%2sb%=Nx*@{&6K0_UO%F|e9*ux+h3hAY?i zKCbMMVyiFUpo>Ub1!uVrYwZW=Wz-tD9v)pZ#H>5F+YdO3E<+3_9$@m{9& zqxIpQ3#l0fQox5Z?AVLD9QaX|WuB(1OIo6XxI}pp=q0l?ihFXPWuZe^JCry v#hmHqG)HSS_b zGm#KAG3oy@RH(?~XPjd1#76H-9Ayt3p>D9GtFcUGv4dVq4;RrT84NmJ_HM}9HLRHr zLd%%cV>_udO^Tzy0!7gl`mXYR-QDYNOtNeCGzvz|qK2v=%ngauG${!`8j^4$RV(gE z8#ukn4hhrH71VZk(4~e<7E|$5Dof1%fQfcp%5Gm%MeYIwZgc{!LXS}JW60}5aeP9$ zzR`-+()nVN*BU=N^@&3CMukl>oKRWXY3cJhJaCoNRqD9X9fxQ0bCs@o1fisq6{a>( z0M9znIZ!Ps5r@Mwzh1N<{z5B%{z4M`AMo?7Lry)rgmdnTgQT$fgW7QG<+^T`RvIq( z@RsUp08TkAM(`oVSVJMGIQft~*=nywEi(#?terhq4+!bwtG3_<=)*^elgEG&$5d3> zV_Z-BB^A1|Ug5n%F3$Mj@pTBVm=;&Jl!HslERHQU5v=z~v6!&U7(DcYP80DwErW$Z zXwf)so3@9&qgkn(U4<)PeswZBW(ys)%4q`C!uhIJZNgjI=Bjp62YI=WMu4$^Yo<=K zgrC$PXu2Xq^YTZd@wQ|&j;U%q_xA#UEOPA>3nwe%A#y5hRZdFgiaImaHn@W8avT+6 z3)3TR$Y^p-U=;YIzMYS@cQWBB9aIlyQIeZiEQmGh!0}}fx048`>T&;?QrB>uQjN@J zxFYmJcf8jpAdhE^8HKFPrLe>(L*h! zbWqv!douIkiFScw2g*+(JaP=r)rO~+2Y%$v3xcpI4Dp04!E4c} zdz+9P+mrP*;czd!SPyEluQpWK6jMcK? zbZ`%Mu=MoAWNtxb%`1w?^83DV)-udu)GX1}B}$fobyFNCGUbCH3RmvYkFCEUUPL)dy=o;1in5ea?t0?|CrwGa$zcU_rL-DMl=0F zaQOjQ3jyKg5h5ai#e-iq|3mH4+FphSe{ZhoYX;LiJld8yBe>v8B6oZpFW+;7+(y8k1h~* zWu%1ACdj7?dE2_eVYAL?#Ixn!-)4g z%UJr5ub0rahCN}o>&>NQo~HZYuZ(DE8)lz3kr_v^6+~Wm;3!>8trfflZ~Gzoh^{r_ z1|S+u0H%Jg`nF7a1(MoNK|mc6Q3^=d7nxLOMbVnZ2UFIxCb#2DcqQ#ZR8J8t^r_7g zm7Lfgsa94q0ay=hMhn-bJ8OFK$rQW%(wBH^;nC&H{9o6lChxz1q}m%fvve%(YIo$# zjNP44A7LB(hTWi*gU0$)WbJ)=rY*T2=O->@qV+q@WFdNfuS#< zeRd)892xB|m?NNHUXP-0HB7$o(`BMunW*wIMh~feT-?H9)3B>AO#2AtQYw&_rjVEg zvNrgnO{D4QdWOQf;4jXdeE-SJ!x2TN^5m&HW@;vagEz>n-^0gf<+~)F4Gb9gAe)i5 zw(S!3pmOcam#IlL-MoLHaZa6BYrWkPQL5K;ZbtcHvugP3=t@k)#6a~2GP_w3Z#&xq zhpX^;Y=SUH9k26^@i2jYtI~+r)Td{M9B31^q%m-%A2D#(lM~inQ0?V%#CXKL#_462 z3q-|`*$!duzB)AG4n21454jio@6aVXIbYDX$UW*>O;}(&O%JN4=oi1RCN|_|s{Km& zAq;7XECt`y>&=Hd_9d>?JA)Hk9SfK@_12R7qir$hG76_?6lqUo{FiU0?9Cc!6Bw@q z-5udj_*lZ(9%!(1+8&br-r(h1I7tB1)(pkN zgl$sp?p7ynbM;7@L!qU-?`bP4WbKL0`D||UY@~#*JWo<3oiOvah&_z~B~jws%mkcm z+6V_~rmxn+{L2^{O1nIuGH+Dx8~n7&x5jZ6`gU!}9UETLf}rbF$;S49mZSGNI&c_0;+V(HvUlwwIXtfASlTn=;hMri&|W?8x@>29xUuoV z=A^95`=XE48-Cqq+H|!#0h+7PDYc550L?dDZ!%uudJ`P-n|pg+?U*)sAL7Z>^^fU$ zUx?^Y3{5*?xLj@B9M6E}E@v7)?V?`K}=*-WR%}hg;RoUy?<|f-SgLzxMYOUTNhR7MyKV zt_>*aywlh^KX0o{kk?a;Yp;$!KOX@p2)soq-p`ibo=;EiwkvufG*ehW3`bk3&;rL3 zIAj&4mVv7$SoI)o*Vm|aKkCPa^s+1EO&5VpH#74$E%S}uSM%P7DNR=wMy72`6T%E!>=ua@a*r`ES{`L`BlW2Y zOw~*e`XH(l-;tOG{-AobHBGM7R|K)FV-^5{Dy63Q%1U$@xQt^eM3k8&yms(ko~#AZ z)b`ZJKU(LqU0-+nJgry$i6xS~Jq{GV#u|Pf=l!B)ejLb=`rSAhuJ+PhM_u;{SXSda zAq4iGTv0d}P;~`t;ytey!E^YM2XO1`$c8xh*~-k#&Ccz_d+zx3WJ(NxM3+p2a^oDOvcRv z`E1EO4ol1H$=sOmcDb1i+3>D!_etjUBAG<@(sCc9evuc+?(|zPIkY(i?>RAt%r|uY z#)Sv8wh2Yip|t0j7CP2XOi_c?gJ<%1bJM4Ky{-+ULSMM6-;6h|N3~Vnz2M(~$ zt|Yt(guTM~TY7@OLiyVcVJ_vuTH}}nG-uXP0&W}^>V4<;7ONQ>;!sP-Vyx<6y55nn zh0P9Rnd9?J7vh4J@aOYOwM>NE)0+?v?NX0jt@rz>p#4uTg5&46+q%l=7kEM8$77`1 zWoLK;&5&u|t4S3NkpljHT&0QRmJLLoMqnZtJ{+``9v5ruaWh!$$>Yw-vqJ6qzJ zanc!fJ3)vfSoSsLev84$*@pu>TZNn5%Rr8zC0KeSX2Lb|HjBvKT}*u$ejQlEmySza zG(n76_LFF)K;+S~ z$aUnHcZ!hq^(xZrvUry-E%TQS@6!iq65o`0Zo)P1$&GvjunXtmO}aefUFcq6H|M_= zGKMt2#&CIu8R%o-z2QBnoe~{-;;=Cb1r7J0>by}ckLXb^BEzS%IER_=5qCuBnOxtE zz45LY-s~`L6?Ocg_2G~4Z$xA#?56Dei}%K&Bf=_3J`6ouOz;?DC^VC@;t z^1Cq|b)6t)$fsQy33!YqY7+;Zg-iYw>RjqAbjU38e zte;YB)1OZ)t4ar^cmV^~_4Cs&pB@e1Ksnrlui-9`p@F-~k$Z6EZ{Ld{UV&BTzQs3~ z?u_LgJrHlScPm@_SISZr=?sUAyJTDq*Yh7xT34>rVX`-UMdvWr^V3!&0l>j^mx7N+ z>X9=o*|^e;sZP0qzx+)nr|!1(m77=O8Ar6VG)u%iB~~rSf`hbFyL3}{dQiuK>wtG< z4QtHv3o`eZ7d)Dt?4OHTv}2DS`8P&nk;cP&m5xz_pn#$WB)K!m-#gv)d|Vu2*q2=u z;yd}rR*w{57+R($(T>c7oe@%{RW`Mrd9r!93c4nysmRp~MO8cehG7npKt5_$u0TI9m*R{t9kG zyvguR>A;r;NY{E1yD?9^bS(f|!lTXA0Hzo@# zabozQP5eer+AzPRh!l}M81DZYy_T1#-?0jxevK z%9?AY%DL4($(=kd3`I+*0PT_L2RKw8~Io$Q- zTVa!qiVAyrX`00)BDcNL;z+ZR9|KVh2~1F-5UqNwu4Z-WYy%~vk4so@>eeZnOII4x zE(CNQQ|CLyjN6%|@tDW3=pCDD49OC9k5!{#Z%~cMj7ZZAXF8yKvz*JBN;MTXeWU5H zCv0hQ@8kNj_FgtUx0oxmBY%a^J|+vk?|z1lz?cCK+nxc9)#d2gGh`6Ye1ZcOzcC3< z9984MPVY=CO}R>SD&u|L=tgrL{NUM@DHc4J{B5g*{qt&tbo`|bH#LZ(pTk3we9s7U z_Sn70a~q4y@XdU>sU%MX16*-al&d`{)}K&|4PLh_5##>oV^rWhL}Q_4pDTS`;Ag*_ z(UZuMuNAHvD5mY6QDNU&v9Pr@B#ZZnAv$W>M=~~3TM^q~aHN*}o>ZG-RrJZ))b3!3xtB^2T}(yf ztJPP%ON@BAbd1p^d4gOuvSt*HI4hE^Eu`2yCU}T^n9Fx!g_F~yq=qa``&d7(qhrz| zF$@!oS>xA*wNLCHaEY7wI!y**gcsW6G1;-Tr;YtuaqI3VqF(yj0STbn_) z%ihByhI&&bZE^pO&=2QzuW=Da^VE|V!kwlIX>ewanK_WoKb)E~C7Q>ro81>mdL%b^ zZVgO{1}XBF0Kwx8XP3>PZshA$kB?Syj=Ec9aH@~CKa3Yp={tSRW&ZS9LnvdBO^+ZF z3>~USC=Pr!xa#Sl)QB*1$xrV3J8=ePR*9P1#(k_)4@CPMEvijk8(1KYiAeBp+tVX4 z4>160336Q=HZv37xC>UEyf0m{N}C4oR1$F|YFf!*=kBl*Dc3}Co5vnut0d3|YU1~` z3UW=b@jK`wV#}6GR6XP(M@=EG+AA(hsL3F%mk#NAlT~$7QD8X)iER`ad0!a#^mIR{ z)fmYQZ-caC4~zzwjk)x+0g43TcQJJjE=3^YWGLgQ;=T1KC=^4BhD+VWY09MNJ%8yP z60KQ~8bO~aL6Zaz&!~M=R$Kh!2srk7xlG`h#!^iO3nRg4YCQo*#zEw?D-A>R>vwt; zq(gkD4x{R8jp4mp$FA`#_O1r;ge9Iw1A{uTjR}>4n_<-x%{&EAVuQ5cVLlxASXRgI zm*83g;6t^~Wv7oqGqvr4O?JLvt3KY`hx?OmqCei;LaeF+zI~M)Uo7zfy1jmRHroGy zJ6}ORvX|8~_$UY?T`G7^s+mZuhGtVOW9Hc#7AsGF;(`(zOjJ$A!Amp;M(ZJIA8nQK zguqx2$HYGM-r_)e#ExD|FpP#Pi7(WWW8yfnmHO7_ZKbOn$Bb>hWkcc&kpsVEhrezO zU&@st<8d6|45|!Q+YtRiS%)HDqvd1#NxvLQDEK)-3UkV5j=>(p$EvEz&fHoIPns(3 zM$(m#u~bo?hdm}~UM;LVhd!yuqO2<0jN{OmKB0|buJR#G`bLw@pi#9)IGd4CGSE9U zqjU7-u{L#}CU0+H_-rwce<%H_DcXXzLqHf1&EG^*1V$66Q3}KJg<=OTO+Iz?&Q9$-AZO#5RVQF1 zR`_88QXnx%ik-p_E}Smmt`~4eQ_F?I$3JtR0c(6i#z@}8+6Pf|Mu5104AA z%{nfFrqn1H{Q-Ur+ZbAovK_qu`0$f~W3*MheTgDt{DVlso!)Isd~Ro#{lv^6FFC^g z0{lijp;O0jE_2}9VJC8l3FQEyPly25s>ddM-?4FC^oiYf1v0Pw52E5py){OFBvG>F zayoI%s3S_g1~UPb3uyN4+O}`dlX5$2Huo;+6Jt#KmphN41}t-{IYtCA^-ayaR(=)d zUy{Qd)|FssSSO48+E^zmnTXMJSou9oB;Z5^i=ooOKn-UEKUfPXPSF>xHdv9OqZQxJ zJH~wvO{*sKy_lL(@$lHw8IKSd#s`&dMjT~9GK||XzNE9j#6F^Gqxjy``)y;bbO0+F zC3U@*Bk!n+GA8i@`Uw)5oZd$dWUK8-2LdToL1=Dp8-^`r=5^-B@c4|{(Sq7nU}O*U z)%7*OO4gLXc3c%P<(Jw)VS-|oP(nk>+TEFnOdO&*Iaa>H#l+9ji5WwHkRWZ_HIc%9$o^&ldjfGAj8j;{3s~ zBAJ1p*y_UCoS6PP^N~LU@xr)XOWNb1hOXxLz!OPgU!qpg7Yk^9Jclaq zItb&7?T2~x!ELbo8G%eW61O=g1A3+>lE?9`LJ>$b(3)KvFLxxc+K-T+9(QFBjTw4e zT2@FptQF~|$pISmWKq7-Ir-cVEwN)&d|^MRt-H#)iyM;7V|=X#Sd|n+vsh0igvShxFP|H3yde|Z#|8K@r8%Y= z_3G2e>#|^8F#V>iB5PExlYY&lS@lqq$COfa826zD5=kZ&KPN49+dx~pXyFiZA*u*w z<@B3y$Flwx94;aP;LEf=7;_@I7H&uE4?rvM0d`TtUCM6tjFxp0B88}v*e`* z?jh~i+-*O9rXKX{>3%rO0i)F5Hop-DeJl2=5*wAoOnolt(KECm?NK{-9uBIsOh3X8E5{|BgH{1W*lth6P*A=k<}83J0|@_Z!~S}(h)cSSQ>n3}XwhZ3Ac-B>f!t0^tU$h^3+ac|3!4qRBNWedkuf^Q;?vo&X@ zq}ua>JGZr)Gqs~2_j{rxPfF(V-Fyx2C1^DlD*C8Wo)rK%-ACq8?T9$s-aj+VCh}M(iy~O?(=%a00g#CBw5$=UjNUbOHF{l2FU4KN zU0Ld#G|DIPS}qf}qO@eak&bEBgrZ_b?s{^BdA2#@)rS_y^c&ka^B^a6Cq&8m>We@; z2Rhx|JbT4$s-23XcRl1QU87zbcPk&zX~i|JIWMZmdx{xblUgOB<)s&Ic>)r zb|s8ih}RGxAyZddDuRz>uDY?~zCHoEs{1FIa8vZk9%XF_)(1zM$GyUAD)bIPk(U!< z^h$(>#kCZJv@FE~tU0PAQZ)6WfOf!n345k_39&TpWTSI#yY)J&mxJ~R-}adcIBEg? zgFb_v=yIO6iD5@{bo2!f2c}*=snTg56=XB)IJnOX{CSAmr{ot{^AJqx+AR}{j!;gI zi@7YjyON32r8Qd_ZG@^;o~cRhRqxBNv&EN#lVT*byGX47*`DeK_rd3C$m&g9&)ib& zea9ENP`F$w?#tKkH}VkI_t7=4)(6*Wvf?hk946s2Py;*o24p%iQ@5?wi&_52dTd!* zF)+aGjuVShB!fAmhuEI(%b{J9Cqig44ATIjxJl)ob#5kyXozqhCUW%d+tdnbnRwfD zz!8dUMa>f+9G5L08>UJ);@*;JEI|n5;Fhry!igQNVDAw!9(Kt?83SV*ltLhwxeC&c zEk?yWK|?qeqrsKt#Wond8eGU#7i=c$)Cz&FtM8oc$-RV+pl{^$_G*PiXlAgxwX*t%1S+(oe)-REymkQ zxA?Lk*IYcoBjFoe$&W&U=%~1cz&5Fbj=N4D?Dp^M$4Hfz?Skd=5>dMLVxc-Cf31UK#L-xTl%+@#_F`Rx%EzorV{RHXIWZq317bMtr+Q%b@I)_g&Ftv)q;|nS95qAe}0{ZA92ad zTDGH%)ke#QWtfjxlX(GN$8_|`rf&9FW}Umk}(FC2pUzQkrknCf$A zfw7nYDFh;YEbf1n2VowaFs~}B)#=-)-eQd?Sb|e<+y~n|QB4fVAh}x)@75DS1w@ku z_g;}FEQb~-&}{YjiK9ZXEKQqv}75$VqqDs^7TE^NmG&y6FodhS{K6yiume1 zpYVlpbOq{?R&ti=#7CE0^}FA(xl&HCh0uD}%=KBieIj7s%YQqzqnatSS)vFF;-1jZ zPgfP}j=neDFu-@51JB@iWx1EhdW4Kref@f9cW^2WC&hhT5^M08x)b_zsaLHFa5Yjj zRS$>)!fpL}H$(#s%5LdWY`g`6>a5YcF$Q@&H7E4S@}W1S9Ap|G;)s7VQ^nHYDy8JloiB_Qy3jhz~C1I z7Pr9Qx(nwJ|I|fg19?SR3-;(b71&5$eE@}ng3 ziO48BOvNT7d8V85p*G@g+6KD3@9nh2iE=h zP&r#sil6j|RTZB1Vx8X?O|%jWrc*<5NWU4K02Urnn!!u3dhBL_n3dR{zk})69oh-G zDD2TqD#@q~SkfN~$v}z6s#({qNki=D=!YY?58~Qir@dai3+TE4Y(8SZ=l@YbRr<9U zu<`6;e+D~vB$y+ZSb?A?9Ln7|N5ADysi{bV%j|G%KFQU6!K zKF)X{)xkgjh&rPzI6^kCW|-3FVeLg+qh|?l>x6NmwbTwh69pxJ&jB(hs|rH{FKnJW zhIB!?w!w=E{EVfC7&LHQQeX{wV{M;XSx?=yfPdoXR?}3cT7#taj$YYZQ?qdqd77g{ zvx#HBjl!3L6vR1xbwJ{1L);|ac~_h=HJ?bs4*gl(e;bXibJg}+*kJ(4{ zT%vB{pZ&qG`gapYht-OyX=iH{i>fYSt@(u6Ucwk58Pb+Mv`L2956~A4 z;`TvdkI2)6_~USjltQIv9~vuu4s;ohacAOdV=4p@5Q8h6@K&E3=zoV6x2mU zs~ABSC`TP(One$06Ple9p)q(tfLYgAc9$PzOovR7qdoOt{B~c2#n0mE#MChhnhD>z&gMhKSZ3{ATqbb9Uy6*UUF%!B zpU3b-o4aUbA`}P<1}HQ$j&0DG!mzj(E?VZvR$;n^He)_?}kZYEXDqjs_Rg z)6$5HWbq`+X*3m4ud@M7aYPvJ6nI&qyj{lj zFJNs*8XFLj$@98jf?QNsgDpPGoLpE-U~WbkAxGU-2qbtHBlODMA~SF^rG{xLTUlD% zG^h($XKjdk1ig&Xv7ocC6^F1p#JFun0CG)4@^~E>hbvu~H0N)GdMS_Q&6%aJi}r5P zDy;E1<6?tK=#e>BC)ok>Wp%Dy0TmRYBFE)H#p#fT^WNXM^5@k-=w|{tyEP zUlhjn4~ROk6h}QT@wz3u>-Tg!C5iIpz=u%-p3mxW$IjAY!J;kr zg1aK;Nm1G&jNOo7EQ|X}3US1pSO-OvM+ZdL;inPaOAQ&x4Qh2N)E1c+Pw-EC05+Rv z*SnF8WwtW50FsYy9vi*Jv}lQ&_LKgRV>Xl9ifOuh;_Q8S<&7{)dA#j{vP9FS$HnIT zd7+S;!nC!AfeS;&7YzUy&PnXjwmlWQXejSKgPL-!(wl-;G~zQX)K0ua5tTPRnzH@v zuaY)a?z#%K#++bD$ux@HofQ;DOz1pbne2v6O{20KIOz>lwgR3T?Ti`NYkrnZd_@yc zqbsw$&+T>dl3WvSnAQy8{lKfyh2*J> zi3m~=SA_c#Ld&WTzFCZspTF6^?pTx8YrKSVL{F4qBwbI;ZVjY+9GqQ{r3rZ*U!ogd zzz)?}(=M0VF32D**In7H^Ou-##1p-4z{uP@{E`=vZ?6XTr!;mZyv5OuU#pG6Uc&PW zJ+8K{1lpGf6KXjf{-`67YykNt z@2a3%&CAlhl$1<0FK4K4z0`W@c}?T@7+m*KACHj5XRdL!_VW1P`~Z{8Z7deECgdqe zk029h;~mQQv;37LlA4`ny1-pZOQO$B@mI@-Mh1s?S&pN~bGz>8W&S>b`irfjMwrU5 zK5d;fG1HQ$tS<Ge|WvT!m59@ITZ6WmiK(c zt?x4n=yjPPI*|Ch02f^Iq2)u5GpoK(`vR|6vNAfetjtUaN=d4Ek+{me@JlB(in|07k!{ZCR=JpWdz z>K2~ru{tv^g#~qPu2=E( zZ;pBzPSP)zw#w$_;}iQ$@=|6RQU*i<^%oO_`-Nq1iIRxW0ehh2M}4*Qz)kQBRJ zkKGQ(=C78vPP|X|Q?^L^O^g*(?06@f&i5rBdmn5oX|kqy=e5qBIFtP!NG^dVBXuI`Y8;?Xs1tUsfEUN+;x3m+0x4+X*tnNz||F3e1*SOnVZVwKwim~@UM3;hQN zc2t_L4$8Y$I0xv}_6Y^5Y6ZoudIsh42`TQ!Tg4}o)!cu4=O8ICp4An_dR&L2s`c}# ztF>rPCCrJ_qg_ux(g2e{Sps7Lp|-Q;I3!1~ixu(}b?`e(j|Az0F&2*y_urjq3$#z? zi_)IGd%XA5&?Euk^XSWK0L44gpwC(L04Z6DV&(*OC?BVK_v7mWVnd7eSwN%Emm5BC zx}M(ErKH5A#)`XXT~u2+8kdd86jdpoT9C54cX&YD6< zYyo1N$t_(-qyqqz0oQYb?0f zninm&#OHnC6tu1}m9Ahx6BTG{9VUy{hM;W#QX%-PB50UK!ki0Gn28fPhH&yiNGi%6 z>42D)2mjf&dz60e<;%v8o`Xis3*&XO0`VZ-OMuAHJ_+Ed< zmfAKU-CsYz5yTu33^~ayL1{%uMbNvkvrfN>aUaA=VeZ-ipZz8$G@_IJ z%^8h|&6)Y8B(hS~xkZIb8|KU>kFa@8+ey00DVRwztXvwY)ktuG(SVA+X)-1%-M7VH zZhNPvZz_EmJE9-*BlT)fMWHF#B=vPcDURjmN!e0^axd?d0ySaZ{F z5*`&H^6fFr>0?`MFIO?I!}Hp2fqmA8_ZHihRWBQ0WWB}FUEXg9Q!jWbQ!X3Po_V0X zv*{P<-92>16zvWq&uOddYI@1-ixq)S&OFz63Aj%C)KhjT&`=Iq;w#55+CYJ2gjHDB<94)uB3z^c}#jZtM zHJ1Femg7B|WhD8j#m~!)6W=hzzu3P4Us5oXS9|0M&8c6DuL3z1541Y zOB~{O-7|5mvq51@*55cN?SNB4c5gF+`imEbAz_E79ow=8s^1n6mQ=zj6d``8Wqi*Q zjFRyB;8@!dm>~bPbAFqlNz8Wu=lFEY{GAw@@c9?s7(YN@z)|z{hukp4$6j`Bn~UJS zaKS_PS0YAEM&8z?mlP$JNV*PU7TzgM}wAA+rtZH`1q@$Ot%X&*Z zRyG|bdIfjj!WW2v(orpel`D<7FjIKL&_GT%nP3y;8DK3?1wwR@7l{|4=3NUzd>;|y zn=`8jJ?3)67}lYCS-MTN2=S3JQrohq$l`2|{G765oI6=J=IkK`a@5lGsSHSn37@g~^0b!7syC&BE3 zHbzU>c}65@^temVJL@_sd*h~L={--$jgsKeJZ~j4Szws_vq&S^aglNwS~41VUuT(p z@C{|L)R*rK-mg+IxhsWdMfNYBbv~zx3q;DNrcZy%-%7Pupx-X}?dr5)wN`ODp|ksf z$l~b>JU!hf^hHgS!R|h9=G+w{5V9VN`%9j)NrqSM=Jh}YSX%ruJYA{*GtxM5cC2zf z!FZ3Chh}OO#atw3e$Y^K`&mgX<3!9jRR=#!xvoYu?NTGy$BKp2XybrJDQ2R@n@9DX zH;ORPJ;U*<5%JC4Go_q$ioQAiQh{m-ixV9yy#lT3!B))_h5~`_uVAThYEY$ksY-X@ zAG>E1;4-DPSv872%+pXORAOn}o?Ttbax~LqCw%vvR|pNGP+(}Cf20TR-4fp^Q=t~^A?((~HRtm3$T@+6yz6w`FTX4K3 z{^Fxx6BTtriA9ai7bwVhNA%6rops0WIfO9ujz@-3rr-V`sGP~`12L#phza*$O{zOn+%)u7id%$~GSO|jZq%yN5Tgp}CPXtxz zEkVWccLddkp9Iwaf}qmS?(YPZ^G|}R{&#{(>6W09`j-Ti#6Kgb!2c_PiuOMz zs4i{^s?+zFfjmHd;Sg8oWSefx!=^8Tj; z)#>j9RpBi`^?2HA^;d$bc?#7AK~M=|-V#)CzY$bbKMAU}O8$Q!s8W9tRBL}CsPKO! zs3`td1QkR6?*vr<^Z~{v-oFx5r?&*v^&bQk@;?$(^DKW5R1&`sRGGgKR7t-QR7AfK zRG)tmRI;}O75HxnDnXThLQom~SAxpyH-d`l?q3P2mk5GN?SGh{Qb|V;R3pC;R6f5F zRCjFuB|-JHlFy}c?a?m;l>)^t1l7?m1eMq=L8b9y4Hc^csq(J`RpU>BYWF8WwJRTS z@GC)e|6dYRSa|llHa`ifC%+I>Uo6P9e_cLz^stD|B9eG{m%%hy9k2n?tem1CHziMB~AbTPEZB> zPEe8mUqetO|3Oft{e_^C{69@l-7Ei-ao)z*|wqZ=No4Plc* zI`htE*wI7r2xAIpO{M8h$6uosA%ivBn=EciNOmhv(V;|VG4`4xw4D8n|Ys5oKf|^=2 zX-reD6*&0n!O;X$GsMx=d^{-+0|lLdz@ZS`mW)YTnImpgd?Hj%9*{laxv}jEx|mQJ z9!z$6Gv|`@=osQz7tW(;Ohe4lVx8z$V+k=yuOxOZ)+ZA^rz|@Lv5l&C++Vh&_7`ec zUIZ*!V%lxBA?~HHQ$AA99vlUlDY&*cPZR*PvlmwRO1BO1ihL46OURPTqKZ$|w#bIo zEvOiU5wwtare27^)K$Z;_Ebcv#~=38v)}D0b@5w!N;Ud- zd#W(zH+#wx^s7B(^s7B}{`yyYDh^>!v5nu_Q&*n#4{bL~_z?D#{J&*SVgF`NWy$|y zPn949HW!>*d&-oR=d(I5!k&WHf}jX{3SZ|pdn)1|?5T!-Zcj1)ojrwpYfs_)jXf2U zd}~jwY#{6@63IX8De$d5zADfrEv z!a0yF{MDX1>-xo>Qu)Q6x>5Qk_LOtOf3>Gx{i8iq@P|Eh3H_5jMe?gX)hBjqPdWcr zd#d5!cYCV$r#+SS@*nJ}%D=OxV8>`5+36Ufv51G@-(u1a+Ef}MPf}tDRNlBK7~AP6 zYWglkJxUT4_YlDW;tXX9##?peYJ)36{y+Ba0xHTy(fhxsppw!J(%p>+BHi5$!+b#x(wY(ts{*mQnTQ%J z{0bT}G4?%*ws)lVF_xV?+QHGiO4TQa^5`5${4Z*c4+V#+f;$uqz3;Cye(4pXoQ6y% z)_hif>ev>LKlsYB+IpmJc-nQ|BRcslo$7KlJeH)KNf{Hnwk;l3zg~~0pQIa0K*9<8 zwrTlHWLe1&Dl_#8y)}E;GH@^|X_Uc5>#0^5*ep>=Q7e(ds={Ifg=}&)Sx>h6h1fFD zg+LcGVK7tDM=(*1NYpXCG!V~`m<;3|Ju^^4zCSQM&%9Y z3iQzNMiF`9#A06Qu#}`E^6@n^w*e%XDf}0V+4uANn7Y$NI+^eh8VxC1XkSxQb?ZG! zN*>cdC4M!h5TL+jvbiqGnszNNLn@VmMt`&8x_c_NE#3;|Bp z6{(p6Y@5gOs&@yBLGn8vpaU8&xB`KdlUXmGbg4T*-yJ6^)+Es6)ae@G`4l2X`SJ7W^wErZ%nEoKV)y zF!Ro_)em}vTHlwvu%VJ*E9NN~`xmNn+EiVz&oCmtt}G7J=|RD>+=1%lWIe4=hU3^w za15p+7CqGzTU)wJWHN}N>yUW|xLbS&v=$E0bc=V6=PFMpqm-~hZO#zv__%oK9o8nT z9)rbpITDDQ=_%gsB?C9c3)jS#d!-QvINqmw;-<6qX6TIc4yY?ul$G2 z;M%i!Y`4t93+IPE_l!DUa4UH7iwx$_hTmmu!#NqWeD*nvCYBIFl5UI&SLKaTirnse zbO(=i9f9lxy84#3p`T-1Oe?R|nY_Q-EY)C$8ROnqH%GZ+xve~+s6&q4sp;D+&69(Z zfq~mKrA}7`t7*=jqEa6hx;^FcpKedxJ%nIbu=nR*+TB2_*Bc$=F@;HHWi&)ug2Ig?+P~FwFJ)Ve&3!_sDf=zwUCzB&gl!n{1waZx2N^VEZk#_3mD0H{XTAyqBlj#pWiI zhPEUHiS_2{1X|alFhA>cw=@ZkPrE;Dwx{1zd))=8+k;V=)ur;YyZi3;8dw6ugUX{w z>Uk9D-iGJ2*{5I^gRFp)b_{MWu}6k_jjm@la8Exow=gWti_-^ns57p?Xc+B*s#}-ZQ6IE~4aF^{KUgWB>FFxYx zvu>cb0;4kXV{qROVO^V<$mntUd^c8l;N0-#bkr4@)2^);C;ux$b3flg2SE4fqQ|}S zlvEqz^_!hqj_Q*nGln<&ZE^zCefpe?Cgn5Kj)Jg5VkD8X{n-l(5_}WYtZj?LCkJQ& zUrJuzoV!nJ7KR#2J#M{en6|;|ROmPIuSQVDQ?O%QZ=-dEvLiqyB1}87CW%m(_A| zXPSZHm$0LR!n0h4uU(h*SJ&~Y)jGEaw!e;0VLK6;@4-f>#$h8=uYZhCeVE#DuotO% z`ySsJAjx}oztQ*ZeICP-XT@GS8L-6xT!%4%$9muJh`fJZ`Pmmw%T%4`$1YHg&pl1W z&`4&dgKgg^tVZb|Gk;o={EhU3RJ~%X<0a^5d9_9fOGevVL!;}_hS@~8!MxXX*O5z! z(8cxSXdCYL5vsJt+ry>9l`5(fW5JGy+{zr&D)=%~Z%RZ1zc*yf%k_}){%5>ZPZ#b9 z+HoM9qPDaigyQnTwY>SV`5d|H+W_+UCK(rWxARk62&V3HJ=xsuQ_dg-&vg&x=9~pR zf*F*2&hvNCe9=po53Ym4P8hLUBMIE4n!OK2HNN_8i5GIrIWn(!-z;8M@1HfnNwVme z{{fi#&Y*q)Q|w&7fhq1kn4#kS$7ZPhx77DvEcLA z>I21<{G+YD&R<)7z&~pB1)8Tai2mJH-}0Zd`j)zXZT0Q<{%NZZ|97pvC%?4%F1P=z z)psA(>I?s8T74LQ-Rc|rrPa4`_`6o0#NTc8vHzP}eVzYmtMAQ!TdObbe_MV3+v@u_ zxB8lm@@?&@vG-aQz3_QkaIA=%(t?kuMM z?9RY85YJ_z>V@B$52ergb!4}T$voE3(%|Nvx*)b9tPXep%k#Avd6r!o-R_-W7M}+C zdDEx63ar!Adt7u~_noz06oe(WxoH#5Ii(AqUQz zCN-j`xhDp}fwzQaRV&{<9Xxs9T-bFz=TAP9rj1|oNMB)Sx!svqW3+#TD3F^vxr*BNM|3@B z*)-DX=`}@LrzX|*H&>7w$C92K#AwX~FXQ+7l}WCWaR&xQp_!9FrQ<5|C`cod?H7x$ zWWmV88D-sJtVr~U8n1htWCfLRl2xL5({b}nDX!CmaWBxQNROF;vj%$EyTvT9TmD1a z4c}^E??7@MA6@k?P(zYSuFUtXHIb$4fBm|R+4MbU-B!y^G0V^uz0+JMtl}5ZZ|>3= zIa0Yhw}Jz)qqcCV8JDkgHOZ(|2`%Pmu!^Kk_1i;gK7$W8CQQ@^ioJlT)|zd&QGMqM zL`b-eKXS!4{PJglpxUG5-C2Z6SU%OsC3K61p@#cGI~)TtJTAUl)1jh6B&f6e;nUWU zvp4!QvbAJQcD>JV+z{%YjhgetV_JzWm}q&?8s}X>69bsyiQ3<)8p7Eg!{s==*>wu$ zv-RS_%D5*(m4Jvb>6(EjLHKIUR@uu>p*jSFLuYEl|9Un!#;^xMewtn(yCBET^|Je+ zurszEV970zrAAwsHFH@?&5tV5aidPI`{-Urea~sWX$KaDoNt?pbx~rOtgoEO3ke%_ z_GlMMi*7+mc!Sjt1gRVcta(h+Of>kUxN`U1Vk2R!kSQ^Xp0{ped9akB7Q{#xH=kBb zgW+9vWC(*C1wQOL0RFv7w@3>R5q>h8>tMs>r#L6d^aciwHdhP(Glnm?vgq98B`Z%& zf?4<7)k5gLKBGu-kVRML2-MbVjAz}BXzL)Vij%b={h}>EfW}tSbDv9Ai<)ODg9SfE zYAi{l(w#%0Am3_%N+=ekc?$UmStqXR`Ml1qmu=0_&Ej0g@vOJAqpK=ixH1ntn>;1U z$d_QUeqvmLUK7b60X)|SEXJS^l};{M_-8eZNsL`Fp(@0fRY`O6#Pbf`Y2H*Bh-WPb$~Rqh+xNC0{o)NyA>B zvrQdr=&Zp}w(x6r*4zmevc7@b-*5-MzBTA8O0tvM6pyH z9t37BUv5Vd06OQnUCZ{$DJJtdyqb5{0l8Z`o5H?wPcnHZKn`5Wj&$_wESg5tXbq9F z!UFGgPM^LzjeHXAA{)FsqS1<=p+1=GFN&p1_s-nO{1XyZkW`5VO%v@T>_SDRlbF>f z(-pQBW(eA%hAjP*ZYwi|1b!dA7z8{KV=ql|^DU`UDSpW=@X`Kaqwsk7Et$%<Dl zcX|q&*kWQL>>|>xj2Y`O^$RL-i+Qw2ZF z6lKQGY@g4MY+v{vnkl@5U$cF+KeByO-qhW{WcvmuA31){_WdwZale|Was+pSly_xF$)lBjI#Z2}6 zGiK_a&i2{=gJ!Dp-(aQ?{y8&M@P}qf^fxob_z#*X@$Y6T{Ljr4_P=1J`hGQ2N&kSE za-ID5n5nrRX6noTGiEC456sm6V7AZqzht^cTlI^ScJ7$Ww0ZHiZX8Ra^ zXQoE}%uHSWb7txR%uGG}XUtUYZ)R%pzu!y+|7NCy|9@kq2oiqF_ND)gnff2k_KEyz zruP0NGZpaDOeOu-%+z0J``W*osjX6RkyPp79GNV4$Ei;IGE$izSw2EO1if)CsG*5T z=M^0Uo-`dQ-WQR(?|2kd6BL|ay{y^bXsK4g6=k-f3SX0Lp+Q!2dAhjC&|jB0IjS+J zX5dE97%E%b_^3j`V19Yil6j0As9}&5Z?lNe<=7M3$}ww{Q~DOO)8=Y0Z}^nrrL_{R`Y45bJmms&x@2X@QIdS9gT>LFp@?$9>AUMx@jg zo#Zy5o;Nx!c|^$_EPPP8waLDiS2KZAI2vY}WsbzGZ0w*W>@4?7$qxwM!zWR}s}L7g zL?h#z%GlNh8-5Z$4nU8K?o3Hk zXus>Z-REm7B~?z{Fn{}(?N|cUe7+J?6xKle4IGdYH=5R>aTph^IBK+V79%@{!?a9qH%YEsL+FIK2cqjYhBPKT&QiRNjj8Z2^B`^p%{mT`5l zo(ytWBspl>Za3#@hn^$Bhsh4AK0&EJ>go-=#L+M)8m#@|fRm-c@_8mRY80t=bYrFb zV{bB^^=O6+%U-(*>%-lQFEb>W!m#q5cU8Ot2FTGm+kFE;AvOeU{G)XGR+U(xe0UPs%e)D= z3*K`nDlS}Q;1rQ}vQdVqz!|lYR)In_7@DNVgLMx_dWF=`Jt)Ff2bj%|)@$vZId!ro za*OuR)so(8Nvt_34x0uf=!pjpilqogBD>pj2S|rFpPDMEQs(mGYOj4NQp~-qaXJ$9 z01vsg(|6(%vJYilVx=Z#iRf94BzjJ67IkwUX}F1h>E$PJ!zxfTrSTcpFe~_m&N)3+ zq5_&Vb}mOR{z}ZC>hi^)GyjUIuE!#+ z|EM@BeT#%pP^`Si0cSRtm-;QA;LGPFXNg)?yIXB(7K6-Z&dg#6J5rL7y;qWKi@7u_ z4#%N!OO&7y>xO!j)?Q!vaOQ^k@QR`(Hmn3v;TjfJ5PH|?D>oo>G4?~fQX`9sfhlXk zlig$PxzKq^Gebn|i-G&_%EJj(;1E~`w{3WVeg2v9+!z_KA=6`$k*9hasnHKCRkM&3 z!dRnKu?#%vMj;f9+g{QFVyUXHA`=!7IEhGB@tNU9J9uF5NeC8{^#mCAeB2u)EkUmvzi>oky=};Vey{&0j zf6lnft*aqP3r-7`q{aeDaMn%)jkcAG1x8q@?X%H6e@jWviQDhM9ZvZuO>E_bZ&Cbs zUvF~q9E?&?#z(%gW5Yi$+`us%AX|<@eqg{FWfW6^uCsy=ASb`Y5Qs{)mnXCNxv8ht zTQ#?RG#PEs@JKB-TCbHcEzM}v&{`dqDkiPgBGZUhT3!H~jJ+L{-o~B&yJV6ICwse=e%5V}BJ@-V48psx#65eo>Y4 zA4HYex8I8@kk?;CRU=GP1?>GrRJFMLT~Rf$_AJwLrrm4thp3AADXQKgxdpDj8PcHp zI1}b{E!jqq*7QSE&4Fu=44%7X7hYt-`@|V_?(m0u4*U>RK8mMrYHb~7UR;tzW~ISX z8Fb}x5Ow8AIxjce6+iZ#3uwf;y=8HnR$;@X$z=q{yHYG=>wkJ8{bF9d+X1`Q zfz3f_?z1GxX?60mF>f(U@ht2YQZo-(SL0B)KgMallcsd|kpr~+Rz0ucH*ava$Ei%>qUHBq0ij%vC&rM(wc-+>8 z!CQ$xCkgDbH-CMwV_RbTHe(?W*uQgpsxZz|!jjhLb~SF9fIH$?yR*hR)(?yEE%jGl zxzLV0c0Ef)^t?g&`=TnkC$~Sf>2tmN#crKO$-LLu?#)pTu11OAOSc`}HycgGwu1H7 z>n$$xFFX#nFC@O49t5%&=z1S6&AO1j@NqggNHXx%pTUOjcdSr7@x|VJoJWc$h?su^ zE7d8rxQ8PqHD*5e#GK}J-gW;Oftk?k(gI&z+_bVNM{)Qw?0Iob8hMAQQBdJm*Z`Hm z3y<5&NzDDOl~K$?#LrI(l%;L@YO9J`Iv(=cjDoBIvn#{ld4R9|p2^ehZzpkxbsZ_K z9^x7G)s%|FZ`s`ynS9%Ef4c)Yz%yS6)?-rk$Bj(`eNmYC{OoHr=KhxOZ0kVMv{SV0 z7LS7Zy~V9;SJ#vVbIo>Ojoi8?D{ZO?NAM$28#ZC*Qt}OTZ~5b`749-kisqNwkE*xa z&6Z0dtTs^#X|N#csXCh5W8wjN`i;$3UPd{g>Tg?}zb41%eF2`@$~=(~7tn-T{Pf_o zYZy#Lgm3~%FogT?IHUBc!$Aakbq2v6-xGRYv(p6t zp7vO6U!(YHO@Wy?wRrx3gDR^utVcm;w$^^S26}=L10oOi7Ds>HXHquTuMq_6`MF&F zP0x?eeE(<9&+QjVMPO5@^Um=B2gi0-guVBZpOos|uas&UMyV3N!u{y^VHSVy`7Lq{ zE^yz~LM1N0_x!q*&jTn(a5CRyfAnkjaHw$@DA&+X&^KtPe}{9mztRQ?7iUlapx%+&1y@HDy-(StNfpx?eAW+1_RL&FuTX|PIcSZ<#LcO8a;Ca8f z)c@Y2{kKVMpIq>$!))s{5b+Qms?Qrqk^}<3@Q~-fc2)>z10*j@Z5Z>bRpknME~(6e zo-jY!8(OgG%xN}D?#;&{#b2oQDm2S~hB`VRgLoP(QHz12i|dqfaNn8kEU9`NmW#ea zdZg#o^tX`e2aoy%QgQPA1ycRN@)Y+!zC6`sEdi;l(Ehs3k$QmP`QGI7N_tbiR}BH{ zeY_gi!lad9JZD2kG{cnzA0a^L=LQ=S>iBzc^+EIDVr80)V~3lgSJQOKCj9W%J$L7@ zp68bBF1LX$VrwSBTNZ@A(mEbLjLG?mN|?p7O` zJ8c(SnKsQ^soO^tGCX~?B{Xxr4e4)kJzMol^Ez2cn#LHe>2(BM?XC_hENYzRgoFBb zRFK1 zsE&biNf{1y>s6=qT`1G&1CCBq6YNq@J5BuYK>3`du;{Ulj1%|}; z&wB-iC9Tc$pya(KyXRBmc1Yk&vZ2dxTaO*561+yT^)$37bga6ad#xr{B^=Wi@OlQ( zK%l|>0t>6b9Tq^H-z%8-Ql$bpA?mKWDhI?TR`aL9$KRp8G$0;%JN1#&f;Ew=~e4zVhk zM4qQu1U=P&b?BQWFkaq!XGWskxQzc<3j`g&$o;TZ1JBgoJw3RO@3BMV&j;wm<9bs< zh}#%3^jc8zasFx{$<~0G0)_Jl_q$;<)rQ%WbeqMyVt3hh$++Hss?ybuTpQ4(6FKhy1r_1DpdFlkxm`Qa~?4o9&^85({4?t3t$k z%nVVSJb{eLfJf`p__Mw9I%Dj9YJ6=f1Pl6Q7Ll0lTp9T}fBDb@{&bI6lA3LD9b%kW z%FO)xeA$I$dkwVAges-9%PL@-C|K&Ga9Iwv^5Qby6sUOd{LhD{ME=#`sr&!x@RY=V z9G)uwXNISO{;wUL68$keb@e|zJVhG$V|dE@pBSE^_&+i{RrqfmoXxy~wH~55lABPVM`9>>jH(!l^ zf80X^n)%I+H$@cFm)6Q#25vW>CuI&-WGZf=rI^y{cG6@mt^lcNN_U-u$9L-XFH^>R z=twSYlPBZCTiR)m&r7Md(ln!^(ZB(~<#E2YJ9Kpc&Ba+Ea(SL4t-D=P*IN|8)2Qy2 zH)ByT3aqonQFbFN-&hvBJq?c5Xm?})D>u=VU3f?(`tM^#SJ2yDNKo(9=LMQFam2q! zPx3U!&V0e87ftIXA%h2Ijxv))>n2YOoFF2R7EE{JWY8Kb3-c6pX!md0RbgV64eX|W z@s+UvpufU2&UPWGWhXDi{=gtRE_B}qL2p?o#&e)9!O6!tvi5ls7G14mxl8z#cG2Ke zibfJ{fjSeX{n$su%`lEg0h*84O))S>)rVI7yh(BoGUCP)FInCqm^Eu8p*-I05wBbL zHL-A(%Ha9zQmFr?JWp-kaT$ydp*FHSoW~Uiwg$K-V#9iVrmJO-R6QmK=?evGvDpX0 z%zAy#dpG-{>s$y&qR#Y3X1R)+3YVvl@gDUWV(S8yZ~8>K#QMnk$zMKInSbD(!umS! z`YW5C<}&`iuWHtcuKXwTx8Y7F8NnI5JZ1z{x(;}cls853q;79~aGW->dO(QEOg#j6C5#637FR04Azl&KH?m9#gsTB_@>g6lf>8|9^N9MOxC7C#DJYPx{xQ(;sltg zsxtXS2SJtlp(RHzhm{ufo~LciS;I=-S)d2$u*=ot@S;+#K=5o{D{o}I;sb^NfoffI z)^IkmL&F7>`XDHqJlQxa_M7EPn=(ojZu!Y)p#ujA^(JMVr)-RA_n3+cGue=82JU5B zd0}>|*haz3lY4Ygq!{e|t{FaG$jPV5vaZTT$Hp_kvg~T26glwKv|{m@t>zuME-8;9 zkhjdq_D;(enRRB5^E4F6-N>Hw!X-{>P)aK*xAw?-Zx$v^NOsdohbPnt8Z&?GjUr^( zPngVDJ>X*F5w>6LeB!lb4c#c3gw3u+QU%S0^mdI(4(8-_)*JdK3UErW!yi!ET!=iK zl3wProW>=O7#Uc?lO)N_^9SrFeaUjmnYxiFqT(EqXq?jdc26EbYUbf!GMC-?_Y{H&N zyU|2RZ&9HD`xsdtL_x#G1NH5sK8NNEM@1MC!`Gw!LJoQ^S03*k|tOHRQc1o6r zYv@SJFup+96B+PfI{=ZR=j|J+V6zO*M(P@Ze8A9j%G^lN9B1?}p%_b8)2gA-;WP<^ z(QLuXA{Q<2QpDyekzPhVU$e`h)r@o>-C*ZPP@qI-&4{3XB{9*Gb9_)(%mj8BzyJPw zo>lEfq>6ofy#f#0K#WU}_u-XWvSX|KQRUK}VrJ%8dQpBXkVkQ!e7Rh7=BISMES*lc z%w=JX%gs&80kKt^q5`oK>cFA=v|TII;>K7Gp){!|3Vz4TE)NP`TggeHPdaLo?av&f zx4tdDdhPG^SyBgXO+&3}i8@u3H?zKRhH?!8Eo>wQ^3Bx2j-R~?>Yc2$o%pnL3`zP| z4^^GGAvCz(Q=VYFaex+-QW*)~y%;qu(mJyg`z_V=L zDq7NOm6NW3tzIQ-_Aa(q2Z&w?=JVFiS)6n?mwGWOvpR@PmI)tt!IOcdy9 zX*k~1f5Qwu-1kEzLX-xK5EJ$yA3hEfeIgzFne<>%Vm3pYhQ?ILj2N&Sv;qnrltwM1 zDNiIW2&Cl!m2p49?+J>zK`~~Yjg1W)1!N27Mz}cgJ{_BMfW7)4+e=tZRkpuBX{z9q zB~S+h3X^%g&D&5U;gYm1R(6h5>0ZLm)eR7V#K_HNa3OZ7cWu7663m=I>}8zO>>bXU zLEKu-fb9!d9KAY8pk{j{Zp1aHf}0c>6RXj-!5NXLw2q{=iY!X~;*HdrR{2S`a8^_% z4{w9R=h)+qOUEBKPpETwOiOs&8uF)bLgxDIMRmBH-$Op2qo6V|a~r#qqL+h1H9uGz z_!(QlZn7+=OW6BG`JMuc5D#NQur}DVjCcDA))o0E zzG`6P9PfEm-5`4gt%92Jb86YH-;S2mKy55rVYdvSKx^+eKuZo*og^oX&_rvb&AIV7 zqU7blg84_!(Unh9A%3QBAakzdQAvS z0s`GMnnNXIgP}G87PFxeMRf;xPCO))yX_Q)&fYd{C;sZX2Ij*j{@f}0UPHBo{F$R# zqXFdw*k)>wVwREJ`a#E$biYKHqiXJ3HqP4o{L+?A@>DxlW!1R1)w?h=xRF$|yM&DeBtT9u{m-SHhRZW1ooYud%oFyQOR*kI^4tAh11e zm;~Gc^am&0^;T^sO}!PD2bS2I#0LA@R!-G*SA{a$fv}y1MgqP(+O5t;eSRg*>3DHx zY-z`n7wOF_$-1r4`A@pI*ECb0?FH1qyqh8Vo};bnDEhYh?vb)JlVws85dTxdo{x;y z6$w|4<}O|X>1>a?yyf{(jYGroh^{AkjXC(o>fJ?pM=vMWbIu%L_boLW)VyWiL&dBL znT<_xeG~OsyWawUV|hrZVjRkL*2fE`WP$Cg+5;0Iy`{B8gihI6m#8`hDik4*1YL#q zlP58(dSRikTX=lP!B0ynOS%0;rmqFlrH&m);dOL!)0 z%%jad5Ekj%I=G7*nLFWkr9&>gkx{(gy~y-_2m9^&FaEDX9y+70$_5lV!JCh{ZAT(^ z%;EDwlWTL`rU_9)Um9DABXpjM?MIebvg=K>>VcZ=ggJX5kMAmb8 zMU(cQxQUAtBZUV;K4xL3-q~ho*;Xv{;3UylrhE`9wX)~3_|OoaY0RN)-8SACN+(ev zZ$J@0ZOK#{xBaqqMjs*$OQrHD7co~qDDM-C7WwuR7T#1t4p>JYotYa!4qN-68(Oe> znghG99FULhT2_m!3u&iB@WG!P?Of3u$hs&XFV}moiG_{bmH7ZIx7*12@n_%};4#z+ zJGE(PhgkSRYnnO-{wn!pw@h48qSxrwC-XFE^59$)@HY1G6lo?#X_D6Q81O9paV$w~ zC*u{NXtzy&neh4;L-?t+9EjUoqokO1%0h`W-8ShkD4-R zclnHKHi{To7K?Qo48_wRt25^@#VzjzaF<&O`z@{5vE%y7E6b~-R#`=*$ri;kKLe`@ zmq)l9Gv9>ND?ILP%Y;{Mj!-ECziU?LK6P>soG5c(>SAxnP?Mxf5@l(O63UX`D^5t9 zS0e+ahO&Z;8W#A$eU#anZIL1+m!wK-U1Vl$JmvMJWr-_t;2+;CBj#*93`3$}=F{fK zucw2$X2rA6ro|CvtFc*Y_sG|);7wPnx)!xRaZme-=o3*>FlqY(RQ36RtLv0zuZ0U= zF_EmC+~=T~Zge0fR~FoUg{;t5iC#x$(K^jsnCLkdka?A&G6y(PUTWZ9~ZLn~=T znH`aGGSURL@xx# z_qcf1S&U}#vZn4Nuij){q~BZ0=XiBihf!`bxldoDza3ZaWo&%4I-u8x=%oo8@qj+o zvknk{@-a}IEQhh*fd`*5wN%*D_FZCmQHd~{>Z=nj`r<|j&U1oTK;h=F9>uU3Dyp@! z?1sbp5g^H61~iNgCq=5$8k=J;`RE=K_mK-9Pgx@DX{6L781@AEQ5<`IIk?!W;zb3T zx0%H_?Uepl;HtB(Kz9;4rN!soe#-Pu!Gdyb>T~;y2fbYxWx3tGGvZwGqJCm4$Vat& z?V0wE->X9zd#?ySP<+T*W-v}A$Dbb^L6+^ELBzJ@{eHh#;cnxSM8zOMpG3(71g&ZW zi0^YhGRRu8M(taLVSK4ebiQ2vq?P3_&V|Pm4p*>qt4iPFEHz<}9y*(ghQX+e3!&I_ zV_9{LRHAJm{loVVv2KtvhUp-Ac1&!fmmWE1u7pZe6qfU4DhzRMML7N4F~XPdiBq!_4@>s9r%Oj>$8N{yqXSfR*Htl||y-fN0e zIPKh0i_YldQGiHlwr!cyHz`PbLiuZW2rf&oa>Kh|SmDg0I|8Pv)S2_Adb>_z1_O8K zU<+0pz%#en@+voOP**`vso3oJjBGF z<-E4WZ!L6xgPlD(Y^Ul7A)q>w;oK6oQ&scE z@cT|x>ddb@RWzlrohstoT3KET<|=qgC$CTc4pvp?iS5P`|6%)0z=nffNL!UHUf=37 z7kft7+t)b0+HoPuSqC7*o`t!DT2yf%+MKR*GXqQMUArbOGcE|a_#G>6(L)=>%TTG3 zP_*C8sGn$U2R-0e22wt-pgQBT-g;i~m~I_5%apsyAb`m`n=q6MhA^8|#g{M!FArRY z1fm7@^y7$$wXA63Jp#x!gOVs*RoRDtagjD`8fHfk*vzY%e#XnJbX?sSr4c5i>4SZU z6CuxmsDl~5o2e>7Ke^pK!Bui^O^O5Nk#KS1HS(UqU)~-FzMl zP%aZ2Ty~aUGHDIMF31FHR?24{9#JS`6-bhtf62oN+;sA9{eGI-;wGZLq61S~A&d0~ z3*^$_4%C2En=L}uwdh2&6Q<%u?JTFaD~#eL`gS#9R1n+*$Z(IDTp}OaRDnpm{#2+B{nA^lZmd{VC|B2~Bu|D=Qt9zYi{++$mEgE$l$~0d#?>Y}otcGR%YHA5ajbFSjCZz%r?x?fOsuk>j zABoE8%7MsXHLcQRAW4l;I*NGe1C;NQ(J8A%%*wKur9z9i;08BqHa!b=HpT-hHKhv{AE%b9-F!Td z?PZ4GHMHs$sf~81jRv*t$DP%6(fbXdWEEo<%+yzi#%^5e%8^>FviEUWteYlZ(GM?> zcchzxPQCkv!yM(9!zp3kAO(DIJuaEgMa&$_BZbf!z9f!*D6K7C1(1x7 zV|kPOG-!3(Lba_Q&uLX#-PpOv@R(V-!+_Fs-pXK-fD@BEQE#N{7JGhATTcrLdzMY! zoXHN$p!f{YfA6LVSqL*x6>K1=4J;r8DT|pa_Wj5TX=DaK!BdiqZIrg5JDfN1p?c7B*ug<&I6ofn1AP#n&LU zi=v0};o5XP7$cDq62evHVy5P>!(f}PsD+=BZ#8mCj1)`2#9ljA4Ljj!1sp|r@RZ*# zlXiYa*BfT;Sc(n-n}JcuCJPo!l%4NOshTsVu2_*sp$dY#Js$qSPLfPKX&-L?)_yXqB zBIQ%tgQ@QTkXqo^i^ud@F`eW^Z?I#YWAjY;o@8hCIDHgHDq;`7C?AJ#1gm8w6!Lr^ zq=L*;Ny9vz{b+5btB_qWDs)szp4)cagIveK!E5o&*zTp_PVwE?=A|uC@tt}K#87t> zU!3hZ(t(-Tw50fuo4mNC!tNn)JSPcGfF%f89LmTK`>zB8v&Fb^cXB?H z(C-aJ0brkDcw%O1@MQOWJ!#O-S)(0FG^Abl*c>=eT8~hmz`521lKdx zm18=DXgyxe^6>AH+(dK^_WMSaEDz&{0aPiUAI|w`JQT7OF(B}{!>!%PPXI@&;IPxw zquVR9Ogzj#`!YF!+prAgD#>!WG`upQmX7qx~`oBN^>lX%1R5=-RGK}{>S=LP7ZEiV1 z3d(!-rL70o_k*bRNFowk6AN9d&nHwOeBMG~FW1YV^HclHi@Y#gBR^F#FYKEKs(e z_iKk;KmdP3L(^>wv~7#08oNm{WeD0Hgep)?V{Y7zKq;k#K{VI1WX*^zGzW!#5 zs~5?FfA$oFx3z|=!E&%Ree)d4;$gjq0(|2wLVJM&3BEhB7DgZD?L+Q7r*oqhT)YzO z>#Iv$E5l~}2DY52?QQ@nYRx>I+zPkHYZk zyd|E6S;!4WDZ*2mYR}K1EW#|i!Md$J2H`Ye z(@WO}|2?WI|NnrhYFoosG)YL1aG0Rl^vBk>qHbr7i#)W{7Ao^^(|$Z=MDtnC<#`%r zfSbTLv6=#{;vi4;r=glqx{A6BJksJO#PMm~wNBqsK}lN=XxBtkyNFTXYcIchTY;q1vaY-+hbdthFk<-?s7&;E&ghf~yY6r~X4MAC&HTH$joy3wu zPiJ{stu$@y748#0I27V$IlNA|4+qpanwiR|wv20jaqAQt?wALr!Hvn>KM@z8i$Uyw zHT?!$6__>dyPs}uc5Ol8;|GjM8|z(920JFe28|wfUBhSw-uoNT5O6>9BB@4N)AiEQ z;l*DKR5_q}e?~NbzJY57)I;JG{^LMZy!7t}s`vq)QXZ;D+51}Nf;UtrQxOi{hkN!@ zeSTOk<5x!(iI8T)zNX}<|AzFmG&RSUS6+mLZbE@%Lt4{rcF3uHdOT+aHsf}O3bUAC zj$o-jP*s1Kr}|ybkLM5Osd)bJc`B($jhgzH9`!mNf)A$0P}U&!tV{m0mEd>cuRs|G zC?Jc66sn^bsSoaMMF9Y-5=5tdQ%Wms-bMoe?9YJZ!$Vk9?>APpdV2{ex%!n=wfq&U z+J&*IN58SE*}uoC>V9EWJ*1uow>uI-SBDa|wlcD7?8DHVtE7HGzu$U({eqXH(?5HD zqdvMndwy9y7nAX}cjvp+<1dnXI@9x(?TUAfcNL!9E_LOU=?mP5zCW99yt}8mwKiZ= zJm=$ju>p=h)`7dSQ225{qtkJ@7Z<5@^X+Hb5mq)NePnzFn z)%3B8)wXg-PrPQ1cOTt+z4wDD2CO%T2K7TeP3o~5c^CpZ?2zpX-Qm}9p7Jdl54G4* zb?A6tb`&QN}dS;ehAPcWUlmMZ^3 z{&7@}J%i`L@#cz(;_>EAU6Xe;#Q4Fqe9;()c6zs;#e2W)XeD_C;(gRY>UD9MMS8b< zGwpNL)_-@R(RAK*mE?1`p>TJyHr&NP%w6V zJL(RTg3>g5#c+PWV|&x2l?yNv+{2fys~IaYsc)0G#*vxq3*1t51`Z4BiBZx@$NQ8L zMA}1?9-Pc;q_7&ot}NNiF3PGKqF4jdi73oGN<$jJuBF5sL)vUcRm7v6Cdo)p4y^q`^fKg#A^uMq@q_fpgf_6 zCp2nubGfP8a=v^{xi$aQ$EQKR=KA==M}G~Yc}^2)d2sl~3AOUE+u1!mfQ(5N*e!bg zy?a-_zniaY@?)y9mn%<-xCCDEbgct#`^w_*3%lu3hwhz4sezLRAW!6&Ui{@l18}pD z<4#lD$<0se)aY{9on)pcu+s(m$%J4rvNUbSXhyzXV=CK2n9Huaeete)zWHsbh-gRm z?K^Fb_W~gxORF+kd3Y?+SK9Ti7fN<`hZ%4*@hs&?ulENZ8Z2|Vp1}fUOT%4e^Wbax zl}Af*+kPZS2-ST%bETeJOQe?>ft`Z-+vRkqn9uxNI*DX$>LuY?$+CC_-nL+d*b%v~ zAxXJ@A^p(0LT=>z%(eY9lds7ozSvGKDSyY~Ql10bFpvOw6VWkl*h^!Uqc;-axeN9Q zD_Zrqp=b#LFMUWOztK>VRo*y+4mHAV)q~+4;m@|Sgb^?|mRG>`cPM<0C6=rGZbz)I zt(<~$H|+bId^=trWP5Q!u@(J~W<^~pGEe)7Rvxv2FrAqUa=b<3jJkO)-u7sy2+>Dm zjv7R_F*r}`E?ZuIeBUCT2#sSIjtQ4Td`9vO&fp1!)J0or$TblW>JiY^z^3n(DBRWY zbY*or@T2eLDC0NXS57&2L_UvI_BW5dwcc7^bNEHPD(XyyDWgGqRPB^gY#)TOa;&}}hz}g%b zG=11ZahNpT1V3l%oJ9JVg`{L@KK9NK7%O{gGPE$$lsLP*2Fuf_Ncw?pjhxe(K#!FL zk;#}+;0o~61SsF&f3#&a z>`F!&f{z$opjBMu0egvacpqN|T`!&3zCBCwf^fJVxsD&0eNoPPN5(;G_b9^oI*>H; z+_qE#I?tJ|Bk-i=;2HebxdCajh>NTF=Dc88i#>m-)Yq$s`$!6?C7&GlPKc^}c<|9& zyHOR|7Py+H(ymC4$H*xcnUb|tF2EIs42&PyrzKX($HEb_`K&HDQBc20^a%LmC)_1o zd#{Y6N$r2|pE*g}_ZthB4A*$iGBE$0FbO3#;9b?VWbn%pc;e6)tmQ6z+U{l<>JB`$ zcjfCe_}oq7968V4Iv#N$(lam{m}p>?+#v+C4KBHl!19Wqo|8sM=Bn~OHuuVV&O@Za zI%2_k&#z*)K#dD*0?&`1I!e@KFqQWQ1KScQiTF9Q(N57At-o(mNdPsj^4~PWl+xM- zemUV42(*IxdL@4Iz&oPJE>>Ziyy?pbG0zFNzPQ{gj7IOg*n_s>Bg?NMq*b1HV)mL5 zm3$8aPf(&Wo1o@B9CU|9_A{a0)M~d27sOqp{6g7>vlBf26|NPRb+HQYSg?vFY=TP4 z9?z%HJ7M1A<{ji_F+B~DmIUqc$)3LV;q6Afpv&?dS{SM|oAzCWt2rsYP{Iq>J8jsg zmEEYq_UP64y2Kst4F&H-D@nZ%6YOzPhIWTV-pCXDWkvJUmAA3uE=diP292WMOMZtn z)3;sORSK&Ym?z;xa(A}akLq9F#4lyswI^C%@LG9(eM4kfcKe=-?}9boR@^&9<(kw; z%ols^hGNO_Q{u_S?c24Emk4C}0?52fQWp=0$*7-5+^`GY-|d*^YJ&arimK1eYbL!Z z-QSFo)Vd*7n!yAd_JQ3s$JjxW4{Ez$*NvxChPnKrTq;^Wt&_WnAEh%!xdlNNGnOBG zkSLEbE!yampfuA>*b*EfZ*j~k%%tdbkX}`ra3GEjkAYu{q2yQ=vzUB*XEq>qE7J7{ zb}i^k0XM&H4fcLSFsH}X=iL#4qFGR-TZYBxD@-%%g7n?Tm|Y-s?MN9da_H`bUELb^ zxKTRAMdv-|^97D6VmnGFraG6>Hu~h(Q+nH#m`rn;dAp)nTe2)V+3(jDu3qhXHD^tT ze~i~sLi;63SQ$@T^mQ{!hwQAi#|5s==c z*GLDEE*%mJMSAZg0xC$AA{`LEHc~v!2uY19_=A8K zP4j7tF!ohDH#Pr9nT$)^@`47vO9WJRkH@v?ydSPZUB8-kF$Fn4y07<%%I}#i%_pC9 zvtC2UZ5fuIF9^TBe=cNlqjew?uC#$i-?SnYe6o}eGG7k4==9A9a(sNRQ7dmR!qZ3jL!Ok|?g#rS z{rxZNuL7b3Vw{<585wNVeOrr#xL?>uFnr*@#+|222cU2S+p8X)p1-_5RAWF_c^EZv0kcahG`d{*UEV^KTeMZ@bqa?!&F}&aU}hc#+ocs zYcMi^QjZr|y&(0$tNTXu^(&6QWnM=(->eS&_6OsbM=VovsdMKwEB}Z#Bu%VLg@-AI zz{c6~ez<;nz_mw~b}8x^-mysDvZ2)1;T$z&tT%C29^4&BpqWW5tY~;(Y!UN~o|2Wb zN`|$Dj7e5Z!gxdct%aT(kyj;r#(g5>t6a(ZdmTgyFNxc`8|XFO$IzQtp)^frEM#BE zYIqY&qwhN1wMv-weAm`ZEEt&>Tum6lFQ>X{=xC?v*aNU!p&XJ2Ru-y7vLReDK!7Cn zZe*rV4C_Z`XC>PA{Sx;~Q4tE!X(u7$zdPAd%A!6>u?5^IB);wb?lSKhdL2p5MD>RC zMS0Q3+Z6@kvg4NM$EP6*GO3~CmPnRn{Vz6dcT@RPJF;Qoq$2AtsJET|kf;N#dMAJ_ zUQv(5K3qd=DAWP@738ht2_tF9(qqVdL-^8=%Lfr`Xybo}QN901j7s(#qayk{Mm61d zj!~_>_!~wge+j^-82IDUo?}!tr^(EJVpIgybU)8AD&cdCO8f5^ zmBgPI)%wSOhfzH_$Eec(0i!bd3!@78f5fQL{*F;m#4rfFS!n-{7!?@6sE+0Sj!}{Q zdyLBWA2BMX3yjMD#a|ee^svCd9sDAyI7?pDO^6$rR{=YD);6E{{L8U)2sulpFdg%s7}Z0j{{o|W zq_Cp$4;a;P-2Wm*W%=(gDxJSDs$a@~$EbMzw_;SERsJ=Miu8W~qq_Uw!>HKS{~1OV zL-03@D)V2&sBWHPRHFYiMs@#x0i&Y)8%AaGFJV-)|1%hs_BlqiZ}9&cj4JAX6r<{h z|9_8BJ@`Kqqq6_cFsh={{}iLLZ~r%pitoR|s22Wv7!@IaQQiFSU{uDGf5)g^{Zou8 zDcMj!+mJyj_Sb#h>!Ar`oCY<|PxGWayq5}Z=0WFq--xZewOZ+T0r1~0864Gyjt}1? zN2m38J+Uh@qLc*)D3@*y@4diVGW%&#r9ECX`0EOr`!R*UpMgG|T$AW< zetN&TN}KyH`j;nJFd6qkW2r;gsdCt<1YLNdEUud;s+jOao|0u<7ucN)Np8_?r>^PJ z%_P~l{UM7YGry;wrSYJj?Q`6c{`Qj(zxai6Hvt~40xifAsF>Rz+cI+W@fsvMCQRF} z#?IuVyYZZzGUoD{>}C)xpWtoD;wP*wG+R!0rTx@m1*CqH6>=pe=5$vsS>Und&s zVtDnsXks+6`^N0@Z#%8Jp2mr^yfP65F#U>_by*tqctkw(xdZrY8Hoqe_SbFX|a<3@_|jKPU$kcMMkEu+BFI3 zkyk|z3cr_IyeNo?rr~Dxe*5ZFM{v<$&O#t3^mg^J18b>GQG=|oOq_n-L0Wk zWEAt{o1EC{CLnB;vO)cuIqmXUo-xA#dyUDP!rB``W^(C5W|!Qc4n;cv2-IPt)SEYH z%&xVSxjMc+mHU5SK0mLqxN}lUBwf2?{P1@31T}K>f_s#GSR|YIt*8GJ5I&?xqo+c) zvB%YDqJ=}}DmR58SOCJHzgIX4&Kek#*YUL|lMIcT%9Hrv!5srX#bwbPu zBz>|cI{b#YsWztq`g*Xokk_@gy2KtzFCqY5IjSnkN zw)||^Ju)F~!5J(v`styFvSN7Ya}MPA_1x*7-;>>h27k#*3SQxsv9c)sDr@<>Kw@3S z*2Wciv^rMr@>Er_R&H%ny82hxwldk9;F~=PR;lkONR&~kmx1h#VhzAf=|02KtSfY% z$Vy(NW01^(pINk`#dimkS&2tNF9qw@l_Ul22Nu98&l3hVdo4D`N761Sw|CEsf_7;c z`03USjr@MdZsfJ=hndtXx`Zm~aP#QqB&xabxtf)02LbVXc2pYgR0Umj_4#;BGI-)- z!UU$!J@6xX{Yx#MHlIXmO2yUGI)obNv1+|wj!)cn2X!yiK=wC7HDcgLtC5PkpPD^O z!xN|t^7jBxzI`BO?>@hyn#40#H^ICX??Iyjg>IqALX(>NT2thaW+_!E%bQD2&^Oy! zx^wV{;c1k88}F6EJ3d%6cV}Q{y~)eg1+6|JAEs%y*@05WQtOf1_Pq@aLiu`ejAG@2 zsjdg#mSlrM$43FozD!i>lv}n|QjRpn-l2%@=`%m0wQ#fi6P3l}Bx4<3@8D{K{JhBg zyt|?EoQ^MIwXc1t6$eIs^`cEM+YqKYMPj`BN21+uza96{m&`_)2+4uvOUpjO^=j|Rr`p{=G~r&k=zhC z?|S#u++UjpjjP6=BM|bP#3K#Vf;LZ{^VR0Yrqeel{HQ5SDek`|0u@u8MT4A{{Szyi^s#99L<%!^3RYQi zw`($dtM^A9vhhw%B+6(+r@@VE(t)g->FX<_J!OlWii;Hak8OYO-2Uwj-iIu6UE<<^okti5X<)=gpedeIf9+d zPF+0aF@NsIouH;LdeOMHQqJl(`5wF8rb-Xu8&2T z@qV+m4_(t1j)3rN(6xVG?T3WvOTBJry2?`Tgy)?+Y~?XEIxs(S3 zQ@EZ&xWbTYp+O&7epyG|fqUA9Xf!s2Yb=?Pv%K@6Vz)_gFN;pNS?R2eLtKGNF>Aaa zORM%q$bw2;wWxqDv&ckUrlZB{jt+Fd6@%K14?k-0hWQ%^&MLg2ThV)G?b!7D!zo+S z1v)g5JkRZc*&XU&!grW$5K_jbL}fZmGZ0nSBUmd`x_T$3kS!(p!GuwH;(~W>-4DeW z;0cqxsY(JuLSEC7RLykk5UDMt)LmtlA!lV?WzkYBHxB_eD~PPf#w;06_Dma}^-R8h zSOR4C&NBkuxKjL5M1WJqU!F1M0(2^I7CVLazIr3X*!l4~8lz40_xMu} zcK*fqQ=mDGe;y-hYojWFR@ zzc=$|{3&KbApX?$U-75>j{dXwQ)lmP`l1E@mH1QmDIoq7>=fVpXZ$Itzr~-LHG*Q7 zag}`;;y!rZ?|!J|rO+-lNZr}t;z}`>gx9H3e`syi@8pPtJd^KIG?&meje8G*%<8!z_k{oNKAbBv$1v5EWaVMoeV zis4T$!HrCwJ}!Qn`iboea=E~6O4L{R?V`@pG1*aL37o&;6YQtf>O$QB`+-IoxhC1S zcbC(s#NJdBAI*sh%@&c5H&8~tyH+$lLa*(~bS!cmn-oSw?@RIrVrWR^R(-v($8rU9 z-{R_>hN|GUUlXB$mah{GeKRfz1_paE266g4>a{E5tq6;Q44v#@D!%IxHHvN$RBk+2 za&6*?T&c-kC%npbviv}&=0~)4Vxr?7rLmq>w!)*5be_kS%nTE?tP4u>>>i=exx(9kZLI39e9Z^UCYJEgAI zL`Z7vbFv14OIO}^cw9IG=ag3RK<>$124TB@pu^1mPxVOBK<05 zCft;Xg1O4QCP_7Z%k~cZxc$iJz0%KGfhwwdw!3RjTxnZYt{xN8Z0NInTogEnd5=Rz z7x`Zu^V74gTQTayEzh8Le(#)Jo}Sd7`j4p81pxJD04m;p3qS?>CjqEH|55;|y84;E zoa$(rYqk;sbWMu<3|nj)o6A3R-K}mbpU#84s2<&TiF^_P{PXB?=ZYlaa$WTT#$=i9EhCaYf}LcO=#Wf6QGB!3CWSo8ULjjlY336%)=+BptBYBXd&6i#igKKRP%m}l#k}Vk_}E} z6SqVHh+5eJsGmf{NgJJ_vZL%Op!X9yh~vG;Sm;7(RO=Dq_+Y;ej61C52IDpp&yHcZ zc`y_$?Xw^Da8Su}c9?bkEaP4S7H7>b$>q47JBPs5!gAIs>*&soTUOvu{E{^ozqb#= zJ`O@H2V+jT0}vIU>X987exeeRi#&L8#JAo>!ds`{rhwmzgyYbOkR%}p{hi?76Kp z9EO?UhMDeGmQncOX1Ec@ONob5X9s1mjKGWFM&LHM*OZ{P{e2R+B`&Ac!CtGoskRa? zzeUw$P<%?=wxZ4E!p8B&#sHyK9pr*8Hh0=J7C>XS%JfCrUhIYNB*w>%J-@Tu)EvGU#Erm=&82Umx?Ue}#;I%ZkX9ePAdpboJ@(&^Qia?+wPlttUgU zxISxmiv7F_t2w?74c)#a=k??V|E7IK!4Z-BPPycpb;tg7Ua9)<0wE_WLo3Z(Zu{pOjb-HW6d4+o{&-J9PKBq* z{u4Smll2W97`5PI7N*XEsujrB=c=RM&y<$@c;zFw73aqgrN+5DQ+Repz?DG20cyN7#?p0s`u>Cu_c7OPs^Ay}7us2Ssa@KoWX z?xR8g^o0+*0Cwp{f+jDd9U7Di4k9K*(>u`%xsfmi*GaQaWHRBA!(24I> z8(ATbHN9#;*ut_PVMvs564}97} z-i>OW$7HSKX@)YANXjmk@M8|jz{MTNJRG~YtNcTI zwfI6{%QbaM*qoB_EA39wlO+B(cTb_%W}TwtCm&wKXl!9fbyRN+&EdEBOa}!wMg$Fe z`s7zr%HD_go^>uBh+RR;p?5^o9aGO{@wk#a#`U?KT+}6o2iDeU;cOxGd<=)Wt`9I8 z+45T&rvqvuJHz!{|Ym6nUWlIOyy~#KG2rIeupw@+>U9Z*FC~2BsOQ8?S zi(z=@8G(_rJd!#6{5mV|9?~I8- zch0aU`!N&gktJG&7>z@#khskJ(Avx&9$P9n;m{XW>~OYQyj6Ex4daqdI4y|b-!k>P z11#z+eNij=UqmjE2MxeE`i^rcRfcZ19qFzHo!Z6R#C0Ux9okn7>iTB>ZYpruA^c zl*#sb3-*$bW>mja*n0JX&W4C@HjpHoi_VBDj?1B#m7ISWZjPnt!x1(mtvjs%fuIVN z{m$5w3=D<@M`)$Emt^a_9df_@Ch7}bx-%zL%3VNn^Z+$v(5gQKbvoV;6J0(W3Mojy z;~XV0TfnqltEm9i4ir%iRycb}AyJ-`VrPmQ-oYr+flA!X2P+}4(~@OSkJee!UbR0X zKvk0NMjAf)SeVPwHU={hfx0-Ijl1~1%-YLbCj0VutzVfa9kSCh8l?MJqiyi0Z)~Hj zXKdvyE9Z&dJ~}dEp!eJF*Xd_<6!y8!JvL=w$~)aQQ*E~cGQ*fGBP5d=rQ`7{g>6!+ zNk#~33Uo=0|HKR&#ry{INhIC4dn6qp(pK$LyQDPra=xA-2w%t|6iP!X5QB1DCR z-itCE)Fxe-mTiNNO7vu)u&ikCOL~LYQLW`&(ROl|gu8T4xsMYM2FPNF8^F~P_?H{0 z%bK-grv`I7&{Jprl^K~fPat~5Q?6K&J^>j;KZsbI{-$@Tr3d!v9frXOr$|ezg;Eu;6(e@iwu>985>%+>~5?(Kh?>Q zZ8GN5p4Y<}q@*-d7$O}%(GOSc>Lx8TFZ#V)=lV`6fZ6}b*F8Sb52@%vYd^OFW>eF! z&&!xKmM>9HDxP1zY5S@4@W@ z>Tzfkt45Gn=)4Zbkh#1-+g{I)E>XBdq}i?Hn9b=FT@Sv_*^?=CPc%8mQ=W6{6$beUgUSzF01QNU%L51a8@qPZdL%*Byv|V_*dpBTq3^ zwUL{}c}CZRfQ_RAIX7I#-Im&Qxo_HewF3=DPyD5n~`0l zv#5j2&`L`|8qTFnKGdPxe(3+96-8Xr@xfu4B!_9a60(G0)?cV@H8ZSL*VAnKx99f# z7g2zqF-yTWa|sOP`J>ct(1_;E�j+b(lmNs;QPQ3$+c#%)v*q^#e!Zq{U-az(L|R zqV-d}h?(wZFwsKu*Gg{k$_v%7e^X#(pa0Mm;+vUC#AY#%3+w3;ixr4;?90x6LtM zA5n;I6|}RMOwsI@`MS`rl`GS?td|R-Mva6{mL&~GD25hTPdgzqkc|451eGI+G3zp0 zwuwu%9XY}Wmrlsoq8T9dx%y|WYtKZv?}>`N=pRj z1bBsCEti8UY)5Zs$Mhd?{ngsuOh7{4y}7qetwSFY-Y?x-lLAv&=HGEe=9B-BbBrzc ztl<8+yI1y6M}#jr%c7pg@OAP00Uy(p>vHvy0`dJAbyM0x^~t@sUR%O+#_XnBMAh#~Jg73~BN^q|vd^v3LiG9ObdvZm5kX4-SB+&>uwg=>f zvv6$sXThX0gLcs1@{z0N9K9{v5*Y4@LOv1+$M&e{XSEU+t?fgTOOBDFhY4bV)MqPrvJD4c8a7dtkjpGY(9m3@imUNj>m@~b>t83 zu-SGi*PleOpi+H~-KI~b;?^coJqGW|TOE+w>O%2+13Kr-l9~xpj}^p zsM0u>-Dui( zcNP_#R zTwpf*TF`rs>@w`#xiO$~AkcY0AOEq~v5v}~`09>qzVuXpB4)q^=rjRzvT?C#=wI!B zllcN#F1k3gx~RU(bwEMv$5qb%>b%DmxdHGHv^pajUe;0doC}Br9<6N9SEAd*;p8X6 z0>b4H^ZhGQVwt~)?1XeftaL2qo0&$#FWDLVA`TUTy^M7qHyv6gvAPpZqhpVMxjZ~> zI<`!*y84T_YuU*>$et*Yg2F7wEmb(RvYGhwyec3S{0S9IBh)+JO3a=kSKi%i?73G} z(mdEhw~PBoQ*mBzN8YX9yZPON804;3hq;m}9vL+6Y#uZMboILzZ{L73XKK0cVRd_0F5n0f*d~I=YdDfQ)P<7n?fu;rKm= z@}c!uPeK`EV0=&kLK6S190V$Fw*Upm;QU(tSw&%q{YdqqeC2$#1*YM3w%U=EtbFON z0HGikW2V0(cN9v*J48yi1zeMza?KpboR^A~t}dd#keZ*rssj%#BIYEYq&DC#7b`bj zb+S3Kfl-Tc3GhtT3ufE<7i8O7qz-#hy_+x{usJV>F3ORMviiKF0F~Knezz|V|GD`c za$bg|M)SFk^F%yma&Ilfhtar)RoqQVceZ=jN^B@Qb)&mim`bcNJj(4D8q&5AZWzSl zJ}xjR`x0o(PbtPhZqL9Ywm4c9KEpA0KGSrShd=A~7u^>;2@o9uO$LaTiEs^pN`Y@F zN-BT~08R{^pIG?w#8`RedY>_V3fyHWD%Gy{`|u4$y#hzCJ|ucOef~ z)$`b$b6$Q;Z}F2N9pET>Sa9Vd@TRC8f7K2sM}QV)Y#z5!0k0v(F8o}}4ASj5^Sg+a z1ZTrbfg1AlX%~!3p{3hU=8};^bpe-z^as~MOJ@=@8I`}Zf~a~F5IAzKQ4C|0fFv zT-)a21-z0tV{hR0R{NbYJ2LmACVt4)QE^_#n!4$qKx7ZhsOJ;Z8{u*vt$Lb6is9-; zgZ#>0Dc4Jy*`oKuCQK9Cy;?WFDF2E)si7imrGc0`CJuF8b+c8j4!mL<$<5p=I$V)$ZQ)`o z)Md>XBI47YD9<|Q6+oDtARvslM4Ol!G%If6Sm#qWbG++`*gf&|1z=@YKkI6dj_rih z>LQ+s>s2L=;{7f|Ksk6(4qTK&K*?|Nmg=;Xh!aF}+R6@;VvBcy#?z|Cb>8RpEt$lY zW!AQOZJeU=g#R#{#K1xHVzm0rvv(JI*3Am9M~;JNZO$9W;qIQcGF*tr1)E)uSo*p+ z>r}e*gr78|hTlZ$d>^yO6~(^n_U|^;-wn4ifIcI zsluY0+#rxK@RrJj%S~+DZL0f`{l0)wu1S56{#ImhH+lkfTPTdOr-~auKc(Cshe1cThl$U{-UgQ4mv^W**fl4z z1{xY=3tMDoLE~>+SdO+WF4~sK3qo?stbQ2fmiePgt$qO0L~a?|51(?8P_4EAQM=I$ zBDaL^#-jxy?2}bRAzM^D+?l=fKf3Y+ta~Ocqf^TKw@R%F#STjS?S&62vLbkN$4$4oOP0q?ch1$%b6L-I^Sjr%;d|$N~S*z7KFt0*O=r74-h= z>{ADH_3Ap{sP<%{rD_4?B@S|rJZ#Hcl)92VW5_=#E6=_jX8&T|p1>+dCi}cpxhSxG=+p%AF z9Rc-S04BsuS|y1E`@x>f*{2D@3*lZMQR?*>mtcljK*io^(CrD?x)(A5A8SbU9Rnrm zJz&b9T7_{tjQ#FXHNWN5;W@j5vLIEh4)`fjz3!)M$xG;r>o%4A49D~Q_ z2K03TU_f<3&t=sv?RJSI=sZlNXz8jx{{%F9qeZx+85-@%Zmi+>D*jw{OsF@YNM?W| zxt(UX7UHeBf!yt?jyD=kH4hSWJzg?W;#vn3K8qJBQ~xcUenqn;Yj*gB2-YQni5v~6 z`~-D|W1V;1G_WnUes6nEY~8*co%`UUcqb#~PVB-1x0-R*JXfun*XZwt!?{M!)r4ai z=ZIOqRXHuXf3zuSV~2@-E*n3UTY-eIVsFOmt?zlp7gwPi`ABJ>ns8yXeg}uTz8Ni! zFBUlRQ>4iyJAZ)% z#yV~%G3H!jj*LCK6+?%VTG&4D@jhCD1;!W8dP)Ws=hV;+a?3=HDSpz zi5@U2){10~q9T!hRKM7LNtqow24}l=Yy_EkRv}k@qtsd*_KBLh3L*O^XhXyTn!OU*vZ3pMs~Iac zJ{kG#l#UR_p#_;!!It$({HG`ELT5KyRfQcQCN@XL99bQpdMH6K$3zbak@0XT^d}Qh zXgZfy6yZKba`)BdHaX ze@q+N?Z_)W9=u|yZ{H$Sqt)MRb=Kl^UEHmLH-AwwAH?i^Lr_XwfC3z zYab06gU*P)^bukvIMOYyRqt|uU*aki3?TuSwzT2P#nSRI#o)AuUwRxGH54Sq#Za8e zwr6iK8)j-tO>~h%$C*|2iaDMoxF9lM*%3J(`We*`cx4$9vX5oIZTtPHIo9y=7rMa) z!eSEn*6U78?DLUrLrf6b`3-AdFk{Eg%;MDxMR~Wou(yn~rr=S*Gs=K7AaRl#Z7P!| zj`x$CSh)(hK@P<;oQFU@k-aw^W6ZbuPPU_F65)FbqlwpdjKJj$;)J4OBpnd+6-++V z#_tq4QFU{8528!?E9 z)XS15L2C;8PHcs9)^Zl~5KMF@thI>{V?3+TiFV`|(b!ea_aQo`nVw&?AKwTv=&}p} zd}~86$<0Iq^eMqu1IzU55$>iD9o&nm>CxirCk^RlZlmw1-bqYCMNO{69e6#-J$#@^ zE7eNWhP5x#JS7c!-ZCYdntou{GXFdV>yOnof?khQZ3tHrlPsVmp-V>yBxUbzJf?te zbN~#V{8`3KH=xi3tCr zHS*iVMNmx$(IG$HjZiZaeVVp$-vE(AOIHbq1GVcN zo&-gVYlwRfGjPZCdkagzU&JrZSZ>icC?G^0<>Wj=WISWz+JdF*d{7eJW&DP(!R>ng zLVI_C#7$7rNUW~AJIiB*XMe0fim*(d0#W21SzWMsU`TC}%*TAv35q?F&M;3SRoslc zgGv0kVj$5?cW16tVd^ZBqz?$FMv?-y1~S@U+=y|``-|ZWP|Gq+e9F&RPLs_i;Li7% z4AkPw$mKqfYZ)*#mnzIoW9AwCmH8_amse0L$WDZOXmK!SHP-G3v*BO%7{}0smPIo} z{%j3&y{r~6(p=UUw>UA0T9uRjoDhKsx68EfLZ8sY>S=P z!x`Z|jH=~Jn*04o5t|qbApceC=su)IO6CLcDM|I+qPsdLH^p2C*ZEL^jui4RID1Xq zxR&~qR3hrJ6BqEOK2~wi`Pvp;9O59-rE>25!6f+RNTksC`ysE%G$KE+{qEI0J0)tG zenaqY;TAIwRB9KPqV@-*X+PbBl4FgzXJRceb*T845*XKb(6yBS40cX$TsiDv5$=Pt z{oK_y`*J^WQPpf3`o)(YVwSvoPq5Eb;cLQe45VMJMixo@Si@WM>(cEt-B%ZTWyJ55 z%uYDfe|Qiy7>!^?ec>&Y>$<+5@iT~!E?x3P=*S%%y|~=FInxYeZIaPFs%uOH_wPOp zN|(Jwc!@DhJ|?ovlx=&SwtjaV=^8moAgolmhuXs&{T@7W`7-9S>13rU^!rMWjZg#w zb!vKNF<}98!&sst>RPok3o+bTW15&An1;|fD9%IgHS4ytTv0dKTs1k<#xG<~n=>!5B=yn6 zEGp!+Wv7zR`ukz#yQp$$^=z55ky69NH*Ct!b&nEFjJL;$E#CLY*J`8EQDljk z3wd3sc+;q1+V^C=aD|$Uu9TSf$PjcP9Kd%hiXCipwJRq^m{fdXvm=MSfS)DF}c#<CN$NkRwN+zu53apNWgmfpd&@!jjm}+Wwyg3U9tCrKagj*fFV0mA_E<96vbG zuaw_yU@K7&KN9h&Y?LCNuiS=-GIqVF$N*XMYTI}8`I={fdSrfwRbz1ov2N^b^6mTw z6R^g>53Ze5RZk>|C>!(3{Kc&aSq45+CbFzlzn2MK_7`^!eh_jcGqiN1)}=YUPQAC% zFgW1>l3nM7x7;r4ywc5MnjDFFj%WNb|69j| zx!SdX!MUr0>ATL-o^K{jYh5eIx))#4FtkL2eT()CksV=wJ6}s8h6Y?YzM~*Fm1^H!jUBmFgQGzE})aTNG=5i#e3%+V^pv`;pTmM*QqiN9UBUfl=s}I;4l8 z&0={)WNnp(F3}h5#LYpoTPBR*jjq~SwtpTZBZtPsaJy@A^{q}bLM4*E(PUTW=;bKH zf1I+sMPG4GCa*1|jOE~;i-#0rojYHaXp%KIsga=`Z+w{})dx?0nLKG(J}6^ZNuTFD z%cI+rvOi=t@pSW?Z&O$ynW25Bk~}q%)w4ujhjsW=(Xq(WZbkS&l-5qSG`eYv&C1TY z*lb(wA+>?agvyj@Fm|C3Bv{^xx^i*t#7ZXd{~HP6nt+>T(d$eIq26BE7nc+K6vTyi`j`ngRt4Lo4cxB~FX>@KJMF}+#7 z7&MAG}^x7B6XlNniWNH3@9W$r~ zrdC#jCK@zV)8Io~I4Kv)$)--ul8v()fw+Ygnf_xNe&YN_pyH6|`yvMiRWS zK4rk$#&F|pxxoYph+p;WaNME^l$h(xVw1?x1W!LfuKkpj8<#Q$i}t;ud~mD{78J%I z{rNXQh!yFzC8v}wFghm+8ta?p8~#=Z^KEEF&(j^n)HKmWVgmI=_(uF}IA6U(eK9rp zbJ8zPXvJr_aNbTQ+%fcZx|f@|aY1(Q*ju`|jd*S0ccZONAU%6((D-Y2yadTi)SGeA z5r}D;LQEu;RRZv{#65FcC%qHozLAwMzy$eir>Huq;FGZ{l7PD8sJ@Q`D%^NBX zR038fp4DA*`CW+X#dA)ev~Re*6D5rEmDW5+jimYghoCnF>z}AZbpqxCBRC!Wl_XT3 zakw)hs<8Wu4!`x5n2pxQzKl^xD1*Nd=Rm%xA}G0V2iJ#+r(`Yoq z+~g<;=mv*-jpR#9&n`y^*zu^)DJgfIXqvCx<8{K{Wj%M0O-vGvv}Q$bny^t1V0Q$O zPcJz(roWs6r;%=^&X|dP{kdD@E7sTm`$>pv>18Ik4-;1fJ!FX>-6YB(-Sqx)=LcQ& zrBT1RfJK5us}-uS(zXv`m)r>?R3(1&eU^LRB&DT#2Sxb(!=nE#{ThL(r?>-o2(L*U z7P+)YpclnHg#k)WEwOj^EpUy@<%jVPgx{qs1zr<gU-hNEv+sMi4v;tmCA|!+ zPaYudA|Jckzjc}(2e@9}KVBB=&u#nawZMWz?vT3CGHFH0jV8`up zJ&9Q3Y*_lzKH-59)EPr!J~s#EDY~vBQlIfi5H~tXv6drerGj9JQ2WV+nZ|Pg%LaJU z7YN$3-sveS^fa@^|`B{ew#C{>9e3jFtD!a za@>jEarjgPXyO@zaN=y=FOMxp2jicu1=Pp*nSiyL^P@=S?eTBX zGiEs$`<{tK8pgFqOysXQlPateRx`E!1qP}3YLMINJGZaiJLcI8&v@88un5t?r~ll& zbZ!Tyu%9XdZ^j>#!nW5v=KEpMLeOo3z@v>*t~zfF2ABpvuVby~zb5#34z7$%HM;6!(@&o^hOK2>%;vD)ccXPe~2b--W-k=rYeR_o$34 z@Jz1>!UcHKAP###)xQ9H@IxTB==Zi;+P0%TH;_3bE5I9r^wzH>&~VU6PcpsS0he{kk^a9t4+RE$7h z+0-1v%N>@1tm(+bCi;qKCU@N@+P?5?TJP+&GEDWgs2*xkR}?^Q_d=g|WiGyHpMWy; zPaUbX6rOPn4i*#mgQCJnnBlFk37)4kCWHSMRbL&|R`dK_Z=o#|iffCzyS6yNH4v=0 zTX1jD;u_rDQy{?!rD$*{u0?};pg^I&^!c9mkN2GAlEb~ZJM)>@VP z^-C{Iw6k<+T?oy?z`eO|y|Fw5P4k~pOCx4#4g`&{aCmv3oQLFn&1-&R{MeYLd<5^7FD}kW1?2$S6`seF= z)}X$(3P0R_oyi*i#YAyK^d@_pG!m|f1d^qMgWk_D0bZMc=x!O-3#c)oJwfTLH%J1x zgJxw6v_*36R(1xPi-GQwe#XkzuCDLNqK#!;Cw0LU3%{~xBDzVx8ko;(x{t^uukZGn_?@c{L{i9 zrz9t#$v>pHwSS$&o^w#6S93m}xFgeUr2o#-Ex#$lFy(&dwb9(=*pM8U`T68vtG^#5 znYrJo^!{R%zO%UMemn?xUGbMn417lfl+3s}?h5&f*y)ToxW6s@OLb-!VqSBd9kf(5o0_{L6lQJmh_e;V8-f8Zi}Q&kP>qI=a-i z4DeRyc71&EW4G%Zl$#MGc|WU<#>F_Oe@?y$cJn`6!=hX3m!LS~_UB*{?nq*jB#v)V zLaZ|cB{Bq!+MsazgMeEK1|`2M!kRWZB@R+M89s@H@U`dto{Gcs}w%eHF zky)M21Z`2>{l4|iWt`F*ox?#!o8cELqkkNe1%hTS+%)=jaa&F*T*icgYAt-&?!5=X zU$3?1bT^kuo@Qkg-@cx~E&J;{xvn;YThaVStK*E(P_b_-Fv8_#jK#zTc;8%SlYEz? z)||9F&#R3vgsIF97}DvGw)SJP2UpO z^GmnK+TM4eQ(x#(4X5p|M9hAd`{Jtbqn1E>(kTQOyc_0zHXB-_b?18>ocyQPX(OvA z4gR?y(MSTAf0u@ST)Sa#;GUMZ?zy@^V;eZNrF`-IPsJ4ucn!RO*cf+lo|vD7AgFH- z2XZ>eroR3W9f((!kf2LB8Cn1*m0rNk|e-bLm5tPx{_J?69EYenx)KqHkumtaj{7%to*GhLS ze+UJyqwh}9W8TeYCXc8Y^UkST5i++&l*JIqjfGIzaMl3qkbTLgdw(_GSAecD^eCBF zN~vDsW|)}VVY1T|HxZ+Uz(2(F;JZ*Te2lUAOtPTu56VBa(}a{rlIf~-R-It=G?n(#c!|#QPlp~ zZ5gBPft!ik6+par8w3%ygC2XlE4#cD@K;Qd;@U?B_*WeLV@oM#A%gCEm7J0xc+39x zT8boO8?Dr}o5peL8YpyA(&n#*lYOrUy#1c-UlPMT!C*c$iIwtHeu{K>ZD4; zX1pyu*qzL~_(?B^*o!y=dd{d31RmT*1;*b>;7Mj*TLmYkD!1d;T7)pMC6Q znL+Np;gg8d{ClYfw%)>*mTSES5lf%P{1_@ouXSs8%;T$9J}Q-$zP3K9n+)gm(zks* z4GRajU@3Cc6LBE{+j|q{Xi8>FK*&!XOci>LzY43YA}jqEwpHTkFcGEC862jJDLfCx z@p=5~#Zf`WFUR_HG_%0c9!)mm9uN(8Pl_l>X4?t3c;7L8LeX8QiR)7zs@J0UU2~5D zC%xUxBE9E8og35vX1g4*^Z)4b*-9;4L!O~j&-GQV8MAE!kH7*6rAtLgnFU}UQ}4Z3 z({pv|x}e@K-ET=8**!;2iE}7qHxs2agegPkpU8J(%TFALWa#iljwh157U}FItWO-s zNu5X}ft)~-PCl#}mV6eo=~<(-3T(^3)O#B_8M@hPHUvut~C;@fKv$5D1^8=v@K8%22ao zoHt+e%p*ftRSENLk25$vvmHH%jw4SK|D~*au0Jz-BGhe!d)}?q&;-OSg+)JNab~SsorPNA3j|fX52?i~Et7nvblm6fRpXWwK z;BJA0Z&YB^KzWjNS3sFgeco>UTMs%Dn||~Xi%-vw>p0$tcKRXWLGL9)N$I|gof}FL z!g@-^o*Z4pp)^@aB(WW+VpF4;kn)TYsc788MV_mPnlLT+*8LAmfeV0N0ULnsIhDQu zmUi%xVwK3OHJ!dj^6;>;Aa4Pte&2CqE6c}Xo=!bLCGgj&ijU!4!gI%FnC67%wvTbkEK=1-;)YR6sf73B4D&k+H_>%0fqEPA(qJEx-4Akb^xL1Na1~QfUbo`0nTY zN@lk+je7t=zLK?4RnluC3wIldEO?xlh!%(jvlyeMVZ=(uI%~gjH2lAoq6>Y%?Vc!< zUrDz;7q=wh_TN)QyjJ&0)(w9udB;mI&CQixu{=pH-T~$&zUXVgtY{mFSy0kw`I%Ee z>4AxQY6E{be@g{cxIu?2z*%Xnzyla(>+(L&1T1CN`ud&pMPI)9)&&M=b5K$prE z-SdOyVnO(jCQ(;Wsfuor<7MXQ${o#6w@qx$gzu4vQTWm%2fi%?28$N6oi_NODt{W6 zprjejFYTen>kik-uM#9-DQ=MxTp-~G?(9*eq+-mSUE6aJr~4>;pG8;Rbl)7(Y1W-D z01ykbnEtB9w;D^uunjw2= zOK@9|(0`U>w_xXXZ@3g8>ByH>-l{kvRU-rLs+`WsN`W9tERw@8?wIUAHr#^0)## z`-&YVj9i2NOzvfstuc*KsZC94okMUTN;oR1;S1aABQ5tlb{sVF+`1Q~FNMLoMVU zW{>A3%A7s9E1Vi|ip;_SEwD=cJ52Ze)w4_8Vd5pWt?k>!A5te6qYUWH*fs1N#3v?!=bNyX~R|a3Ct=7O^ zxPff(`igf9WnC7e5$KMs>8sQxgk<}T0K0V6(FLuid1CzQc7M}r(`O5@{zkZlYTMi6 z&uyAw=q_lzks;RkgeLP)bmKACjA#Y6h$X-(vHpK5FSQcaSt-!6k(&W zQ0mpf>ROB4w|^UMs4hsn*Q*)?qqcUf`W}_|z*=BuOiz?%l)BkBQLeUv zypLS?n&*sRuVRCv1#NBlFGzlNphb8dH4xce*9vX*D}|2=H~YJ}Tzf)wPCORNF}nyQR%PUYZ5D+!Dir6~AI8;R%lB(dOl; zk%@iYCZoiW}vH=SwItFlveBe!RSKcPY02WHu~G zNI(Fk!m}*75Y|DZU7(6})cbgBFmjmSUK8vGz){eVyHr6!)!+dhc+u_5FY{_mG-J zsoeE@3qPc?A!jIkq%mhUhfAwSb?r;@GldJ=gG$m`dM)PA5`QNBb6bA~4zZ{`iqN;> zgTV*FVama|&_~Q-1 zWIU!CO6j!~r#9avaXsh2E6Bu~@dnd^<-Y}fJW z)=HaQ5DkO*zHKj=j_&6@W9L|v{gFu4YpRlDnM5^0zZ{&r6p?KBGTj8%HVHC+W|UNL zenL+ccCI3^>d_#4Hk}3Eh&-CxY}xrV(`WcyoJ&~4$uZnA9l#)R!krQ35cBL}eB>1> z-#_JAqo83a?M{pdY88r7x$t$6D$_O9Gi!SO3lXHv95CLD=8-7gz5j3PlEB284ywVC2ah49m6&t98{ST)sK zY}>ykLDVT{0wzF#e9p5|j7ws9^gDQ>fH_1avbqSJK1YAyA0AY`2;1k!jp zDgyK#B%06J%rr77$Lx;Q>|1ML&giz=U7}KMz3bk4m0~6?OP7|^%z&lCGfzw~39635 zj8?$5G#NOQB60C1YB~eRVf~B>0?Ne|!-h|Mfkl%_6mL&#Pge4V&_$zY7vER)mn;v< z*ZWHF#C@s=dIXHb)OGHW-kgv3f<1nbovDBjgNBvK4kDN$&5^FH#SYzGd!2UOk$;z7 z`|1BK9lFc5CAqkRUfQETBT7|Ph1J#5=^Vy%X)az?3Aad%4tOGY;IKBK*feMM)}Pm+Q*%PiVuOP0gH5mg1*xwK+62 z$0VGh-gq@8%uUTEGlZu;0n6(V`iVHJ2Cd2-uF25To{c=++<#A<@3wLTV;N9T7hf~G z9?c*}wT*VZ!_3#Sa&8M(wX2=))Fnp<*N@`nCM^vURgh4!9G#e!RE6NN!cYW(%g!aljQ^kaK(0;CY{9x=ZQ<2b+>Bo2S&z>lC)%|M!7(mx2r#)ShulXMz32NhFcC`yZq{K-L3*?Tqe*!)=m*tDzQV9KAFqJ)PeLjFeEI90ctQ=0VR8&K1VLgl zu4%aCL4{nMAUdmUrTUVQc#IEerG7*BOLB#@w|~pNs+VSrp^Gq`?$xa@kV~0wqDb+5 zuS`4@vz;0brPUL^=>v72gow$w1G=GI$a93uN8v*=i6l3`rPdUnX$r~VD*xp za6gU+BzOI3RTu=OD^{@!)2tqxsmyP&zQ0Lvrs6P(B%-n*mr}hL9!6!SSL?sfd@@({ zrf2?@y+B5mH0@#)atWE~s1a@_*PrQ#^ww5U)N9DE^>V9Y!Lo0p>&~Ry{tv_LJe?fV z5rIg^wi$kl$eZ2u@G8QL}juE>fv1fz!!h0mMm ziSv$ITx@uOLrp*5){B}Lo^&cCx1YV{Fd5F{rxnX>I)}dv-mh8S13U>j0KCL?8$W+3 z#NVJUmW5ClW}Id1sB5qg1GTAVy#++`o2;^Fyfay~z}eha0!zA)csm)k%JsPUWzL9w zQRMK$X^wGI>eKSiBHe-%`KbB6;OAT)9Z)CLq8c6vma!I}NE;|%ECO;#qmUF1scjk2 zoX%xu;K(!Sd!!M~!4U^C>^AtCQP8cN!^EDPIWY*Fc%oJd``?e#BqG0jLa|C=rM=t1 z8YFtni0kGFlHDX{#e5^G!8@zP#mJ*FR^}e5GZwo2%YEhy6bT3=aKDn-v&suDDqFTXi-1h^EablBsxE}>AE#I2%H>0n=vV|nP;eyGERVe z+@fT`uDj3|D-k*L^6%zM#yF;jFx}PoN_0xffMg5uh^||*<)@i=^Z2hl(tBjD;V_LY zsNwtMZqzYzuWyus75S}@wj#OK9)?MF%;PrCEpFKms+(bZ0!4!>BARWiLm?P)KR#fLvcCoUS;V=|Fb ze-3+j7H%zR=Z`|AS9EN>Ny;q(`2?xD`{!&yg`M z*E>pdc({1yp7U_A&6RVqQ$v@^wp7dp6xatSYVR{2V0FPn8M`Vt#Rg>9(abtCNyO=6 z!IhU8^Yu=T(B2kaE47{(<6Y{YQ@zDHTnqsS@DikpBU*Fdn3uhrhY^7mTZsT0rNJJ&%nC0^1dSKkfL zDfQRAh@Flvml;bjbQDxktPZkln!+!m8*=brz|W8TJT=8@olbEds*2JaHkz zAM77S!Wi^1E{+(9ifyvQi#S-c3?jOPtg>LWoR$8iKMxs6oPSz*^06Tn@dA2|&ML+Y zt?KtA;yVA18Me=z@%R{zjX*8Iahn(5d7VFpRv@d7H;PxGS?!IHE&wlf9{M`q-_KF` zBUrHMld{_N!RRD|e9QxdGTf&{i_CjWK8Ojamo(PI!dyU7kzZtF9{>yUrezu*UqO=6 zqX&(Be1AP(8UB!!NLE9q2ItG6YJSkn6S?v8ficGk@)?&`S)X znt`)^-;BnrbLIJ;zo4RgM@adJNc+gPW8y8ATl!0NaaUprJB_{)Kfce}Q_I82XuO-3 z{5izuzZP~pt-t;@7e!3a)aBKu*W{vlwz;Tt{BE_9BCl;QW$P>re-Vw7hDoM^>7lyx zzx~_NC^|-$(*)0m>D(1PZOA1|ev{T4s(r-GiN`rRyti0JKl7FE5n zGwU!OZDh!{wKf}vF|I8tCwRx-chIy?oU!hNMedH}?jj|YYCj--tffTQ_ms>!kwHH? zUY=Nh7dF{wnglmkf}KL_`RHnt7YA*{~sjCEj#<$@AXnCCrqbdO-tUDsS(9+%P!n^5_HWN*Fg zL=Al0A&YtCn3F!|dQ(W{a9MLlwXcYcUx`%nE*>fy0xe9c)tuxWS_g|8KDgz$%Y zuK4wFoEpBOHIM`O%n(gFoI2KPf;V1#SjewpxE~5mr#4yH9JHsOM5c?sC@)8UWdCvc zvF6cw%UNvUU#IN@yN&7{TsIfEX*o+|^e0_BO&7Rpfp@4iucRTlH_aWF$ySY_F>4}^ zd2EAh$=dk#UW?Tgp`F)iIo0tDpVLG^CMU-!majlbfeaYE9}9{$8jjp=Sx8Qeo`>rV zc_q#xphuBGb79d?k1Z%OIHIKYizBvP6wZJ8tPJDhd|boUN*wxU=ahSom#l>M_li zJ%xT7vmB2T8f`fdDXn#1<6)s4D6LTdW`5qrWotSy4lva!t>k5M_=hkB=3GomNp)(x zp@Bt0oYM0aCgM$)O+Q;u^Cadaz>El%9-n3#?K{q3X;bu1RPfx_?8twT;_*)WCnm5P zh`GVGq)@qP+g@66v&}bZOVkh{0weVAlC^3G^*lVUv!WL=h#HJCVWLv}MTX(h6Vifi zZXof|sO>MUlk4P_;!{T>$(2L2ZBUm2xT|>9=wNnq!*@@?T^48oXk}fF)eqnlSue^< zsN$5;QzV!r+=eHxF^Ye86KaP@Z`oxWx_konza#IhA1{=@k{KUhI%Qf0wqV)nxkd+Jl>RJJAB@g9S4#o_rfuW2-1|UAzk+}Qa@jecg!Xr zdsMt(RB>gDTU$}aVUvKmY?`TB=ZqduuOH{Vli~T9fR8^-7ZYLt3S(J#ZQHUF7D3q@+NlQXhh{zRQYGoAR~5kzP1yPJzU~8W zhnboOUn#z>pcmE#Mc<@iWh%f0L$8Pu*s%pt_f+8&We%(U>#ZqFwL*^XPfK ze=-F}O`)fp!)vl}me*y|W{t`A5Vlp#5J0g_P`0kn62=zl?Ky1?Ky%x~S;=xF{#DC6Q$p7aI4H%>FhGMp>QK&9=wi%XU1lS^obi->NbH3WeSp5c7R>iu?~ z>-ILM+IfJq#l>M!Zj9^~S01=lDI?Cr)@0t@Uo#69f%Uj^3J!Jn(GV4Vz<|ki=@0;l ztv40G6{__VQ7^rRtP{U(llrm(#OvOfcLctK0Suq6k8Ta>$U$TXCdLhTUFcEx|MD2g zeM&s4#ccQ}xeI&*>Nka8_GLo%`iX&N7p&2z!Kb(nCx%?<1$~T@v zMo%veYu~ryXrWJnK7jDqj6y(0pS)jgYG(cNSnDzSmmGyBHenZ_9cC+y6*+lZ9P zVb+uSgG%&Ca^6ML0VXVoJR7geMh*Z3;j_Z-wN+f1Rk52lctx-2V1Qccun8m3l5EA+ zYeem*Yr%|;(G=Z`iG`BWw=Axx0(l^fechyh6Q87^ag30GwG_ER{scVx)3JlBQL%L` z>P?QtaGx${xKAL{NL+G$K9*58t+6oIAY0}s%szpm*q9T|Jg!k-Qg|A`OBw53DPMOlK{Cid$35IhR z=k0vWOYVbgY)m+Z^ONLlw za1$w5SZ9X_tz@j?Pj$%A`k9G2W;>P3YlejYxT20dEB7MOp69zvEoO!p??(mzN+VV} zo&1psnGSLUH#dGPPs05DB_hIuI0;8Ojm(j8=}N=%Ow!MXUsK)sf{=Q(OawVC}{FjZ&i+jbE|RJH5koK#?rNL z`608F=4tlg!jcqz z>dY?owj$l&rhZojl(Z8vLsk19+oA{;0`Yc%G$)#qJt(y)oM_i0&|`ONcDKSh;y zFkL;hR)S7TH63U`qLZe|lq}r0=5M4#`s69+;N)xHuWn{zYyQhATa4MI(F$L3(LPL) zB?)`O#o0Vu)F*MVXRkYjgdqrf?@n?KQ#)yY|gB%jLYkVT3)*n1uXAdtRVfwA>7I9Vqviq+BEH!fW$^QG~rjeTG zlF7l|kXz&ySfmwr^N#KtzTQ6+42MixQzASxuv?iJ-8Go!5$yYg7=rLoKuk-XkCZiS zuo;N8AaUOcCnM9fwgi&7GrECoRA4p2pn{J;dOV?quKX%9?i~(nsOq4p?T~zXI|tC*%9p?svTVArGw-up#1AZbvEai5cAj4Jf!wvS0T} z8FxvOrtq*4_F)In`i#e02&giS8vc~6u825OMB7HF8DyDs@kL_y9vB}r zd@~TB>iF}>dY3pdV1LA6KkJ#MIu>{J9F9q`qVcsr8s{jC735-qlp5=hGBD9({IZzv^Dy0h&&n& zb3jmOY6SF>J_A@Sh7R_;dw0gUyEyq(z?i8pPJow2q;fO53(PBR?K2fV@qBZ!=$RR5 zS`u-Tys}^eCti5dh(jV>R}m$h-Q?inMqBxAdpfmT-uigNp3nB}xZvKq_|f>+?+bYg z29@D>AC4B7T#OH3b&0w$mAaUP17)77jjhqItMF!ROtZGu`WzPZg&mu+^9xxB3;6Y92IkI051(3$8hx3a(R9ZGo^hkUjt!x#Y;*|M( zQkJ>-qkGC1fOwoW__p|DEXky3+Anud=K`Ug+rxSeht*0v*L#`JfcCowzgdT=N#QYSE)WV0Dx z1licF(6maph>sCuD2 zu(xrwQoaNXa6hcnbDX-$@0})8&9Bx4`l~U|>|K~LNtaA(L=wOSTG9{6k*u0EE-F?B zpP-K~z%FSMdICdz(X&XHgzsSWhSh(AU++xQ&)+*7qPaHWcn;!x=Wo>J7>og5X@mTCW zu{VpbnHdW1?q6d$QTRtSB*!o$d|-d~iMv79l^_>Mfxq)9>+M=}oa>2V2T5BthuC>6 zlNu?n$nRofW#1sm70J}E5HIzE2FL9Nn#8vPo~xD;_G$8REhh_@Q{8ove*hKYZdU1v8G{={ef^D8QicW9pK zTN;f;Hh~`LLmjJVZFLQz{`_H~tVZM!jK@9k!xq`z-_BOA-T4cl`koJ|3L4rWNv(dH zp17Y}1os|RiX5laJamqkZ!R$!VkI;0X2Sk<|M!QQj76@{X<%>ZD`-@2FY$3?Ow8AI z+3jTFPT7yE-WLW0IFZnf`r`#>4JPnf!|IBQ!h+9Xn!*Pj3BNsfM+!74_c;6Ep?E%^ zRkz_;%>oVxP6^B8w<#$!tRn5;CPo>6Utb?3K#kO0j#Gp-@5r=Adl_;)e+JO@9=+;f zWjrOqY?Y-tLHah}`^&3V3IdZ7TwYkEf6>5uBQWY#2hjesWWX(yO`qdQUkAr?kD3@# z5IaKSe3z65Rn>vL)n*tQUq*iFA6uMjDwlFx$PC6MEMkAE%~|^;FP7BrYU^w4>b~aY zGby?A7esI(Hqcs1LUlTi$lJ8wL?&9t5TR8^_L86T5g$=)HTrA*P5dI58DqM=aPHM^ zCwXnDoGB0cF`(j@*XU<}(tB;iS-Y8hoyCt;jUoS-)lRYJFB05d_lLjMM2K$s;pm!A zsZV;v$Mx+Ct67Zf3y;xd&AM%tJO+(b#0%Pp%LDReicKOEMY5X<1EwT;@*CGp z_y>r~pVMm_7oDIflC#Y~vv%6XV|B3H<6lieZT?}U)4AR4$g!9~YeAEj`1Jdi$!}^9 zvfQka!v|HLRgIZ7r+`s0q^z>xGsCt4B?`P}5E@$1Sv?SQ<>ez)Ya~LG4s!B(&+jCb zw5cS49>@g(4e5tuMfZY!4~@{fp^ z_dF)kJ7UP71Qm<{B?GUN3kdv61`LT8^PvP8WO0q(h%6X>+tTrK6(Tg<)9>6zH!+;r zo8|52oD9^2>2A^9p#Y&-tP`frtX{960X^)a@P*(nLZJkt^WGL^5E>;VN0&1|;*1`K zdjeKj7U5%c#@+Tr1qN+i8rUfy5pW5iNfwjpz+lgQq|B)M4D-@2q5mibU0<^>rvPE0 zjmNCAm%)U(Q7OZGb`}`0V8SWEPy$IkG^5h1R!zL6$8uoNB~X^K@O$eT*#`(K>Ve{{#gdR{j}BJ%CS68 zLttC9sXoUx-HUwJc57dLQ<9haYqgw zV5TU7-ov&MoQJZZ4izYWuWt&Sd$)k#M6aB+NEjI;Sgb;}P!ldqI!phlTF!wW?=U-uTc;(p}qW;5|;TFFtA~=E5IB3Zg_ZrzD~leFwZ` zwHyDutt_^uR|6;O`s#<-PZtTM%)@z*<94wL$7I>ZaVrT_k!Q=kMbB#CJd?-KNv#uq zz>F2-BhGTLA1G7u1WRWU4q~>UhkSwUO^~xb{bvW^asytk zN4?~oZI(~`;XJ>dUP|A*Ebvr0-8FAms@)K+-?|V#L`!TMF}4qH6tWDiu1^WRJzm&; z->-z=-Hg726DR29Lrx&B?NMJu`bH3kebYl>3Q2T z06WBd9y-Qa`A78Fx9H^I_px?hG;>qfp|w8W=^qwW?d@Es~ zi9YC&-1ziPO@=gwf-38#eG>1PV>UE#0Lbt;+xg|Sz%tnv0C#tzQBgXP5Y}aDHy1Jd&q-`P%>$j&v;l z~x;bT1k;0nkopTahr0tI;Hc)@JEMw|Nl;Szob(3exA z?tw4!G82RfhE@jdId7VJ06IQ{=Y55m=A1s`QHx}p=Q*~3>K{8_ZM{<1fB=T8 zkKXAUV^mji(9PU!_7 zaWkY>*Zx>3XiIGCmmj`B$G1;@es3I8e;77(I=t*&)*6BIdggGjc7CmjgTwdk#h>?<@0p&`SHxIUtz5Kudb}h(8eq#> z-Kxv+gYFy(a$pjgg-7Do@@E0N-!Hk>u`L(-70MCX_0|K#qJ%mGE6u`mM^AL3xlF#* zM5c4jN%Y~dgQwDyi*mNztwpyM-aF!egzZ3Umd|};zIWO-ilHCxh?7@ZbkOE-0}WXd zub!O8dp30j;ReuVq)RUFJ`D0h{7}A5A_MXqIlbDPj+z7^m;p{2D1yU|9d0gJqyp>5 zKy*{6g`B#p-?swq-Ctbt(JmkRO~Yk>5ckpTN z?4M|Ko2(!+##w-Q+6OKI_{Zr}?6NZ_nR}*)6@~TeBi00-als%RDfOU=O)irf&15nq zLDHSmYb%~e4pVjeY zC;;mw+7F~-<^2%#8YJy_mBt!N3caMTI>yrapz}ItUxkHhUi8v{uf6iJ&p4=8?xt$j zSqoc)2o%N5c}dq%X*HbTu`M`@Jy1p1x!t&$|8v!d$0{YCEM0o>%D!$`IIeR42f3gH zv_-tI_T1F?VaKfw&TdDOJ5R`<;Foq0^Vn0pC)ycpw>~E=vgSv$-bE3>M_By$$Hkm2 zVvfs3IX;#?PLKA5>%RvoeymCm&wtCR-4{dNme)yj5g6$=j&rtwe8DL!G0dA^Na)Fu z8v{~aeAj}l4;tS)ViHX{9_6475clNQz(4<}qEw##s|p@onh)u$t1TX*=dT%S<6n)N zU5qt6fs}s{Y{3DA=nA=>a~%uXEGEPE^lmk`!}&A1#q?OEWMjiU2&IisWzxBGPrb$w z`ly*tP@XX&^(%{L8|HVWc#sLkaiW9XDuW18dvpZpW$M>Pn?$Y+j+118Hd*kgad-z{ z=2uk|zZ8;-vlPW~G*z9U^GQ^z>kfcNQ}DEIKW} z{A2kNt$zDSrKpki&;Dy}9c0Y`veAAH z)kf4-RPiJul$+CbYcHV$gXHcmi=B4ank1|$EMavvQ{zM2K!rsq=IXs7xxnaD3br%f zl40iGq{qrRF%&xdN%3Uhd4?4+Zk`(-0jlTyOG|3cFyOZGE=E(j%f*c(4$~(#g7bJ! zwslkeE}3fg-N1&7O;j3&jDj3mhGpgb9(^&*wPVAs%LCE0f+GVPA{|X>>Jx(-B17f9 zu&?`@_>58eeC)Z@qI7*l2wwSsh!*LyD4X?kbqEE?ka8?BLD9LX(*dg-uY62+=_jas z48XUi+*aIdDn`tV43iyOYAAJ?|L9(7A$UQE@>VXl z^X@5+3y}3Xfyl>z_U#;)skrUjtoWWsKS6&zAG6nrznCu{ zQ~9hY+FXjaz*mXcd15NK<0}cg(!0lIQ?I%K(|PSk66pv9HyN2h(|^3%*f?}jaaX#D zA$VX<)i79~2Qd`9s?n4wG4@fm0r}jjan4VCCj0eQ^IJFcMlUL)&zmSy+9A9Yn?R6$D}3} zRmz>+vtYzvaq*8Crz6J4nZjm#1b9V>;x=1&MSx2T_^emLRNR9!60$L1nPi$imgg>F zX4T*;gnSH~5o`0?qRHr+5r`D1CmH-gE8RXJ#)v3F_;EnG>qd_y;uf2YR$lh?lDr1m zH55`Pi(ZNL1<(AB4m12Wk_&Ue$oh zs`~5FS{ejj7)7t^@jlcz(%5*~i~QQX)Eq;yOt3}8_tXz>i!739c9Lcg9`k043g23M zYV0c*7vz@UUu0Ot!pT&)G;{!u+n5{rXT^S&GF|1@p0g0Vr6NFS;qV?9g{{wpv@&YR z084}}nIbh4UV5$Ov(H5{U2&}nUGj9V5Ka)VXfT3EeWH{Io(j2@3gZ+gl49gj$$vc+@G7gAB6vBzKr*a zh2Lg+ep67FX-S(OvQba5&y`8>dsSUP@MbG>AK(!36|C}F>d+$(@1SNSZqk4c{)uxG zZmaV##c!Za&$_2BLWW#hBgQETj7w%9SZGL#he4syM5bk%q9^pZV^^|m=u9kz@>?cS zjS38@@wzL4KN&G&!;2;wzqc-pG&iME%4VU9Mz*7o+-*lk)1mV#7;+(WsW6H$x&Tt2 zlq&w2qNNZs>M%tPLq_p9@uV+giaYsCvlu@4H89W$j}KavVR|^n45s#hFbtC)QmX!^ zbK-+KO@1kU3S|5&vKYi(mV9OcvaXTCHb3F*LAc9)Sz~admDh>gEb7AuB4J_-17%A~ z-m9H|ViAh9w7>prnqZ%=lqQ8_9l9TUK&ytbciJJgxkvpdz%tAaph_xxc_PkEg7^AdDjC z^F8SUKf!E-c0d@xR$hKhea(m2b(L5Jg8I~lU56=*d5m^Yp?1kg&{xd;VD@XyTE|)u z>XT*#40nho!>#WxNFiewCI0Eg>12c733r$pFb2J$!E}F!pajJo*X|efs$&F;BUYh- zL}F0_maX<(+bu>Z^Iu91=Z!Fu<-=LgP}7&PSzFfVD!cT84EL~h-wMHBK^j^gWTvBdo@!^F=vI4D?sE$v)^X=OoUg0K|ndZ zey)^6Oi{%L2Hgk-Cg12%jXq-U3ar`dzhcxM?Bl@9=pdV`d*+2M^S^Pce^d4*0+WuN zNlFB?nP`Nb6>g_O(;O+&m6S7;l#c+Cs)Qtt#Sw_Cpi$4~*>3g&HJQU+dwo03tQdzg z31S{Dx6{_^$-36QyNTfNI@7+hum-)fwOiQ}e#R~mM$BGxR0UhbHmGX?Bp;uo4px#D zH~0e>A!Py>g}2XluHdeGbTX$5<+oP66Cye>;ub1EaLi@v+zByFfTPx!c9pZBs|Rz-z&ACvAAX6N#- zo7Q@wa=MiPL6qTsp`s!;uS#raWb6Zh7*M9}y**cdt`Z_~y6#oZMN~20Rj~ z8a>SkQ^B*M9DA)R*)w`cK)@)uRx<5wB`BGO4ddt2o6N9|c!H<@seGcqE%H$x0VHV; z%A+7{@A|kMod>%I1MqnP*LR!WD1Of0@ov>To2gjqJdKNqNQinJXH*HE8+m=le|NSUvBK$$D?gP7Q9HOJB?eRfSK= zEk^SyEBQq@6i&`ftzE(E<8vUNcV#+SVcb6(BIa=h3Hb-y@!Uh8ZMVLA2`H^gfv1Z7lBWP{LUpmL8yxU__8$fDfxy z$Im)901&p4%~U2%{6wz$3TH3X7?qxp?n%>N8i4-H&O!kCIQ@{5Z|CQHanRfjMoTBfFPUFwDoI!P#2 zJC273SO(<4Rvwa)pzFY9EFc{+DaTgye9(Zrl05m0DKei#Q4NZwv{fprW$7=+q@Ztx=0?}Y>syNQ6B{*e6cx= z;xEb1579+5tXqqkWE6|y$?HLIW8u)$yn?bsJJ|?i$$Dk&Ta)a|A2I8LwUmDDSL=g( z&6b1}PEoX;+{vDOF4%_gUxkC6;DLuLKUEA{l6tdN9v;Fp$a88#6pbc@2 z4L?uU_sE?6@C&yw&P{NJp1mz#L;RS&<0R7B4LGK<)B>Tp9zCcUu$)NvVb}md&XRbJ ziW_fVtIjBQzgvbCW+PbxY87nV&(I`Kl>qeB{Bo5fOlk*PhID#FfS&3rzyu{Hdp%Y* zuFG49;euIH!i}9Nak5fUmR!dtC}uC%Dyf~K9Aa}w*q5Xx3-2g|`74ygg&!8FL#-dx z%WRT9(Pc`Y5{BYb@7I-JwE?^F~l61nH`aD2%?K znRz)ywya``y^HXJ z^MI_ieD%Mik^2Q{>-1P-Pf--s$1j}BB-ef<>?|T^Vb2w08KCSqftM; zViee6M^l2=Os~8TV>|Zu5BImPAQr*KZH5zC38h^<2UcZVVpYA^WW>RXN==i zbJt9KJah%ARvL<3U|kxX$<1>?OW1D;jYHD z@_qeO&FwQ))7#)$)pi;%-q-1yhNEWL@cgRA60_{ql;fe}xV(U#zZFh9LyP>~m zJe~}}YFDdtS~qJ^>2nzjqn5F6Uj(JcL;93I80>v-Qc9+1ilrqwd#p&P&X3xr0$ipP zlr;rd?=C)EG37^5TDBVqy*Sadtp2z33^37GeO%$zF(C_a*JySe#xqS0p{#UDT8}n$ z|DaSxl{{qhBe*X>^8;1&N9sDa+)Eq%r>1^!QFAbjI_F~MV{JSkjg%)n0LQxx9_-a$?9J9#)NoF!8aY!-^LoIB9qQu`U;>3VV0ew zs=ulBN0NL_XeL)N+xT2Edj`T7An4d`J%cIFGX&bxK~LZ{OENxW3uW#jNsc1_NYL7{ zD*=l{x9egQd|56osWjwI!zn*Nx~m|_dF8hVp>aUTKnj}*pFdlY;f?XQvW@> zw{{F(N!R7z-*RKpciXF<5fnpB z1g9f4Wpv%Jjs(@;4N~|)ICW9oC2xF8BMmr}-?*YqJ4jZ9^0kfG=E>cbZAh z6=NbsMPXmiYONGMA?GQ=A<8peuQFKlUgoGvIwY%}+f;NX21gjO!E)|7@9BHqh&9G4 z!95-AV~+YVmdR-CawRW1)KeGwyQ#9`opHJr*?ucd%*gc9D(7ersjxqSeKs7hG0q}`>HR1U| zKsiq!NtTD+wMwAvYGFr>d52fw-sBWZ8-r^}3i zsg2SVbNCmEBYZAKEg?Dz+ zP_7pNnWz)aLOV-R_A~J-qu>(tJG{loYICn9IkVP$^l9$r;ZR{rphzpr<7vLwHg-k9 z^Fo5uf@WY{9inSQu0i>Du5`=-=PBAV_TRel4se%pb_< zn?9_dL{PTt$6!$TDy?1`w#Ak4jZ)wa$&O0`;mcT(1S}9nG&~*Ir^qJW5hq(!Bh?{j zeX}B($U-p_5a3NW%m4eRw!}t$&Pk>+YrcT=aOR&XbBq3c%C|t=Po%$9&#{=YqebW2 zLQ{WWzX>{F^1x)#8`iCT7!>Hyv0WA&W5(t}vgQZ``K}6b3?H%c!i~cu?3h`63M2$t_La zVn|M0x>sp^Cst)U(rqhJA`6^w)OrDyG{A)C*@=Hag1S z=P+!GXRnfRbc222t9(abO55*W?gfRH|5Oauii9j`mfbD@h7A48@H!kU@?)zp-k0t^ zh<%mIU^hjy;LR=AgTKH~_`@pEpC%T84XkQ!o@-v7#-=_@QfwtZIq`RqMcNfj3b6dC5>9c;E#v_~vod6oF#5F} z$qft;K&yoiavsNPLmMGGt?}-lJlb^8?C;4gpvRWA!^V@MhE%NGaJh}~u21hzO8DJ8 zjLO?GKJkBN!#YlX`t|ekDUW%alC1e@R8(~oTS$;u0fk*4GgjK}w|awj0!XW1?8728 zu(As4aZMoHx$JF*A3R`5txN{NbWCQ%b~DxMaEayYS3j1JYnhe+099>N7H)r-PrNIB z9jf)^6QIXPF1gO`Q~LWJ`qT{ib0JNHNsmyv+^MN=_ZQBA_dp6Z7U3Hz`%f0%98c2& zB+q`g>3CIdh7&(Gi$YeDq}SR*+olI&V;oCG-n=%auzz>=!a0w-?|Mg9Y4^iIL_mG5 zdcXYcKh@N}FK5f5qKEjYW>STBZPKF`-&pxt=(2h((-ddTR~0^ZsI!?rrO&wTlLD!O zSk0xEwo#K`5E_>~@vpbq8;`gw^E*AVq^Lt`7d~W*O-y~Hda6k7Ah-?w>;V2QYb`k= zC7q^Azca7Rk_rgH|7~)&=@A}aK{Z?zJ>0nI{;U?#7zfxCwm_TT%T0t($l^DSK@PN? z+*X*XzPHX7JX9gkG^(UELEy_UZKP($bSTkbuysOiu2>4uu$SW^t29STFniIC1fV6R<-pIt=0l}Gsgg^ z?5#c<&f4nc8rT<0RgO|j&cF~GQI+0c^@!p_7>Swzr*e9d#QQG!+Ub{})m>qUpUPRJ zoia(Cr80u76YkQYYll4l7WYtBwd4eS={WTwDr5>>VGqTht@mpIb~i7#t;tP(pjmQT zgIZUhMH*Nw^=Ba;V|*Fm;(eQ)ND z!iaA~U+hswS*=PxMNAL;xDiw*pRT_k+Y+4{L2qqrBfc~|c)R~4`~&}Yi}1C*?S(|c zx?c9eKPMeknw{K{d(pOTFiZc3hiN3yr|3GonWG;FllP~^z_JhUese8yRKDX+OCL(&BoH|Rl;w;a8r}K4bNs%-IM#AT? zfdmJnM{RWhA+vUGob%*U`*XqHE8S6&714Yn`M;zy0mf`eYsaXfLg)G5P0!FtS-%@I zO(w73lx0&fhXtam#WCuwoC-GZ3>>QrIn}J1iT4&x1jbf@DBqvZ7|KN*uH{s5W=R)~ zNKdxq)UJ=w*Hu!G!|~%!9vnM2?$LtC)^o}~L2i$_-m7EA&pi32$+v<7v_x-vt7NSJ zi94g9wM}OMT?kDgN|!w~7jmF1D$jY@s)*|>7A2%Dva&73e@SVA>nzYa=T{W_XQQxZ z@I+YTl;Of+kk@j!O_Vx!XE2}$LOjx!c12%Px+u~T>)%az6Ym=2Zg|RIB^M|=e|FPM z6992XEO@0*`nI8l{V`Vo%~R^#HlEs9Qf*NMsW0w(>15wc#{0*B+F9;mFfa04iB@QU z$g$N|k5K>QKd&vB#J{RhwtUn9PoJY}#;7T`8=AIW6)wKi@9YA11-#%;P+m6CmsdIF zjpu25i*-T_`_YK2Lr(MJMI?$sC%3qFL^jMP6xuvBGg%O3Aawtghe2uF>Epzo$xk!# zD$DO4<~eHQ=sv^Vq!C=Yln+G_x1~}z96hPVWrWJ6R>TCzh(Dd}k!T?i3yto1rO*FP zfBJ1?5`|T43b21MielfCEu7Zuq_<5c)u*l_ID*o<_-gwohlJQt=aqWcf@C_@b?XM> z_;HTDN9}ef+nNAEYCA2fab(c3w$1Ex<%MIhqaaLR#{ZZ~+NP>D$~8_o5=#`s*_rpo z^hd56Hj;NvTe13&?iYQvd_`cAF&+===}So%D}!y{r%5a93JTE*r)GuU=1ZHpWz&^5 zGA~nQJqLC1k_=U!c(tb?Nv#^B& zS={gxdyDt00PPy1;W;CHd<@BXRz+chV;!MZFVx*xrh8>FuPlB?Jt2DF+-KiV?axGc8deh%W8 zFcO^}Oj#LoFMlcJWV-n0qOXDj8X()YdQsOxa=7^-U1#tGFpZYKar}@=f9h*aIA@oF zd0YHqfX&(4d;{Eu4&R~*o7Y06$J(HKusf5R_}UyX#QI+u`E+we zuT&;#ivhP4%czROGA<(;wLz)`LjBU2G`rd$OoA*Yhx}s9<6o~!EM!*mZ~9s!)FM-t zxwtI9OFN{6S;9?|9H?QIMF0B1C(;0Dk+i$~{K+-q&CWZ@RL&+o zZPON=K$aK?^H*^?AY+Z^la;r?&WGBef#1c_bL*2`jBqY<)Kr&*NvCay|I7XpkT24y zla@Y`#pq{EX_uG{1#*Bpc?0YEL=H2XTbS0?D=FULDJn#_$l{KU>U1;31JfqPR5;vy zo1!2LxT3O)6S9l7%}RLt*ti~UpM`6EeFi8^hsqcnl1<0ip@1&Q-6D-{DoObPff|{S z)gXlcoJij^x{=0ar3{y_)(vqZsHU!IdE$eGvVc>N^#jM@?(jYaHQ1mFi>k`+aw09urQ00)Q&w1Z(|ynW8xU1nibUZ>M$!sZV3Vk^_Qa-cTT(b z7pMr3Gz5O4;B$!1!UomI!YXEtjCNG5@EvE5cAtyi`OK~(WO z*{wWsz{|gru9|BO%aPh5@E4v8H<$~aXV3&bk;6}G`%^3GtDEbnDxFHoMPLajRm;zk z5W^HRs7RRZ0R4{)HbjAASZh2+me?BkWv`jqx+mrWvQ7`xIan}9GZ05@jvDT-Pv&kA zl!uiKeK1?WPx5>SM7*Y}MlMs{>gAE6tJ2QXJ;|2mJeT3Dt9hAS;jbcCF{hnZygfP@ zJ!*6+$M<+EFn%qb<6MNPZH*@w-T_PMgf!1>#(7itz_|7=F6n{Dl+s#k52m;ez?Ejq zW|x=gade`@zv?SohgD52#9uH^Y412i z6G+f1P^u7MShp@^uVMBD0;fNluSSqnJnxo=G9w+Zxi-unC-goiMfTKj+fb z*RF#Kqd@NdJjEt5T@eyl`0Ene!po)$_AG%a>7EcqYN~*V|0?nyb}~n$28#^6Mj+Nz zl%kIXiZm>9!{VOwGM~%2-ueutO>3M4(pi-dh(59v1|Zde(o?wC+LH^8$E?lAAaUk-wtn#1#| zp940sy{>1D+48FEAa5+H>M7>g?+!^vFj1h%>y_e<9k5@@PRHkysg&XcEEf;M^mFU} zG(tpW;T19*f9~xb1|*eZ(Ab9NbkZ*~T4HVW`43lt4yJVuFDOu181H<(_G9sy^RK3+ zKCzo!(?Kvq?3tJklzpBaSiENMp!87Fks?(X=_%}28f%E;T9h^W5*gJp`mJ$)Q1?d% zQxe#0RhIY!SPzYHVuL2nTec^I1~vlPK3hbdG)2?GPtwu%5q{!aLkR?z`8v)`jRg`(aUhZ2?+t=k{l)_)D=X-^f6dY>j~5^oi|ETiVY#uP$W zI?cQJ5n*GHG|?+oDYE>|g%aX`#}H#y1Zy5S<|m)U17*o^LlWykT->fa2ag6N?9TgM zE>igs0exwADOLZjZ~PbhQN%}Mza}v@Jw6wi+mNj5p?3Cj%Euti{LNA43U|Dvykc7? z?g|2Se5oyQ9a;^WjgP#AB<}Kbemp+M9WWMVf0>u3)NQcS!B;eA9!y@h2gppiB|x?uI7ZIABP9T z%AiQBoL5t?uhTB)J3bDO{5R^-`rszCQ&LI)5ENUy)`2sc`$6qjlivXc=(S51`I$9C zMv%hLvT*GC7UZ#UhN78N3hsg^_G?G1m3`bf8~r7nrF>WDNZ}qor;%8=j22{=&1+FIvND*Otr*eTa#Nr#6VxEi*#*7Lb!% zcK2kpJg+@^;OF6%k#&YDr$?sBKEd_VD@$BC3XSs)_(!$NYSh&Muc0H}CY_reECu^j zMw0WS+?vDgDztU9@0D~azRZOFhos~Yj7rzVUx*F;sm zU!CelNu9A2-___5vsUx@<<>J~$gJxA!ibL|8rX&bH4f*7upn zIrTHO{i83CG5QXvBMCu_t+0RTnCRyY_dn+ibK|wP(5E@Nlu=N_v-ZkajS-%{C4{k} z4WF9ojhl3Akg4nh$_&1<4psP_RjcTh))6@S%r+bywW8X8PmP*imIW%7CDcYu;%$K! z32DNata%cXpCb5ak`{qaeAMW#iN;BN8INxob(L3RqI}%h{$?-!AQt)ECjLEwlqSD1 z5hHDKKr*hO`Dzd7&~norjo+ER=<17q)48@A@$C{$>Qa&L-?9VGMk2IQ^6N{5?C2J} z^^5ux6CHc% zPa8YpMC#%q6g@_1k3F+Pd_tv!$B>`SFv*7BDS%!(?8oz4s)(-+V01bb&?bfz{)$V` zTKaKtK*yjk^&{};INC@s45co{0n&Uj!he|Jk+S^6oLB=Pc~Iz&4xq z;A5Pg*OUy(W1FUy4f%5*dj#^`6n$Oj5+uLarvvEgL?t$!-)7dnteFp?pv5|Q4&S1$ zWjVJ>LFNToRAf%r`#vLbM002*P|>$5=|b&C$wdNb?9N!CDUd1OVy0zeIiPhqQr}Ui zWIpR^%k+szTDx0z1?clg+D`k55K^OS#hb5C&xpAn3T)n!I>R?u)!kzq*wS9W71dTq zo%)6Uh=$7JBs-Z<)Ln+orCZ<;PB#n#6Z>*3Z5-wE-DpF8$-!-&PfwoUe5fTB-c@a$XI{dr}!r<6|;0lAE1iG*yc#U~rQsqP$>O%HIIF^c1yK_YTA*)N;@~&Z` z(|en1IHWY;0loWn*(3kN&l^ElIr)jmFb-RFCT9hTkhnH|dY)P`T@tmpK~-Zx&tGUG ztKj}x(rPq6d54T~@A$-P^BL>7@VrqJ_k*dEsg5{1Q0H;+6D)gTd+SHi)6(l8bqP!A$K+M_CGGu70;jT6HaSS+v(RcW$*UkxM zc$klaLy$W5xLbX*OO~RE~ z|K$^<$LM7*Q3P%b`#=+Gq7i=z?la_pKTUG>YSOGST^0k%!#NU9rjbDBqBBnwlUXrh zvjO_$vUE}mrCvxx3W>im2^vV8o%qlhU&R=4BXp3Wfnfb$ut4L;iYLu0?a5t?LiZU_ zSC3EuzL}n6x}tgQs%Eg^C1^H5o2!Ym)UJ4DjO(ok*XX=XudW-d%lyvBacIhhN|>EM zM6pUoyDMVO=s&$w8s5k9jNIO$&F7!9(pPR$PM2K!$A8kdv+q?lVB$V%L^_%uX|2DN zhtELr=F~^51v&LJV2;15Z55e2yB#uikt&7dMJKQ3+oSENhCG@BYLrFNYNEa>J&N(~w2Q%p7twLJQ>j z3TVOBIjZSP3m;!(vQSuR^`|mom`{=7s~zstLZaF2>Z)%(;KiE{c7K#3%(2+qq@=W1 z!@W$}j*oj1YgBDHpWlEsSqT@#f4n(GbO%gm{<6Me6=`f?XLkHJ8&f4fmT!?UUu`2IPWlT1&}xt8Z*SKF>9id z%2~ruJ)PL>&B6QlCS5xF>&Kq5gd&i|xx}s~ z`qRObp4rdppMwkAOFZ=pg(flWR3(plk|k(k`r`M|h8xVO3FiIV$}JLW2DlgF1Vu#r zwv_9zJn03`8pj-l7FZsoA)kK~jE(0`vSW`xy;9N1{cDa^mNR|Z=cg^>>a2jBL|*Cc zRF>BAX@G^ZJ3G~?gAfy`RpWqVbnwJ}YUfols&=R8 z;F;#RLa_FJ&HVu7^0I@Dk&Y4xuZPsmW7kT~cGduoT8`PebOMHqsOKit9F35GuwQQb zVZdnhMur*PY(f|#t?Zi}N!^d|Uo5%@9J$;&gP4E6`$1c@MX>g8^N134LI+A(1}@Hg zYVcDTT?Ki{D840Tf;`PQtSBL}II$coTBR&@;!@U!?-~vUR27QeT~3*1p)uKCoc46^ z1|;0W^5mA)qa^T*D+)7If)`CTJ^Hu#07Xs=|5jlx&%-$=8+~}yU}%3-I9Sst~&GN}$-Z z#UA!6wyrcLDhKCqV4rpM`Q|iX|F+YcBHiCi>^`6=eItU&31`G!al`e38c;=X`MtZ7q_R@wLT>Kt zo3}5&zB$TsQ=!ouTHp(Kv19}a&F~XDE2_(GRw^L0FLP5b4HZcyjB}Xk3(4=p&=~OT zz8z|4-&7+~V{Kv7sYk=#ba+!uSCG?v9rxAlsHo1fpJC#K6?OWrTGnJDZa2;$4Lu7? zcOb-GX~X-rs1Z30is7(~cd<+>5Bq;NLBxu#tyz+FPVilGMEQ=$O_wQG)ME3s&_5ow*Clnfv$9Tpt{I1iGGdc| zAx#{sM@It&NW*SF7xBzfBLA-Q@V3)b{6NJtmdTdll#Q%Xl?djI(VXW@a!PsozcT+H z>7^V+{4^kKE~@xyJs`Jy3y%YRIH5|;ke9Ej_-0+N{XZ|NX+i}fP}yi7$7olZb-Pb4 zrm544B5-s0S24zPlMJ(NH4|b);!0I9lF_4j6y1cCdnM(DEa68?qYv%SS&Hpxo(dxu z3+=8V?goHNSaZ5LoO`0JL}}B&jC`8LEM1r4$^Yd*EKY9fY+8-qS*hN<+Tk_=p%m5} zkQ`r1SFc|hCJ?@l_P{%upHFf+{=ehUnv>?K4!~ZxA2xS>>(V)M3{IBgRIg3Svac&D zKmKbZ{=I)hGHxQ0OqXqgD7n4Gv7!>^Kv`g5Ox4+gfwtCZs+PJ|{6d@?4RUE8_nYtzAHMB*AUJMx8zBDRTRs6$b(D2_H(D}oKIQEEr1*V7+#%$R@3p^PqJ)Rh?Iv@h`i$7SQ`^ekf_^1+9~W zh=!23N*2$vZOo)aEU3(N{{p9MbJeuDCaYeMaxwf`wB0trt=G4YhB1DMU=ru0o*F3- zNj{+tk!J$_j~tlhqLGd#qlkP((q@bHim&2<47|K=L@O%;!iW=pV($J`Lgfi$UurNj zcG>>Vco;L)4h6z`|XPm7z2PV1WK1PI)uJ!tvYG{_$su9VwzH9dJkthN4H|NjVS zz`txTt#@JAmpq^xhy@OA+qkw#2h%ur{>eU}^s#G88PY`4gl`GfaJJ#nByvS77e8mD zb*JNOqpjK^khbooJ9D*o1KaLzvH|grnOcO%nO{dqRG3v*C#eK?>mjuMN?duZjA6VW z{C^1jZ>18o|5V!cfYT>be)(CM6R+f{<{8Bw&g(tg5-pdKM5#-&soK6h_@9~HPY3S% zA)SN2=WWU#N4J*HI~X=VU1$@NBD19iFRb~H!biVJzn?YnMUWC*aI|8%;!7f>!e!K3NlK%G$9W8W zQw3#{-|ufzI5ktUMc5bUU9kk=`kHE<6(^!qzLg#ettacBP%V!oL_ZiYsy$JEJjmmI z!=CeYYlSMB$PT_`<}PMJew~@xyi;4&C0VY?mLZ>XKG_=hE4!`~qrV7z-2Y<^F2Zta zKkRB&k0XD70Q;+@ysjJNG#-k29sY;= z#{Y8vsYxX;TJ`?=>j8-wHu1>#{MB|<6d!Ud1YvW>l)n<7Ht$!uI zTkPRnfb9Na$#Q3YuRV<6De?lJZEcqadBr_;@35G3`L~x9Yyjl4o~WwjNLi>#-86ML zmZmVjnDhT5_lGq2?$N(ZN*}2;W^}$LeSeF7ye|blUavV(t~C+Z#+K5drUD;@19H0p zAMYVv|M+`jE44i1Y`8|}g6|Oo0RNj6p04{;Y4n;F>|w(yaBVS6qVsX;@#2IIe7SU4 z4-UKkmGXe<1zzvnj5#p$95eKTA5O)0YUpNf&T6nY2ZfJL*~^ZonduswrTr85BNc?DXCw;!FAzx zR!(qfQkVZtB&73Urws_c-s`$YojqVi^LTya)pfr+=QMHq)?lMca<={M1c-S6>AXKY zT9f+RbvFV5BTvr)k(eroBS7FqTOg80t5XXyEe#b_P#bG%G;7->(Q%&@-o{tu_HaW3m6A>A2Q;wZTkg-h9^xzi zN6Qp^*U-a2g&jTjir6$Asn0=ONK?GsN&pyrgc)cXW(=2rwT`=8HN8S98nS~6S|{b8 zKpt}a*X7LwOAPAQw>Q%M7d%>b;F5^8Bx!#nkM7GH{_;TYy}*Y}z;;A##@*vX>ErcM zsW5~+w|ju~2B;G}n-s+_d=XU1%UG+iEhlhNZjppR=yJWcnRG&N(#tE^KG} z@$RfsI7;Xi?G+eU*TOdBRMV5nGr65?U~@fF`Uf2YmYBJ}Swqi)@8<%Ct?OggdU{JK z4-N+DLH$c#AJGI_U03IlpqR!vy3YF%o=1fot~0Zj8f};NB(d!e^_s=FxL_LM_CV}n zg7Tk`dXH~F;(Hn*hvK@~dyhAPCk84ah$Rpy?xdhft$mAO`LW1VAu!f zEIUuq^IN_MesZVR7;qDr3lA;1*N@<_etniJY`QpgxyR@gjFqjbTHYYtoUBJPpR1`M zwx`=)ZagXEO~zv>nmkDT(5tn?PVN(rjnK0PMUi`yLW#%e*!q>FYY<1S(-RxZ$5`j7 z+()>sWRYr<{j0zX)(GyQm?3=%o?@{<+Zm&D9&qcYt}!}8RV|T0m)*$6ylC`M7pdsG zYmdve)NwV)lv--3IwRB{E-iwvU zQR$|Hb+0y_S>^9`G%$DFWOde7?cq#a7?o_`1dJbi9mVJg9{6PrQA)?n8c@HLNu5&! z#b7Y26XJ`~$|Dm&eY<%y`Nyy2OT(|%fwz)Fs3y!W|*<1{>MBXUq$8oGrl&!X0#!#Q~tmfs{`)4*fF6dGD-&{L-_EfA-DC!0F zjNh?PCVbR4960@gQUvd7OUL^Wk`K_#0U2SBCW%sS^<%D2$haoRa5J$hhk zkE2XE9hL!e7YP^7w}6)G{q-^6>}>x{-7lk_qO#RfM-mGD?b(hCt1)pl6r6mv%J$5B zQEAm^Qtn{PRK-uSE3gn$+6A^xi|IcpL5={skZpiR#9Q6n6Q`SzHlDFR9uo9=CwqHc zkDHNz{WEvSB&A5K*W)<>3(%*t$5nmN%MuwY9O(VDCg5@gw|}BDXRz<=py}qO|4}9| zDU|P0MytljFI zu|irREC6l8whn}}S#9+dTEL2m=aMrQ=6aI3t$HVQs(&8oWx6}2zfHlk^Sw$u;O_9K z^t{u*^l}*jMvtTh-i$z2C7BSJfPjmmwFk`-gD%u1;PHXH3ps-!d`ARuzty%E3w)S@ zfFFC?AhF!;VjJl<5`-cL?}(pI^`^YeLH?s>lgTo>c7J%l8yFD0ulvDw`S?3xtA0vE zGBJ_B{p19vqgPKMt>4y%AyrS%{x*SyBv(rCOuN)pUoZeV@hbeSUfN#nbZlF0xpl-d z;gTcJ0ZiI^mgtlb*DLWWJiLSvH#dMzjLktZs2^2XNH2IS#xYvqE6 zDFHt@;R%R{yyboSZ%I&?Ur_XaZwvAY{P(tm1dqC{tG$QATR~ny%spKmMaRz`w(f6v z6hB*e*vi{l18r=jr11XRtany>$I4h~OFRv>qm&@sZ&d+?6ZWIy-3FttcKGUd8zMeb zZ(n@eW{x?PXmS7hnM~Ky_LlQ5I>UGg&xn-_*ORA{ANHHTrN*3a`be8v<%g!!J&m6{ zvG-mNw>%I4I_z=U^5N+5#=txN@!_Bge7)9r2u!%wqPRVKgrneH_g3&Q6w&WYmT43` z>~W7HURW`9YbWd+-gB8C>!)|A=782XM(+?{n%X-Hd^||)LiN+Bssk1G*8=Yr&bn?d zViUoenQ?tLUAI@4W723u8;>;lIQ8*15WOJ{zLxX5jCwPv=Dj-2w(*!l)OnA#7m(ow z9+s_j-M0mn9yGPnJ>K^2<$@n}?4=(rE(7oB!{EE&$UB~pu11lTnX|x$g(g7R_7(FD z|KTtJU%QH@%ELu$F8VqZkR}Xxxa~g!KQt)@-n8Hn9jE37a-lRW0+oH#v6gPS&{wHy z4}rH@e8DF60Z_YSJ!jQ`IZY0kp=oO$fz6uiv4`EnHT11k*ZoS<n@fE&TuBZ2h8 zR8!ZT?}WhR+2f%|mzg~K^<5Y0v|rklGxY&2BK_dTEP@ijDDe|W=N-z6`NZZI*pUnT z*wlrZfjlG+A&u7j(MOLLnQQ(CueQI+ukVf;AGQkvM{Iw$bW*4!JwDh=KlV%CchP+& zmh3#>sht+tNkU!%yKa%CYxgtvmbNLs`UwK%9p!QZkt;lbR_9Ii6ai?=GVXRK6|wYq zRiDU+c@aEa=p&v3HL&COm=t=4`^*01=>K8sy`!3HzOYdXuZk!rpolc3BTaghyn=Ko zQl&;Zh;*rjM5RP}?@dGmrAhBZKzi?;2qE+u2#}C+55B+eyZ4W~R@Tm}IWzmrnc2^N z_M9{4jQ#BlCj~vwj}(PD52%w4bnMWGR0hR5J4NCK9PKplouA5lZTvS+^lODd9of5k^ZW_BY{;*(7TQSLU93z&oJ$MW>cV%oyAtgBnUPTZH zhaB$sB5SP+3^j3C#N@pDL0yOb~jH-*gF#`L{85=_+I zshIL<*}CUux4$(RE%yx>@3FXz z5@<)`a~x*F3B)0XoOG8ZEj}Ak6BfFAL)D+VT5Q{;rubxqCyLj8Ckt`99ij8}L)9^N z$1zbD?KSZ2o+^Rl0G>l4ey6C5G(9i>?$C31RYb8ls#wz9-ppRVP}t?!R%<1L8e{g0 z+F+6&rJ(wgwBQ+|g4yPl9-L0tDKA%VaormD+cWLSpXBFU))_v3z(#jGph=lzWkZju zQ-<-5k>!Fd_U3+tnQ#9nXnFK9$!vd1?W?*a%mtV7cRC=x!OlA9#rXCncO__-^++_O z9qY&Y96eFTH+@nc64h=UhN?x~E5P;$S5j%E!LnIJ1`b~6PtIp~lV8o)+~L3t@j)_g z`a_#x+ND-UQrKp$sJ%!lloMBn%~+oH3)864L~%3^0GlZ!GhGT&eSs(GAU6n-Nct)h zVfr0L#0`;1TVUcU`Vc`LSQADP+IfT#_yacbE)tou&r&+{$@$0lW{&Nd;!I5;nMh>R z&L-nHdP)5{6Kf0!RyXa)tHx{2cG)e22NJ9r#RYjQCTOqJ?%Qmpvb{qJxbc&un6Zag zPi~4I?Pbbvwr@loukbbr(N+L1DEdf!`z){F=a)a;uug$;v_wjYBnE6hg{@woco*xC zmzl*o0lANckw{}XLzC#Q!L+V?9+J`SU;DW^@~l#$h+68ZX*n?eL??E8Z7qAsHqH2k zmtv#98(SR={h0P8T<(VXvoz@OVGeAWBCyUN7cEnV_OVpXh?)Q{k#d8)EnE`~d*1eNuy z{2=nnd#T-X(Mc+ixiQ6@9*NYncx~8AhffuId;1JZXg4Zdh9vEX zT8&CQQ*J zD95p}*InF;Jhhz{XCpcjdu65g9VoS!!`}BV8=U3qmFT0q!L;i`l(oVyp$;XJeQ$I& z?z6PNQN$iqmBC0J3*r+Gxi^~^j+DS$F%KkUVWLB=JUR2=V;=t8L{SHKHbW{WpBPyl zbFPf)fb5x3AHhiO!}yu3m~sO%+>2xT@WkArh{oJ%-yJQYbcCKgf6k*DB6YXC%o0=a zg0|E--*Zj+Lu{MuAec3ie3^?3@R1yWq0_?qT4UF{PK?*#XU_50i9N};#}2jOecx?9 z%!RJHM&YM&AO{Pz2;vTyJh1z7FW~X(dwFfF+#-xtMh>%=NV_R8V$T#{=w%4pf-jP| zT3Y~X60>8eR2dAA7^I=gu79Pz1AMRDkxFzilqO1u&73Jw$hC}*L2Nb0hQKmJq-;yw zbYDxlu%tQt; z19ICzeK4oib`afujM;-vC560QQ!q;zsHZ!5+7t5X%R%lceg4CZ;pbFY;JuDXxbedm zU85&MQ(IjFQ)}<}1o44~*qH2*!S8?GWRaT~T?#!094jNA@AW&*b=?ffjpVY6ewx~< zltfx9=~7%zHAgrwV#^zXXBKiWJa2KIWwI=)7Kp#AM?i$Llb2;Vta*A2pv^vW7G_vx z)C{+8f<%EPUO`#-=JvXL4AJwa4Xca#N47@ar#L zpR>0r0yz0)dP{rg&Fl@-m2!j(8OC~yyN`o|Ix*A@JwdLkGzDC%wO}-k$7H#_)7q?2 z_oaT<|J!dre^Z4>Snw8qw^6{|KkrJDmKSj%McEDaD_mz+%yhJ?RwcVeU&c_yI~ ziAwVEYan1FO4;T)5yV3OzcASno5eztE6OFlZbwJ1U4-Mu1u+_1sWjL7e(E0WhJ5jr zTTKuK#$zk>pQKazR0x;VWLmr%NJ}d8@;A%oR7#ERR4)4P)^T30@)m4ySu~bYATn;; z;7S4g=P9!d|5` zHVKy`dD``RGuQU^ifyS2YOw_}25O(3{{#l)mRG@TI;MVU7RLU2Mz>lBc~1DUN*nQ0 zxfwc9q3cv&vpJz2*D2v_JDaXQto&_x*q~5(X!T{G6f zdD69r%%bl>3VUDBk&9Fd{cPH2(p5u@?714WvTKV{uW^rFw4X|N$3rnM@z z=e1JAP_62gQ2bTh=WG9<%7dP02=fC7r(oWL(>JRbs4k!HklC5?UdJER*i zAgO3P$8l8ORsZy^v#31Mr$7{@^>Ln+Pv;BR?-mc-XB~`Ri^n)yxtqDdDV;p48#7jQ z`&ZXJ*40gZx?Ku4d&QF7Uz(2Ij*Y|1x}`NTgVEc=p1DR2f4IbD#Vs7&9h-)`a$EBP zr4zR_lAW?f6oke%uQvr7!E2}lsY@8rSxkk*WyHabZyg(k|LE?fKUINMKsYLw()m$u zh^$SI-*IG2To8_2?6&v%MU@~`VIr{K_Ss6_!BTO+^dm#3>&mXKWRfU@sODu$rHC26 z{kF)o_B21r>V_}MXOdQVobXPn>M|P3)Up@hN{1m8E=(b2(Wg(+m9|1mov#Y_Px7|s zF62!FF!~K}8rC>wr06=A15KAn+&9o&a7)hhC5#~W!zJ7z|m>gHZf&^>7i813+rzjC?%Ylm?pUH=le-_pSV80o}7o)DgKlfXbmjdPrYM*Do61?zINaXIcB!3fGU}qU>2K-?N!Y6dpJ}VHRT}$S zQ7lF-q*trs(^gZfH0$fXsry#lZQw3cF^r7XaPJK1jM{eUv-7Nw4A5sx7>nfKQYSTk zfofHMzlGmHU3vTH0NXzC6HCo`zB5C=$>8=+Uur3zmrc0K8S*jkB@Y|;1W+~bmfUuB zdlXCZ(9%w4wc>EgCzi<}pvu|WJ_I#_+BnZs2xBoC2J+xflvVk)V^ zb1p{RH4UWQQxPjs2=mPgGs^j`>3BJPepA0GyXO4p72s%D?#uHcY(P>t*T^`=4A7CM z>FL;{JDzmlS~a$r;76%!0oVrI%7{GUt^$lDnjspj2PV{#R>J(YjGiL@Bi( z!z{C@aFtw@#kxDNog<7{R!IEuY_mk3OK@?XlWoShAJc~!s}aX@Irdjh{FU|Rl2NMC zar>uBRn)KTpWt&DnGq-IGyORFA(u~Q2`Q4rC$i>tYL<; zQD&OuELhY>g_c;1ssM5rHs?KYo+WnPW4UN^*ZN{11*IUb5<3qXYh|tfp@OP@5>PmC zjwp_)`+z1n0LgYhN=O_;jV-Mf$k5n#zqO~5caF!EVZYpMQx3pv-~m&n$u1m<=R-J2 zWp{G#!1b;4cw?8RKQJVT76Cx>)`#75dvXsHXR1}2m<0don!6sHOyvT2)N=;~>>2dV zpqb^EalF3Ow5axX`qlFGM{$RdGgc|x{+-)DpRdT<_)K$qMvKT5CjhuO4$E}tr>0UK z6tRa#d7h$~`}*cWH=DDZO`5%fndAiS$aJN$)byT%>~EQ7PXK$?abAGJN@f5RrYp>V zK0!Emu)NsnU&(d!T4!EY6w74a(m`j|d8t-rs7zNyDDIY}Yml-wF~WqY=a{JbB=ugPN#Q1(&+P$p7tNG&Y2C~xW3^sZ^)bl(rLkN1N}%a?ft zI@HVb>?Q+`hacBmtt)qvANCvrh-lQq1x_(^)5#pcKnlCqE$NP`81?^V$|o z0q6XdM&rc0=v2Qk%~*>UJC33;b(Q^Vt$|DY{Uv@)i_)7h(^9OC=LJ-Wx6e8`{;DVh zSznDte?u+0SD?AY=)xPsEEUf^=v}yHwVj!(C;(-Lw3LjDXlgBn`^@)+k31ar9oeYS z4UcN(Z7mf`O0Nq`Nm&4+R3%+y>FDEFKfE#w0YJH-7^L*Q)b@59usMWeDwzm_ zt`J%0$!sd`B%M5CM9xVl>)o5tk$Ic`#*se&aUO}B+&dI9$m_WQ zFjQlGSE_H`0DwhasAv^;QXAC*9$ zNQTl`$p1H30k(Gn6piExVFZl-7E_@1j&tyOD$R^H=dGXodlDjG4;fRhL*mj*oCXiR zN%k_kMYG%;IG2)trO{vMGr;T|&5}F-z-rDuTB?hB%RXA7>wknN)|GApkMaU=)|+NC zP~JW$GcPwe9`H9kDKkH9wbNg|I&HOg4nM!umtNaH{m+T-iC3nK&-4@cS)o|9Jpi7; z7Y@d; zzxBPSW28ua^Qu|jOT13KP}`}%NusDvqU$q(p<{4zTw%-$0eB7YmH_oEIDVPnIdBy} z=O?NAf2m3Gb8Cr0LS*GR$tl`po|D#p%%#DQDI%O($PWZ8c|axF@CMPvD5Jkz^*1y3 z|7P0%Wyy(iR&yBbF8<{h2!xN1>H&)T|0l3s?>m1_ZEEpPE#9Ev2{jAD{d+D$KyVUD zr3FAR;D1M-xMJ(qH-SKPAQ!9H2!NLw?h|v|m5WlmoVQ>mHmjn>zZvPGx8y=$4_D4R zms)?N;a`dV0^~T%_kSsGuNjfNRM`$amtw9_J=0e0r%vn{ESP z%U|y5$epR5jBjYtwL~rOHlDNDLhfR9^0*c}*{dEM-*9?^Ww4q%MKt4_BJZ;Rf#~Q5 zT>#8Jg>tuKHxx@H0JHE0Lek#9Dc?fh60Rug_9>l3!4&cEf%D+@F%VJOO_^eDyCrC! z^Vsj(ZUB6j0f)HFs;RK-GCGjE_#|0+Im%y3mU(l*GmK*qfY^r@YzLA~J|$eh-^PmW zo~b7D0pKzOsRw}2)KsWSrYhiV*7ctoYA1u0Rc+I6WNo&8YW(FqF3)U$dFW(@06q)L zwCShbS^>h#K=w7p%67%SgqC+sRil`iw2Mud&Q2lai~zt4{_@)+IFnrQUn)HAT_UL! zm_9rw&^O5dff_}e>uN;r_sJw1-OFI?=&L{f2avthAzj`Mjq~ESc;WUs>0EbwL;wIJ zYao!^%{1Ex576IQ+3%}QG9S;dflGLuqRlmhHUYqY5rHZ`$}tLi1-j z(g~bMYQFDv?kJy6j^$naFuAXl(`Tw{;BY>|_4tF$1HYuQI*gkr9qSWIA)LXVun4cW zlVwR|;wOO`jhhZjrwSQa7&l9VPhbxI6f?HQNz_F|WNjHU|F{S*4nLK-%<|=tXbjaS zyrAfZ>-2X7_e?U`EMug@@e7!nG${mTb5hUrLEt^%TIj&mlp8U8s%##Arwn+`yanjo zFb-<>E=bttv^tW>{Kqi95X;;@k+?F>hVp`Xc8BzdaV?#euVA`QmbyqR@%!WZ?fl&y z`LNIgx7}3Ef-5{R3FI5`Y%7Y(yGQQ=PSCK>q_Rc7r=ev94XmTW$}v-#Ai>xTVPDyI z@-oEWg^jX*+HMwWk|j$qDa*ZJydeoCh1?jBXz2qt@FUu(MCH-2{+{~YA;0FMu}f(r zQ*$^^K~BY3t6O~y$c{N??(0n1E%c?p%$L-;AI$xd8}S}$qPG6xv4iYa>c2^#9^{4d zzg6?>>5|#$*j-^rfk_^RNX684p|%u_{&>AVIMqk1KWDbK;EdAIDPfIyKv_Fy#c=jO zKA_1QgT)v!v(IzFa=K7AIZ?xIacL`3@RKDpe0>G}Am(LkV7Q@>h6*`cg39Dt3Y+^o zf^{u+#JtQPh9{PZM(shJ0O?*14Ds~?VQo}Htq(@LfQ!h&z`i}TlQ)WG8d7_3Y7P=* zUEwqEuW?$ks^NLa)*eCpEXBuk)|NrI6$`D2Q4)gq;ZVuoX2LNP_bX|kM_7n#wH@B~ zF>b|ebhPi|z|z20?EwcY;lqkl3>eSz<5sKlK3>`6=W%70NY91QRR%_1{dEG@7?w^p zw_7@~cr5BU55!y1`q(Wj&#Pw(ZtYjMvi1j~)9HFh)z()6KEz|q5X)HpT4=|Xu`97* zs>}jE@y9(2Ax*?e@MM7Onl;7F@cfeG>z&%tuF*5w6H{({uF#hl36Q|d01cJJcm?zq z7ga=-kbf-YA@1q^>+M-GTI&-g)8(qF5F{GGm5If1GIhsjkh}YC-8?K%wbXaXI^f-V zrtk}GbO_&`5|^f?c`(p|y?U(4Die6sEtQRT@%|iQ-Mt(ZwaPX$7W?nPpM72SA#jlV zK=0w)1LV{alyrY3XpZ0$j}AOdL$b#26NU-Lu_z7l4Ibnw{1E>9aIQo9upOsVfJ15M z98MWR``vgHT${!S-o{f(`26JqkBt>p#opjy(0Rk+#z#~{UE^BXRthW`vw zZU8JLSDRxXuOLLkppJB~ZTjALbk|l6*5h##J#*WGN-^+S0?b+mn@Ukwe(9fjxWt(yH$nJou=WwI0&CbdZkN_;~5C z@xhpd6qzc2h!Qs=kY#(NeqR9eidd^|1`fe>WsYAeQ&NjB17+UJA8>7bp{_73hY@`4 zM1GIkverSjvBiv?=GHZ96!?`B;q<_?BW5Y$E2by(@+vs|kidV_>E{z0g4x%6w$T>K zG8(nctL|LebCpmR-fSWLbMpeq{QfFbkEnyqtLC&s}(YSD#g} zld6m0QmKDN2Y=Qvr;?F%w%u2(Sg%D58+uwS~n6uNj zG?3!96pNT)>TzR*3E?Vo4G#2@w~<;Er+W@aRU+F24)h3?GoX#*DFYX$kUCNj!6zFG zH_J+)`e3SKF_Jf>elGSbbL);NqJWjH7K%JIL9qESO^H1LS{6`A)2i>o?9=0QQhzliLA9|`Ti()WTirT00%lNyNo0l%>U zeNyt47^M}0v5yb$L-l_ztbt1jT%SWR48C9bU`v2WZPP5pe>Q?Akd?A+GU+t7V{YM6 zeyy1*^t0VUR;bUBV`zq8l{GZ!Acq<9Pn*A+yFW!GH|J~RV;h&NKlq|G~qWXEL8vwOMz-C z?9aR6CeX+)Q^NApG&*(eCP+yN8DY

    633LaICQ z&N=%>$G$B7Bx>gtHA;ETyb%v5TiCgAh{;`oq3WRxZG9U|!ae;WI_A~tOv7>u#iCXp zp0X0z<5N>Bmw^Qqp_J72K2EW7JLMBNL{wa&GWF9|3Aw+phy;|c+Qxz0wjEBR%eJX6 zEBgmO?MqrXl-GCnPvKFq^PkmkVRH`vW=;*mxcDTDMke+d*+qNgXD#BZu)oY~n!gH& zTYeZE4!{AiQs?v!p2WM)P6~!U=2>=q#Ctv=R^XdW!q9<*)opOc$nkaxEyEd&_?PcG zzbvk8k>p3^k4ck>BIEa&0{2A6KVs9mzQk9pj?N$mBcZ9>lMdHdpW;Jx0n#ogcW z>cKB8F<;)6HP4p$rv}cSan7Y)w96_ zIAJjKog*Z-Y~YKVuMd2gPIblEy>92h z%ZfK7hW-cmfWs^nJ$1@@>0U=?&MWwULKLNAB9c#GWRIdp4Y+QQ{m@k<_AA|bc)dX)QiOw%PGw_z+KzM!Uej|_w$ayoX` z^1HR8OIQ6y4wbI+CRMiLIQ59UM5U2aU%)@sebY0L5iKlX;ln~jl29LsnnRM1Fu^z) zR2@l)g2!VN2St%+LGp;wEa?!aiL^#=WnsxdR3r}z)kLCZn9vGS#m?FJIFbexL!xp> zMraa=!bcAXA3Z(2eSPoTO-e?&B7NY&e$=)1tF; zp-CiEL>>=lLs4WudhGjYcyxSo8%iPf;4kf?bnd_!!8=6jC3$ONH~@)Athr9=aNxJNLUa(!inq#1hH;Fh_0dq zJt1vuVN*M}g#ZPS4zL{E+^+kg9P;6#V<99og-nF?gaD3WCnv)|>L1c<%0XqjTRI^0#pO}hr03!2nfS778o)Q!)H+O23lrh=FkJv;*w1W z8aWes2i>~6utykN!qKh~AqWzQK@cP=1EE7F(eEA8NVuD?{zxE8%95&l8mJ(5{3H5o z7#o{79=o=N+Q$Z{eQZGR{@_QrS*RQ2!eRr$f=VBcwU1Ez2QG>nJ;i3VTiwy`4Dtv4}5bY3z9-Tc$W4{fIV8oLT z>H~0WZ~!d_0$?4}#-QK~RPvYu>*dRruX54dd<~y{Je1(S$-^M%92&f>AOis?euVay zu!`S8DauDQ=KHeljCB$^$6 zrV@`&!~SQ*X`^4MnqpZ}IA(;n=*Sv>!F+FR93EKv9 zO)o#|tj-5zT@NUnFj@1HdeCnLf()2HW4A?0aMD*Nr!52wHs0>`u@v_IbyYoW4=*Gg z8<>^tt}yW2KizW4yLKYWjuwz5YRDx^ic?rTl39N@E#$P@WWuA%qeVe0vzx}7n5ZH-m z*&Yv=m~wZ25=(tT^R~=ukv!E!k9i_-dE!=i zmFJB#cB_gCd8`rnqxSs+=6&B{uQ`L3(HT$~mH0|M&?2MXUZLk=?!i~w4O5l{jA)|% z?6?~}cB>K4jF>Fj*!i-{zVVQv*Z9)=^@!H&Qz7hRhgqzEC9&K09DclROa|I0-8uU8zOW6H-U*PjIBjFyA!m43_R;l=Y-&R< z&kjuj>~LAED`sz5p5A|RO0k!m{}QnV)_I9D)PgYp%R}*LIn1oUkgdhTF-Fef+aG(cglI`TExp^t3#9J?CrL~8 zhTl}vL?OcJnD6gwG4Jd>-tJDHUOM5E?eJ7V5abk>*RX8iBv3Mb*fe}pQ0w|UD1oZ# zE~lmA6Avcg-ee%yr8F|~;_RNU_)*8u&7tHBg{jepgUM<|mVGL!B6BT zQr3_ueNRWq27JHtr2T=-OiF6Bw>>^17|Y@QUaK0lR4#i)Xp5}4 zn&kU*7*JyQ-N4%4HwU3GSE@yed`w~nAgYcc^vx@54!e^GVrVv=9{;GJNZ1o&94hgicW9T0j3#$n`zS`yH8K;b z3%2`Z9$UW4_Zm@jGNv2j-XF51rX-d;;%9OAB;fO|(Htym-YVydPUCMeds8T-RpY@( z$(d9wd`p=v6SvU2{+;n;LZ8Z8AwV81MJ z5ZHBvv_OjH#a`t(t4^MbL}FDfEkk+}5mTJrlR$T0KjnoBM_m|s%wr2{rM)H&A@4L{ zP5s0H8x^EZqZ7p0U~w&wn|W|>4m$T|p7{9)>y}_#%SBEXE#@QN4|yjEw?&Q5x{B)h8u1cBt{tW$@Q`T=11^_J=4pWHf{ZaBkJzs`=11lcpe0?G3ub2lc zTbTq5uR@vcw=@py4l14}Je1>-+&$>Uxq~GoFm~@-gDl7Bzp+W#jsNC-`2eaY|LuP0 zz4kYdtH)UFzu0-4KVq1G#|HmdcKN?VjCc3+{+0NF<(1XH5urURp_c3UDcqgT1+tVZ{+f` zR->M>H}- z$DAjG+Q5`y_phMJo|FK#!QYyAS5kt=e(aA+y7W-&7~B7p9X`~6 zS$KA79{>elR685uY4gn4XLE;g6z4&0)RJCm#YL8b@~=MPmp+ztfi}AG8@4G_d?^95 zh!xC^h&-Q^=>T2yR&g#(og}-J771&<%zQ>i6dD^MMBeLG;9*ovcov@<{%THKm+CahV0cXH zrq=^{-nmDEHi&4%0+_-&v*r-mrZ^_Im6P{X)>BIS^oRb7R(>6=zdW0Y*A76dRZ@K_ z=j<8f$>B5#N6;A)SB9U^NjH)rHbZ*d&h7-*5()?lz^7y>Rm?y4R+8_^)FquI=nWTD zAEFj@gCCC{JbKcz`}6J#rU%_mI!g7&uA2R5;AU}lxUA!u_YN=iK@Z5syng(7tMgU5 zMjXuYd{y@$QckM~ryXlLJNfLYk#IEdF)vvqmB{+#m>I;Ov>?8<+xvF&>d(W>s#+dn zGVyE~khI0f(DvDi{Kw_-S+V;TIZ^aqeBB#udtOQDSIg~(XMj&pe&bh2oVeJClGVP9 zG}QZFqy6CN`aA<~&vV2~yO04S=KVT##xM!%O!NGSv88jAqLjZjUG(!4o_~7qY3xzJ z9niDhC^vAvH*sE@A@Auh50A+Hx`ndAGIHoa+Rb{0NDiE`G+wX@(=GN68sL$4yPf^vU}lxwvD@E>d^z4YW?`zWn)eNbTa4bxvK%`@lDs zj`}RNL~g+P!fQ=~8S>!H%%L66ay;!>SIK;NVy&Kih?Bm(9fpLeyWKDHl zDoIfRt~7Y+GBIGHTh&G#<0hj0TzC3)78halqaERaye+Iog;Xv-6FqTof!t>C7VCOr z{NQDRIl_IincF+HrNp)sfrBhOVXJXEfU$k+#WwesPrdbF{L?$~-cxSEvLW-gm>Hhi zZt06~TY%=K%p%6KMp4WuUqTzSZ$9WKN#!suk-aXc*gdRtr(F+xz9r5$AX-{t^eExm zggfoo0PZacZi@;|X1}d@+-wUf5ViLuaD0hN^RvL94d>M4a_^uAU*NWDXH0W;OkNy+ zIbhVdn$don#ARnt#oO<3{wKyk(sv3v{x+okJ`XXQ)cYWwBiD$&Am?V{zRHhr#Xufx zwikVeT_xK^N^PNZw?3W+$sa7W*YB>Y3iDR(J|DYLcG#s&_BTiYe)&q~-K~roU+FJ~_)H?dBH|^P~mLtLD~xC8o;0=;)Vo&LU~~+?BhpDCTzf zLcbV2epFI(1?2tOz8X{6`4bU|%%kS@U{W0!C8117csjr)9j9q)t^ypU$Rq-Kwc)^? zf@R#)cOoj|*yQYQSX_b^+@qeR&98zr8V~<_F^`iob9)#(m+%?vzS?L0Ja?&Kkz#1Z zUeeJWbSQ*rY{@${r?f8dHu`aS<+!Xmx`xf+i0m9Zpw<}C2A3{p6cJF-D4K?^ow{IZ zaraTev-HV5GJ$i!&pxj1o#X>v*`;k{0_P3PbNa@<%&J=Ycl4i7H?RD@F6n)@yoQJd z1P+bi#nJu}tXagePv6p8!y*^fRJIDbYe6a;9>2mX4Wi4MdG8H;Ij38-K+VkW8k|;L zKStu}|L}D|C+T^!%tBDtJw+fWpHMLLm0t8h>K2qY$rw&;myrRNyHWj7uZ{qLkfv$e zt`zkd=?O3Y!xL)BJ%jW?BP)1jkB6mA_6`$(sLUppU3A?Mp;{3Q{nfa#UNUJNBM1NB z4kAHy&0Be8ppJ>_v_xcF?(o>Rtz9+|o1o0>rZ4!^EElYHfJoZ%WpHn7W-WnA!Ye%E zqy=8BUHv&38YZqbVl%>i+%x9b)WSgFnx$v>(>E=jR|6v++si9m_EFU*Y<_k#Dc#j( zKz>#qI5@o|WMH|Onx4y`s^cDB-M-AhFKHHBy0U*lR6<@m9u$o)t9TYv%q?-NJ52B2 zifr9E!e)-Yn(?hjK+rSudO|G`)dKg;i)x^Usq*)B6BM~AbjSbAfdGF+ZOdnz@?d-RZd209xNlT zsB^1tf%D}ADOY&bA`m?*TQiM!Q`6JquyBZwO_5PS%Nk25yKDH{E}vxh{A{}59rG1|kAr%XIl$fz3>h^d7 zU)kw)$*ss3kd)QCip^%@U)Q&AQZq2fJK;dTH?)&h#OU>Tt$;QKE0C4{flhQj==}g) zc=r40z3s#{um0VQr)RyB_J~=G?BhXJ&amtA(%N5SbnM13j%thkq_r*gOM%P$JMhgEG|`l0Dl3sU#}?>DcWa7Z2wth5?+1sKyCWQQdF)f4^+PjgDA z1JelGJ*}* z&#S20yTz5y?$H|DSi>jc4264Ik3%SbHGK5TPx1`#rh}AMH^4A5M%=dGTWdGoWez%< zRCa|5y}QIOl_g2(Ae8y7u41Z@dS$bLshI7t&4Q1IMuae(9}6Ed}PjTOyAq zXULjce)lsKV2dgj-M|-oip!_s`Yf+V=yq~O@gQbp>xlRShh%cc6xcb$CY96nY@YbG zxN^XCTJWl!%f038JtBpmKS?xX;ef@NnH<)UZZs_%$-jIF0~^+B8)52!)SB$4XDgh{ite?Cl*K956x(iJ%%tTv&KS?CiY!F!uUb^a%S1 zp?!oipy<&WnnGd_1P|gzF)?v#1fY}X=qNgBizzK5CwB=2kua48(nniZ_S7hIbaX!^ zj(@^vVjng9zq&^x9zGEXXeEXqSWl8*Lr5W?K6?&#EvxE}9b^Mq&t)+xJIFA! zpb|17f(7Q$94CK6LF6AvWXyxu{QS4*9Ck^mHL6~K&t^INq5^h=l1LnA2>JfK5K>)n z-baoCT3|LcPan%6kHwH7Xx2Q0k#Gw8PXG^!A9rY>AOx)wuoy51f-q(v2vY_^^dCCN z5SYFbf}r>n<*#fUT#8T%sd_w&{l91-Nud*_uC9TJH#&Rmn}Og#w^axu;TQgB1)$vn zVYA!T$VsSwL=}+#45EkL4U0^F^(q%;&ZCmze3&P7ocvu>^saL74}cuYIJP`MKEnnR z4G1y`_Z{~b>%$*lG7tbq(2wmeXJ@}IuHZxK1ET-rcz{|SP*KsG5QeN9rTMTRg_M>- z|IV^ua&{LGc{^nc78V6Mcn(qiANH!Mam`K&%iZ0MAMps|BZ03Yl(0U6K4#DCSnb#| zu#j}PB^l^nArpfjI9XX)1DJLM`3S>$5M&Uui6BEzhKV4bFgeIEZ9G0>{{KOXi7@?h z_Wf_?=6_XUO6smmkF@#G_^Ro;VmNt{JO@AhR_L|9cR$g7CHl@Z1whWm=X7WqjWu}r za4S$~PSyEIuFF+$n+`Yq{%t4L=K^e%a528BHxo^^@Z*)mXJrUj#4Znik$4u_&KB$L zUoZh;kU07^g7vGd?_LyU%3tVC)T#ra5$u%ZMpz&nHzLS;UG3nk1eGFdVI56S&k z>TS(8T$&fSo}Areg|R&ytBmy2@q!h~?KmAFzk>M^N~yAL%dDA5i{;xhKLo8*r}}SY z$k-b2y`=F|@%Lw~)NpUuqZ{x!mzsI)^DhigIAfB#GZ^w~5*r`tBYC-UXL+8eaZymI}Hm*&dB1;d+!w!(V!!+`IE1T#?eA#uW&@i$|$JbP26#iXZp^^0L znBH5!JG`Rg+7*>k1|Hd|O)ZT-HA#J9FE51F(VruCD7a$S0^S$M81SThwKwE&*xD~u zDS9Z8O+09Y47~eXF!Ae(y9MZqb|G8imt_gkh#N4+*;(pr7|k}?hYs3I{9KX6VQ%1R z=iZ@w%~D&#GMklOcS{0wLDJ>+vvsR@Rui%JO!{QN3;gqGcA<-;slsxtjZ^4goBeaU!>kV)V^*+ZCj z;cNH;{#0pc!SHKR7AQ z)$8G|+tcUhfhXr~6#l7b=XB>8-j%f3L0m05T5U#?xtvL3vkAh5M6hN`%qB>OC2yxE zm9G%R;}MYad#I$yt5NCA*TPQB=YH@axawkV{CeJJn^j(!k7_u(?tX964xiZ5(+Y%p z=d_A~DH5du-*lvy*>)eEp%=uH)3J;`8j=gK57bZ zo^jw}4^i){iACjEd{PhQ3jX1*&m>!KCV2U)O%_N8KPq$izrJX`9{pNhe|5LSBJOsN z|JY-MXVP4y92fr)DUe~pZr#Z-nif7)w%h6Cd}Bh%UDQt@JpVc8rHpgLZ9_l`(Oy2H zuokuaVqRcz&n`jcRZZJt*8Gb?vqi5=bch!~k8++qEun`T?=1;h-KemOvBDTp^$+?B zm*lj~vGMhYJ8(bA{mIsCJ8zCLS^Vlt$ZSFdS!}SZ)epnTU0Cwms*mJ)537` zTeUVjxJ>rBh^%kTls_D|)?oM(tKDt4mwqIRqTT!T*GpPC$64g4x@FQ&VO^$t>o*@9 zRi3afo7LVfNTpkX1o~F|mv_VC_vimBO3ZoqF#!J|y>KNDFDd?&2!hvd^8QK$K_|Q@ z{5LWR7SRv+zmQSr2t@K%G75O%_2R#gQJ`^A^RHwSIA4QFiGLxZ|3J-Jj2jvp2q|Vg zx@tgIjp(WgBLknY<4>+XADjp{Vt#Tu#S}^GzIG6DdW-F6Xq4)V=D``hqq;kv-cSF0 z1yY99^d(s-`7GWGNmOa(gj(JdEWYP5`9zi_n!p8nQWju%9TzC-`;9H-)Ljy*Yw~Va zoL9@eLydW~q*vt~=AZ?VV;Z20aqQzM^^9@sxX`nkqJ#rn1#_i11*0~_uR`uX@IqauF^HS;W1R)i@~mu&T~gqh zB_-~0t0gCk{e4TzooVpl&B|sUoYWX+FkSGRLwB?;r#vcMy-kJU9)~;i>8-_Stn%A5 zD;jr=`@rjcPt4#3^E2ff)ibj{F7khkoVhQ?*E_0Amm*)beb)wPw^%myQLjv|$~NR% zmnLz1vt;|6JIC&8*JXE&bn1W>e8xE{Oyn2glSmNNK(2YKou*_`y!)mrx1fN^oQ|*;`lmM3YmscbtkOjUr9MCr-y^ADmm>rEGtTOm_(C)iKjWuP{!CFSTzCu-&gfnP6D z1#BE_Slv1u9Cj7EYqaNmQ`eZgyY73cyTeEQWZ;`-l%U-ipF7|&$-T-|-6q-xQv)CB zM{p+3|2Wy`H<$`2xUeXRj7DC$?8(-SpW)BH^y|X!>Fd(e8JWW4%ufOqL5s2Xz@eF_ zF=IpWtIfF3aXc61;ost%oQ)M#PRSklO@QLL4-m>X>hz*3P;m%$ER*J zUoS3@`HSDMy-sz{XZl`wI_Za`T%bd@n{!u$yV*>J^$XiH?QX`Mm&>b6&u6jg-Y|dk zwQ&a2%Mv-$n=ZHaX$1TS$q%m|JnQH*{>@Qj&!e+leaKGT_^xbfEZN2`=BPPl(E5O^;=^InJv^JZ{)0eL$_v}4ucqeW79uayqxiA^xVuyeW zetK5QgjCNCO;fiP)hH6w{S@vH&h(xJ(z|T}R`2@(d4aL1I889__J?ljrqT`xTb2il zBcbwnrM?bq+xN1zLCQKutBXHf+%0RRC(91;A18QZgWRZFs2+E6oRXJ5ivd*lqRKsM zlcP)2mJ{Brku4+3n2W^sc8UW8PxHqS;N$=V=cB2wIh{;SJ*Ms`i$V7+#qT*S5sSr` z+lg|$uAR%^{8LA5>NYVg*1R{kIoddHmKVD+T50-e*(u+w&*{i+fl7q+JA>(*(dAPY z^O*Gt&Id@-ofcd!eAm0(y-X0~6ARpK%3V(O4H#-&fb;si*lve6ei&KUes5c>`Fh$% zBjG+sa{eg(tl(Thv(c~xe&zb>C=vT+*SF7-v+oZPi=8Ft0IOn$7rxJkH<}uV)-2cF zdnsjxZ+e$6Xoq_{gfDKr?m`iEst50Jj#*AzQ7uVY6+$?@K%euYj3N@*CQ>qiFiF@JCfzmcTxaVQ@;$``%CE zYZMap?#*X}MFVp3dw0pGICVWgt{nlq)X|H!*+m~m<_P#gUcNDMcmTt4?#JWOL}n}% zm-f$qkA9(tSuTdHREuYx$;g|>jNg7PC7X!t?i+W;ERR`D7widZ4NOk1o6bU~hDkR5 zn?KYZrH(VnU#a}ygCbJPK8;QN77*6=3{HO8@o8xd2)^suBclX* zhPK{^SR!#b747B~bS^>Zg&&C{-@I%4z7bR2aiPy2l&;dBw0UyD=-Vp3Gmd}TAeGIP z!QTh?mu?h(WcCWV7Y|A+T8F-^GoDp{kT$o4byCd8KB{YUoLb%FUd`AK0#|ZiP&&Va z;M1~8o2E`NoIGiK`(ANL4c*1T!e!cv?(cR9LHx_{X$~Rdpbfr)wsRZFMffN9)K6PB zXX6UVD{k1vYJ&8_&XH|GR&^7r`<3-g<8zBASp_A{le+oERQy7VrslyimXh^LJW2+o zMFAsIiia}y^HZl=(9pjdw!9ki5jiC!1s+dvtGW3{mvjtHe*dva zaaz?PIHr_<@v@6gaN5FmQd+v0|8 zdz@YFRJO76Jt&SN)L?~Y@mhlvBbCI#NW2~Z< zj!BLIMb%?R02_~j_MGwaws|5RVHIop_sq5*%G`le^#-o&`*oG?N#5g>0`?yS;F{c^_B!a@@R)N1ILM$Wb7x zVh9OPGBJD6w1-DP#~>L}*f_tuPe?DPawVytw3S)Q(A2XL;NS2GIKm@g}O{`7-eLP}0WJ+686R>>&l z5ZA!b+pntjWaBP;fp-Dv3cT%3EW>p|{Pc*O^ z8%y<3#Uo5Zfl9?z$cgaU&}xS_b-)cqwVfzt?d}^`SUeU!9?Kq4`$yFBa))vUdR^`# zSpN#0Vdp?m6DRy_t_B3*hEhtHca0Wr27;V{3=DO<6cvYJMP(pRe-=D8AY>3V9w+bop^dN`I%j@7Xnvfw1MNKFWEM=rKF-62CXnUW z$0;<(d$>JSkk~;zB+TWkt!w(%2sV6xZzy0}fOmirNMy+x!TXNZGQ1T)1te5^ZfyKU zO(0?YL69eob7+{L67o3t``G>xz{t4s=j9ZX{uM`~2AiqFMZ+4ZA<;+BgkNaEKO5=- zc`S!S1(6WF!eKQyYVPTK>kohogr=)-@)}~tJ5kxM&_eB2A#{ZG2DZq9J@Ye;b&yCQ z{JID}34fA!-oirFpXd_+?JuiGkL8f)AU6F3I)#nOi_r`olt9iRD0_tMNYK9)DeOZA zcN}^ekdsru=9KUTstg48SQ2TCr1yZ6r-a0h6QCgMM?pVI`k~P(EEGg)Ibu1xgg{dR zw3{0SIVSvgDB-{E0bAWbZ}GH75HD*4z}a(%UIU@00p$BI`w14x_EET>nF(KYv{_kD z2l__{`YN}e@Ysa3XAavFtFONSA%65zCTJs{>uqIXcT?YeyVCZz~WT!cv~)vR>vfs zQo2De)R@6~?OPk(08Hb}SxFox5X;!#*+_a-||lF{Ma*W{kWb@qsg z3g&S&T-jGq0FJG>(VY}{?Q>K?Ja+|l!QrUPXs_yzo>qghff%a*7V*+c!hXj0j zoK2@qfY$J>aS8G9AVDoAC$G>X_lEctyEJa4m)Aat#mL;X#Rj{6_QLO`69b>kSM|sG zHt%0ZCoimD$04}yBVkz6rQom34|iCn~&-CR9B@Uc_$K!qvuBY4Mx4xmmBO%Y?6{;^qob%^&u% zsU;{L0Hf=UyQzI#cXlWH-rCdSaoiS?&Pua1w_SgIa%|?a0~dI&7gf=2c;$y|q)(+# z@E89~ai%q_7s<+*4owuXbNVa*H>v7nmSnPXo@V?Pnl5QZxq$K6=-5+h1NW)qoys$( z0nzs|2FkBY-Hj_QjyE`FUFfX#h2HL9CE*YxH0x0pj)QFX6E4yR50pBs`WyFu8PH)k z9@}Qn*h*6;(u$DPo!$hTw%*RaY!w6S#GP0aUnZ2hp6S_iO;oOQo{FE7jJBT#!%^C| zcD=IC{(j)CzWwdl=QsA$Wzw=KW2E)P!|c74;Xp(E!+V-yaVK1tpAQcU7>HCm z40BmCb7)QaoYf4%Z`-Qj*J{bY>fNB311D${y_JdF7_h&JJ+sabp*0zFPxfRp#b4dy zd(y%eHwxD7Q(y7;;NQW?Zmq35UTRi-b%2m~19yh!LA^C)`8g*r!gs2y8Q;awwc%58 zEBVLVC-wK$?e^&rhdZv(FV%nR0M?&1A%st)tS~AJx?$Hv*)MNM58gS8xlB6G#c2M* zsTIskbO}zpHZ**CpX@BpH&0G6DUYgWS5ioxeX=xcdzDfGyqq6L4Zo9DzcW#InsJ@Q zJ#MvDi$e6m3oIS)yqCxoGEjlT;vnU{7_4CQk}7(ZdbwlIiPv&LVN{($m+)M2)d%oY z?&)`467`(|3i>M!!FY-D{c)9oq6xT}gMIvr%v#G}c)<3Wa&jB-v$(FX#4w!GiE2b; zsTp7vL?t{X{C4IGOC|Cv+dEtWnM_@Ko_gY!xsIV?Zh-DHEyIGZ4+{Rvdmj46OmRG2 zesq+E{_p#5cY!}Q-a!2R+c(TVx=jlBPMWrk`2E{2p5K6bkzn|IB)>wKYf(Kk@Jlf|0oLC#MXDO9HUsqclA#!sObjJrISweyiJb-zrp*y2X}1OkFq?LPnv(KW*qPqeoUEf2F zJ)dBlXWlwfR2PhXe@u>&IXXNK7@7D(w^l3a*3uK4A{L~iIIO%?uD%UR&R&S?czesT z1SIpHijb_?BC)wvfnf}~6FN?HX}~l3x*M+zz3++clN?|{Ch{FMv1*{lmsb}JT>=8K z`vqB(A6g9minSLPE#_1Pw{RWUZ;I;j&fm!JHaIEOf!Y%135s%t%{O4GnX_3x@W7@TLZ{Mk+%s zXB{_fJwx$>a+y4Mmbs{~<%K?>nQdb)GXPwr6Lpfl|q2V>4mIMcXHm#I8)q6>+} z-UIr=Xxf{tacV2N-(s(BU%jhE)_pJAzxXj>kEE4X1cwG7zxx*N8|Tzl>@T8CEtGb0 z5owQ&jiWkg#`h^NG3z+$1~yiT_uAnYYpl) z*oY2wtOMtlZ|^31FfYnZ?$uwdWA(w?cr36aM~nr?@}3N2u%@{+f)?I{<+?>Dd4Jc`)TS2 zGq3X(6$~P1ndt&;q&0%p+M{PTZ-SlPZzJHv9sl5VZ{}&dn%C2Z>>QjdYKO&xL!HZ( z-^Rz3_$Yn@+O3(A?<4V2$5;uD0B0XY}#S+Tf8>=Xi7;7SM4`Agt(FBt%Nf z)NMkkTA6M$#cMz!1 z=$)3mo!SFQ@n=Niy4ctO{wW(f0p zPb1v!lfYQlN=Rxy&%?DVN*axum-DFsebfsp3C}x`6(V^rYUt9(So`Zow6FDUY#+HC z5s^{~f{i0-*`SohHe7yHmj@{W*tl%+Do&|gW9x)~oBwuh6X>Isl2_MvjZZGd?Bf6~ zT@#zksaas1*QTuRv`SbI0XhZ*yQJ2bkdJ}t4w4P&TV$s$CO_*R#$#?6S@irFN)1|M zAAOTEW^{Ia-8%f)HMO~0Sl`4teG!jL$2+B>e~bvQ^BG$OKglc}#irvijZDe6^)+>{eKPA6*6)K|Tk zZv9E1PUTd}by0_bi3eAbul2(ZFEG8X|Kb}RhmJ)=M$YSxOMFstwXL0`)Z$w4>-$*3 z*4`j)Yo9>Y(kl?Y)<`*I6%?P;HGLstaS8Y|bD*hx+2kGgu(fyS9&aw@l_evrM{l^K zmqe;GBUszRuIo5n+e`&xXm0b_elU)T%N$(|dYqo!J^qt}o9BAX=;yV=;vUY6i<@TcdrlhUYIxx|14^4npL)I4tbKjjv>iV(!Q*EX=o zJ`=4q3NJc5As~1Oq~$hm({wG^N5og3u_@?PoCFvY&mh^V(60+Tnu%cQ&8KwqD{&mm_MuHaVMwc$JUX6 z{k3Q-2mkzv>UJC^L0K*Ln-7Z1K7n}}8AV&);3w%h6@z1o>_T!nhFl6NHd(J1oF{3d z;?uxuD>oA>-rE9aYc}ht?@Lm$fT%7uA)??>%y)5@w*4?r#igAP+>}|PngB;J_W~{M zQ80u@4)Y2b+xtI`PtL&wg2o>2*QCzNUXFP?Jo=TAnP1lFVO)m3nM>sqldMHa4H%ze zJ|iTi9p1CUFOyZ%NGn%Nh;QcdW83Qd@&{v9zfABm_xc7HMU59(K5TX!7Tuh;&VI>l6+tsJ6hj5=*E#K?k>IAp#+?E-uiAcE>FZ;c&VG}OvCOh%aBW^pp z>4;R_rGNm4J{cMoFu0jIVQ8QDG^eDhzH0@C`ds&L>jW_ki&4SArGo?x}fUe1-4;owN%DN?#B2r&{F?dG7C!N~CE+GTG`yji70O?;Q zn2;4sZEQmE;6E0TSlH-*HV!T^Y88o6OI|(!YXlpPW<&NO3>T4*KN3kqECWHrQTij% zfPg;&Npvn9^}{?bD=VjP!RqoA)F<*7SyKI(&PETdU9R8o4u_yJ1i^(_HIL(9&v@7> z0fQh&B3$75vtbRyDxXn&Azs*4Ci|CU_8yj-hw;46VS4 zWmwP~a(#UR)}}QSNut~KI|D(s;5XaoH#?9;L39age}Df7!6!P&29=WR&?b@#RY;=H zl9vh|G(%5JR2vF|TaHJx;Wqt=%MLk?%SOyH5X8IzK`cTL92nJO3A;jOd&Sz?_AZo1 zMnJ2`sHi{6Na%@)V%aApSbv0(ut9kPf`jH{qucWyT|q{PREHoZYhcwvPKhRjH#D?< zn43Gciu^|#2?yp;Lix{#_R2ApB_yMwqqjzIP>CemBu3bX33eob5=j_X!*UEMtr7e` z9PX66fsDbZnVR2>P6Fdyn83(!IB;4|cvRZxaIGK$xKqCK|)z;~x+h{4bU9 zF^hyW5`!QF{{YDsuunGhImm&94yNb6hI`lD3kzNWK*OJouK^6%sZyY?qKPlZmjI59 z4l&$1wCzNs2j= zLYihV^H{b6m?H+PmNm>MR!%=g4J(J{{~T-LVI5%|om@C>P>A(E@zDCClX1sl&_Y|N zIQ3o2a+gP&%Y z4{XEx8AlRi!<%r(2Nyd|>y^!k`;JEgspv(PnSMGEk-QF7e~kh9>Yty7hXn`6rP7k@ zq$p{hgY(4+%EZ@&r_bR&`JyyADRIYe*XiV`t#Q_+jAa$M19jl)S8BCNnKW$ZQ~q3D zGO1QXQ7+3oy z0Au+mxyk;W}w7c`tH3%WcwgRsvrg-ks(?kzqJl-b|+|qAaI5 z`Ko6)lQ=W5D8iiXo4O|0aLnKiIMIrijX!9?81&Im{1X?&h$Q<=f9{LASBqg$;EnpV z63KGprslWNQIq`Lr&EKEhtEBGda>T;$*Zs{udP5XP5^ZrEywVEUcx%_VTrIS zUm>n^tRMB4RvZA7fnvxvnrcrM$=r^26VhAXr5#EJiqgtVm1M8;&Q*T_q!%ZrwM|K% zb&{=&u(#C?s=sWJk|@8gUX@W9bWX{p4lHCbNli&Y8 z%)ND76lPcbMIXrXV`3=<;-mM-pqIZ;z%Rvro>OyUi^Wj>FTGg)(sqFPSb$A zZ?U0xQ~NmeNGjx9dtqt z?}D-Y9-lhj>tR>c;1&F?dx36k(7g z4kE`kggI`mcwSN4U3YQ#osYQ=N7MeKuEdt8c z(`(9r6ailC8+F+YKa+#ssq}L9wCf^1UDHJ~yqk9(M?&`9Gho~VHT}UKH%8ALSRdn| zn^dV`s_LI(m=UiYI>Nmw@(YBRn~oJdJsRyb3hE-hDqcA#;mv*IsF+qVUN3zc*b`=4dh;U4!C>c-I1Tw>{&m6H zw=ErlM-)9VH9G0dUSLt6Kdvo-KdLVr{5V`RyYi`A?+8m8X9^8od89Zxqu{7d} z#I@{~VJ$=Q&n|`6Qbza%H)f)*T&4k%nM|_PSudtsRxP3`cD`K=9jjUQXgKNe@^e!u z)9%v-L9VV&qi=O?*-=;gnu;I($kN8NG}UG$*;N(j@h~EvlkPwgZI0#GF1?BcJ#u^c z?iU4uqL=UcMN}B6>Col>%d6qpE9RM6^M56p@Rbtc%>V9{@@$*`yps7}DP|L-m+%jg z2Uk)s%KNVf5HPc_{uKd&>+ntTZzvR)--C^ce?g%jATa2!C=@`~&Rkv8TO2%FSz#ItOs(l95I;S!IpsMZ0f=+1byJt>Zd4bV1Tx zMfYWXN#l-m(JV>7TO$<$<}!$v#>r-^q@5mH4~}pD^@wucOxhqsOmQlO73tbr=pR2=G+z z&^sU6mCiABc)=%(j_|5OClF11eZ4-tTKklpsrUu%ZfJVBPxFSZirtJzEkRz}*YChK zK7219g7)+2cprk-Ih@{2?~x9N+LEaf7x>AmS49J^G!GSWxksknAN;F+H!p}VsP-J| z*xnwxr?cgvnWYK%*68}bmcJrryEOuX#--C{%ZYS;QG#{hVl;MvoKpYzFVVlRrlh9~s9rg3A)t^y-m zqQ4cpW-Jm^s$%feKec38S95XYjKvE#z!ZF#ve#&E?8#!O?I~UaZqR0*KWJeSWO;tD z>qbbJPD0~~QGSiHu-7i3HHyUu3*?J&O#Z@-J;yklG~|B8Z2U$){)eF6kM8ohF0qDt zr@0{6s$3Zr`FkGU#Igi_RGPxIz`1`yiKL;gahgPv%_5~;yf1nsKn(lb)5cE}ma1MVl~PE)#~qI)W-k%Cc5hI3KQX#16-d0W zBdlC`lBRK_6?RaY-&4xyZDoCJfNiZ2!~Sd3+YunMwbSg3_szRYu-@w9ftd2I9Vb;$ zv3#$}!LF5^x|Hi6u8Ux*rlDQFBx7u5tJKE$t402fbrl(TVB8N(*`cd7Aik2d|ATzU z@f~ivP^IUo1NwCyFX?|Z{$yXTllAlH&INR30mDvBB=cr{i8d)WG8U*(-8D!i4Cm=^ zW@ZhavG{}S5Zuhe_1nw1xp%BQGq?0#$EL94>XqK;=33Lt70q`6d`yb>XMUL3h;n!Y zU6ZfhR3-mTlsxAw7k5o34jeU1y)MEqsTfk&a@#+=yI-vOofdJc{8$IT2hPyTiy68`gI}(R&N}w@ zUr^A*%y8j(GE)AMHP`#IK9JV`vi$hbQhl+_rx|e)9%dcM`#EkRc;!ji1W35WT{XHQPOr0sP2DvuU760_|(JmRjux=06#8ywc!!3nF9R~RItgWb2NPd>8kEj0dyclT6s`8EHk$OAZKDSHa%c5TJ;Y9CV z`SLn0-GkAiy9IJQnbP|$vCJ}IFYBkiu1I-40f!tyH!UB;H4U!At3xI8XBh=0mDOLj zkI=}>Tnp;vF8~2`E$`&7n}>LOLW$XL>bpMN3h4NvWD{1?+$rf>zkCzi53C+vdDz1$ z6Ig{7Wp`|s^~BC>;z!U|hLZkSI{nL(pypFq?XzLErjdkAc+N-2@Tmann!@DV^lBPPpZ4t971&`RiD2P0@XxL{2 zK?Uyyr=U6J!wk7XbseL9b^WJr$LQpS#^yG$Z^n5Q!JQv;JjV9!IpwV%CeewmSOgX{ zEUVwK3Y~CB9opW1KnUn!Bx*u)qJw3AoQtcz((qerCbC53A!cALwqNpak zuJR)8&Bu||^I{STYOelKu}N7a)!$ApXP4H01YdR!*stH#a}UWZXz$ra$E6kz>sbvb zYWs0XRM*J7dH~E2QczIj&Qo#ReU<95fI)6JC;l^WG|ZH$`iL<&r0)t)Bw^D`#=AT= z`Ld{0Trv(TVC$BD#b%Wm6W`U61{O0{&<_I-FY!s5de`=h|31Z(ws-c;|FHH0kJ}}u zW=qM=6GRCr>zB3hOTeg3YuCio^(}->(mcFv>@)5~7KI6*0g~z$q#|2%=fwvNV+@w-)`m$rV&BmY!!G)_~I1Zxl;7RM*X&Q-?;CG6%8nh$s|HA2*&7aPkOilzarEWK?Y8 zl92_)c#=wnRb-rAJ}*8k;}cQOUAW}8r_Ch{9E|(k(@0;`)bovzv58;0prDgnF)hPo zVK1ht-(m{mm?m$hHgJkd-bzf(c#G&7+_mzo9-E=m4~nXt|H05UFaoA1lHax*v46kF z7G5?!g>T{JANpZ>=_EM1p<|{&?RgJKNzBOZ=VNC35EjwOp7nP4+QU8`qqxE0S?kz^ zuQ-4S#O1G{Wwc17%}914SQ7W_{iD@PUDNYxe-mEd5->}h1RG>L_Y+#tU>>HIPeE~Q z&jD#seFr%muSi|f@)0e=Wgv6geZU#%F0=U&yGm z{o?B0wvXPiEvfpna|-ZDWzD@a%HOwgLi4zZPw>w}47Nj>z`T~OkKDW>zRiIBN#=*S z3!w?w8pZ^T?5~FysFo*e6XA0Qt3GMzyj%o0C9?8N7&}Mf+`Jn1EcX@GxcmKnNxzi0 z{kU|p-VsULU{6p&&e+B;nu19X_VsGMo|yX;k-D(COA55_Ki|e92j}@M-JfIA+%c!P z@<}3Nk*Gt0EID$XP;^-^sJi9(P&JG)Re3jNtAgZ3e6K<3DZqKzzm2rlf$MnOk5c0UB+ zfctl5&z?sRgbVU8sF(cLozBY(DUpZ>42nd_CUtdn^`JMK1X4#-BBrtN{rgV>peefv z6%L7Y77mGopms9U55as6M_4+7gu}x|6@>l=z-$B4H!X%J;L7RYJHe5uAhA4%Oki)f8ti*DZ_Mx!zmp> zoaZ4Gge(%$NI!(w4OI#L*xN5IAqDCq(@YS$Gi4;qJ@_{v69ponFtq=SLYlxtN;vb! zCTQx0Ux(q>PfZ903hl$k8Wvokxa6O3%!#S#*}3_Jy?w~{VFMH@AAkYkeN+kpYMded zGbITJ{5gZkt5A}hjv!aytSGjRCMeiuk0vDShfx0soP}&3TGY?E*y8@?}ai-}Vt5 z6&J2LSGui|ioS&B`TErUui$DVW>@uIR8zv}&gHDh05?%aIgH^;xUUk^vlGx`$f0q! zP8GWW_r=QESNlV$LLv?dur7Q*K!x!s;^6?R=%RyP1>;yi9Vs7tT`9NYX$DM5%d}? z2&L#zp*ON#CNERviW}?Om2^oREZVPnJs1s)vG{od@)8IN7VI$2X>6wt>nw6tQXXp@ zq>Zd?{$?8nB-~6dL-S+#ur9q*ryKrlDBJYl*P2n)i|YM)^J_Pm5&*A-dc1*N%nO1^ z7Q(Rl9?|j)np4+-j!;L=JK5%>>`s6`)}rUhr%0>Kx9zra1{1-h)31MZ(h}z6C~+~3 zh_jS}M;6XG*Gecox4E9sa$eld+MlNHpXieZ2hTKBmCP{Sf>Zp5Jj5e$F0Gvu*{Ms< z@wzB-k5v2b$Gd%+<^8M`SP0z50~(Q`Bf9x{to)ZkOB^Z6^<%>1R=*Nrn1{wtnA7)N zRI^z=y!A*>N6Y1I@iU@)DSzG5+FmxR{>=xVnaq>PTAHdZHvTATC3_?AhSEbV=W2h> zMAq%e7rr* zGtoZ{jJ8wF4{Bac&LW;7W&YgJ`bwRFQizPTE6)33=848`A-I@eQs87FAM=_^$^1>< z&Qbna#rOY7V&_L=X#^3E@C z-FPvK(~lcz9Ms?^_pZzr@28jZC0JL;n$=>?&R>xrRHnu~7&Nuy0(K z`PA~2@g4<-wZ}SH%F8e3ijMA&DwG9h>Cb5&gC9F{7g>4RI+yC&KM@m6Gq^@PG%nd2 z2-v!E?#7#wm0<9hdZoaMfc@IW#P%c0Xx!Y;i{;M7#cIYK>aqFc7LO$W`^M?hhNvo` zPwBM3HWaDeY(Dw+m@Yh9_j&X?7IR&EZ?OCU#8jKxh!>9a%`df4PcJbLNsG*4pC*qC zX)JczW`dS)><@1q_CEcnb9Xn4!Nup-kbnZVM?8}&#inhmJq9sYVmGP2)tHQ%#jjv1 z@HSL5BC3s&>D|Sf!o6mRd&Lc!pa64Z`+2-UiH=b=zrWmw`mw2W?Ibu}9VPs-|AKOCrruUJi94U&8mZNrQzG|%^%zb)0#XxT@$}bz429G7ChZW-#kJFz%Z`V0 z_|NNN%81M#XG@2y0uQUJ0S$R-1? zu`Z=q_Q+2r{4H1hn3VQQac9~dLQb;HU<-!k6>~TqIcH)-%ho*7J53y`-0x>WZxnc9 zvQhhu(0B;weW@R%u9Htzxjo-{${ih_cG}h|WX5YgMj6K}YD_}_Jk~njDjgYrq4<`I zu9v`{q2F^?DthO4^Jsb!Ex#$*J&>))?GwE`#<BvxX*lpZd2Azp7L7Dt`UM+tDbATG3rQHtuuICR?)AFnOuBlbGB zSZdtQonkcVtJZ-aZg6)(^g|D_=chScs@`I+-#=d|kKxCS^ysmI3T+*4b?QT`mzKWLoM-hMvr$HN`IiUlOSL3JmDF!)CyxZ&9(9KBEY$nE|(6>Y#qYNkfvaU&6R3ni8*1v@G3 zH4xU~;dRNhQ!~9rJxGb}TTx-gcioXv|$&|PAUbBmOJ)~mkk-y2!pNoSAS`B-Z zOmavA2a|gp?z=xcHu9|!qkLU|eEzlswqR{uCdg*r;A?-Z8N1tJZcsq3?9^?L_f*u+ zVhL%B5wC&R1QZ`8u&LB@1{^SC-M1A|H(a7~ln5@H%By3$?lJL zk@5yNYv@!KX$r6eWWeBc%G>to@hT~a@dZqj%3`i+)g<4T2+d^~<(fXOb|Cz^O)&Q z`b6V7_lYB_07FB^k{JzXm!O#iJn<= zOa;K57ZvaOS23wYujftR5>Z~{mX$X&f8ZUPo!>aTyn#sv9>wf(gPw>t&YnX++m|Dc~e*LDr zdglA?* z57(X9rj~*VyUYaTwXIu(szH1A9C6qeGGUjPhS?Jvhm`y=EL^J0nvI_bF`cYiP$}pl zyBW`AoAah-i&w+mCv|FO^|z$f9m@XdEB=@k&^^%?cOS(>jBv(Mh!!mpAuy9u_8S$}DhXpi|QD3QO&< zJgTUvM?Kub%UsvG6AHdYy?#ppZ1TT7^nKN#bjy3@CS~UeDeav%poNfzo`r;(LsH9P z{osV)!bPatj7)gb!W)&@{p}Eq0kA3tigxukNGzB~I()Bnw=yh>b&NzssqKIwU3#aoSFl>7&_Q}+(0(HeCN=Z9-4 z$|V`jt;;u3i#oaozu(Yv0g=3b;|1tA4fmxO+z8!t@33YKqX@$+2_zl7|Ey;$n=%vN=c z+z!bzj$F6=s~UzDz{(G7Qn`BxBXf(Z-w)1TmA76-*tmtoy8DmNC|CrfM!GYirMIL|qApoUOJBA67 zXA}q(68Vqj5v6*B?C}!KKV{(DQ0d8w%7%nyZLL3cZ5=rE9k^?{aM6Q{{yc&p2JnU< zoEO^F&#HN#SKY+K!pb-Bj{x$Yvh1)IxDY$W#FjwPm=41r{h;U(ZdebOwsCPxoF^-Lj^+8(!mMo;T;Tc zQpg+skvuZOdzhdCl7)?(`|=GX6I4Nsii-CzIV$~9OWP17cs%2aFa^>N!9p=c$lwsf z2j)!r{+j~%qzM7gmL3=w1T~%EFS4@o^UuoVA#yxZI-)9R&cTfQv-r!m??zy+JnW-^ z8t9pHsB}b8(m8lz9xk8#5TbvTj*DlF;3f#s(lSiM`i@ONKnP3bA$$b?Gkk>NM{@Y_ z&>!{)&FIwBG&DrCC;*2V5I{;wH9`I3Jc1xHCI}*nx^W$~f}xQJJ@lnR8RQ@43TdnI zZ8Iy_{Pa%*tD_%634|q$WdZsLfsR%H1b#iKE?(pOk`xNd9yi99Cpb`?M44|kZ zCRRFvV9z5^ZJCY$RLRv@&D9?UOGa_-{Fx>a#v-8dSFfT&(rv&mHV`2*voXp|sO%ZE zFYjvq%q#mnJ}}|SI#v#rBw3&+-a9qdzhQd8;L8o8%*w|6W#%_OBOU_MPrqL;HyF@! zT&L`8IVq#))St7{q;ey`?NXW!xLZyR(3CDlRvkyEDm6?AHR{MLzi(F*iloZpF7j;@ zz^tc~0KW)}c^UK?Zwm!>T-ey#{7r@TqM6rO7&GVd^(!YhhlW7;SSM2A{hN)b_38OJ z%Q7>S8Uxb{%jxwkq~{Y H!`sGn(jn11d2~^7oQuiLqF-6o$nQuMi(HUa$*A)v= z0*0de7ubjMmIhuHM{rbLk=D!@w|B4W@hpAleAyriO98yvPFbU1l`XR{*EXaRe&z`% zJ0hN1D$^x=%FB;67NiBD^-c6us)(2Zp4a2X@sB~|xW(V*r=2@lo^m-;dkGFHMh?J15OpbOZ*Dq}YmA4?OQf z)@C}B{I{A#nO99nvsZi3>U+59h{8*N2r*j3-5i6jbd?hOmu=^ZF(10k=btyTAD3rX zS~Lk%05`TYypHI%lUo(>Gk@_pFIG!fK8WHTDCScsMl?ei)qo@Ihf=YAo&2hznNVqd z%}|1inoooMi>N(p)m$<=~UOK-o*pbNo%6v2ayzF9s?gN)!#;r$68 zvn!b~WMcf(j9fBUe52*)UCyt2ZyR5FBRn+&UgE99>5;8n-pu5$bWZtU7^i}p6=%R@ zb+yc!(9dK)7IaTBq{$s}cxMmnFZ?pa?UvkH;7%tg?Y>wnd7_%L{|dbS)g+9IkzHv@ z^|S9GrUyL_ro%unNwGz6B7Hogkn%YYANt&Mwc*mmpXUb_esVWP3bq`ySFXJK@gO2W zUR6izI>=^1u4ISz#4gIpyG&oLrX5R;S<7vB9nXDoW0vG?DJM7@a2G4`tt@F3I=TAe zxVD0=WV2uAN#5@)?_q1Lm(ThD3!&l#=jLB8I{GLqN8|{c7xt-Me!eR}!lqlEA-r6Q z1xj=M5wDYA25Hq{kq++{MIj({I90AHU+3PH^OXL$>)@-LOHtp@qTXYsadwJ8-t&>8 z&kAkWbw%lZsCJ|6e;fc8_|n4XRmE!<*VPo8n3?AGt-L%rZ&cUVW&~DuzDUZZ>lt}2 zJikVv6Z2I(=n8Kwx9T2$*pAJ2+26Dq^DY17)$r_drT+tB%~y6 zR2XIc7ZL~>-@X4U5d|~wQ@p9r`zsNJc`kn^qCi4Y>aRo;piC}*BclJn%)xmN zR9PORmqV!4Flq%2m8jSjzW?;L31KFd|6w5+%i5|U(l^A*?jHKiZJoh1cb^5XNpH10 z>{SxT1dLCNNH2ZFza;fyjN+)fubp%!t6__oaP`SKimc5BP|oT3qL4D?=%GlKG%L$Q zsqKMFzZ_qRcgdpDjG2gCAJCrc6*F36%wM_A$7o-{&0z>cX>!_jI9je4J8@hMv;@BL z)Af{nrW}O4Wb*h_^|8f0KrXPxicEU9E>dIk*cNzJ-0LPQ8ZLNg>2O=OW2J~VPygw{ zhGTO|&v&yOH!>=)Lenl@?|>Ob%Q|Gf_t02l=i-;AQdo-<&NCBpdd-^80Yg~zUX7p0 zLrHA6BhD$t2wk=b?Sh)x4s+Yv;~Qoq_k)@}Yyf=}_SCO*CQry74YUa_zJr z8A$kn5z7mERq>HuS{8Gn+Ky-)D(!V3zTMGHNy_N7DdFQ zNCLBkIa%7Ij9ZkRxp8~fgGYmloyZGLnt z`0$JI(ZTs!tcBII(^~?sz|Mlj2-arJ4*mKox9FI=ru?f1*_F{J>O+X|s-8kYBj5<` zdEC+Dl^doO{MD?Ml*a?Bk~LI(w+xR|>$gktf&#dFf_D@cTl!^)fiQ+Cqyg{9LIzGU-=mU4?_>xRv|Irj_N4g~S}j~3~PfG!*31=W}o z8^X>(x9`kGxKAfdzAS5vrn&mM<$1oxDh2As`4Ud6Tp=&+PiLraJT`K(TsZk`kP>Qy z4&5B9xx=96650|LI<1UEI<50SZNu_i6WWW+*Jx3r?mH)4ka)uY1f zl0i9#pwO#F$DCc%;r87$W(`RNpHEoqraHPsivBgS%*F6A_CMm4b!tRpIke1|3-(J4K?f$zj zM|uYX;B;O1~@Mk|Q>>3BNr|2#!@<@@AB9d)BLMGZ?~E?Y9Fneq~EQ#MV8kc-yVk_I-L| zj%108m&$R`9Hv>0R9va=J$pCN4m5_WTUii0?m4zo>dq+#u?>w=JKgreaHDtavS!Y- z62N3Z^d%cJ0ixi+(D_8)ff?)89dwFznhs_uu51e1N-t0kbPF5y)K3VUtt*=|%?~bM z+oWIJFuIq$YwC?|V;%rV4jl_;U9|??%&&6Uatl^6jYc z)gCBdMB3eHqv8PX3o5W2KF$i5&SEn1YE*LNikI=~{gCsGf7fFB zE2wT*rwdFMN~E_>UyDt6(;HXbS9xq%d*YGRp5^@G{NTyp@PZJK6gKjdx#jX|TUpmF zv~B$JHjV1*;_g#AevP1)yXcC6;6-#|`-!?SnzU0QEu&baNNE##Q_Q!fUgL_op#$3B z4A8H&%Hd|Qq7as{t!ye=BBqs`y%lveb%=l^KB*_K+Y-1W7bCLTc403TX+;g}@#z@_ zgbl2F`lpsQIIrKfv@ZhVGh4qd`aH>FoIbS9E2F9oJF(HH zw>_oh++h^esr&SNL&;AgeG{Eh?$!%=L2WlLP&f4z*;F>Lb&iQ^KA~ghyDDYw?H}LP zPe4j7tEBzV4aB6pc{{mqfs;6^XQQV8(*g3nfy!)B4$&S4nOFiJq)lUulePbDU0WglLe>te zh4?PAld3j~QW~bJo4$?9;`+f+vs^$}x47a5CMBhj-;31B#ft(?&nH*NsYGtOrN1fv z343Y)2mkfPp~;)4B68}1<>S(p4jbFIxdUw56=Oz}bFo3eLQ58Xk2 z&k6nYd+CE~$LOSt(#fs56;+eFc-$85fgK-+7!|_7g20oos=@Q_kw>$OT!EWw%oLB& zbIUh=qBFn4dKiv|4e0bNdnmMNWi|3|#R^u<@xxuE|?H zkMEl2;d@pIT6Xyo%e%1n{es?9kX_R-Np9UX8IlXqW!oZE+2Fos2YfCPUN*REj{Azl z1PChM|H-NsmsoLh+sZHP^|;t|6)WHs^{R!>4T~IR57=ja{vqNK*(H(lrn-0W>k5~G z=E?@mw$i;PL7DGwB(!bQ3JrEqR@yLV+|6DWu~qF(N6>nDp>PhRfgFH2nIAWy{P8h4 zHHtp|W4Atok683fEGXS0q;%}40S(uXK0?ZJ31yV!YC;h1dH5URWfKJ9fpiFkg06^Y zLEYoO!bg25d_1cIH#V`f@+S82^E=~>D981)XCdiO_XszA4wo>vIm&vC5$6x$6*BcOo4Yoom7EUc1>ii%2bK=cUr?-m48 zh$>2)dK-SHW`ba#iooFxYr;hf##QR*8W_2sS+G$s(#OXa@~E>q8VD#+@t8qDro1E> zFkR}8;~M3+E-HrGmZT#{={$lUWvErTAA(evAOIDMiIRFku_x-_>qjRcmqg`4qC}AM zpFfkrONzxa6uGpthJ{MrH#zIaMo3Y!kj-Nw2sylm!UQ3J>POUo5>F~>cmm)7!|HQ* z$p8hA(0Tnw{U`^G*C^%)p(6p5dMYa2vqOzXa0+`r1OwGK-vlEe;e$ehr{pzP<5>mIo5CLGzeWb@l@e6<5v@3*T{LrKG#x>Q zVn@gbVG%g20*A*8;VYDR&cnk(&aw>tL+A*@3}F8bE+s036`z3kPtW`x(g<0j9}F@` zM-U;nufp)Q2%Hi|8Ne_Dc(5?d7q;@C2E?us@NloonfysMxQB{kwM0MD7SsGGzdGZM zC~2`RDwh==BT8`#XN`f6K-7;i*eCcQNFwToA_@NWS@{+G>r_94AT2$s z3F3ZOLf_qe&wvossxb5ucF&_ySm8g}gUdcVc=!}+Lb7d4(2!$z&k1~>P*=PNf?(k? zv9blapm8qEbD$DWPw`;nDJmv&b^eqVc}hEfDu#xvL(%a6W%d65RRhr6scGx~4sn8D z99EU1`$WeFQ}+l;IzG}wcS@$NcP{I!Pwj1!Yx~Lo(u!~F{$m8&G-T~B-$@&zS-LFw z-Bj(8RbHV|z9yQb0+^34WGFq*S5x|Qx&MjT_E(=@u70YY9IQ)31+B@xMCyP*yen)$ z7jUq~ zlu|f*0JEPhp~|T6BF6OZi~6$fe$*0SOnf#Yw|e&!JBdX0fena!Px*N6W5*L?oz=(N zwJITfk$iS$re1A3!DKiIxBRxjv=dzsMT2MCbazPKge3Ol+@5EC18n}d3T)^R~F{{+)Co1sZeWkY7ovp5o5)pHh)y&c*?Y`2`PH$?EHlftXVx2|@kZVvS~iI~s= z>guP$ZJ?cUsAM5*g!a|m%am4IB_R@n=aQ<&%y**{0nMaes6)QP?njH5re@D>9p}#C z3j?(w!Y?yuB|bj6#sD%21`h=Qy1-Den@h}pkH)08e7O+TKIs8x@?6F&SBc=EC({!(INZQz(Ve78C7V#RVbi28B; z+uz$sxbqVGJD?VqkLu06WORq_n&ewe@2!;Pq*R|TdOxJ#9B)eTb|?cCFTVu28Ptpi zc#3@$wl`soO;Ind=#(mn2pAE*I^ZGzZY^@EzRG=UyXM47E_-A^>_sU`6g&Py;=w8t z?f~n`6X4LhRj?=a^dt8VZcVN8Zr+Ewuf_)O7^TUQ^jFH9#%=&Yh7`f4UYF>W@Iz z9KfTX5>uRtQE5+YuWh-EMr=XZ_`3gr`UBsv07fb;88rJ zLnSe%3sLyxit9Ske)stRap9z)WyP;72?bh<_uWI+DkgUr%Xtof$@<|Ci~2d?tYbI6&iyaaxg5)+46&wrtS0CocYl>!17t@2kI z3KHQbZhxbppdOk~{zgN=BDN<;qvnIrQJsF-O;F2_)-anx#J z{u_LAJ$qw1L(7n1a@-4YZ(+3|b2@!s!*|!;C#%v-Aaydiz%#~wkU3`50ib^f<$cbB z8+Wer+42`#VhI5?8jo71-;eBrp0&T4w6Xv!*M6AYQopesURHcynm zwJM+!o`-G&I;w&K?_hXY6$2s>j$VoKfOdxl^ulFR4J*^l(Bp+Upvb?{Nqn($jojfE z*2Ho^lsVG#h^cm3FMq?SabHSZ7Faxyy5v!UuA{1%(tO%ivAkFH@ab#g%EJ-K4=??N zIi!I|PhmaB&A@M%`+^IfWuziTB`O0*d&}*9<#-v9Q)jJ$ya623pNCsXQbie#ewf0T zx+D*`%!%}z(zkRI)8af|fnwFUU zVZ5!@ht1c;gn8G%(-a||JD<|2y3;jE?g}M{n#gAkJzLc)0+@ zD9EeyAPR&(-1=F}wj`*DZKs2#h@RGVU63PKRPg8A_ua|!E#FVUFTeLDgf62==xS>* zjolmE!fRL3-6EFe2}l8$JM}9B{U#T_K40`l%PR?g+~+-P`tE-2G=}iQexq|#%__H^ zhk>3G0g(92Nm_DyWDC99hJ5KeW&Te&bwLtljW~?;|M$-F-eB^xbX-#!{R=7kOxe8Rv16Ig!v`{xUSsg z&52sgOe4yqqvQ-tlL@eC@W@8uDO@+^-AdfM!TDs276zm-kORy66~4pA)jq?x?J2zV zdUTKGcanOei9mwz;b{8vO;f|>6ZdP}WFuYnLn3{dSfd}>a_kb8U+jaT44xsE$E6l9}j9#PDcWAo4YvOt(Px~x?)tj?;xL6`2EA!lA zt`^>_0>DmuI%P`=9Q)=<6Y*IvP;A#5OEsAG8Xs3}@41*)OKlc~i-M9U($(4SOl z2$;rBIG8;4o^ZbFy%jBg`;!iE6r^6-C&T+F&hN0zQ#2N8-9g)W6In@DiHCHVl*HxvE@3e> zGru$8d6(E77vSlYU7b8m0#PZzBPIZcJ`CRDVeQyGvC1gp64CEmhqWY z{1p~2;cFQ^on5&acD!|tZNRTojphST;&uD-zE0{A?&N+{v}%CeKd)fGlH>i4e>X?vJ&F$Ixj;yl%^XST1jw{bUu>qIY-Q&CT-f3T*<0dzc;HCED zwX1De+hhalx9xj>9c2Kz$l~s=k}{TcJ$T}gweZx{; zS57UGUJ{R~st2^3V`d5^cW*R^SlzWB+Qq$X9rNMSZ{JeUfYRpmPT2$?QFpnpQ_Iwz zp?J->^#Gx~6cb-HzKx+{XnxR+)S{1#3@VA|ym$013Jh5ix2eyV=a?7v1BcEs3Hg0jvpKu99mC23y zfYjyE$lNz0>n2Yw(8kj71hC!pWs=o@^!bzu?P4AA;x-^n#{JREEHT8vbJMxA@05y3 z?{V5Ma%r!Mp>YEG8``GeO(`R{P(*y&DJ54z<~bS(Sre;-=8OBS`0j)AnsQDJfHaWU zwFD{nb}_PaJg4zS<8Miy@;y3@3Sn8Fw48P#ZJ=*rmrKtDMS(G8==1_YYK8$**KX?= zJ@N_+Z|k4MxdSrukA<$OnYs_pqFcG8W>GP-Hf&-Ng@5rC>%Ox>v)%?6xOn5xfKj!e zVou|GhU*U!W5Og&4RwZ=*O>~6`__`d>Up}CwL?>fhW63Z$GGGy!m9ZbpZQg_9P-NE zW0BFZflJqJ=WjEK%Bvc<`RCNovMZZSt*p5w9pG}@zO#$S8G}e$$C<_BrNJw z&(G#*CU=-Ui7CKm;@1rL0d2Z5pCRGlT0Lt@vr8_vB>*b{V8(~dmelf*p zl(7q&ids&&pX6;EazRu>cE0HJ*N|M?bAlpn3}{9(%jk?Ikp+tfcF*Gmwy}Y^-Pru^ zJ4HQ0w}R8Qj>ODu@^L8mbq(!9TRNxbzXKK^p7^e%d*b4C{gOt*m?C0TJDiJEqocV2 zqmmt(QJ>bY1H~QZutWER4EJu*#PUfF{+aHpZs|p* zIKqmi7T(!8pTFF|qf|>wpI>2Q7m)&Tv7M7dXpzUl&VLh*23gZooQBC6RbTOlFG=_% zcFnIIXuFJlmA819gN~~h9S0h^f73DncI^eDDVrt>nvUsxvm<6>S7glshY8qPGx_O4ZoZe>C z_RD-zS@-QX+Ia>631DI85RqEe$0)63>l_#pSI>3B*4{Po-KQ^D^6*?Nu7UlBj)}9& zGPms#=j7}HDF*ZlB^~JnH>C{jK?x)rB##hWp}K0)q2>`17DGP- zF@j_ewt&M=!x7Rm!?~&1Jyadf16znAAA15Q6ogHh|5k#d2qa4F86BIDfP!#!bx1v& zmp!%`Yrx^$?2gqtxykcLt}LYBk`2NT3$&~FYM=WwvIb8>O1Iiyu zOaG`JQLxcFoUpbIA~=W{&mf~8)IGw@7vQo8Z-0R_?JFGrW?QbVe_~Wh?6B8#!fkqT%l#rG|bE@P4=5u>`;T5lixu0I{2L}gpTG59~2dkd(j-oM>@=#*}en~-j4M3j(_RzNx=Bvl&e?rtRn z>69+%ZV3UAR7ya)`|QEr|2gM<&j0a!-?P>^>wMR`_nsNo+}wL)hCQEseXnb5Y<%zV zpG^6)b4YP{0o5hk9TsAW2&kA?RB)qIIJ)59EbdetW- z*fi}j5@?SFBe9CpK;LhSfbdM( zdo>@00$7ohkzcpcho_5sqP0HZC@i*BX)`O3r*@4jK-zCy8UsD#IyB!4w44nG%!^ZS6Z$NOL=r|et|ds(pA8HM>MUVpA+{Ar zUdcdDUWVPGK~3c-kN9*&f6id-A;`ss9g|-9>d-D6lw19(UaJfrpEuGX$o&{NAQ?qM28>SQw9C{XT6$D-Nizi3zFH zS`ONrM=$x9j)pj%O?GGL2qmCd6NSXj`3X80vA;)&9!$`T>?M>?oG_loO8j<+4HXIk#7dE31G>Z(EOoO%gjsFV0gx6eXn+I;pYpypMA{Kl2JJ z%ce9zVBd}>JI^nap+b9OLp#A=v7M!w+_l;P-g-%EhOWZefFTF334K(0DgxR2EA{0g zjOc1}RLLjEwk6_JO`@Fb=U|Jvz?;f?q{5LXZP>q?$zNvR(#HaqhJ`Ky$>t>^y)Jl8 zb+^6Twnu-D-~gs)rayfh&|(^R805sUG?zeUz*-Ixr_(EA$Y68@9>B=yg1GcVip3P^ z>GTrEJJsce#-1H8V9Z0G_}%7ZkB?^{&K}CCyg~EveN;hAO4xpABrKH(IK7m~=;IIC z);f+HR5CfRnjWSfS0nLzSF7aJwDf}v#?Wrb>*x$pr z>d&p)o`wQ*7XtHlf(bE0q5;v&UoO||Ptpa_-1d3#R7?d3K0hG=Y3!!ov+VB%`ObSV zV4!=7`=?^YcN58_7*8uL-COaJ1a$r{3m7Jj_se*wX^lv5&odAYej2<*(Mz!#6-a87 zg#viJyJBaMkB|g+&yb>A8ffoia|kqkB@=x~?5{K5+!+BpKj0h%Rwmm^%P&5zYDS0< z9@~tJ6?tNr%vMd5Z!y>eB;VAeZzDAuR!+xMo%Etm+nbX|md+!vncwpan)`em4_1@z zc$Iyk3S#{^uxb4T?d`mw%9r&u`DD-6fx^~llG(taR^Y|7f8tLPCgl|R9NlwReKkLq z)JEl~_0>~5OEEM+f*(uRE09@5fwMsH^Rx=igD1us>Hf?1Kw16pz4$T3 ziM2y@l{c=wK3Yjd6Y^Aa-K%9UEyi8 z{`lFC-3X`(3e-x@n@FY@2C-Rk@1^(}7YJQ{LFIS*%EA8;kxCpe=fKR{tZiLhrhi+T zadUb7ZYn5aW%6U4Y>R1GIa%^0rG`-Q<-XS%U%krx5LS}{$?4-WjowC|Un5j2`6B-w zWBzZ@V~+lVG3((N^Z4ZSuM7}C*K7aE0HF|RsLS9lEEGJ~(EKY41(2c3Us)(fOoB2Q z|H48+O>Ny@StywN20#1wuUY6n7;_5xd-@&DE~nv}8Te)vzL{Gnfc+1#M*AH=X2jE#GvHNe)POGMJ)wZKJM_G>^cdOYqi65y0HsVd zY@;xK>IAUm?Vi4mU*fXMBF)b{bm1}RO-(i`An$&cYYjcbW0w^aP4ZeeA5spMkd|3l z%H>~2dyC!DQO2)qlD}FNCu6e#lgW=20*mYUnW^6E$h%0R6-)Epxqn_8rPjpwy_Ra~ zJ9x3@S|*G)C^{if_33EU=Na8CqW=Fv&UJGu&hY5G!m z5H*bN2%)Rsm0qhy4ZhY~@hou5iLAigOcz@Q7Q!p6DIC9v_n$2->n5oo^f9C}sIpr= z*!`a0Ui+bi8@Oh(-p$3OR&H>@eb^dV|NWJ@7wZWnx4H|W@Wn@(v#(%iO`82Uj@)wb zLFb!{=Wm`3wXyRDK;hQk)5;O5lwugbj&P0f+7rTvv1CiyR0Y#PDkOqesH37yw;K9C zgs6|S0f7r9iHON#``@N|Y!oF-3DNJP6L@JhO!rY`Cf0l=z|0A%;9OUij_thtUQ zHNzMEq!F9vx@&KFNq{UY%#Sb%l)1PTapLmMQn_$5`ahf6(F_hMT8KpE))(<^6buK?55St(Oe_ zoFTRm)3;IpOae`$0`4{N9|<&@$iH9VL*y94>Q1Sg3(7m=_Q7g=0zy`ZVSKr_Io#K$ zeDzZb`*@Ha1a8KaGR?W;?_rVjFM_C1kBr!oq*tDHw}l4#7(cSgq(|u_A3jzz>F#RV zFx3H1=0xy<)`d(kR5yn zq8x1>yq{4otm>CDy3=lbTpS`2Cj9MuRdg~-7_<&(sp-wxzs|?ljAU6{`ZKdvHhemY z{Qg2v6<@Wc)agkXxP|L3Do?-uUYI$SgGKcXTcKfneA49(=0|@WjSC3~>29ICu+A$p z*pV<0!28rV>|$5-x(#{gtXg51RVX5TCKT{L*Devqeqf0BI>RBYi8WL>qDNKTC+3L= z=N0ax2Ig|SR^tKG0qqbcR;U4zOZ@CUDKvnR#$dwnbD<<+F z+|u@0)TOigkx>1*@`^KLg81>BIhf1~WAGrw4N~s1*tUPWu56)jmH(4!rSx6heY&6M zbI*XbxQlcQI*~Y`nhxQkxIMwuk6u=u;T_yoCqkGtziWv>j>OBB$2eo%<(a&dRXZI; zR;!sB&)?-QZG`hg$eVS31uxUm--_jas>^ZGkPzGnD;B1I;HFQ~qbWdV`pxBmK|8=q z=A(AXMo&|-a1^m9W!VqKw=lS9YLmkDDDPgCs)pi6jTRyam3^_bmH1At=+;q zzMdiQNz1tw)PBbTw}|*3K7L+umrY64+Qua;58;!DLFyEf)9_TrwZ3Oj8yNb2!6swp z5`m-Tb|9L&4Cnw?5Rg2kG4)WVCVm^S!N_~6S1j^=tk!3Y&$Joyu5;9hAkuprq zuOMg{y~=C>=VWw3me$VhZC}>VmT>r<>$-Zh()$(H{*sic?rnbG2YQ_lH_o3K+hj}| z+T+`M?GQ^EaL8(O?_lW$PcG~b&;m6#?4O}eQ8>-K7LKJ;dkHudOajYmXLo4tvWfb| zq%?wog~bbWO5O*mdapx27G2y{cXIPhsu-EUrDAl=X=q^qYR}&!6;A%#JtMg%8!t08ue(e`k*Tg69 zAIa$8$-jdT(0u@qR`A%AEH=+DIPMWTwD;`6cIW>n* zZqekB0x+e$<35yZ*Zr2$L4WW8pL%}nH*9eI3d6ia)vW#)^EO~A-9nT4#uxRQ=-$x- zn&S=JL(1`a<61l^cfa6>lB!0~w}nTlX8PJaq}L*(roC?iMz(f_PQk$Q$kfe0_~Q?3 zfJ606!>69{{)5=IrJXCO82!)Rt}zYBJ@nkSa?|ZQZ({NC4`=|$j8c}(eIr~CyAC-M2TrdTSoowYUKLzY3b+idVdLHdA~Mfx z{K9LN_g*xA{f0w8NyX{tpFt}sVI1_KZA@9+0XV&X=Hg%Ud2F&$OxIp-%%tsD*F9?8 z(=xj53`;B9M-JHG=b?r7O#}@j;PFLM3F_EYBQdc`Dmers9%1Uda;yZSi|4LBp}*La zrqDQKL*h$Du?fkfV$vt432(DXXCmPO-d9jI$qS6j8W53&zbz6LOSKd1r%!lQEGE!9v@b;+j|xRw#3L1l0@ zR1V#%@PffA7ho`0l^2Wxk}^V7TL-ySHndLt;fyzG#vebSq#(#N3Q};cZEivlGPqta z%zu0w;eavy#>x>!1WiT^4H7RHDufzIA&P1!2%zq$hvM*pdpN=i&KKcIMkqAriJXEm zn&nOB^cx!kDA*dB$qw4v!x!lQP2~vPae}6Uix?rlO6cezjOz1`h!Jk#2oJaZ4>2R0 zF5*GXl@MDjE-ot{gr~}nj=_)hD>U&qL=Y#SnuI^_%?oyhKn7_V|3RvA^UEuUi10RQ zxOL+nMI%HP@gPYf)IpAogG0b|qiE#yf?@o3htzZ!3LG#B3PI~dh8!G)??XRF1bXxa zG>SsYeJK7|8uGe=I3rXy(eh@HN2;ZzH6&tu^(s0R1Hy0d|J|e@9fk(+ML1wghK@c3 ziq?U5QN!EE)1YYY8@`$Wq1BvRxMe|)0ql0~Kbi9VP~AACLY?EIlT$~>5Nm{9yHjYE zGiaQr!(bQC{?Y)30uR)=x`x&Ug9OQsHiA7f_!%)7l!A^!wYgq{UaQ1YKB(Y0!`bx0R7TK7O`G1T<9$az3Jf9J+ipI zzTxNKXNj=0V{D2I*KVGiT>ViA!=C`XvHT(z6cJ!M3x}}mSqNhyVkHHn@4uaXxE4c=fK~HxJ>gl7AL7gqeTPoqlM&Pbyc8HSP-=Ne{LRVK zDW?VYgjF25c5~yGvs~YmMv`iLDba`?0%#KO_pkK56@!{ettZP^U1(Qk=*`AGx%4KZ z3Zv)!DFML1=HH_DV)ZVy*sUg}k(hL(^o^>4tzH67=NqB;QdHlg&IQ(IzRx={$?=4OTP+C73VQ>6_ z9^{O_!27YX5SWV=i3^<=1y@{?hdFsvX~k8t=LIhO3IsTG;ldixCSIJ6)a+k>x8J6T znGDymlW;gCxaJ*h?E4KlW(jMSR1B>u=hro(eWD{v+5I6rV0aM3NJ8?AagF*3c(+w$ zRbh;LU)0&+>w5l_&<7mPkLf)>$f&Y$cI-=OqQF=BRyhQ6$y`2h7RFchDOSO(L}`&U z;c*`7JOxDQ7wDkYbWk*^u2WApBKIkN>_Xf&!LjpM2?}cmzw&kL+KYQ&>_N@X>z}sQ zE868!A<59Sb$xd758_o-oOf^|2CdcAKrYdqW@l?(>GL88yVv>P0QteJgC~`gbcCyFa!r*nG!#|RY7jT z?Dz2ODc4-ir_vwCu5>{jB2ThrGKMo9*UN1^Sm?n-R@b98c zM5L0L@;^Y^;>4rPzyy|!eqnLcrs0uY)q#rCk^Uf7LNZTFE^TCl;)0aRf*jC9T1|O1 z3Z;7DuHDbm5g`#(_HKG(H2F2z?F64cNtzc-;v}33ew<4vlVC&gzg0-iKQz;8#PQlD z+OIkrUogcKkSD0mPh$NV|NK~QTcY(Nl8@yNF=~Q}8g{ga{uYXAe6elXc&^NiHl z?0K|(5wa|eYOsPv>eum<1eB`lW_=LoEb_yI`+_F1hYIf(S;yG#wyo6Br;mmi$rgUj zx(e6=qL%krv=zIOqazKUFbgfQL$M!yFhqawVkt=Br>A5uAt36ry6{%7CD=ZfyX)rw z^{J{>$23sh8df@xDgIJKjs|@4J=0&>V-Vn+aE8*Fel=h@CiD7YK1fLAp}cDFD47-{ zn-SW4-&p<0|Fdy1-+Geap?3|YLK=OB-u6mmZTlN082{mpWy2+R`p+OY1>u;gsOQ&!@gvuUurhGG>pfL{g^i z{2wElOGJN!nFG-NVI|bS@i!a@zK&1)6$b+7-0rVf6hIMsf5oBzx?cHLEDBWB;OBb( zH5LWX^~t|tQ4kjonXmr^i~d72=N81_2GbD3T!3$Wz&AhPo5h8f|BpYKkUG=wz)Pyb zFk*H8fz<=8xktzuw+{>OJ2i-~zVqL@jleVtO34}$I;JvxWew!Tlr+UNQXjQ1H7ke{ z7M2+7b6m6?flu5IR*`n@Q&RiKXMIJ$n6|4oHZgmVUZ;8I;C5(OEj@70Vts-#x{@A; zrqvOxSLaXFACF+M@Cb`6U5YP+Y>5K=R*61PvI|FM7Q-zq35>>T=`6D3yYuVf)YtIw z(**GgV1d-_p)+uAg;VmAEN)^^1#P|a*?qFGrBAuP=>{V;+CaVgqqKpMu&C%yyo|EM zKdyu{Es!%>7=CA48q8om9^VCvN(6^nHa}h7B_t{&mg#I|XZK1zr2057TfSWvC5b=* z7&X$Ht1;v>_vJliO3b@@se^Sanx4cv#|s!5lBe%r|9 z*F3aJ0!q5~JVV;k=s-~nCelw6wxX4IZI^SdPt2AojR(08y^@@{OFiBKB@(&zhPci#OZI!FVm+7dT<68VxRUXC zb+_V!6d7k`;GE>`ZV{kR&hpOHgO81dSiys&Jb@q zE9~IwS6(BRcygHOfQ9`;dFrwkGZc7c%a6=&k=03Ui@80WrWJo>;>Na1H29(Z#Y{$X z`-u-we0MlZyNgjdRV$WJt4${y&Z3Y%Rwcxy(zNo+Vrt6{d_D!LLBl*sI9BU~SmKxS51bR1koCz>tU8rsqES6J!?wtb7A13d+g(jk9Up`dq!QB`$YLTW&!uE&UqGcS$y z6HzcX=Zfh2fGK70Oc!yMOnt6s$3TUvK0QYf5wdn_+w^Yyp~rW-UDL)FAV&8fyo>yr z)gJDd)OGt2xaiiII0d=33orYPa!g)s67Xrn=i_IZTVzatDEro zH&vMK2&f@rq_v~bCvOBPcP}1-O>HUZl4@>)LcIWnpF5bupY1uhSlUx9I37Q1y5wZK z1*ZBk5^neA*4-8s)z6R=x8%?7wlfh+z%jThgYm_g(H0o2vpiT~E2gNUsWZBAn+hQA za^%j*-v4(lZKhz0fogoy%-NkPpQ zz{58@weajxQQNMhe;oOqgq}}qReSf`#x)iW?;~sb20$mGVH;OE=is-sPa!DbTlE#& zKlMnVi#F*I;_;bTYb;2cRq!kr((;ObcTH3FG7rjz2y3?xl2CWgp4B(D?H&aa)1oSF zK2V$F&s_>?wkO7s9prbVpQsu|7j*8R65N#rM&U_mWu5a|hZqE;YKdvXW1EP#1;ou> z2LuBw)u{Mu*}kb07K2TNkRD32NM&g%s~u8lu)Au$#nfo+m{^EI+r*${ zxO$8FBVrkOs=|_)&hX+2A|PY*Vm5v2m!35^GQUblV(J$j)iAM5C#$4w>=BSx*9*|^ znB&SBzIG@sT|)*e_6e0emn2luQ;P~?$Yw+ePp3d`q<+f5@SUNN#W!|6gXc7c-`E?HAUtaHNp~ZIIyg4<6cd|$d1LY&HW&u>H($505Zraxh9NH%4(SSwN5V~(?Kez zsGs>^@6u?)?wf*}#|Iz(pFD!Z2re$#A&<%&)4ZS9)^F^Le2 zpdZQ~8#YinCMe76w?4EKJ2Uko;&iQI5D-Z_0ypokv-_xzy@Hx1@HE{#a~3x)pZKSB zk4*kx6Uf;@76Q_8&kbJ(CN<5<4$g+hd`YnGeaW13wLKzUK0%tlM&kr>@X24lHHavk zJ7?tD;tQOAl`Pjd!5|>}Hn(nsi&xwh_@%Xs?6dQ~YWu?I`#!I=>kAQh9+r?+(8m4R zKl>Vw9X!?!jY&ZvF!l}ZT{*eU>A6qB{WdNitYAVgc>BKUeD_va2tp`Gf65&kjCSqxTA7nPubGP?D8V$RvB@(B$00 zuPZDGEfb56!`Py_byKrvn1r`AEea_n(U>?SL$lWCcuZoSn;?)p4C!QzSlS}tbESfS z2@Dv(VAOEpM&kwfI(os7ZOFj%8(# z!D9s(q;G`xQvczP^`D!jZz5$N_IQLKdWiHG{ZB9T($X?C;|erZyHU8trkHwWg91gB=yg`tt zXkKuYBMgQDS2{|vf;+q_AJjmFQhy?O;76-xdDllM457 zgwsdNjGWxYo4)Cu-US$>b2NaWK+_?2edrfv5UL>v1_HR$5dx57W6)8;zZOAV)aUR} z_)DnZ*zwklQ!3=Ag$S2AGDB0aw7^jQFh?)Qpa4Rr5OKU=j);)Z5z+xe)D>=72^}M! zz=P+SS~}1n>gwKDqe3xFH>}Z9?UtEE@C{`Qg;y9fQ#dptpb^;uX&#~f2)N|&M)Pk6K`1S`{XiE^(UkW5)4C3?VKLq*> zAkYuZz6<>n2=t>s(NqwMT!8R51pAMmgFidJyh8lrT>v3T0G5y>5utaMu^JHK>%+VSg|`G}$v~K%5^R&iUa&X{i2Tt_x6X{51{&>~LWP1{u;`lR@q3 z3)d7-!}@~3|HUdz8K~?326>wNn;dgQjs7T|tMnr7S89PIakDRu*aOH>-VP~BVkTPL zJMF&>1{R;^nr?DSq4lW!>RM;m4ww=!Epec`$M+s;@+_)%^^|&UArRI%=Ntk`qi& z2(K7CZ~COo-X(1BQAE))li4h44nmLm2m7|T?6JenpbH% zv^1A-J#+GH2AR=YA^O=U5d!bRj-RhhOt5VrR6f^;hxU=w@tJa0RcK&3KtYM zPZYV|;qL~iPBK`5#BQtNY4G0nE4$sRqwAfxZ_ts}|+eqCnN23g58u0&4FjwDD zB@05yKgS=&Z?^l_-}Pua<}RPPvL(D-)KnB`5N5{hPw^1Y3vvqJ&D303Tw{w=^PeCG z=w{1AXi;@{K7X!cMz+EWEF8$zd8q?N1V6;Cf3QLv@UHRF*@$~wVI^^Yc(_#52e|Qu zcfE_=8x)RA&0^>wbC%F-nkNzRBL8S79B!XKX%Ao+XF1&aHAu`<(XHo-cLY*T%lKV) zli!WMTsWxu)=3H$aVg$pX?su{9BoQw=%D1hc^7+-fX2#gvb=oj>zedSprWyqu|#A< ztIf0Ac<14~i2+3|>xqWvVpQ?$D4QuADL~5%%$Q?s+LbA8wAml%noViPqM>!Fbtc|$ zx9`t{^rVAaT+~VJCUXYq)SYE_g84r@lf7=ei@~uIeq()|DqrZP#n=8Q$Eb{ZPXr(qZMiW+Ti4H%J%5HKW99RNjZ{e zJLsIcu){STbe{^*V7?j?H2G#+rh~rMiyH0iz;S)JX$GRS;=oBzf-Q|20F6~Rg1zxqssvdm>*H`kU-uF0lh zg8zw({+p{#|5K!S(?5(e2hKR5AjZD}LI5?<{1p&_vhs?*f>JO7o!|TolmaNa@vop1 zK&Nhh1*L%d9?xGvDS*yQ{|ZX~VVsK#{&3G}2x>0FH!JYXDtz;6;V$fdyf6qcPF+2Y zh|}=JlVd_{^YAWX-$cBxA{Pl1Q{BhJVJ@M?x4@&t5(^d{!J_`Wh`ytf=l9)FpRX1# z45xp=#6?`)BH{Rlx|UGoQmC8&%RFKExq@LGkFCmkl( zHGzZa2l|*065gg9qh6i)H;O{0ywcaely=ZAc9#30bq}a}^Y|KD;gxWWn?UzTNog>X zsfoK-+CkAxa#RD2bC9=X#F7>#J4Tg(tmG$D}zGnupf;ntZ;V+h!(ndb?IB7zSMHw zyV!z0i3hCZ_!B|Jn?*Xdf*qD+LVc6rgU)Hk_&uFnl-Sl3qyrI_V&!yj-nw-u5=+LV zKQ1WXZ+`YdZR}P4VHNIoDmzT7jxwUBVBPiY2Q9Xx^WQKomqgCrdFC4hy6NX0o$U_M zE05b7&_Th=qSLeHkJ4#fL+{0h%kzHVd<{-cno78Qn{15S%$o+HkMQg9)kBeyl%g|y zl?)YWU9x;VQ1F@Q+Q1^7n!fpdCBw?BB2!~Yk~8h& ziwYZE0b+HVc_y$w+V|8Jc_!D&BwgGG9mSjZDm#@NbuEcYj-EQi{Hh7CL=zSv2ioeR z9;$dpD%CPQ`N^cga5^#l1(&B7e0)g_Wk`SRzBP=hxP_75w})poo)olB)AyQw;!0;o z?rKH-5}XUGJD6umFl6A`r+jos6`YL6G!qVg`=P_v@9TZx?Ew3Y9H_ zjTes)7n)ow`Cd6s$>Y3x6D;MT)M4&e_=f!VSxVz=0A5Fm3Jjx(Q(x$&pRhU%Bjom~ zV7z2Lap;RI+)@>qybmr>PAg;_nrX4}j;@6)a!gUs^obPGg|SeGE(8&2aOOcFeF>8m zHBD;)GloeXgV@<@v;Z-7xEke5-o;N`?-C1OXwJGHrv9d&xa)dCf2yPId+4)~1YXN< z45oXewO0qzKm^ml_~6amyohOqSQ$csC=RM0tXEG)^GY@+6q&iqX+bd!$*5q*N#(iW z9aXk~YQ(B4V-$J>>x4bIF6BYB<`EMz#Of{MX1ddo9~ z$`}L0F9>TRvqYAJ#CLvMW_0zNM3bS-1Da1!(4CS}GV`W??IGbp&5(|s2}Q$1Ca{MQd41RD%s_tA z*!`i_y$1aO17@E3WhtNc9$97NK$=6(mM~+62>_P+f%kIr?Xo{Dh2<3ggs!36yF`4b zokmxS{Wgum!Nm)lgUYHJ`WN>v$=QVNOGw#ACVr~i*u^EJ4jd-1bWfcIXt)Gy&aq|f zP)-l&Yq#wFJxmM7X<`G1)p11^FH)Z}fI+&PT-O;<+`^~p<{wuf_0~TlDF0wU3y~Pe zl|Gq|0i_D(D`;$Df!%AjS?`IshU_7ve3{*2d|=|3*V-|+d|&xeS#AApL*ZwtAK^((6T3d$p3Z)8J4oj`$oJ+(Y3aTIYvgq1o!kj z1L9U5y^c;iIA;}Ah#dsf^x659t<&4rR$hK#*UY;J_h(e4FzPx4OcGH=6Mj4ZPunOB zT~i07V}Eg25k1-#;ofFpKUGRt{*I&EkBEGS8)Tvq(rVgOe{P&ZyTkj)+$yW0T2w9~ zF{gR;_bCpQu|FuR>>fv8;|nP7>)IutWPcUY<}@m5IBe(l;1PvK0iG4;*m)#x6H&PE z3qdVar*!q zBVs%@$SE1XmQ=I~&H1vtNyg3pAZ=Iv5c#&Ap=oq6sO=(`afm4zHh5v$)cw`=9awOhGTudiuezvl1JhbqbiJe!0Fq zyYxU@(JNriJHG^-TJ(ka>)5pHvPODihu|zwFpiARrK%m2mODB*jYX(u@08j7`!K2Z z_a%nLYyJTt5HrCSd>)+mRQuiB>Vv9Tf;=$aer}r~QC(r@tZq29 z_KyC<@`m*L<^|EUebuAYms8;L)vZ{O;JI5bZN0uOT;NcKCA5EAJ~w&$p{{Xi1|5$M zXxW5s;Ii|m8NP9Ji~E?+c0!x@Vf}!bE9l+WXR1*;T0qJ98Zg@>bS)k~(*=CmpDMdy zBRiNlHWEXyK34168K7@cvaLCJ0ONV?l9+;weUH!Q_Yo!qudK#sjKUHc9(#p3f&#Q45{@OiI(yKGb9MTFuj5S-Ak7Rkx`EV7sNjU#LNqF5%1($kzlDa27YqsBZQcSSa)-LjAumrT$~+A+ z$%kttA+@9d3<+-h=$?sQRQzca9xpTD1vxQ65n=RZut0zOJb5?!b5f-i%T3_PEKAZJpP}yYeAtF7)%%%_n`raB4G~< zV2Dt%4g~e!)|L>Ay%9v-bX`M)?j}tJZtn@Zr)(NE`XD_VbL4j~;CpP=0w)M<=9>>^Fe=unk~@P#-qD5gUS&P`CMw zEOhG>TGCkyq>c1~w2{#M5?UT4jBJ6$Pr?wO1;P8yk&#hR(J^tLBqx@CM3HbsB*Z77 z!r=Oor2`$VMEc%a|;XW&_7lN zH=4+F7|I_>q!$biYRQHO?7Ni8TF6Wlu8V~J@gFP+$s!kEe{_*3a5mZ2o(?1aM;F-z zuRnZtXai0s;R*6^J5{)!>fVjNB?KmqkIycz2_Yg5iH{MeX`toNro&)#(Ecto=og@0 zQieaB=qd7_h7 z?7%WeUb1e%SXVI>@2I2D_bC>Ol{=Uec}Gdf+9xYKnu!rMTEY1`tn$%F8iLn(BA?o8V1s@Gy636KYNFnBLT0dJX>1A zY}K^X$B3$xJ)yfFBCuWVNjV;uTYU!zKdhTgTq7u(jT#$%#PlQeCi;ApQ)ET1TMl<&0liZ0AKnfk4{yI`&s`uVZ$fI)pa&JrvbqjGj_j&c=yNZBj9$s zA_8RxUE90&sdv!7VT>bWsv(FD!BPTX!UjgwMls+ZW0&SEK}3_i6&Z%37NhD*vHVDT zJRv3`Q%a$G)c6Xd8kUEQve&UD*0glrvzqNZF|o2<@9aoJ!X9N@;KHkhp+jJ|T7-Ryqb8Qt)s?I151>I z17A{569tQ^xm;@LJBEP=k$kJ!Ldb`tO%zJ1r7z|SU+!*DeSV#o^dgEYD>%{~+$T!4 zLuMDqJ*m{yyKV1Su`}d0voxzGEqIqshF`Cy4_GZTR4~=X#AN!&ml-yzE9ny^V?Rxf< zryDiWz`^xea?z%N=J7yU!y0}@mVZ;biq|-y)LS*p1-i@hdcf-w^7HQE)iKGhQ@`(7 z=lE~X3T)M#0+nTZWpGN)qR_$i!DHU%;os4%V?L!9Zfjl>h%p@|J$dxzZ~&`pCQ+FK zXyLi3$W806B(PfYElQIwrN^wZWs+gcr$XmAXrqYa!2L3YW>)2kg4p&e9nG8!Qa8(| zj!wH~NqG0zRCi0gjVPbR?{SLdYpC~NQXz^SDln;SudlsF%R-=`eN&|RpE#t*KI{*| z{5PM5Hy_e9|Eu(J{~vle4X2kJoLqlJe?U=5`LE~?KyJ~0MW7%eGU~4g6qI~|f(ZYD zKmlYT{Z|AEw&019e?g!C2lDFq8v^}@UjAC>fjdn@xN;r7*?@0;FZ_W0CvfRFXBF~< znd&Dx-S&cD{*NJA%@ojzxqnIl!-EkZ3_+I{AooH&blwimDm?e}a#s)3e!N7dY|$C^ z7S4f7)f#m0y#bA04UJ*9uRWup@r!kA=s%j*E zZp@OhE$hKV6BXQSKJGC21~|hGJ04SYEd^xnbt+5j5i$@;jgVNQ@t%@lU=1}C&HyXA zH+OeC^u}m}mI0A5qF~8Cdgc&h<<|dmvLWEf{Io@uG{)z>PU1R$Bp5Y7vLU?;P zIn-Bg>TDw7UN5le-RHqCeW%_IP_G-i5hF(QD0K=@t@eQNm5SX-TB_01C(8VKEl&S; zK)=GdH_yh>Q{EpVJf#~ZQw4`J9tGOOPr;GLuQe)q%NSE&%C9y*rfx}e zYm&jul|)r8?bye`H5g38Qk<}{X&y}c`hY{cTN7sIVp&=E_|@0oZDQJW)<%>phf7V{m?P4dkKgC>M2fnuDWeZ=3a-U zih!u8O95VN1thfJQZ1bRsD|+2pt;rip+H${Xm7I38QJx)CZ4RZ_6RU*=}Z<-b)9QY-@NVwO1F7E`(ht_CMQm7&)FKtGJ;8m=A;#qtTgf>?7|pjD zynvUEEP=09YbXP)&ffS=^LPQ7&}pJa4NI(#jy8hlOkVOGTSaqhB2|V9P{jlz5hPLo z3p)#y0gG7ci}to%(=Fl)HnI>^cixTHLKbt#pEbxrfhwa^9zE{i1rNv=vTDoSyW7w34$3-$9fmkj|!`>RORh@0w+!O=x{SeSU;nAv-CA60YE>AbXEHG zaR%kH#2u?o6ywxeIfjY|vD@cFw9-nC9*ThluKSnCMpvd>(c_oWNm z54_U+_oVQE2QTxt4&3Z;A$}(#G>fXtpXu|;Y#05(I*4w5MLHF{z>GsZzPDc_%b&nX zLeYh^MmfIvQsWu7^In7*dNGTPaGD<+-1t-6VU*Y&qOmi3VyE=w7!(`8N za*s(J@;~*roWr6(Y$KC<4vVREDy;JJ0!Pjr*NV^Ikv6v9MXe}t9w>u%wD5oeVpGNi@bi>J#Cs?c@UeqK^2rS!zBkcy7?RLnfS&rkPg1J z<7__iZXHD@eC`-Eu>V}&;!R;a;8KA+Dtj+z1;vb=BDx9g1%%G*a+&pGWgOj>Et9?s>{l~;Ke9~%WZnbkTv!De`|AbkvNI|RU zSWg#_A{Cw~#PrNWMqQ8edFx{h=b)_lL!mHj;JGOL%q%Vz^-bp~Li#2vmk2}-xP&#M zs%p^*RaDcmL4M8j(qk>}q`a#6!$*&;9efhg>W6-vAdx;$)-it-90oo&j?Cin%b1wI zE%-LKE+}K3SKl!5%Ob9Cxw>QI2c-yjk{}b%{n(zW3QxfC5aaVABY$4UpiWdqPx>)A z4vT>uXk=;m!IfAua(cot{qZ7gZqK&zCx@X`+NWhic5U~d-{27OHj|=b6^wQt*Ki%1hT~G(c`Qm{P3xDsUkYru6L7g^1l;>?cm{U&u{Q4MXEFta`v0(rsiG zH57a?Nogmq(3~DM-@>IsdPZ@#sF6F?j$UyfyHwmXuA>W6{7q7-(%obx`LZcw10ILh zzv>auXoc=F0|O(6uzG&CCLE%dp~XM8G0C~J+Wlt*d@)t+KQ7#nP603`PIJeQ#wkYj z2d?NWai2bSZxB;Bm~?Ey`l0U;Z!-ugTgT;~z zJ+s#(Av2o@iEP<>%M2mOOenLEkQGrxcD7_@hlWwf-em6;e%I0a{r-HupYP|}AHVDI zxNqm&&UJO}L+3cp^BUWa4RYA9XoN0*kvDfruAuh%v5rFoRDABHq~$b$Rzml?8Si@O z{XVWU#J>ED1K2KzUr$9>aK@hdY*OB+{bcJ=eDo{{SIs$f zi~J=LuV{dXyUII7KcmQD410C?aZTSM?#--KVrI_!(XlC0RB|Q&Rta^EZk=G!ScN{R z{Je%n#G#c`{cS|t=4Mbq&(0MUU{cn)tL#xQK*DEg_pp}I*xt$7(W|4uT#_p|A)fJ? z7I;k{9nml9(mui#*I2}o__{&+#^qMKyOYI&s{xKT76^c(C!xNPO-Nolp0VBNHou8i zK;H5;me6G**YKk9?PCt0;22T*?JSq2b#zv7!`Kl4BO8Z~rB^^?(g~4-cQlzcNP9m| zB+)-jezbCxTCM!;re4!1kHwARw}kw^JFPLH_;G4F1NCt=8vM8#UYHAnA69=O!i4to zpFi(V(=RP8FR!d_lcFlEPdlwCPupZrkdej`p@WG`zs<3XmtYMg9H%yHh!^D*P@+#o zxSEnBlt98wB?CcJp%WaEMwC45nz|G)Idd^WwPuSOuQa?id zVdstMxeiHlHPe@Mj>HFeX(%5I&M9 z=_5+t3FVJ)h{7Ru@zUkXSIkk$M_ANNiORCHwzjp6gaZm|Ax(S?dzs);SidEYaJ{%d zgaIlZe`_9}CY*BAOcf)5D^3qC3Hkt&3E?#k<)g7qa$<%MwpX&7S++j!h#YKpJt>&8S!}v4lX4X z_+||?U`8sWiE!wkek{6{2Ked}TwV;5ujR@Mz zOfVPq94guX)lCI8p78$?w3Hvh)+Tat8-^@^MnMVJpc+RIgxV6Z;ju(RXyLsk{hQjO zuszdRw(}eb3*k%^z0{~c|5qwVOv z8(%`(`LBf2yYAm-HKCm>ouD(L4!uWP`?m>Ae*b>6Ewn?_Exh5X|9+#bB6uTdw114~ zbEs?obKYNy%_`)-%mYzbdi0D7iDy3v6n1oP96o2pFl<|4;ay{%oatjii>e3iMlPEV zKdP&|zS$GMRrt1x=GK5S#ck&WeIt>m=l*;LLs1qtuzRoHdh;d`fZBc?ovas| za_IUr*Ir-3^axw+qfR@YRWExySML~84pOnW(R5>q>AyAAc4#+Ksy`VQ{{AE#QoR%6g|5;sY7Eu1CLGIB?`OF`PjB#!Z5`g~*Jj7NE?X;>#HR`s_EiFs!6$mN{- z954NaaIxg|SGV%b)+To(GpsIK0wsZO2AH8Yd0x()szq=izx?t~e3qp<-ST@} z-^WD4B$vM4 zDJ&?;4^ihD5#8QVeGsp`@p8-+&Ao-Ul0er;RofPDdD2N?oC3Vj~c9f@nQ681%(NfPvZs?4B`<74?;NQldpzwv#_2H} zrXv6|XnDF%OA13DKk;rjNMR_9rl;eiRYLz&@-&u?Wk8Y>7=21r6%@nwT&Of?S>Av5 zb`MkHKyKsgWM1Fa)RLJj78o4zyzpLAF!H4{SEKrndLA~Ws5HIfuLA!d!FM7_Z*sv6 z|EWtC`Zyv@u1ELBWn=e@Z|Pvl)25+I5FDmT!SNh5l(18cUq?|D@Mdud|vTh%r#k}*X->25I0D{3=n zVkp@AihnKUL2Yo8;c}vrjG1Fi8U^Mh_F^hPDaJf+az-LpUykKCEh9u*znj(KuHnUZ z$9Op=5<{cXK%*$S!@tqPink5@k($G`(#+?0IKt02spB1V^X%Odr2tlblF;(Eq-2gT z!RxA*zSLKGu=CYmEnbkX$04LJYA*r~rE-twtnVDz2o!dk7M^kc82%6^Zbc?n{u)zx zTYu>;m>s+9%`2*Ft7(40wceVb#=`-hbmD3`p{GgD%MkX6Q4p?sGGJ60_+TNtR<@Md z^CI(b!JL_`*p?-phP910pBDI-k$hv5(@^IDt}RCnO?^$*y$s)JiS5sKQ>Bx5-@ifw z0{n}CREM*(QL!W}!3>XyjwIz7FYOodm(66_%?HL+fc*E{R#<%+?+q&?xP!C#7YWhM z$h@+c9pu3F4EX8XPXJtn3k&o1J@hszYwfG_IWdBUFMHvUJr7G1%0EUT+Zw~3yc@B6M!{>zJRT>tGE@o(7qU!D<9pMZbk&i^v=Ke#FC#)q5m zWe**VOmy@F=0(Eo+h54D0fjslQLjON;DrEHDEKE{2)KD*&F>$$DNuzjmp^e+-~?;- z{=`iIjC1)THw7?+=a1YJbakW3_x^8gih4z=I)Qi-My@QQK<5f-w~E@Wp?2%z&rz?d zrw=}A2L+p~CPP6E=ZPwRG7hA&F)=nRqF)#-BD8a_*RWu0IH@xNEJM!OjRxW4+YvJ_ zFFKZ+h0?W>8m~N(yF^&%LQSk>2o84cntdOyGP*+b1Se=iEu`ANyK9g{+lJZviQ!N_ zxB;HC2?;J>3bj732*p2K9McvmpBn4cARXQbc$G1j=ZgbkD06NF%-uW6#WLu4n`nM|J>(c;8fj@1hYB*4k`Lzff%WDaYOI%QjAJhW642R(XG6f+KAWz^I{ekf~-KzJU0}p(jrztewjg!VcL{&v^?}6(=!Pm!CIBf0TAyaCWPB*Y==(9}A*(yEN zN|wHloF@R(ZopQ;KyehGt0VSVMjggeFD{ik8cUp_N;0fBJFFzZJ+asIw1U*%Wa7FQ z+7e(*Inl$+RvTwE#S&!>>1W4vlr|jp$(ZkC|BNKB*g=&+8TYTbzO0=c{OZ|+r zR>0hFh(`1)i`4p#7XB~#_LLfmy2#CKrPu~a+9km>LwAs|E#UPSHzH4f5~K91CxuHg zcej@)VdO*cz_G&)hKXY^u`~Sb9%8yI>lfHXWtHPOAAiS5r?~*oWYJ`&K&~s*=36u;`v?Z1?8qh&0D6 z&f&#p0g$q8eWG?Zs!i(ly2G*)Mto<_Q>@#aLS#4Wa%%m|K+zOrl9WxML)PhW6Ue|Slg_xdy?@$HT{yk z-Ig6!Wz2kpm-d^H^wexHAMaaD&wD$VMS=&rQ!C+wG3Guk8Rs;uO%kInjzx(!D2fsB zKxp2QJjj2fNg0Rd=gz#5b>;eO>>!(U@Nhf*OEA|$JW`}Lnp8tvR4_NP+5OHy|9LR? z%M307;~Z-F`5Ew2irqFDQ|?*1Pj6mi{?AV5lgYAeWJBaW7Q@G#=&$dAduk_f_1aNEpNOwvGKuJZDw{$2JYMTXc4KUJ%1gqhN} zZ;5SZ-U3sTpVRkA0-BPumRlZ1qAQbF#$b9#B|I~*N?Mn^_*w(V)EG6#ADDzHy^6~@ zyt{LipuNm31M#a7{M>4T&*?1zte)J!*sjT7?RbznTE#swF7L^e2@%L*)o~`fxiBMfI@P1ZUQMF7e^=2p=G4Y5R1S7i)je@h- zT5r$0N9MAuwBlJgKgcknxa3nrk;lxdtp|EZ@#V3Q2_u`2gBU)+*Suq1m3>=eIR*VA5gRSKf3 zWb5Jle7A73u!_k2{_w1<(W7qSS#Wfwpnv3WdbIKVkGoD83>_PXl+?Y`N{?cab97DY zo$iC~pX(UdgtT17ZtnRACXbxCwNrLo?>07>e8ct$A*&(qiLS;Ctr%Eb1qP-!{D$H> zN$f~Xw`6HANK;d3>YK=bcVgG8=MPCM_o!s$zYpP>hEPp{vpY;n!C~4lQ>>Ao9qCabQ1AJQAIXElqk(kl;1Cw7w**Y>NuV`Xv6$|20 zbG!ELJ%C5gBcy-(?(^3_Db+Q7^BZT^M0_5n*1R`$^g#H94NZXVgnC2D^f^TvucU2y z<@81T@Qm-IqJ{fJ}>$!Bl7qVsB8r)Xm2}K4bL%=lzJP8G z@%GCPO+6EV>AKe4&QCz;9@@R{ypD4it2Jk})o;YxmiPc zL~7=qm{&7|d(q4>v!>z0k3}3>fonPj^~2~myg>Y+qKd(zx{n(tXXURYJa0dslzDYf z5}f)WgdFej2Rt@lj!Wf}Ha4pqvdJu)n0!&nJ0kJ!iIkaV)YD{iwSuKI@T%c+^ftw} zc2{2om%z+19R4e&DdfDuayq7pN=h&rk_J`pjY`9WC{oV=g&Fr~A zDt1KtG7#i0yzCEo87d0%9+Y}M_^06d^=lXlnF|GhkVzI6;=d`a==_4RVSoJ&rwqdF zMNhU{@1L6CH~WCpx|`2voY@El8Q3wP2$h*hj|@_+p~|R6dD+2VoS1gpfu;90}Ogs;Daw5f?~(3pVX3k3<#fB>&wOkLrs@`K~iyOH(6)G^3Vou|$woxV6Ep z9acA?O1nQm`r6(7xpx(gN(+EulBltTk~sc`k$Z4J=Q%Yk3Poa|LRK3QtlvN~0}37C z6&P#)qYdDq=Nl0Q4%9eRJ95FADqdazL17U{Ct=KiuC5+b{KBYJ=)?XEC5=t|0s`+t z6xsM!zCj>@grYEd7(5U@jvz=x27)|v<%jo52`;2Oj+|nC445>lYGZ_`*_c_P5vaqVfugbS zET_?Iv#e~)(fs^uG!cIrO&qB3gh9z!uYz@L^n&%#a?!?1;d|Hd6HElbK%vc$Mu<7l z5UlYuB<#(<-i@99nxS^PXuGAwsN=uTcK!F&ou~gvLJo0TzNwRRn5ZvvDT4rK`6<_6;er1ywGGSf504NwEr2P8Gfi z%GM5@hZPR}Yq+fMK!;lcR&jf}AG&|})Jm})rAM2G%ti*<1;I**d)w?f)xc-v#KuX6 zL^Ey4^vpN0mJ!vOEV^@?%U|8h!LS_ND+v&LZ{f_Bhc8UpI)2?ueXo2VAAVa!LlxW7 zT#ob5B`38F^g3+O4960xKl~{$5{&2(KBB_B^IcQycH#+exsUmd0x(L=f2wzvWs~_; z^`j=AU~KVzt@cM&OJ=K}`)U{OlfMRj1^62a2ZrI=TL^CPfCI4&p0*41%m=E$2G-EG zj~qq-1&1m4O41YbnrBwQ>YLC)%*V?bO4t1364V#e2Yf39LCHWt5KVJ^93{~QQt=^& z%U)GvXFQAa(KGtzjBih3*nwAdJui`SHHDpm!nU#5Waflu86+1P2pv{+Zpo^U{=x56_EPHZg*Ud~ z<+K{WUdApe@ypAr)wW6_I+)%QVv=Ev>j`xjtPQ+6vog2?$bLx|Tj<|UyLWYEF!0h9 zi^V_(FYy(gz4e#|ZH0u(yTGvQlA)y}jqAewm^MOv5|4?8)wShkZ$;9}n|}GQl@biB zpHiXq22hnDfpwyvZ2JP)9~v#RqykCdacmxD<54Z3e$^%wH{slTe(m=K^O}o$5p3GY zTBI%AL3JYMiEwPy!2MbFu-sxoFELfZ(DOoVvIQ?RVpyJh>OZMx?YWg_{t^sn(oOUG zU(}{1F^FC`c$FVEg?F%ZY;mABeiP2yhkdW)^#Q!AF>btP|_ zgPBHf$usq>Y0(Rrl!7ZZjgfP2wMpE?WY^twWK$K6-+4&?1o9-GsuwHU<}vi{SrBM2 z{c4%~W^d>JQm7cMb6#ouTQ2xSv&&;a2BQ-~znF9u_V(;_RlrkGx1;f-MtIl3byvI zstbEhrrcbewiKSRWjuB*+|Fc6ER*1q_@d^OEp63=Z&w;+pZ!YCm4xHph}r4$<|X9+ z(s5qefS+EW#{ajHv-&TrnSsKZuXFSM$OJ)0Cwyi90}}-Es1NA=z(xW58|IH}6retG z{SzAnN-&iCPiz!8!1(Szu~7hx4}WB%sFxy$HP^@0VQ>tJV{W2$Td3VOYPU0P0$-#5 z^@0VlW?8V%=(6*D(+5|H+w*ZJ#U_AfmHhlSjudr#1ozr(6!>vt>a$$vWOlMWQNWn( z&m_=my{BKm@T(Q`3QqKyb4Gxs1oz^EaIkZ;u{g(Zj-BICsjsJ6eFeFV$_Cj@>tPpw z@r0u{G{7zzq*IEl1>L0E=Qqt{Dy;PN(dvDhMF(|`WZ9e*txdRE=ecb(KYf?!=P!0V zPgd0Qoj>l|F{$5-5Gaxwa$?V2dNKJLra!7njKo!Hic!!^efNFQWs6xu^X?Pz zU%`UK4L=S+E#~1#oT6Kq-#35OsEIm2p69LOyC^-eD!XH1 zz5ENbT*jyO=1U9{B0Wor>{AsjSueqJZ{yg!Y6wKPQ3A3)a444ywB|H*YdLPNT*m73`(g zbNcQ=L6zr~Z#Q=vICON*erhB@a>`CFAFp3Oc+4QAF4B8f<=m2SBhB*zQgC%8mq1wH z6^5mYk<^Y-iKQBer>n+;Lacc%jq}>nXYqGlzHF>0y9vTtX@?7#-O44Q0aDSof#F1GpostV1JC@tT0MK|#WQkW{q zBp5)c@ODaqncJt1a}SMfN#U_JY*oj-UL~Kp|Kq+1d$m9s5XCjZ;9!28R%O(udpp zXW_Wo#!!CZb|AC{o>^m4{87R}XS{ot5i>-*YsFPIc zz2&-dvq`b1-HYujpg(@b(t6Qjm-33*p$02~54msZ@)?$w?%8j)4CE7oV@1v0?U`066zz|eQxnDVKv}PD)t>&Esr>U#jw#9hIhpM*VSI;8ATbo%)dBSuQ+@L zNZrW7U(mI&U8+CEyq>pH2a*IuMj=AxLht(16y~`%-6-= zd6adn9%pn914?$TDr!L^TfYZk^(QpEzCj^L`NbdmL0aZl)}aGTJFs;&cI0#2$RZ(g z&}dkTOxz@WkDjw%)a(+|If?eMg`42%;3oDCQH&@##nYtKBNAqILo1h1G%{e3_HKD+ z{}&oy;*Y815|vep$on)fzDeio6Z`Z{LwDaCk$||8p~=ms;92v?hDg`6h_ps!&B8VX zv!rV|8Fh|rFE(f3%t|a7CGZanM&w=QF=+-x+j&ac*Ko)R4qiu+5oVHj)i$hhN&~m# zY;>Kc?R|r2bRx>`;iCtf79P(F>L*x)9o_Fg{Ja2;HH}^Gg?wDWBzNzd!y*%s(y$6n z_^NX+Gv`fBr>toj_l20t;o&GL>~`bvx;MBfo_fw36ktm^KK`&Aq1b-UkGwfd%ai9`2bJKS}RH(T>sG>&@7-|MWIO}JYso6LL#csGs7z75R zDOtr&S>NQ*LqF%kg^8&F*75g$QS)j}%#s0J`=7u%q-F_7I=E#wwe-_+3#k==>e^)z z+6&G_pC*@B6toN~kCgR{Z)KM5sCkyR4V>#!0~bwI;z~b0C?46^J;auE`?2JowQGGV z9z!Hrz~Zdj)HtYEwcBvIwIxZ`Rnt$2EHUR)vlta>A*7c1~ z^DFdDo}e?aYu&zA_-2fpOZ1}Y-T1GRKzMoo@Pe4qlZ52-nsuumg8?tzo#`iCi=-G@ z#bS+HeGDk?RMUN+$*w>9St#S&&e_n1>dKBVNJ308DRY4Ll4U5})0&3&Bvj{=bqw9h z-jRuVKS9K=DyhOiiqcbYBt$UzjR;ZzzZM1}*ng=b0}<-eB+LpJf%&%PR3EF#XxOf=|f)CdrfEyv)h*12J*b+g9ToNuuMn;YrC^abLLUi=P zqOf)o_HG6uOn;SsLkBkWnP0uCXnEbn9{x!S2jr1X&Vi?akQlI=#uZX6yuT%qx7^1O zSj_{O6AHw7`TCxUBv0j$D1BrG?EQwBm@pMHlMIC>UuC1L*O1cn!0{1<$3CIvf3`%B zFO3M&8;Br%P*?~9G5ZG~7(1o0LzDY3DG~)K|H+7i{%b5O90G2F-wMg!&g*gH-xQK? zF(G(>;V*$CN*)P=Fs0-SAfz-iM~Nh1F}5XwSi^D}8yJEK|8{qch=vCq2f|#)apZ56 zB)o*gp?2|&2=?zrp2R=|KsmV{ZD85va#r_hetpNrIAFbBXu%PwULM({OMwzLdZY_F+_1uBbXWh9p}G2=P1)Tq_S3!N}dWN zEfFS|wi=EaD1{`ESJ8mps1Fm?3|TyNh&NsZw&Z@Bq1Lc$Nv7t0Sd z?!s&S83^`Yj6VY*4+?@O92WjECkOI<6yPr?v@s(&)q|FnmiP64h20I`zfU6ufoL|S zxG2r>FdQRr9jtLJ1i>CZ5kU}~Q4hkjzd)v9+zdYstqK1CIB|)cek^kqe z{uE-uw|Q7||EmG$pIg>D!{C8`uKjc2=;lAd%zuvkz94x*a{V88`5&qx$xU!cLn_L{ zoI&@BO=Rt*Y4GN_G_Bd~dwUPzbH?s3H*#(P+--@^yg39}^Cd0?^+5#FO?Wax(U#gu z9=cn3X&3l@f@$>Go;5>VZEjp-D6-@!MG)=z=c(L%DDq{yftHn%^`3SzVCVqdwkfWs#HMQKemC*ehaJdS^&}YEL)ZougdK1m)C2TtaNG0+s+6B zEj!7gOJ!Yr7fS=K1w4{)dA-pV**rzGUllVjG5CLVI&dZVn|@GwNL3?Z zxzsVTVz-Tebp;zo zPku!Maf6Oy#%iElZvDqQ=OXft$mb(l$P2r@!3V#5=TXl>x@5GD;;Tp$+OGE?4SB->npd>cP|CaH)yLDlGq_h`riBBY2J)rVFY zcDGJZX4M-R&wvri{2H>!-2w1ylQrR;eeP<$1G%7h;S1dO$%ni`x}Hl{LwV=;UB3JR z!L?uM!>WC&-Z*W%zat}^Zq9+WBXd(}do8?e=rQTH=U`+wP@H#O6!XdI)`P^ZbLmt; zbC2#V_YUal7_vZp+;-U}UCH}!%;9T5u~EYf`a{Ji zV{UwDp1MqhbKtq5U#T*8;ae@fC>1+{Lev<>4e)IGMCj|+nfomVl6(ABwuf^fSiWCf zVqVdTEhKMbYNmjOhVky0$Ne4&vSe=cN@^raP0?r2+nf!samEJr9vuk*MdC)LyfhW9 zq5=0tZ}*9jO6k`Nf`tK=IVPaEcq&K+R;=_Um%dxO=zN@SPUdR!>MjXR)gt zx&zq;7(Dn!vWGboyZt-;*|R~0oAWtIzSSQ&1;WTH2}6KR|NCqA6{c@Q$IgE&jnHE1 zTXHY`K>RHFQ)<5NzBn}tNY10OztfO{%o+qq`5j6}?)87+wYD}7Itn60o+*_SgEr^a z24`b}#Cxn9pJyb5f2Pt9F}i1!PC1h}yrmHL>Nih-Ny%zO=by-!ov-(Yf-8Ifs6Lx(%s{IE&@uTaCN%`>ow)4t$lO9@I z+|_6DilMEqc-*f!+N5rz@ju5Is>Gr7GGItH3c0^E>RdVLV4$2&*7Qc_E4L-zgh`Hm z_x-UO|LZyL|00)?o2Sp1|KHqlf#P>Z&=>giGK{JDBlH6yp<#c7e&AJh&L80?K(+b( z34Vf^S!huB1N;QgQSwLl3E0?S;PxNjCy-Zwm5hIYpMP=7o$)8A*y$Zq>NMQ#qjm?V z-QhS5@*mvN+JCz*+TBR|$QnbY$zY5IE4dv{Vc(xA%I>S7?JS20aJJV75dGNfc$=pgaJ0;YiBNI$^~9yP`%5ki=uoEDlJsSisd-}T|; zg^L4;+k4oL6y~CuoF<0S^3q~06#7+f_s+g@@vBv;yMz~J&YO4be5hr%AX0t6 zcRzu5J2*iloWiC|rlL`V0%SG!(F8|v>I!<7UXip^IM~y1Y9I`=a-`m{wNMpnEC!^{ z+a4Vz*U=!1UnOUX&&AWAvpwsu#GV1>INjcHbYtL7g!Yj?8EJ;Gh+o4hpl}qd!qDcp zKx|;-61?90aP|r~{=P{0gI}-b^&n5x*jjmYzxUDy+-Qj$D+^P#buKAlP_MDfa6Ra! z;XC^21R=osM%TND8O`q%K}qA=`!XF8iq^LX_lznkI@*xh-*9%AfVpk%=V%H)Za+~x~|UClxZ z5jNhOoR}49%*vc%Zn77i&EFRD1@0iar@cpO_bJy-ib?i$e-$5gwUx(vW_I=+@uj4n zN`Pvt{U=JVadW)RfU88~F;3AP65m)}n0hG?s`@nJef0p@qjYpv_}C^r?HQdEKRe;bTR2I7(SzE-?{XCr_4-QK-rq;waQ0T zLsn0i^mjZ2@LEzXI|cb1#i|GkzR#3cX9gF~Y4u{e>9GYVD%Qokzfj(f+f`o?bY<%( z{v%=fm1PVtqwEy=Sy^!F-n!oTaDDm**WzkpE7#h>dYh;YHg9`WfLRR-C8=H6@Dfet zAamyf=G-&ikK()bx9c8bbkyH0lLgaeEWZRihs4*otIr4vY_D1__IQ{?Ob5kB#l#=a zYX!iHk3&(4N4EUb7cngzv(Qp$BMgT7m={~#-s75kd9zX+=zg+!;k98xUv@5C_#I(b zaSS1WO~u70R+Nvk@4YRPO9ZOC;%ABYn6Nyl?uQ>X)wYeDG*ieHW!aGM^Zl?Yxc2~D zy!$|hngy#7CgiB)@;w$_{WB$R(P$5Me++*v0!%BP=SUpGoqapP=oSpt$tFwh zTHNl(?Vp)aZy6^P-UK*RU$tlN-4Qr*#xwOs>QfzUQ@6e#oA`YH%V(=%biGGF#EOn~ zgq*89b(?TP`y-J6f-D6OruBSM?&=@-ANurM!P!h#qTI56u0x!uP#OQ-k0hz(m#6A!RW%(jhjo7gWW`Hs^bjg&f>)o85;e( zZv5Q8sMXh_$^J;4C!>ZV7VS)7(9o1zv>U?l*RQrd@5Al*akDD?^ zm#;oCCbtcWIzHd_`5YBFSLNUqI1GN4Q{FkvCuZQ{eXRWQ-8wig? zb9kJ^>LqCH?CG1pCOfOB<>eC)9GBdOK`E+sFD7?t+3HI_+j%Qs_vlH*206d(^TN*0 zM1bX{|2S{%#4f9_p>e?LN3+|P zH^Hvo+RMQJw_hCBEc|wSOTR6xg{J3MyvMwt>KmMz(J%^DiJ64O)r!lp$=QV^WSkzp zscQK6ZFpwYt&{k2%&UndK*DHY>y%T$92UZJ^t za|D#ETmteM2Bvo4VQlX-*2R#A4IPWwGmF-4UZG{pT))I^1FIQlnqodXftXT)C@S`< z6-*p5Tndwr`ISw9kVgaTGSiqimt~VCi~+N#VX}tLhv^lVaw(>wlbFB6%EQ;Tb%;$! zA#lyq<}uhl#1^{wG)2E)=hvC&*tE>N?*dY~HjmOV*fMjw390hHhn^*ZIa<=2AL8;3 zSnU0(CYz}g?=*WYg|-7BaBviH1;L#Xc3C0R9rc^Miu zsZGH#8!xe({waqR-O8aaU}cNPChR>Q7IX3>OtF= z31Vecr$I@D>+PR62*aMwFBzIb#V(UXKxje<0XMMoN!r#Q)-OEBW@j%xFLg8gMHe~y zB`q)BvUW~>-p)xtD0x37dA!w6q9iU_G_~?O6Mw4UgU%nLHalX@$!}|$Kxf}Cgqlv- zJ*~V8>x}yI>NeafPHu@myfDwutEfHxF$(s6ed?Q-CA9R9ypX)Na!BnIms?cn8J~=K zy}G$yNs|zSbuDY-xZiy^@Pm#zX{X#ZJTk9)z`>`z=NJP=$mo7J>@#0SRBc=;+qUcMcf*gn7`XB4*Zzw)T#`>~d@OF~M<=!L*$ zAPl6fte=&!nun()ymEU|A^WyjNY~=}d8fMf8zj8Vdqk#S8OGGg`{Cbt(lJUDn06^b z{4?2&5Nz}_lxH7zp3ya|1n=e!&x-4sMih^1Y2END>*ANS@QF&w9J0Rstm5qlTz01N z5j+9EAJ~k%ap|w#quaamZfx0_Khx0*X+4d9v_z0G=%R%Ta(n`^AZSg8uJoz7Ic$_3 z5)MK_=+Q<+KthK$%rU~EpcjV75ze}l0R@qPF#NF*!9p3dr7yz+GEij+<&YT&fLWI) zJG!E>vYG};6A9xVonZjv-}#TXsBfX7n|%EOl9Hdn0Ug;W=m?dOaLIH_lrR#~uorO2 zmvH@4UF0iE1fay9xiHNWr6xe>BL510gt|zmjD)&KV(85t+T7mVKZLvyC5uF$&>;ia z!k|OY8W9YXCK4`*83;KMJZYGCi7MkEfzEUyVh&XJBR^^gz<=npn&#A>4c*xyrzJcR zvJgC?@*hzH>$gpN96^xla5d|3Yy|yOAc@$)tM>4bbbx)}a5zG@mFq3fP-x%&9RwL0 z``fY2cuFIoE&W+qIm{eI2_#Vi<=2Lz1$FwZDEQkJh+wos9BGMApGqWA`1Q*Q%DKJ% zw>mNq!9?jJ_Y-d69HP;q^pNK)p&T*;=03u&?BmeT4O@9&FAqu%83?O+;0dCTBxI5( zNhnO=LM80$!BU!2H6$znH!>zdrCyp|_x}eyLNz43OJQ))aJWUl?IAqNzr>J#8`PgB zq0+P}DpB35rwYh3r=IM(y3UXA_X;vc6m^_~bLRt5X;O>_bR!a#`iGY~9P?g24L27-`UBDgS8_7v@(f#0Nn(E*fnbWD)! z{s|5l@zc&xL(;&uva;qGMGD$#u@fNb6{Ta4t`(E4 zp6|lUWDGaqgyrP*PxkEh=3I2ewv;Sf7Jf-!;;rog(z@zypig#bams3$d46Lu->gC3A@c{*SmPZ?JPzu`ZoS z-vKdGd>-Uo_q;!EsTZ~ntIrw?s8M%5q$%0XHB&#N3`qp>mBE&@+r*t0q-M&385Y8{6ND|_w(=G zTq>QSd?nn&Tg3)I-V5iqzG&rl2%n$l&0_Jw!-zC}STy7NqP|Ckq3``X;KXex2yX1p z`h^r}_|AM4c>IZ^fNbCFU8dos716bq79b;)jsCD8i28ZEH-B^QxuaWhrF+SqaU>xM zMkFdEzY>4|FYVWonyJw)d5=bc8#$T#7wQDB#Al2$unHsl_qr*8`xiq!7SAu=1~kuK zd-&P-nIA1)-o=<{T5}O{MH+lLBCs{IfYqG#E?ywqo-8>A&qP2tcCPnPvOHyZ`V}F& zj|@-&+rhnur%?&Zv%g)#ZO(>?a=L;@od3tOSwJ#KhZ69PMS47vt&~D=5;GndZy#Eo zX;HvDaAcv?B?iLh(TBiSnFXRplO8_XZ)#mXlSgao^Dgr(H+%FJM81^FG2(Rn z(mrc8Kx?(4yft5DabZPtdYy`u3i9-Xew%Oqq#FmVkR$)NC`2 zecs;Mm1$=JQ-qICu$D!t80}}`!Ncd{73G9;)}*7A=T_QxezMWqHH+$gwg`|;vi)YP z%nx$w5@-{`eSTf$&d|ls@|UDg`E+H`*hHBx+C;qrAF0-M;P*WQ=ps*S97=!nF*H&o|M1(#FJjc||5HtXzP>Gx-b=Gr`X-@7iBunJz}F=V|Bq+Hzb)tgV&7PqY;L&fodJ zX({SO2?{h1$NS;ub11TTgxVdWb|>Ql$bT?SCi@$tAAopT)T@rgisq`E`SUjw)|BHn zL%tpMUK~{K2lSGfC*lHJMUCQTwdS)J7eY2~f6_82O+eT?;wZYTet}CkDP5B~dmU#& z2eAv5)`>*4f=p^(bvi1h3Kv;gQSboH89u=q^B=?%8lN**M|lZYO)q%+18 zQ6W4aMpTwNdhRF(YI)Ng4-cq4q;0#%lH30Cjifx{Q@WPnzNMK5tRCFdkg z1W8&Up5sby?rN^QIUd@c2?93ct0~8t(b4+PiwNEMFe*tdGNwl&>SJ6fYBXt0Mgu;j zNV~H?lF}a-9PhtQ@?jCnRU>nTF@A!{DLg*6OF0-2WOes^A4t^7!%=41@^WQqdq%uL z#Zk+t>AFWlYuSkd+#UxFbYM8x@pwKV%@HG+F&X<<9O*~F{bzrXlV2RWZk*C=6T+-?BVrSh!5Ytopk;Ruw{+E;m zj>k;Q>348*Nc`Ynv$0k6}{^?1>whhHmsFg9WdX^W#cd=9P}XgOYc>Rn`;4X)L1 zr0%Abnnl<6mKRhOXn%>^DPb6(E}6eT`%d&eS`W~>c7uesBiT%>$17LuwvD!M75%eq zai+-IZnVm*HIL^3nZu`G5=9c9P?qt>(<~ZKGh8Uz+v}pb{pA7-FC#Qp!EAP{=vw~x zO=U`_W{Ihg#!wEu_^8+GMMYxkoRh8v-XQF{-)#o{4>Yas2OGQ9ekv|XGJ9b>qh&mi zug;!MEV&9W{Oqb!?L?^D%YVgB_vG*pv#>{9JyiD|jIiX%3wWmk1jc%mi@W&fR&c$J z3;B7P-6Gu3qvw_@Uyz=0K3mO84>Yor9)Eo=o>QFJgg-e#7dgNzJy#J=PI{iMDr-L+ zCTWgc<+S7(Hh6RI>@Zr^4aSV4?iy>ok76&5rCYPoaQq#>o5%bIn0Y-x=SW{Ks!+8? zgqKZ8FK4yrkxloBlrdFy0YbBaO*>h}HK(fH<;R`=&1Tmzcl_jcX+Et5q_}_~o^m2;H+$C2%r~CB2kF#!cZmlg zcho}z6Z-46isQ|}?Uay#)oW;iNs;TJOjwGNJC+sMOzf%(rW4Cy-F5VrfmIi-H{yi9 z#K&E(a%PCFr0T`~g<`RDlTAD_Ykzv`sqJ}77vg$fo=zkB z20*STaUpsQCrDr7t~;f6rm6_rl`ApL>XT0tTfWb7dJzEzVDYGW56{vukATigKT$qK z)4?y-AyUOWJ)1>2G_@LZeZ>RD4>6Ul#pbqtSU;v>QM(_~HT3_ed+V^M+P3X`knS!8 zPC)4{326|JkPvB*E-5KVr9)7m037&j6=hrW9u55@uF*C zk=p51SIaW>aEhk;gWQsP(e?db_AlMI4 zMrOrRDodD|5Pt7RK4Y6#?ve|c2iMPo4P35UdR`AIY3a4~?W=Hv?Cu5THK7uj+l5uu zkGj^hfZ^5K4kf*7-}ZaXLwS^3}-vb{^o~7(15J9CgWrW%?J{!_e5Cf#Ip2T(Wuz{qIjSv|S#=J{`d!N+kjc zs-_1#Dr!b;^DB7QXjKCXb5B?uDGF+j!@SKS}9#- zYa35qO*1g0w1$9G);b{#h1mRF;G07f3VJ=@^vWnQVPq$8;Kre?UGFEdD>IkRG_0@; zJHMXtIt7HL0&4oQmLKvr?FKL&1U46=Mk}y{t^8tF@1CJ|4UdW~KLZZ_(Y?d7OS=aY zAb5NS3hF451X3Uk% z{mSY9Y2*7(zk2bRi(Cg8J-uA|6he9#=eaTqw|~hv^-N67f=^2ugxdP14iAIlD(gGx zc?A8Q=GKo-FCTC^_nuoU*=CJSB^T8#8Zi^=Ig;y0*b#Ui_q(l^f$5K1n?Bm58QeEZ<-mg(tCx z>aUc+kBisBSECP9A}I>VIJpHwQn+}o-Ts7j&FGHD{g5FHd_Z?Y&B`$%y?z^&go;i4 zrk0J<%dVB%!P{5FWE7LqUU!29yRtKitG9+pZ|VjQN_;?P6WS}*eFKVE$h}fA1383% zqMNyY#T#bsyHB&xNLLHrj`fUYp?unWJrdA1PGpk#5_C*_!y}^CvHE@ysG+raSmpLoE@!;jT6WO$!aG`aLWk3?L-^0&NEEXwtdOU!G<))Z7g&3qM? zCFsT6Wr?~fr-CW`ax81AI*G6OC#r6l$|m;kvpaZ(;^I{;J-5+cmt&q6om}F)Wnh+) zJMxp7McRIDofh12j_I8}xX7-hmzYlLF}9=b@+38vj!(*|e{_e`#V-iV+TV8WV-)Rq zq+@jd3t2Y4_}vA`mf-_RbEj)CFk3=`3W_78&K)G7PbQK}URV6LEE3HTmQ&lgb0orL z0f9hAT1Vb384|>L{J$CO$4EZBr=%> zY9oE*TQC5D1+gU3Hu9=)00K`$L`3vl2r3~VZEA`@ zhvG=swQY*H1eqjMNB+(TM-oZo({^;Z7lnk8*$6~D94jFkfp(50+YpFkxGlvLfk=hh z)8G?_`pBo5AQz&^b1wNGi8S4?dSLbT5Q12W-klAe!NA3mg&(CSSj9MK-XX%R=WD&iUmU(ozzN zCAYRP&v_+u(}V}}?cfIvWR)OhniVQ0H8gMEc7?vIUPvnhbVw_Ge0w*;f!(Rv>LOtLmBu2H`Iq5>&#&nt{u#DKsjB z%X}MTipW_2Wc_xig$Iq)i7v0KZ){=1_Pf6(0?xf!|571ilT%P%5)iuv|IXl867V&;YeF$Wnq&T2)ou)*em^ghZe?J6k@4j}I@x2+y^f zLW1{iW48HEox?MMSGUOPqKIy``%gwZ zpVbG$JFNw4;RL4(Du+Z`%>2c=jbUDgUX4@-jjKm#KgDst*w2zpZ;Wv|pQ-}VmP(=f zz1?{cofM^V9P$ZHtB;y_K{>hK#Dg{|s;~OZw&Lz2k*Y*?tsbR07sw(qFAxf2%zpH*p#aAgCV-pbm#>ux_4{B-l{HMAQ*%tSS$$G>5lwB}o`bzLd;BhE;i zxD~7KLxmsu(LbSgfXS9$PM^6Kqm&;ZJ~vgr3BxI^{eE1@*4F8LlZn~H;v%S_7_8jl zG1*rFfR-_xGn04C^BH>WV^d7tj2v*!z@e8hv`ZsRGP!_GdPoF&O!8SjW6hI-x&7z> zJVism`k83rjR=wMkd_a6;?T*Pvc#F0rm60nk7TUM&dUx()MqiM#vZezdknM<`Se0R^?GK95bpkubr2t0nq7%?H( z?Ym~nE6T!a-y1s}Vtnt@kIaZllrM~Ll@>t(fe?>r)aCI9loxueREe9e=?pjV31Qyv z3Z8qe_3q0ZnCcwWA($(-|Mvy)Cg>J6{h_wlQvU){PfYL#6)-2V=6xZ7k zV>_E^$tHlY4-~vKcOmAs3=&fkF)Wd+T>09i7j4?IGF;YuDbC2|1z^Uu!|6o9QEr6oCprnDP( zbvo2Z!6S)2M8x;K2#3f|oEK~bLy2IOo?SY*?&S)~QM_`Cceu(cl=smaQ@DbNodglI z3I9jn!9n#c>0j1-WX{*c8#-TZGV|WuQ?Y*i&`Ra4F7Nh>3=q(xpUOT${8+{Ko#?l1 z2^#|q%HR@XHrXMqRKGI!lb0ZQzxU+BNB^K-YC4*&GkaYQ)OH8U^gRK*=}k@pUpH@n zIqjJa9BV9%o2?42Uh}vYL&=X!US2?ryJT{ERjuI46tK*)9cb>Sq)oWUE!a-uOlIL} ztZzS1Y<*I>qQ8{&r5)_$aPE2P#!`nZ2M&Gu@i5hrQnPkSO73-_FUEkT=g}Qt)PlXC zPbL{wh$DbO`i;ppm)U2ZS?w0iJFt~GS5G?w7~i#Graq*30rrMzg9iVK>MN+LIn=(PgnKYezi7GG&!o~pP zH$;nIje*Chr%k54O?p;8)yGC3v#YpMR_~fH82T)2<@8u9^gH5b4Z%w5xcfZ{Gm4K& zeN#I%FR{z6EP+FXMoNo?>)S`W!H%OgNdjd2tI@UTN`t-`#75yk?`7O};o<^*S%V1A3bo8#z8PKG?TUSN zT>q!Hftqi*pydZW#hP0dkrzX4*%&B9)r_LGzF_2Lb+8<^NB~0Xe8%mDb;IV_H(tS) z!Ul;gLQcYDMDyaj^#yL_qBsHDfEh*-$+z?6o)2XNJwIOC@OeSj|L~cl*VjF|5CkO- zz}n2$9u7r&`>jXPnk{!+5Y^8*}gjtv#E8T_mUd0$c_Nc%;T z=2Phrlr%pJ^!VghB2vMC_wx<&A=@{LIt+^;Enif`=ty5vc6%UX15eO z6k7DrOlc{xqe^TI_3YAD*@m2|xW{}91uf>zDnW$RBUl*|% z9e=tOjj6}$4g*S*ffZIuR$*BMJLA!yxGlVmXgAZ9XG}HbBvLo8lsy9Xou2V4c=VGE zI+Z-(3LE!``0&= z`uST%A@0(>P71=5?5nK!zfKrH%7gbfpNMCj*cz>BV$VFYv`?-Rqjj%H4bDEV8b9#o z0kXFVE}1(SOvi5~Md6g8o8?p-F%P_-ye47gmoxoY6z2D(%%E^dNV_iVUm%dQiK}Rx z{ko5V$8=Tyj(>1*)4+SMg+=G{c6cnFm^~=toppNc_7!~_zn2C-3>q4aPg#y`gY_8p zZDIfLNd#ve=h1=v?gP=e$7OVyAsHL{r@Gm;ZXmFs4^h!RHi<&OEPN-baB~k$QCTzb z#o95Iu&kMr*8(^=Hni|gPTl^F!N#Xx(m09bR#rcaLL{c;cwcX8Ny9L6o5?qB<;f^M zwSkpa6`0*WA`_5wF7NC)IKtx6O@2FFy+?oLy0VSq!%_m$%d)`yp1WWB7i zA@5dVSA%JaCHf(HmI;)3Jvq|ZH%Kddvv_tju9ZutR6ylL*ry}zp&}0V%2j(%X{czK zQ&GF^1zWYZusMaqyy7cJnVmyQcku|K6Z&_-2?CXnUqV6E%;8>CFO}hoS|)`XHx12P zQX8z2vhv@{gKt&DWGM=-qI*d$OL+KBRkZhsMcK@dx(37+wr>#C#)336iBZ$2PBS;22-=w!Qn43#4KoEhoS4{ikh4etn0BMK~8(*d^~+yWfvlV!fsB z5FT6H3NU?x(mx7Hbqbk8WY_U4=dbFNm*me$)`{emwJcMpKLr>u3H_H+HOqUV&;`ZS z4Z`9?)m~zd*_;Dg8 zAmZ*9_PAzj=F=7yF40xFd*jzIq^$#zvU*sS%~J+;@Bq=Ah*>cfySSf`{oo?I4xeh({R8}BFlG-=Aut!|^W@_gb4z+jm`wwdb{IT`yp5D>96}8P^j|G}L zR(!v17Z9A=*z4Lh!oqpS;SJ|qoyZbNSvyQAkd0BNZ=2ET6utW8OuP9F|0CM7?r5he zBB3Kv<(Fg2U%@HAM{s<4{@@h0Yg*kLIvz8dl|x`*?cg{uC6Cy3eIM{7zUa+oHfV$I z^rCKP3+r>Q~yLC+Ub?4-hhM(brV8j$<#r|pOo^WPP z<%y^?c#?G@d7YeIJ-+4LJ#BOe)wIIK)dR+$;|sCV+yd%arXauS;P@AUirXS&;~P1> zyt1a7Z&G&uIEJF0jZa`$NhRnXWq}G>Lu2#152K!B4uAfN!YU%?)rsxICG8Z@mvsaN zooL=T2s2SC)?Jp2SQXIAJ$TlJM*VJV@os6yfPrHQXq_;wl~o9=Z0P&;c>R~-5uKTB zP$l+Ng_g6|D~AYdJTS1ae?{5;{`2DU#*R(Vh_a@hiMb7R{rllL6_Z-GJ>o(491*js zVeZ0LihjY0GZJ7GHYR?zjBvU;|xH?*@QzNH_sPRl7fL==)zp!8(+ae^De;eAOZ zuOXO#2}5qk+}zyU-90_MynTbtwUmEJDbXRRgsc)$nCA=d{4fUzrd~j-gG83Up=BPj z3W|#RkPY4EuI)&RNdg#jgG{=aoLxLPhNvz8QBUNm{~ba7fpH(fjzEV4Vgw)nCbFP~ zCKlAT;o$t1i4u}rV*QOQ`S@wieI^C|rK3Ejm9ULlRP2_45rlOC2n;h=kW{uI5SDPU zf=CX+%4`I}b^(C^=lV%VEFB!3-H-;8klZ2J-MMfQ=`cx{{#!Ykl~dpFx(x~^;f@_} zdDjMIlSrJ`Wr{#_n<7w=l(G#0V7AYB>pE184v&8L0Q0l|a+iep*+>E9rE_~ph$vyV zI`Zm)n)W>C2KIA9Mi~H=lyEo}c*_dMMnn2avbP~<{t{Bc_;6l6KIkinR8i_6Nu?fS zlmQ4_B%m}lhN@90kbwJ!WkV4q9Ot*CB>dtL66!@|BfxJ{No3FuEM(SCTwFp@TKc)A zWF7RB#DR*+b5qHt<`&p_{Vy;HuMhgp`?yhIM*TV*eIo$Ihr)rkkUxPh0SJ_@+wi6O z_6_-L4@l0#qo}EoT1prn^;=5`PyGNEWRi?fN{Q^@{v8cMmTg*u4!!l*rC)XwHfUQDkuNM4Pc@HIFYfSd~WYcc>IYL#Ij z=_GPe07jfcA*rg`Us`44ya3cI!|_}e5D3J*Yy`qJ0L}`S!byPu1O>969hsel#I#=C zKE45IPmxmtmhLDyIUzQ9xyTT$e3(awv`R3|TW~``kS?4F!zVTp7dt|5wfY7I9Xcp)Z>Llj?Kk_xO`2_iO$d z^;}&vnf~`!`hROU|5g|2lb_#V66HTtN&mSY!0aif%C68IXW57lb;wTgyFaQo$usqt zciN1BeS5b<7c_Pg3`P_>#G!u2ruN)#6o}jXc>5v~hsq>EvCm-8l>lTvG?!ZqNnTTF z4z^y84�`DkjRGkXGv`!})Hhl8+tCq5tZpC)*2V_jE-aX%6os=__OpyT6CuKsBS^ zr7rCRa?LJ^1-`yd=yop+y;)WKjoId&oqIp({0sqau6?G!2}skmu%gc!?M-cZLOj?c ze%b9VMuZbcC}qg`6GlP0XAi^f!3A25`}?vL7b84p9$Tbw8t2NHqDtl zmtV_oWcfN+se)9dkHaJS`a_2WcE~!r&5NNy*bD9T93Jnvs#U4kc`CCx_Zi2D zSDFS6gogcRIoIqzDt*i-(Yx|O?m(Ib2x)Bjov<9Ye7m>$VADTbntv{~ZnC%3BKD2` zdYu>}Cy2M4zDK*X#+9F^tG9Dinh7s%7>yB|sF5JkHVy0Bb|R=bwN-L{HRss^^Yq?oGF1VquLv4B zrW_kD&`bNV7X}C`G1k~T!<~D@AronVdg@aIcGBvi`bdmla;Lr9Qo-B9>T`$^xE}j>OYwXqvnP;ah7^oYH z9F_+u;QhQ7E=i1d$>8(WW1F0Ien2E=YVjsMuRmTQQFp4I83??5OU0Ei@m!QxjU;6o z_f6>MR{klEmgn)crG6_C#a7_zSHGRj%Zikvp1CNcx~C)MhFEM8cN%0+y{NIlplWZo?UPeGnGc zt2bAO`wG*6i+)R6h3bynVEiNBAnJ>iPi{35fwx&Nu+qbC-g%N8lH(BdDrCTkPhI1v z@hzIDJj11*xsl-3{JO8iPp#8s4=L`~c!fEF}azfDD0t5g4zzM<3?A#xD>G^c*pLi*tp{4sH zF9qTfFr)Dgyc8J0lb}EGQUFgQ{>V#_A4O2UiMC*XK%gVpCI)haiCkeJSJ(^cNc@TX zdDbER5_8refSIJKjW)NnlAt(7DY5(de7GFZ~*5G9jZ>TgDm;+ zdeUpRpDk91usAVDJa)8;d$DqhZ9*JWkG>C@61x7#|IsgFDz&azk(=_(eNoLfA{jO~ zeZqUtK}N&1UHP}v`pNGyNAG=PPLPewnfwxHSBv_Rim&ocQXCLf*lOV5*65%Z4f-K; zq3QTCvuJ20cyOk@oOA8L_N`CA%fs~zT9j~@1FMW&oPD2PRfGJE7U}_v%2tOtkEWxK z;63V`e7m14**h_}>x%|4M#=sOym#$?^x#-2bFtrY7y&IcT(lRX>1?Y!AK<@wA7Z$; z9X`6Sz}eew^R-7->Nyv1lZu+?nxAmF5+BrGEigCYJA)c1oj~qxtAa`*k@DULSU;nk z@eJ-?S|i5y{``zq;A8s5g^dF~0d2z(3VA0hEr77|$Z9|3Um{^3z@%@|k$PV~su(%k zIbOh7(v1?9Pyx^@ZlNz4#1|;W*srq+&{YNb6t1g1(|#+VtcSQ{k-YDXB1hXN5B{ii)KCHDdsP+RBOMQ_q` zv#r(Cf?oWuFO7ohMxIG$Yhd$`jtd=4n>S6D{Fx@vBe4V`#K zd|jWUzoYLzp_WG3axuYIV3q{XCpdYzm2#$qzD^T%U|#YAa(^3sCI$?^1cJ&=xx$5dmZc-Zmes>G6|R`~eP z1(p{rn<5AC*ITcud@Kht1eZyAR)0CTt+wyC3U4V|P3-dMqFNCSW&~*ZF5QX)$u6_? z7W24=+;)rgRIbtp8sf%FgG2RpV!~ZJF9O3bfWn98Sep-y7o}RSU^ZP@*wK3&OkqUE zRFn}FGyL{jIX$?&(u}FUA&B_$b@shrd~m>g;S*9pG*rqasoltrTRPe$?xKctuMN@+E%g^w{~){YwB^v+Bn`G9+K{x~|l z?aS!p0*y??=&FK-hBj-O^p8MOeoU%JQ@rLPlnNFmYjKB{P^l1jetm}tijk8S~P`#IMjz?ca4 z=;04j0iNFuaj4$*3cdPuHW_SgeP@O8$j5}19avAq;WfH@R>lv`O>5e*)|O&BxO`2s zvSOy3Tl<+C;11qSuj?fTDX=bHb1V9oE+n_>5_l{Xb*HVcq7kOlp%DQbb}U(buLakr zr;k)<9+f1m`o3OCgFezs-{^R%Tu@Dbx5=zgrDRf9=*bdSdzjG8GH(pw;IdnnH%Se1 zEK0c50bZ+Rc0SF+^`%FlVu@5V!j3si{94l;lI;_TC54;wRG_bb;QsN1uKqN6AjjSJ z+dEgc?^j>8>j@7iq#DpmpL7?rvOiU@|1v%e&(K^Ueo$BwtZ6% zeQ6H&{+i~`VmF*aUoio}(q;?)srzpyHVqmsG5aFa7BiCA9^{lF=#`A8G;Ym+%^xzR z{zdKmCupP`cf)gv=|UpPh8+D8vP#PvMj0zM!DWHfUl=?YHC^ACObT5q%2&cDSa3%* zk4*J3CEe@aZ-BDlpH#tF`M2zbHdB8RzS|pjwD2dGx}af_S~!4Dsca2B>>t0%ubM(< zvv`nJvVwt4ORsL}nDT0zQd|-Lx>s&J_<%|qvB036m|V25#h_vmT3*#CYU=D?)xCA? z`i&qMQ%!)jymYECEBKip3l%r0fC5JmYeK3@!(7{O1@kg@WCfU*G+&H9Ni5&O;2hjy zkh^ZtHM@nw#-$eYczEm-!@B{@FCPM4IsKl&bp#G2kGV}?`J0}3d?FePyNKH1>A4@^ z7(w{UFt=sm%eT<%O1=Z?Y4P4DG{Mdm?W>^%Hi<;C;A!DEd@earug0!9p3dv)36fdG z^|=GbYn!&QmpP^Myg@*C?fkOzeROJiHV5B;xbDH9xE$`OT?6kYwyj&YzY_v+1B;re z`6CQ`I{%g#Qub>GQBRf*ukb55(`J{44L6SLp6O!16`| z_=u|1IzEL-Ca0>G`uyGSC3-P!vwOZDSN5=o0XvV;BQS`^Dxz+l^?q*cOi*0W(!F_b zeV+W! zH>2C9VoPMwibsikVujYKB+F@zvEAoaZ@<9O0AX2QuxYOAdq$SE5XuJxy{haQSR$0Z z>-_8l!6K`uW(0b7&alMtu^AFN_eny_D%vG&1KZH9un0Us=hMt?oIL?lVHC+usyQdB z55{Jeh&8VIee;Ny+#N0D{kpXNbkk9irm~5O_MlZ_xy_D;w4^BxJJk z%RVmB0$Hun*FV4&4mCYPm-^w+Q)))$s}}DL*oF0yUbnC8+yO4xeW?Cf8^S5iKP_=3 z-c#rx=P2e)=eZSI+~JT~*wh8g(@<&_Z2O;f^z9~kPvE`tW;Ji1QZlp7nmQsC(7Iy{ zvc`D~{X5^2uyDx8spsUib~E#cDn2gjxo&Xp&E}4@JE%Oy6F#KUaB8_eiq9K!%EDM$ zjgH;8fh``8-*Xp(Ko+`uYqDyc;_3VEVsV;zew;>=4jTG}eG$m2<(D>4(DDGGL`kCO`pRn(=j6x;p2+@|SXx*hb8#TEB(XVf_(nFmJI0)+;lw8( zaa1B4Gid=%#wRByrz9H!lwrUmqzX zmi_$$qhk~2xDuI$vwr~h{oV$Jm8MWw3BNu~AF{0|O&`fi7QWVpW7{%74iz|7AW2i6s=0YP(=TN{19u`uMgXm6V8c%SproyvLWWrd9XOj_f1H}e zIJcpknazfhN;u}cDZC14Ls0x%PzjwC7NPa#c^~}pN&o_^ZhZNQ`Eh-u_4>a~9k?8;%Wi%+Td^0RiU*;Ferd1jS2ONI^5K z-!WUIwGf$)=Bg0<gpkvdL`_X`uq zRQ0nfh)~#HhGJc_!1S9>)}1E@A+Oc`DhZVP^XJ!YRsY?EF0p^U{a<(def#tOfq4G> zJ$}P~5zhvnzsK@MIU75>aTB>=>VNdKdkdZ4rQyGr=YQ@A)-o)cRGzp~~046-?a7-0z zl2t!{VLham)aO4{J9gf+O{Xb0%l2gZQVUkuGA+(DNDS?-u==nu72ba4FTNy9`1!-ddLDrLe2{OaWqY@2O@As_KvbyoZSwVK3T9N;<{z@PyAq>Pmv< z3r9k*bR1fI8pCv(@K;uQugt5?!Fh=WjGxi5$4U-^$MMo=;O?%hBNsmDaZ44;_Keso zSzJOYw6F*ZaaWq9DK6Ph$6!{O1rK-Zs%oF1z8AB8akoYfovmG z0tI6)jUIIcFn3tlxfeALs3#=c(=nkb%xeJ^G7lA}+!*Xzp0YQ0gI^h97uWM2n247j zTeLRV`EGQhk2v!`H`H_Emu9WSq(#we4cw^p|uVur<5ycF& z+Me}V;TI_OytQ5;iXHoMNBh&V0|ZT%BJ+pI}2owRlDzF$FLTs%zR+z5u(4B;Mj4>hyS!VHXn^C z!GRQHPOfW^TRb6VN_#1Gb~u}!h^f08_tPsRvnuAZrOL7rP~<g5*FtXs3dZ| zhC6{t^p@?-z!emx31&r-kO>g@S1J*|`fB%TI# z5}hpxUOcFBk-QU#8t?uzfBjjfP%)>xf`B}s2$XpN{aUk6eHzVQ&A?q+gT>o*0Zi@$ zM4Wo@C#$NiIM>RjX?XXaw_aH)+mH-7R1o~!vYAtl8KmH3)fCc6+Hd(cx%~Sb@jsa7 zf4(E0zb*ea^ZfUo|8JP*JY=2lAEFDcr1T98{|F2LoN@ajFa&Ao8Gi()prH}Yr2YY% zg2}1rKY~+m1f9QOAItw0oC4~L@RR%x;1q~L^Y%Z1Q{+bxWS-bCgAe+^K*))UT;U;C z_{bH(0^UC{&+u;X8{tWpS=*>NSKHH%S1X%U%J6syT$XgwXJ@N6M}hwekSk{*CX9bP z7hnYFYTKU=DSF16b3BeBzkP|+xdkNFeTyD^pm6L;SuK47ORWV*6P3d0#R_+K_>H~T z=w)tjQL1Upwy%n-)0=pTeV0Ks=zYuw+V#A(0w+2*%F-{-fr*>-@<4(E%^_+u=Fo-r ze9c}Z?LQotD27BG>`gR(mVpsVmW2&5+dK`_-cb3%&*<12Iy_Hu*cmx~Uin^D66Xp$ zk51}(`B!#u?D0^ac5{rnQwOkag=ET0bfjIwdN!y793-=3WKQ_AFWjAGU$35@KU&n! zHHi@@)W0(|kGp<_AF!;M4$t#U2zXrzA)@gg#@Z>bNi&`}{^4tuu1WrlIUCqkZ&eyp zM>>_1qSGtIFd3CnM}ib6@M2zkX}AXe)YUrDq$_NbXBgRv-j{5O^-*b z1y(U%ET^UfAni)#3azua$E6f@#r=cg%JvdNIb+p)IA%EcfnoKB% zIV$L#P6K}R>rY|@$Cg%R@7@B7lq=L~BC&&t8WF7f#{*Z(G93CNwuF}uV`IW)bC?OB zQFq>SHyELAars7~$8=Kp1A_wQYJnS5vt;SRVqq+iV7_c3|2dj5UAlOEFX6 z0L~0|o;>zNKUUP%qrws?VdV&n_<7J<@y?vr&TDg|xW0jTrf;(Brj}VxM8kkfUEsL= z^3)wrD?9&AZ`E5Oy!h7NmKPGykgo3?bk#sM?7w)>53YrEk9R#sF4t3W1DvY;qr47~n87~7z zW~NkCDZ017C&H$3gy|?}Wcrk6vQQ3-@;2Y|!T~XG`_2z3wYU_H!y8;0PdSg+Sy~zg zCgunRE7i#scwbU3gE)bDnOAWqg>1Y@Jpzs|%vEx`9(m+@$R!)x;h4sjEC)C4m1hl* z^{O&FyK6f^%UdS9j{mZ5tmA&x`u2vtxPcrX4^JM6OC$2{$m2-0pQ&1-?Q_p9$B`Ar zC{di7)hNmW_pfeuE!^#pYm{cd%fpSYMh~rOd;C$Hy(muGq;c2u5d7eN-J&0gaQ3-G z`pMn*9e*PyYARvWLBpqt{%3SJO4oqIAl=8AaNceewd>TC8&{WDI;cVt<*Li(9nk%! zZIsSH08wE{oLJe>t=GRODO9)L<;zWD-08X%kS=_BwHjff+>{yjE6#dVLk>V`J5hj}ZkEbQ6KT8$N*)0oo0 zyI4221pSuNLpt~LOrgo}%RQLWGTNOohOZ`P_-<+#fWTUymRwLa_wB&#Y4ak*b-n1+ zyw}rcj7%mmPcL!bdj#4}FN-+e3q~Qn!ZGsw2{u`8<7>&*V{^~c$|>hS8W~4WxLjo7 znpEQE8`NX@tRG=Eypm?Lkh@`WC1R0IO)upOARsM#%YW4>uKBCBZ+KDv4_bNCyI%eQ z4g2^SHj%Xw>cH6L>FhqC)WhuR1w-G04=hsmht_#NaFclHI;Iv)lKX=el4UgO;Cjxh z#E~TzjIUCna-QAw$IH&c6LSuJ9S}7RFmY(C~I!*`OYIf zJb`i11w@Z3-EynKB9YRJFK_So4$|fyN=qXa#GKOYsgUZ64jd!k_$XVfQB%9;McMEF!Alx7{l`9>#EgJRoM3mIGdI+3#n(Bg?8kqD>-MwEflL zd`0Koo=$PUlCo83_3kks=aRqcUpXnB^0e;F^cPwO|K9nn?-=Tyu?cS$aDn_2KqmCo zGBS1I6WT>Ji?)u@$5xJ2)AfbqSq;m zjF=53*~Hz_bYehW_r%yNC_BN>O=e+}aGd>Tc#tD|Iw{#eQV|eYr1=l!Y8DVRui;dk9I}^gU=p=1g=(X}^=JdTD zfQ-IFY+&V>T{^kDe}pQjW$POCqNG;nnv!Ww;rJS}DA3ePOih1Q-ZHaF5LnUPJI&!d zf$*=`J*DPO!jmyiMFBL|C@m77e0Ukv$?IHjZPuW4b0>CuhexuTMAS#y?h}GeQ8_$1 zoqb}{w;i2?>F0NvgA-g2bWRNe_qRw;iD?Qax7!f7(4rFZN?crgXx8Xkc}e)Z;Twr0 z#l$3}Zl7x?Es!V@!brG>bv6Rc29|9$0$~Tgw>L$gBU6CxI+!9dyTQMul;>K?q~sJ> ze+S}ZJf(b=+l-N|;W53F64#$v+5afJptHEOG&XK(N6P+1n8KND(E{jFJ;_NiOa-1UVAR@(GA& z|5j4Mh;AsRG%$pjN#}_;(1;T@d!Hl8z_7500O&^8d5*$k@%M&jVMwn7Yv6i>pYZaCB?T(;oy1ukDd$gDT2w1fm=+71;=E*w+maB&=%K@LzKdU~B7|n_H)$10|GBLh&TBs~Z}-wjnTA zcJQF=T>p=> zq4WQ3a5~4D=Xde`n{EEXRX!;AY=lu4$Tu*1s#AY(nW3FYRiV+SAhFjfg2>HZhK&`3 z$Fy9XdwB4U%prP6x$^nT{lom0EP5&l2XTK}k!vOPz_@nRs&cZjk;c(ac>RgxyFZi z@tfURzHU?9hCl!7&XDVRg|TgVBSH;71=F$sFe{ZVVH5RLtq9UNnGq3$|0K&QN+QFe zmeVoKs`EU?1J4e4E2%DLW8e{(aMooj|8AMtDaUZyf%i@XRf7TAnvbCu7tXPbiD`6OhM+C3FNI(5oX2b9KD@ja zG8a1uhE;Vh5vU;2ye^U^y|<45sb$1Qa^J&=nP#q1qHsel6CVts zA3Qm{Hg9nV-_UvPz^-KC=aHUUS8VAP`JxnT12QI3+PA*-3)b~t{wN?>Fbh0N$2_I3 z72VCLP1Us}dB(@$8F|6>PW%?b0fn~X1vdpCTy}Hw-rQ(*^Tf)6I;l@ei-5lF%*_Io z9s{+8H|dR{fUxUbAMMqNW^VDr+um301`;VZB1||i7=4WCF=!IsY=e`pXgl3fDj6&% z#GmyYbW|0p#!2IKmrfG=F>4RTb1;ED;t~D#GK-8~32nwI3ub85uPaPz<1XCa$Tw$p zKI@hTQ%-|{{0tlcl8u5O^*+`7Q-vED%slP&>5jc!s>`NSpzTVSB@Wp!(TvSx(pp1Z zS3A$^@!Q)0-vqAC_?0)uYg(J3zEw(X+=iv^OgDcx0#4~+x;aZRtxChpL$G9;v(#$Vk)$q4 zD8xObSl~&@3+%F8`%J20#R@LY6*AKVZ4yvOJ#E{$%-`MMy}x!Tj1dG?HB>vV*YnMw zJ{S+XjfUH1N9R5rnVQbfetTu?exnE2;h5Tge86=PG4H%~-TgWd=go2~mq`bqOp>H+$Ul|w# zjhSh8&)h$jLpi{{wd6wvLOssaV$O#csHld~iB~>~1Gkj4V)dfgc>%|u4FcR}mJP;> zMmNM%t2!)$LpkdEtH8u3Di<{7(w~yg?F(O6)cbzEE|66C#;1Gf(9VTLrSKvRLQ<>D zxOmV$Nf9SYPJ&O4dDyCdOynN+Lm&Ezgmca2fAPx;XJP#3Z;>VcG#PaMzWEz({=?4y z^=6K|^Z$}`_K^L?n-%a@3U(y?kqv^Y$Tpcjut5N=y8p;X0n+OFPmB~Kr=z#j&veXYz~Y#q7)dRfOO(&iiVf5A}IJZx)7rBCxXaIeW|R7ctJWs{e8?D|WDL{x z9F=+CZqSjIj}x-m83XF4+yTd12|%dp6?R>lfvlsI6;ppk!rcPhM-rEf<+cD?OY)#} zt&$A|Qq@}g4@n5e#Wj05te(1Kqd;DUg4rDo29>sBgh)}ER zvA%wZ4volRT0*WM!jGqiH4w|}OBX0vpV8C`jAfvglSR4ugD)Xb^0}-q7iCYfI#!w; zBLN+FE9Cx4pZ3=~RY5m?t|e`^0G!0kp{2c1}k(|Kc zZY=F1g;dqo!S)(o;y)+|CIJ*rCZ2)puec~Ss>NCt!v|=-M*R>BPjxhnU%WV556~<{Owt*Sa$TTV85tz95?-zfX+& z+;G>wBE!DrVJL_>g59o0<#_GlVK~FzNi|)(9))l3Rq31i6n-yAW6K4@nr+xx$6f>u+KrJ}p%>_pWWtJf&lNR=b2Fdn}3ZdAdoWf`m)~ zF})sog_7ZBy9?*tskVv6&GS9$ zK3eD9MfcjNK3<(<4|27BAQVZrlq5ByGe|iHvirY&Q`Rz`z0DLU6uuh1Iju$A#~FNK zfRc=Tqy>BFHoy{}5}Z+KSNd^ar77}3k!|X>)wF~`C8w&#sF?OkOI;8UyWuZ)_1EZW zjV-;WR86zkxt{A=Rhoid2?p0wFMKou`4|h^F@BM|ZfY&NMX#O7R6`UHZ<4Wk77ZS0 zifx8%kdTZoykAl&Tc!1iCoIMJA*Rv+?&ouizY0EByNKsgbOJ&h^4T9Zhg?Yyq!N=# zJ~=&pE7SRrC(YXNS)gL|6^DGFyrf!3dCg=$GgWA6J(@?dtHAd`>Y}Zk{paCD*MrJ# zkZ7RDYA!~tM)bjWkM(zmWT*u8UZP}ahbYakbN(gvMNl~GCg4Tq(V9#vjW&*9;8c06|D2W|NSq}&Z)*J{Zj(U%LGaoSQE6TM=xKBR z*Kgi6Huui&3j=jihlhR3M0DK3N@`gZgXHvL^7h`{lfMm`KaF3%P09nxFmN4RmN}WT zIyjUM`qnWE=0#=9AHVO?7!t$N55Fn^YKTkIW*3GHjryP{VI{FM`(N3hDBaQ4QT67zw21m)G>(9_$;Q3j6?iE!mCj- zHnp-k4ioDb=!3?ix9}q1GZ^|!ibbs(zniXpZ}4_-?I6RY0tExFLbC^8anrrdty+uz z>6`L_%cf7CpWqVGT1CXByl+6r1$DimLGv^T#YG#R`1GkYlZVNLlZhXXusvTFjR~c6 z$?HGJ8Ur-1Xw#ywK#c>Mt{JyXaneF^a5>*2Jo>xUVtPZf@;;!fiIv^8aWFn3Ml|cd z)Zuwr(=j@qyl+^~0x6TSdqE=@o>|`}kTCSm$$Lk_rKE3a5nRyTH?**WBPnm-{P<}! z$Zl+&SozJWmfJGCh@j(9GQ}2lO<~$Mw+bt2nAWtegP(j>c6_hjE^+fkRF{73-{8w@ z9i2C?Yx<1NWuKCxJ_!jVl(i@ry4bzcovchgZkU z)nng(s`M6-2GOjkx$jO1$fc}&dTv>HEOAtPmc6Z>K@*+W+yj=}3L3X}kEr-n zZ`ry96*PXDCE}M*b$QIet?%2?(?0@uua$K6))P_-6!-J1dUuWe{N;gtO{R(91dA}H zK;E_o^vx?0nzWT3sLZ4GnwWx&d;5^5xAFULf!FtS>UN ze~X;KJ1hz96?t#4=SoA-)E-_``^el5Q79<;A>r_Z|z?bH*YaQ?0c_c3(pA&K1DB1Oe)?w!@)oFPaEA>m^=p9%3(69TQ=aLy7YnV>L|`OLw7ma%yjvB}8^ zxcIIqpxQwHbaS5>y3b&wwzjU`Z6gmKbSRO8kL{r!Dr*u!5MQ|Q7!@4g2Ydip=6PN z%-r4&9{8ug=Uiqc)I_4#Bs^YD6N0>&Mi3+yevpUS$j?M*P)VEcoQq4!d;3tK2?H=R zVQA>o5r^7#~2~dU%2L=4$yF$n&C8f;GEl|iG)jO35nZMsZ%-<9;|0c-% zrx66XZ;H^J^=_Sc*`XBF(<=`3rw7Hz@ZH~YOKWtP7GbG}lL>3JP}<|5+nH!+?d|i3 z8HAX{2yy|%H<_kSxe$bTdJRD?PS5^t$>u-rkcrKZ{}Tb{*8kj$S$3lrgb(5o=@u279kSZpf( zv9i+~&a*(kFm>~uRes=ugfPiL_SSQuEpNA8e|${luUo~t6TNZx6wH?&-gFsFMPH3+ z+JEn6-4+=_C^u>`zO=@zH}YNm)-0$D58&T+-+q6i6}A`X_f2 z)0vT*1@d3}@P#RgL8Z;ba8Kuvz7%PsdGTi#rqFeEIf3&!4InhCl@9ooK0iOb|%qHaBJ?n#O~=+?a~6bt0Jf#kuZ21WXs6t+{UP5wuWKNW9*@ zK*}SM7PH*H>GM+arp>J${yoqw7S6iU?c#MeQ`b=q`;cnr!+{yp47?!(Oc$!0qOB{6?Fg>uBGWvm3*`J{OV5 zh{#1b50oVH4P*3YbpzUkn_UM|*t+;v3J8Ag?EaMk0+`YA zR~icV1qA;}Ljf!={3{Iwu5Qru@D~~iV5-nxX()iLhJU4@|A0)g>5HgqsSsaMpjMQq z6%}elJstd?Xr?{&7v=8s@r0gFOb0KR-G%Np)(sL+xD`Ik@K9|f)#3p9F13#E1jtHq<_YmOq~$mkGwW^ZRk$uyyt;eJT#eb^nsz9UsO+#+Hg9qmWh;T9NrGb z+K9&F7iROxzAd~$I3b`bjpRk?tFM7`ve)(OTmt7O@V^NLY||O3cH?$lDT<~9aWZ;g z9V9a*-&$!WiId)wO5rQE=x(EDWO-;ZMXeq-fW^}juc!!~30;fRA?qp9ALaL*4cuaE zD9tUxeo8xutpT#jsceSWxZU>8pY8@l>rRKH0wMLaEK|Yi84V}!F%XiHz;`@f|8=w~5OtVgDOI`l*z@$J4 zNw?d!VZPbmZNfNemm_`}jt$nloSZM?=V@7|B`I_JhjAP+Oa|hK-_H2n!GPZE)b0hE zhh(Z>g>>^D8^v-IQF38E{gm1I!C+zhS4C@swz2LkSnIZLjONR?HjjNaibQ=cDLQbK z4fIxGuRogEX6y-g3NJySy?r^uxY16Z|Ei^5e|}M=s6eLZl6t*{ii@9Ze5R)r@;2fbFw%qv&2HpIOB~s zbksUFtxqn9ffbDJl8hB6jn00ur{@c&0)sy1+xyHjbE&h4(dwKQV*`co80(0WVD$34 zhMI-0KMWgPS7x>8KU8!Ex2kOBk_ZE%t7YeBewb1BR^sT)@cRyUvkZwT+>$|8!kw`9 zcll5YYTO@-eQ8UbC_Db7elNe8J;uMEGrA|PI4)_dQ>i?z0xYbl*tg?Hri_Ty1=e3D ziecqu3PWQ_)5NE`9;$#n+yGvVHDBSvkGN{l!y8J$F6};gdWri{{slJ~N8LwGZ&l*~ zbCH@5%^hPasa4e))faT{KioC+`=k(%V3mvW4ZV#{0eBe8EoX~cwv0R+nX%De~Q4`?tOBL&ta#2m>Tb=z#T-@b0GlF*#|R^kCxyAE0d zanDnXu8EMh-;R;Q31q+P8&kmW~yE8TY@ zH)Qzk{^Zn}@Bn%KSF{q+6fACV*5A3Xw)o`wkL`EQ7XkM)MM|fG7L3=g=RUv0)lTafce?L7mTlt7lT3Ek|GhC7*12pF(_tyQYdf6+k6a8*e5woS~tH8cS$@5uN}Cc_58 zU=V{w%zCjfBXb3~)&G?Rq$L9qJ*b0(S+T4%9?Hr#dCh6n@ux?b; zE%CeHwK|r5Gb0v^{@FRoZfsrGgs1(@Qb>Ic57W0bLERuJ?T^eRKjYluu z9K`WTt0ZX=N|O2#`^{kXjO~a^zW+KZ3w#_+<4NgQ+h?l2iS?O1pQO9?Bq?ohhxD4H zzIRl7el2Lg5xXvH9a-CbRqTd~=i}(!sU;i|&-|K(&K_auz>mX#8iXXj+&H}8*DqBv z>YQFNcKM)}Tr#WglHliE(%GfUV0d&B{rQ|#T&97^n`K%KZ1JJ&t3%3(Ks9F^%`35W z0{ADV?UReS`K?hHdL*`V2x=PK_xMdnaY4q+$|fo?s}NpT#eE#yFtdZtbXmYMe(|b+ zp~b)|6&sJVZ)uB^RU{cOh)Jd8jD6O!1QQYhpB=KFDBi8;GPRFi{EeZTN=W_~WEYL^ zssxq+nU~I81eRmZYxs%C#T_2R&&-oEu;|{o58U#HFeMdLY+b!#5_{J%Ulk7gL? z0+LVbj{za|`OB)sp9nalWAi?)bf9@Yde#0Nojeg;oRV2+Zp#adZsXWGdPHsR;oS|s zpPJuwMNoZqGGHaOM_Basoz@i!aQ)>4Xb_s;pgDg{R3{=jvtXR=qMUiyi|l#8C354| zJrEQX^Qy6J9g9suE-19*GaAv|_~dCUd={Iu5B+l+asgmKR6^;HcOSpthGkL9m}og2 z`PJmZ@KJoy%L*PVB+=<$?67W~|BEHI42+D= zEi7YDUn^6ziA#Jjw~a@lQlS(`7KzuVliaE?Ld4yKQ+JQ%<3)VyxsFlA8qDzA}jB>Z`1gxXLN&IJQ}>LYx{OY$`bc!!=sdgi#M-sVU6OE)oI(0 zAFr@avyKOWWAKOe$n5&v%O1fM9~!?M5e%=Gr@i&*3n?agIq>6z46th1W{l3-= zZXQZ1YY^EL9%Eln(=_)Ai%tJBwg8s)Y1stza|^zmaw!?*d_KSsds$f3H!h{1?4J0- zGCLOxod~%{bPUW?Wu1_I=28^==vm${Ld+nLK=b9Ivfi62P`gjDjrUDJTs0z!TiUm2 z!CUuoY1JaNby}x(QbBDC0tAJn6%DNG_kLX!b@K>%x`G#4gi!MfYvh#ItYM12odJx3 zRIdRdcr1DZSOI1c(nF%5cRlo+z30|!FMGdU!RyL9^@040coL6iMoMz(*V zG?6G(Q*Bp0NSnFzw2iC{t^d6@@tHdLhM znN=MnW4j8`5WkR+q@F$;sEdR{0b?eiVd@`Yq=ltx5Q;;>>`Rm;(hq7PQ5v_%CIoo~ zKZt_t3yLC96+jecshaVLiOH#uO#aKK{qP9|%#(rw&`)g(f68v4I~)45rxB=&%!Il~ z)W#3U?VvCc)^x+O-A2VrLTThKJj0gK zk!SiybO8YtSR2{*L-5JVf^>cBhr(@zdx=NlLq-7z^+OZxgkr6iO}!twV< z^ACWjm_b1((>AnhXFwQPT#|W~H;I%&Fo*>kaG+Pa2_gNXl`KDllF+Pv)&T@i(okpz z8$k&s|ItfACW$g^pEW`o1CutPJQQj|Gcx{w$(K2%2=yz}{BdfZS+xraidwt6`o1)s^-W>I7}tN* zO`V6~2xsvKBO|E(pHWm*`S|$M^2sTRPC_^duuy|ylV@?RXZf0EIFb!M+fW&1Yn9Fsql`{Oc5+-R8LROFom7zSubDZp~4Z)nx`yp zBb`wD+0|vKjDz}1>+K)f$x#eaM*DR*jX>=0hhV@zvXdqR^NjlYA+b|fXlNK192`ML zXxta4MG%B#`jh}cSW)%rY}2QNFx++elotu5n#M#6>isXZ6PN&3$Y|31L~*!cgzIGK(9!Z^M138?)N?*?Vr9Jb4!f0X&E&{RuH=^ls5`*6ct z%!~5?Tl@D8;qI(`Yqg4tq8PD_Azz!QWLxRYV4NpJavZ0#pzz8Fj(B3M;YOkx!Kcmr z0G;gIMyu25d_0MenJOlK571_9Hsh`yV&pTvq+_jBL63PgS6vIa^OTy`m`ckly&dQ) zh@ZAzUw8P}`JALxfrVvt^ougH@MFsB2Ct7ydnr9YxSQFU&!Kg4(F3AGv|Q=fx3r5y zCu5^EuF09;wMQg4i5CrO-`b4k-+txkC*SPQ z+lKYll;@a1YwytdoG`mMV~3nBU~;>aCy+iG>^#%x70MBko4aR9M4?GT@--fbC(1T| z3$B;imS?_Cx}BF}cQL}k_~@&`ms@nK9kG}JUR=I&McAoo|hg8`^tV|9@^}2d9PvO0!tc6g2syS{RE?0kkoXE`K)nP#H$hqS5&4okNilk39fKBziYe&jDVTSTrRNk{I0YQvF-`TsVhq0rJ zjyadK|8-!L@|?(R*`>9*AZ{%3^f3H#&~Oi(No+GSw?}foPU&dH>?6-Tx*J+mp(nQ~ z@BRArnG$S_Ola#q3pVtT50oL5WLw14Bv$4o)WS(;R5*}pd`6#P3JbuV&ZF1JVW~$dU9=g z&@bsnIPi8%W8o4VVN@>!2c^J!ytAy`1 zI%RU`OZU1YJc{5Zi09lS@XrHP+wE*VPK|2l&^9ebss~Nmsp5NS0VtuS&aq9*GWHd-WIk%S|4X26 zTyHr`l1yYR@fwfPZx!I~*=P5y)9BLPPww3teWKpks?(Pxc__=oQdY?lRs(DpKI%WI z*dsTg7@RblrdiQwse78+$I&%;@WF{nz2yHI<6NVF|DVJEMh4;PMs!T;F1c$87k`(6dW|UeT`=UJCy4#zc1D^D{;Q_Ak-2+*sxz3i z3|_h8U%OVaVKN=3Wm;7cy4YlgdX*J%5XZu{wyEc5TkaAv2@Lkn-cRPivq*Pxb^#J` zAaQG%C9(`-l<3=DJ3q_0ofl(QJB{gvfg3HI$YM!PE@KR?8acno>ugxp86akx!8L-pg_aa zrXc5KwAL2R%|a<=@jR`+=waWKuLWYfFAXLfqmnC z!?gL1>4PwfNgsfD*KdCZ3wwON_G`C(5ROrH6Z{ktW=gw+ItFu)lu!QgC}@abLj(`n}fo+E?v<-G`QOjgB99`zWOIFXV*8bI*I#* zY!FzEk5XQ^{xSf#z9)zxJy|k3e{I&&`L-!{rfHJ&&R81bR1eNT*bUhoFpld4oYe|* z?wyuh{&LMx8{iP#4%j5ji2m%6G`@Os9+=mOI>t!7!5FDsk5^Gk@@Q|rYBy5(>-ydt z$#_W14haw$zvk?7gy|6Tf`juT?S)_Go~%FDyuqj;NpqQ$hrHr8xT)7};3FU8*vhng z;t47YXXP}?ZSp=!b7k0n%l_^23N$kG->o9l3!+I%ZR{)rfzH^`W?HdPb37XiNa8e& z4nX_r)WbUa)JkE{DCB#qdQ?%;SH_kRB9ma?j)?v6Yy)ZO&$F`Xb1rANk7PE2XZJL^8*_aJQ_#O3Rs&>f%1*ME0 z+GLrcd2aam+vUGs=ET^eZ|%3B-hS{Tr*su?@rWB*KYUu+J-)q% zNhdMCwR1=$sh>8B!6IOGFAkFkP+WX*h_AZAsWm5z)w6N+P179dtSI@l6D#-7fXl&S zn}Ac+kXJ}H{s)e7Nj2dG@7Q+-q?fMgSOmYWpW5S#Ny-E{oNAU|w~3kAOkQN?)O_5f z)Axzbp4{gUwDx+I-uQ6~q&Cc*5Q}jc2fVJnVslb;HD~`oq6pilM?k%I?YGQHU#XmT!W|>Ar z+ismfxqkXd+LwJG5W9XgG@}D6pzl=>zG2Gt%Q|(Ksd;V$6Ht*&GYI^=V)jb#a4g8=(cJwdC_~jv_PISxM6{xo23`obu*<=Y}!ZA5JNi z**dX>MaFz9y{m8Q6od3ZRxbHP&tdFKcc!CCX@bF;5K+jf!n$Nu(;&7)UI~WsSj5D> zx%-`%*PA;+P4noWTEEVtNp+fF1k3B?hdxqDepy|&t~ES%Nm*TEbBE_y;PuoR4!A5l zFtUzGq^@Ua2gBs)LC!oD?b{|&>Zb)IU>qnuicZriZI7bYm%aXoiinE?>Tq)#y4JH- z*VTqk02S@ih1F#S!mtRdclQIEWK19XNBz(&Z@|$pb}Fd-HV**1n6YzX$M86j^X9Iu z&9mf;y5{vGasB353{mgu#vQPKgh}L|*nC34Wrc41yBuwSi((*sI+R96*~+fuV<#K9 zT5xjj(ZC_6jG|_G5{-6;yCQL1q@q^u_qhC3d?7s}U}EMT5SNx+jo>IM8~ElFwjHA3 z5RhHFAsbrq?&Ht~4Y+hg<6(GY$M|=2*6VihFS1J7){dpUGvdp;*0AJsLYBal*oIzK zE}qh^oKN!ykA@?+2+ngi9$pYGY3#I#oZ2M@Y|55_uL|B&P#OJ_&)$7XSg%;wIYvlh zmRH}nh;d2K3Dhs2UgEo^XcU^>G=b14LSOusDbAegFKFlAwq6#Nng`dzvM08Vafl7> zJuj(WCD&R##a1?sEhWe`vSBQjO(9Zoz$D86|y^3q`*2*0R^(*QYf*D_FV2>OM+g;BW&e z!}8|d1cG5i>W|+@>2JEeW1qZqsAW1PVf@FOO^Je$C=PiBAW>J{&w6f}pfnQ2TnzmX zEJz_ChlHQGg945we#p6h$s?ga(gH#z$c1bmnM7g9@bEw3kx?;F9{H!+C$$>)Pt6Ss zjWmS<$!QpS^hY5H`)(Q<;fF|*AA)>nLIA{*(4Y>@=`CmW^tQIPo+T8eTtkT^*Ecp$ zNs^FFLa`(jG-?m>p+k>$CW6Y1gt9j1M27OnGlAs4I(}daIn;x)2|;b7s;Zir2GD@7 zm{9{Q+B$H~)S!3FVB5_ae`z9NR%TUIUE^8L&6MfC1(C=sO3Mfx+sHiJp!-JQ^D_hoD2(wjY8hz(uhMAwVf2A%sPl z)Kyg*h=DHD2c9V+&yphlyCf0<$OnlT=l)0{U!o=_6QziRpfVR8EDxH{QMPSV>(A=~ zc=k{h35Ag;09#V}_FV@|FFg}RLTTh507(Q9B#daCn40~Ll14)Ih=C%H@R&G|*PZoA z!P_%YB>WtKDFU%8Ov!|@NK$I<$B;kzA?MHVBTPW}gC1c{<_%c|3&n zMxYcD^&tOfA>j`a{3C{h4D!G1>ZpWFcMqRRgS4^C@7}ex4-Ha+4OO#H);xWR1LGB@mykI$qv=x#w0R_R z+Tnj2zxjXBnSQ!=diMbJcCrfX|J0lQCVaM(mHy?<&EqjsBqSd#4Zks=kLJM9(Ke7X{5^EG~=e2nH~h0M!$!&63FMh%n5#w5!5Z2kegx zrsmo!Mn`w9U-O{3R|wogr*UZm7CZX99~Gm|Udpne3K(JVAY6JszVM~-si`WsjZhCq zTevWsEaXPth)>l=zt?<2IqBW$L5FTp`6;L zmjs^JeeEqAfDJjGv~!u8qaT0v{HmoMx^doL^-Js1JEn|x)l%*b>(uUo=_PK}%v%r3 z)f^PZt&!4-+2H<}j~8N-I;)Om%RD@1fUcJPY7X6->=V|~2m)hlcfI>i3gmS&)re%JKV?eUAxeJTfx zbO?!>IJGLXxYGJAXwO`wyqrt`Zlx_Y8~8lGX(<@$f^Jl#OzvC4GNVD#CKs&Le>mxS z9eDlFzBee@p8Zn{ZRp4ZsM5A??zqJnV%6SLa?-Uh4gqNt37qi~fw`OGy?)WdBjjZ4 zI9R{LLN3N9ezoT_H-7_aJlzCtlw&2hr_19h(Z1s)RTuJ)N;UjhJu*@zR7;Tnp32ny zq%w+lBUf_ov68V^ZP2oy&+WdFeQHG=6P$r~FJO6nLjKc36V4({_8102Ykafs;?I5g zV+`|dZdY)6{K5og#$yFZ#A+p{`CWZQxv7Wu^$K3U&-#*BiQd-m(9ZoKFufNjuu!W; zeIYqzy`_*(?C3T=J#AXnd#~0$?rZN2mBEcCDLx{JBfehW&qv`GV87fN8lDIq|48`4 z+pKwiN}U#Hj%egi6dxtfF~`?b8SL8Usat6WOv|UZ%4&Y{?4utCi*-uwE7_NCMw)i+ zs4ZT57bF}g;*cJ1YNf+$w-C=q5BMBR-=R5tQ?f20Y}x(ZVeobE1O7d(pqF)FwPN%| zYKlO$_j}b!*k!Yp!fh{>+9J6R?{Ww5#++I^_ESpCWgqGQ5;gh~tfLB{6<(*i(xGiH zr+SuEcHeL2g~)f``KE6*05&vBj+o_cMXifx` z*R9MNt)rzWWeqQy!AdOMaoDhQG&B-_j0My(U}tFEQe0vzSxgU>tbBO~y^V`Iobt+V zh0=7sAx}PF`0!d5X4cO+)>qf;hHs`^)7!Vhe^!=Sb#grW#Gu7Z4oG(P`af2%6(Uc* zbFrAQ#A!W?(7{1s*La_~;cREjGUFQl+V*pm+~dUGIJYOX zF7|{W$wcBF8CBY`NAi!a$U7q4&f!PBdRCjNRi&7Fod3aI{%%yQWlmN;8HC z|A#&Q2QWo_^Z#N@pZkY(cA{A4O*Qquf`#Z^DPuUG{8^!~@KymER) z-kZ-i#mz8WGMMi)koUcRzjkg*-R%Afz;9Q*l{@N<=9M0?zY;{FQX7!k%n{=mH%v0l z_D+rq4_tRV{ZeVT{Ec~Od!Yd5;uDM)c0vzHhaGXW+sKzPJ_LfIWZ#^GJLliMc`EQr zV`$yEpT5<)*fv6G)DMqNblt83?2&lC3jZ0+$8~XA#$M7w$}rab*G4;0bL>o2pN>;N zIiPh`-22+ILZFqX@UZLn!9iJ93d5cCq#2i0r;dGlDq+B~a*fD(;92~5NBc(C;mylL zYv0VcG`Jo}kC}Y>^yq~Ic&jorE-+|nHEAgjok&Z4t|pX?;~u43g4LBletk=B`9daqjdy&t)4!WH`b6Tr*K)I`p-dsHRByPHyTs6k z$wJ$KS5m9Tk&RvWKsD*g1**p)&3Z&l3V@?Uos%n^H%@x2u%12tv|j4&jZX95L)ncN zJn26(bgKcX@-%5O$19#_?5Ele#DHJ9Solr}?yb`%!x6rvl%Fqw6E}l%nUz4}ftL>2 z>GrJ;)OW*zMWsHq*1dbgrz;RW1%^${mByf&1J`weD|ua% zkE7i1L(D|AJj$8nH4ZKefo7A7dG-nyjdcV{&|~O6vC9=4-s2#=Cpx96b}c4m#{qm$ zWqmI*BN!AG^j-LJ>+*C>dth2Qaab?m^pQvrfz$!m6lwFa3?inwdk!PtOdusr>nJ0U z5;1uE!Op?E?e)7^z#SzR&o!YE8@yPh@x3!7TO70bAQG?rUREH@TWlpf3E-qwYQO3_ z(`dr={#tB_66;dqyEnGk&9%6b29pcx{S06nr^NRBu!dgO^)E7fOc#|b114E9*0Mg{ z5SdtH=tl^<-3#>!)m<9 zxqkNU*Vh`~{dg4egsEAp?px z`e+_UjnSAT81vE{y)3-5Bp77IGvE3CN>o5u7^YUQ< zN0Xk6Gc1@C&9laP;Xefldtu^o63Ie7&u{WuKeMcCY^u zSK`h;Prh9tW<*g<$MEV~;;-ZNIS^ui1fHa?SHr1Vg7u=d3I1WPc0LNyv*- z#}YDr3fUAI`k=D%`y3N(O@DPAxi?QW?k!;eM(0p}qG$ZhSr_q1O|H9tbP$c2BazCb zOD811E)bfM4<^>=6y1Br)+qs-fMq~QHA2H7s;QSStLj;N#A;?20^(nkz_#;=U(^N` zE>Us(vO4aOsnwECLk?MOAGFI~&;;gj9v&XQfOzULjr})W zFr?2ytUibON5ucQdv863=PFdT-Ip>l1wtJ3(o=Hen-#K&e zJ28!*d_YRh+mHm z>(E?(+qu;dN@q3fN_nL&D&R0_yvMp9lSU1$P&@N`e5RIi#SwiJm{_^^i`+J?jDy#} zHsI}-k?&hJAgHAMVN`0y4X>!;vc6xov876uHVzqYD<>DXj%fH@9)K60Xhh^x?v>Vk zSyWJIJ#P_;@9N=eL|)T97#T-lz~Bh(@J^Y#ewhyy6cpSVCZ*wa^d8f3tZP`u2Ylqb5FU5d@ z?kPm)jz`QC6Z;j#rXLuWB=qjP(TW;-Wmc1VJ&bk%vH9Jr$}!VB*R_k^RaDQ4zN+QW z^Dy)3XR4^ve%ZqK3`}fOq-MWf`|%5n-cI)TyujlKirkE2&IFC?wVmqK14{shl;>XI z?8??D8p9P0>)_n_=B2HxG5c8Y*-Hx1MdOr!Yx9@PP0!@kVNQv>6$*wKN{x)hArj@+ zD#?5I`@H-wfia$y#}njy_gw=bqpG(~ICP9m6F2rLGs@^xZUsh%jDleY(NtEN#?Cz& z9z|VW|Bf9_e(if6Z&%Q+2sSLQ)oy|pZ)@5o@y|19n_6Uc%`D@}7`Szlq1MeJ3KW8njF=60;gP_|<_(nj& zxTf?LjI?Q9F>}xl9G~| z4s()V!3`=-64h-3z1&T39^S@1W0RjdmscSgJp+>)erLi-WOEup&OtZ{t=uT#h>2ChQ<*VquX4XEI4xBZ?ZBGKnfAhohvdf{K=e zbP{p(JFDMD+~MQ!fQu(wyi5@QRgz~iNvM-N>m)~U+Q+cYCp-JBiTf1}6iJ>jN<0};n$8}vXZ2B7s3+X>WYqpCsMU+iGo2)6 z8k}@L1j&F;&dkj0m$`X`sHg-eOa2$5gpd*nlHnVxKJ4Lblp6WK6v0Eukx^*!@Tduf zB%q$*FeL%`4VP2+6Gh-a@A_GN7A6iZ{sjmsQOaagR4XbcfsO}O-lOs~p)^!LP)ASi zETr{Jjcf!DVVrpukbsy>!{eAjo@)lj9R7GoEZ{)nx}}x1Yw*)BSgsUgj0rpMqoZ>a zN#UPX0<@vAkCQw`p1vl)7cP|PXC0Y^i0Uf zJAKLsY3Xziyube;#6-RCJ^rsZZ2v*K`A?K4>Kp%z#J|LzSc`u(w0r+SnE%@C&+UH; z-~6vHc%PziojtDqmujKQ?o5qZAr^;zmh|}D5#n*mG%PA1ToyKKTfspoibpEI4i~-C zCMhv=CHLt)Zv5>$e--qa{V4v{@mD9a z3qNLW8wx*8diES+mRo9k>s20gyGM38u@m|qN(LOFJs^cyb z>MTUWfFfq3p-ZN}NO`#-`nQ0fpV-pr?LoidN zl{@NKx>}8ClEcNSy-DAp_Jcmf>5;7)A!2j6?o_H#YUsa)3Y7+HGq0cI;rpbk>@7Q zNcjk{rT1>(h5E`EZj04(f0;R$Ex!+5xO^Kwc(|0cqZT5{R8H2QOr$VpY{2q+iRn$8 zjGRz0Am5;~+jF{oLur}&ckBJ1%|(KBcI}Q7yX#Ei{EE%%3V`J+Y0};Ay(3FsGcWFM zpHtN6^2?B~?_i+5)wXOB?(+@Et#XM3Pw#WK5M-=;qj*xX-~85?sbF7AWji*89AF6D9td#>dQ|4K zxFJdCj4Q~{)PdX2ssoKztr6I_P1RW`ABLM>wP_?O%v(`w6wq6_YSlWwBRBzMyG_WW zO(j_J)56ey%x(QY%)ND7RBivRJ#?ou!UYOSNQ2T{A|iqyNJt|kt&-9uok|HvNhl41 zgp`DUq=ZNah;)fG`&xMa>e*qcvmDiTtP!{~CL@V!?vZo3xUEljQxJSA*+@H4n zNnygu>||OYw6s;H7xb>JzxliH`DedQwsVq{mNykIEw)8C%fzy_2+jO_PM+c~6Qloc zFy?;3l0S62M`WqhvNPN!UI4OWN zkbmW*APipm{u?Jnss{hcNkJD3UjG{>1xxTs_}@6`KZuhV$#uDaFwKj|h6UNMPS^j} zFUx;^nZhRZSDpNI!ed8u*|F6;H+#_01jF!UvxVdh5}pY7wJ&N~f$FM+@f!>pIR1kQ z-;XCPFMO2v&`qU{)qX`!HcyOvp#t12c_dVy5=9bJ*Q{`kO_({%A&vG%QDKC0aCJh} z2~R3u@AfsjH7~fOI5Xnx(VQVOHu2O{|E3Q*CmZ7v#Vp4iAdlCA$HCH0rrvcbT5=rM zMV8dM?5G$L+Q48QAfJAE9c;Qw-`32)k301(yzs1ZDoNz&jGGnCka^-pmcy`znGR6w zxyZR-)>hA6X?IHExNhqD%Gt-VZHGNd7Q-@9sALGJoF^iR&JbA3FJkbufxnFA=!O{*CDrcYl!*Plyu?Pn*&;M_-g;6-j3&$~@V}RrE^w#_?u%rzH=)X@%AfaN~f~U$yaUGXwqm zVbjx?1h$QPB<4yZhL*cAtfS)Xz`&DZJ3GPVaTotfMje79mI$d@3;m~K5B8Ymj@oX| z)dGuMvi?n*<`L0N&)at@7lwumeiJg+o4k(;3qP@K*y#rbtKV;pt`Xsz;#nU==dQZd zQlpFiN*Yxd2qjvdH?_n9Mm%*?=Zpr^AIj2x<0qFW=y#d^fYXlOr5D3oNIz8;394@G z2gyZTpXy56RX6HjUrM&XPM)tih?>Ne^&eKizXLcH-(qc?!yDumEWdD2KZ&MHQfQRK z*c4XDd%N}XcEoSM?;s_zuW|8ieEQ1>RA3U`g))gb4#Bx@5zX@fXu`kv!I!(>STUWH z?tS8`GCABBYqS+H0$z z=8K;z+qzvrkIAJs`BWm^=e!p{h$G2obB(cnt<<|#=h@u_4KT3i!O#je4Po}VyWgvN zq?oLkT?G0Kxi~DY+qZqf)cUaST?*Xa-mj1Ix*D&)FaZ!c`lf> zoBZ0qyIcFGOLPJQk7=YY-Umzty@C51UVi$k6L(qj%w1m{HJq21_{rHMbJCOe%8v}R zdhNe&Ji?%*&-2<@%uOzurwtbD8#~92eN0koZm^C9GFFsa1b*%)KKV2eJoN@wPtJ?m z+67gi>vFFQ<3_B4D(H|5c3+8}XSiJL--^@Y_>%RA)!{d08tXvPMAl785km02gDbCa zsp=w^UUUWT6yMw$FZu7-wKQ4lAf>!$>w6yn1}#x2j=mPd`^5)LmQUWcvq%dG<;CYd zEK}XG&3X~42)+p^{qDRm&+fna;Jy@d)sHErSNACE?2BpKD%gJB=9~ce<4POhaSHvP zUYMB(99=2LvoLMz&G=mvaJ!i{&f=Q~Ab59l^5e7Z;yvNi0IhFXytPGP>2xaX;m#Bn zs(kU}LP7ZbOeU?MnE{)3Gy61DU3IA**J6V`3tQfL&AZahG+6?PcRqC3-Z@9}7;mqz z?{ZZ7{Yay^ENe;@nd=kPmt^xeL{OU)E_)~UEcW};PL8uR8Vm$ zM)sqtKg#Wzzdydha3hvD())#DKXraLI>1+aizn=Zc{k+M_GPX2_<_MXAu{Q2J}rcm zR1RaC1_Xnc+~M&ZMp>t@*yTNX5SvrcaY}PVPQSF_7Yh3lw^%$#Evc?wIKboEaqvxQ zUcq7H)o_nqUb~RzoRspq>nPD5;CV-g5cM0a&>MSqy9%DcHmpjDp^U_ZC~d(t8^JV}Q#OJPwQ5 z@n6rcZNk3C%>L|RbTTQk^OM-d;W0(kmu2`Dbb-Nxz!zkcg11AH%ctkgX%6hDsattP zym-As!4NYcqM!^+Pf)}x-12&!$tx(Upt3K8qITrjU5s8U#$l=HulsjFOU^}xXSj4~ zEsH`oCWxyEc|0le7b!EF4xVJpU64{r0>w3-hmNt%owxK%&W3g5{!Kf4@{c0keOM*s zmUn(qH3ydPX*7eAGG5jEMzehqLFKirc*EQ_CP%?Bq3g0N!DKT?s*~@)O(?lU{a&hK z-J$oZ#mx(sCr@sZBnj$TDc&*p0NACpbzW9o@y5hw6T4;6i^HdMM>jOKm6$i_6OpxF zY7^KW9p4nTk4)^}X5r))QqpxVJSEcgh)<<(h<~!n|11SCg-$K460-}xTZ^AGv5s0l z;#GQq#veDDSXypgFBI6v_c8JAy$3A6`H}2boR8GL}dbqB>Tt~^Hr2HUAV~#Iph}6I= zgG$4>{Hsh0(@Hf6*$bd($1!^nRy8%x=<+16xNG#gv{}US!Jn&Gq;FeR!7=Kh=z~W= zT_g-T~_%)$>TW8c}+H}f8t2V zz}#WZYICC!Zxe(gbiNm37S-1;1_#qEJ6sA!q zOgO-;s06LgKW*EPb0QL@$_@Cas=@_}$6>Uln%b>faNr8IZo9a+c+HX`g*PybA3{kV zU;me90wrb+T)A+kc@U1Bp-JdbLNtk_Nd6c;g(10aBW=7Yk7J@(;exF7B{3VuXq zK-v!<&RAr{A>1(@?lJ)`#1eg;mi`C#p8@~>YO^OrjAZ161z%K4XD_H$HPm{*ZM2Qe zX?Wbg7uD9b5ejW*$IJvkGxV${3$a6w(3zNFR_n!oa$0%$_>^x32z(%&zKr%kYWkEO z4aGnG6NM6(K4nI#i%#iL<}aoR{$DUT;rJhNc3zG8zx6ozv4}-R)^&N+2%GAigBTB0 zSqWM7eeOPZ^jV4|YEWz+l;l}4%XqNnD~b9E1bUVdR{8uC&It_;S7j$JQDlw00|L6D zeICn{d<<0r-t66d>tsW&`(iiPY_AqoIK7N7R0bHl2lrY$ElCoO!WE^?4V<8J)CgU7 z?4g$E4ew4Q+&2TJOXAzqCJE~^RQ0%B*5XXtm3QSfk`~ZXJbYBlqAS+`I{36AwLs6& zzRN`?h*?&M-iUpO)}$UB-OtkREUDiIqJkwvUYI^|*n1ezp1;)~Zgt=abWaS@(C z^9%1O6+o;X>@0ERt!#`#!5il$jg_=7o88IgzrQ5PUqgkp$IpOcqxp@3dp|WK439p1 z70nA0qWN)E)5$&efpsjuhgCs4(89$0HHJk&t#gs>NmG32iqniLl~aB|1Fp+MKkQWU zF5nUEue}`A5N-@udAVt`k+MG( zYFnJi6dHPuryXzjE`}S3Dk?Ct#l1VSMT3n_w=i^*{a(>%&C}-0sQiqBC!ft@!2`96 z0(wuxYM5v$@+P=-?%7w-hukVz|Is&Qa?=?{nHofe9j)&OUkD*sGTOQ0oM>!dm#_WH zj?hH#^4%=WNX>N+=&4xO5#h%uh0ek_9}~F9RK=j~(el;h(w29NjbO!NFjLHzDg2ou zERA44oP^Ma23vP-uk;1}Wyz&eVczodso;6-N}9YMS~aUv?8xZg3C*RvEIj+j3m-kF z2!*YD$TR`|w|e_2wrxSGTkO=A>;lj_%LKxNVv_yA zrT203CNqO@w z3khJ-#A*NaPIH~eC+Edl=iz&4`o|IhFHkaSjp28z9BHtBr!0Q0ilA9m$ENx>Fa63#lPVFqGQD~bH z^#`wilcw>I?JC;_Mv=G&pG#T7es{K_-)r&}J=adQ`2MJfoQRLIbojeu8JJ|w_FwJu zCD3pcs`jgPaFo+ZyRTx85?(-sIpxXIpn$eFj$xrW_nu^lpG8+Xp}FJfJEQtz_Pwx& zP1Jr~Zx6tNQJtR5hDL7JEvcINgkfGJEhRGM z?;Q6k?3JCy@{Ro+FPBIXJn=g<2Xb8=mGVSkLjIB+hC^+87KtO5ni-?4sA%#ETILv7 zPk;d0qu=c+?sjTZ9bc?341M&{jJ_aQQVkizu56 z1Vri_JfE)Y4b`7_SnfKPm}6)1K=!>kdIaz!$+!Jd%PPDZ8~>@K<==qJ|Ad_X24ns= z$oX$>`F{dAYbpOg&Mf#@>La}R_cuZaV6gaK2_eA2g^iPcA*BGh9QZd<3XqJSzmZa4 z`M~P0q!b|2Gk+tcATJ+QBmRYy{sTE#r+JW!7l<}5BO5kk!;Wk?rrrM?=?uhHn%K3h z^<7XUyO`*v8~=l?L?esIN{5@e|5jl>#V)92)5A_-DoT>59tn7YovP>HSHcuBK{%kd z8I@aAmYoLc7*l>L@mk}K6?l3s7=WvG#EWS7$@4-4=G&%0T z=mfL<8wYVcC)1UdtocVgip`j#z}J9_ZT_;+hw<_M*AnF`sCx(T{t z34zU+p8{{yr#lwX(ZFB#uS>o$bE~agA+p}LO@$&>7g@rb&-_c_+U2yfs3m1&$ zjeV*&2%PNXGQNuiq~?tP+?4Y6xdxeC_wqis4OVRHwS`O3SZvj8DuqRq^b?lrAg$kK z5#ya_G``qvf4mnLt~jt=!d(|1I<#TmUK0tPPy#N!pC+bMhcNa|kCQ}bymm?)+`4`W z@7#T{;(}=?7^n@TaF54~6IBbk7&F7s96p?Xfa10loVpO2I~yn0+EPakLlWJazNh=J z>?|{Xp}b1sl1hFMwelA26K4?H2SyqjeUQx|>7PnGcw*m%aYVAnz%QtTcMuR36CM5f zmsAv8-Y)Q`{k0yZUNh)IC(1|c`<9MPZOb*;z zmb9yAupf>I53bbpgd3d$H}eZvOY?Dz~In#4qL%(iYS7@qmjr}qhJHe%l8NSwQS?r| zos36*s(uOcnj#(jF``))!{m_V35s2o! z8UxEUt}K|Sb1lIHJ`>yPCm6RxxeG-M`<}j1^aZ(d=eA9RWk;{s+0xK1`)}jV)(08H z$TuyM4&7bZ-*5%Y*54wxes+Fu{A3SXYKuhu-?u%@k1O0367s+`(GEXw zO@XDI!{gNKs?Nb5zlb^b3?H~Xiz)d=qaPF=mE6`jID-a=RjeF6d?%M29#L{~YZ^RC zOl9Oso8HBvi-W8ShQ~1lEN?nJ2BXw~Ra7rIqngVoyl-%a;IZGE_e7NeHB7RqgtyI|vp`NhW8R>t zkf=jf?-Gsq5KhJ^_QWmI3$L>J$G9>-%J4H}8$(QZUE7{ycDke@oZI z=@{4EEugp=%q>$(-ZN>Vt+;E^H+e!l%)?g{EL?@P*1qZX&2XR85411+Mq?2hUBR<| zR4jKpd_mjfrSPg%8!icswCOUQJsS8GuqGYhY;9L*QT{cX=wY#lWg%&G-{Ukr?i)I9 zn}#t!Q}fq%1p|lsH?&h2OBc8Fo)3EO^{hA-h@|`!kDE4s3s}=$(=1S<@K~;q^h&*@ z*1PHK&m{3fr2n9C&3{I@{urE>H4KyHq56Hx`P>zkA@B4e+o?o0L%C({7j>uTdI>o| z>>@EmMr_oEfBW}BxyKJH7#}Ni?<5jM!PmK=dCE#;8gtTItT6RA#G!0&7(hHGj%rnF$v;P1l12N)9 zH7HoV%&*lDYXxDO_ukIh1M+nCZXS%69aarM^FWtl8JXfYW%F;jE6>>#a~9U`?CRSx z6>O0{I(f(a08IVvuP*J1U(vx^ z#dTcHchWa8-1CUd-|T3~sb?4VZ(!DgUW&ekjT7uxX)T4d`&Q{gcN0Q+r17U}HOD^3 zQ7!gN!GUsi!@|m)fyrG`)%KGsod;J+#WYQ~DrpsH>LW~Et^tM@+!hc0!=>#V^vu}z z8T{{WRgKf{eWt(k(5p~K2=svy!=0JP(~w_khYR8=>A@Qor)rMH-+nN1>-O)E{ea&C zB~GWYu9I;K-Nwg(m-KN$!`SrPBB_Nnmevk)EU6{oV3AiETj_X0>{U%kyq61v1&R9+l7Pov0iBz z*F(317)LMU?(Li_{qT`Z*jm{uXY!VN7mL<&uofVCKwmw0W7(+hA;`o0WwO4|`h67@ z@}k`?NP2MoBd~B9Vo&>`;9c!Gz9+9VCzeyJO5xl8@HNxbpc+_;th8p+qyk_(xmGEj7 zhgTGrfTea~R%IVnr(@#qoOzSfO(!n_(fOiV>+1asS8^~+Ye9>Yo>2p}U{HH->U|S) zkLTh2r4^J~qYtxPhaLzT)K7sUsTm8F=ZCf``oz?idb;EH#I(5g`sGVS7ueSyc0_#x z<99+fB=4HhG74zxX1mmzZ9At5_6B+puHuqcs51#eAl1Ot{bPyqUoh#?7E#t}yn z5?FvRqRZm4vT|p|Ht-ELvd-WmQZs&2Gritm*IHe=cKT;}8&DyCx!rG+v*%h-dz#tfHkAbQo-4T%-Nt$L>fpK7@S8EHnc6 zAm2mkamX`}7|Ptj;?C}FWB|Iaf9R{%IzS4RPT_lMOae~m2*Sux2;_wH&Klc|kO%-^ zW?=_qKR6>66Q2luS?I}rfc@(TmOhhZ+v(do;Qof!HZ~D0cJDlb#{Pqhu|0}92NB?{ zGoq}etEd0e2iBzf`5OwgR0+ za3Tq$e;R?{wErzlr$?|K0C+rom7SM|1Zfr&6cv|L^+N%4YHD@?8wU>$A7WI1kW?7` zNhu^IAq6QZa`pnt&+Q$Y;t>Fvo(^7S{txH!k965LICRD`;p4)HhK>dGN%+Vh3zpm? z$WrL4n7HA6Q{+s?m=PevF(EN614_irtsmFcHeiSWf)39-;q9GWV#p2m_Yq#mdYMCA zL$d`N@qBe5hPH#{+0>@z<85DNm0eMz<59(Xy7LeS4<+Xo$laTr-2BqsaRJC9? z!lTC|PmqLBh^M__sH$IJPVN*1&f8IVtiXs+6LEYfy~147so7;5Tw`23(sPtog&{l^ z6E{&bQ6iR8gzbsQ5t4G&=WfDQgn253`}h7vm{$yUU+xK^&y9a z2-e)<0kDdNwrApt>OT+_slI}_v+!7GYwuq|?zk}q9lYXzJpWLDMnZDoMFuVbjk`Mc zp~me0Y8fUi1O)}dvqZ$%4v&njsHm!GfhzJ(WG$_%ib z7=t?PhZM)F!Q%L7DHKX%S`j9pP79z=V$v}>oo&{6%^ z9Y}ipt5u*=`#AvPBB274>h-ooSw@2~%A5mqW4#N)M$`i9Z_-oA%?kjRVZ}H4Jlp|X z9^vnCGoR~@27@t;JKI@l`b8g!4&Mv`qo^vvhmY+iS$$>{amvUx=V?VeVuqbs0!K|- zlP+8z2AIx%vqKvac}nC$^{JLJ`1QS&u8#w7uejVDIW{744glEbEAq9|lM^A);wHEp zeBn!jR9Kg0?0)v6eXYDRb-@^nNh@;tz$%A3hTm>cam0OkdN^A)dIdZbpH#YLVN@0l zI)r$Wm7|xF@x|JHb~$9TB<96VyARg~GI6VVb8vsC06|BR-?$F6rkUk-q*gJ>)Tbsh z=Zn;|1f($dLa<7>s(@LDhC-E@mcVqgODlGV_03OHXp~1CMlDO%O%0ON9wmT^3H#Nb zId?8GXKvkDox`BkNB0OvBn0B`b`jv5D6qhChTy?cPR`Ch}8 zC3nsQTKGJ>2~cOw<<^K+h%EEsy;n6BOsLnmOl`=BHQICPUDkZ5B?IuHSywPj{kUSx zMEEP@po*#|mp~<@MUF~NNoC`ShUW?pZe_Xo>wHWWTl!bGz6a)P2SK;0FLeCEN@V_Q zW}_tO3WSrpKX%V{J-6)GO7|T9mG)JckY(e$Q?ZTvPq$M}R1o+m(`f%GUg)_=59jv< zsZmaWy3u_fZ!(>x3Zr3TzHugyY$220oK~WyPL^gwkvoHZjUXYTGb{9DSW;KeTlW(? z@a+Lkzu(N<9C9Ax%#I9joSChj4G%VstNE0~W1lR34LB2RUeLr(arm6pm)>s{n&eAc zR`JvKO<*jBisp3I7$0b@EIKHa+<0P+^;GMjisrgmV;OlQ#>D2uK{wXk)VvoURcIXl zYJD|Zl(-S?24tFV zl1g}tTuFHt=s_)ZLT1|X9_G(C7FWNaiStuLQOGkfmh;W}Sm3_VXFLG6yb8_Kw>mXS z^-x}8t+yH$JEbHz%Bc4&6{IC>inrUr&&)4g7`Ck$L#z5~Jd16u``>YOQBu#mGoM{o z?wIE{1wDo;ed}%q24eQnN9Qwc{#IRh6Lu-C!HqsF;A@%kSUwoxny_ms@t(kKqe*qK z;s*m!Ba)+~J%bI<-Rd*e=LLh|nJBKZPdtYrLjC!iuLos)7NsU{YYm{Kgj2~p>M#Mc z0cHn0IyGjeR%o;(s-({{Fp~`A3tn6ls7`BqHog@Cm|K;WisU~(*1rB-QlLn#tLC$`oIZ~$kp?NelG(y)TU(SRXdwY*%#w0z0zuHaGJl0PyT9?(`1R15aEUhs zMVEV{&!fM+&yuywmmyu0FC0)L_>?8n%I6Nz+#QBHAHI(M3vdle?y^glN;+h`~hIvUy4Z}1HP@?l2xhuo^d&rp0Qp_~H~b6Wd#u0WUtk8)!T*MT{~@;=(@rQ9 zCxU9PAR8`Z!;Nfskl&c1RSypDb4AW4r(T1_JEjRLGj0v65h6I2C8os(RB zhNO3xz@gKPB_A2haMGCgn%8!WB+F3|hr6(9XX(RG^MS<70hq^@h@?@QyWaVl+7@K} z?iZj|_5CExG$^P?IYY`D==sO7X$<#-y$KSF_JJM!wF!wa5Kb3=%Y?muA#@MRhbP@pJ8jnp=3% z&5h{sGRT8TMUtg9?*JMt;ABA9!td#P(3V%MQc+`S&^;g%y3hTQ{nwXIJucv`f90>~ z&kx%s2cG(47TO_9SUnKvdlqC#8rX-VDzvp<3%!*!S9j4>8G@Dv$_x{2IxFh$x)_=CYtus*NOQq6y`{jXoQXO}X zX!};0c*)A~IjHm8DtBz0{y-$R-^?xVaC&HB8OFRhOW^vt?LFJLT?&}yEzrc*H2gUH z)Qv?{+ee4u(2q;EQDeL)rB@#)1k{>RE#;(ZnM-rv)D2tKFX)D z^yarYkgfSikbV9d#-2(Q6Vat3e@q$+_r?2hVh6cE+KBc)ylUU}3eSNewDj%cLIMDmEq{#wg ztqHiOn+umwFD+=B>a8yFzadv@D7Q?!s7&0^Kp{p4F5P3sb&S2AXt(*BZJk>p5Q`q$ zokHqmBy|BEm(5|$BGAHplW$I=`$bGz}a)V0j;@>HKAHlocc-FM)ZDZqQl%)tY>Mh&ucha(T99d9s4W*L zKd64^$nKG19L$k*X`Lo{Rc|V7e8h+R10LV&%Wv*I0J6R@jRR-|S5IV8-iuWnpMD%z zJMau4WaH5<4aiftr2}4+?D&?-8V59(oNB&9?^_uS2rD2DIM4m%n9{t8vYs529!ncO z3=dqV+8bJRuV*)F+hx!%pPZ(m%k1aAX&G7s!hfDHm`Jwl(beLLDVNqt$|h7Daq%AD zZ!c16bJ{m30L}OFc-jRIw~rE%o8q~N<11rc^HmNS{SL$4Ug~JTgykk}f#KTjv3nd>#n&z+Q-#M18V%A7tO#iz2 zw!U=&xQ#A$vr1_X`sPr^gk@jR&&`}x-Z4D&47(dcs*QpZ_|-1Ew-ZzuB&TV(*Z9O5 z1ZZS>?hxK!wRBHj@1sH6LxI%(gIpih(8A*A&x=wv+l;2}Q_6uAhOa z;1J1gA}w=IUn*hZ!Ao}Yk5{kUvXpw?Ii*$oETI4AU)*_GJc{d;$l}|w;7I)lTqDtF)Bf@sBy@|{`VX78TZet# zIwE-ESa|(dETd?F7Z`MXiT`CBRI)I^`r~rO`^-h* zYznua@?(NG<{IAw1MX%l_71RVggAn`$?3)5$}yEGvw@|Q(|gjpwZX0H7&lxZXAYPM zP2IX_`t6p9fC$dL^r1<0U)Dl_3@5es1@ZoOf2&7luaolmVO!gf5CL??n%hf4Z3Onz z{7T_!{bAAT+*-_&g9#Q z6D9Xt<_|m<3O%ZMNh`e$tbR!4HaP0=xm&l8gU{`&`8aeqM9exJQ>o47`!rKq?b$L{ zYFt!5a%LI4I0Z{g->**I%_8$%8ETSL(4-+#GQ6f^?K^dVvVqd!!-sTd5sgSXqm!%a zlhpK=8JXEIZSm75IK~VCW^9R{NWA*}@B7mR~YM~m=XIK^) zQiq@oqBbvY*j?o78~7RydzF+xt~@%55GZ8M{M$FAgJ^aZhkyuHpVNXr|jLQ-4X5n>9XOd-ZTgJXzC3qnKTn|`Fj2$EwZxZq6v zb;voPXWETu9)!4%Zj#u*2j156064-)aiqNJ^S}TbGU*L5rC{Khe+wVRxP(o7_=rI^ zd*%ll^TPgBWFZAjFlpco1dqt$gP`zQgkL{)LuT{ck=WF;ae8?FzDLI9Bf1i0APJ^kT15+VnWA*llh*Mv&% z3SyhwxB){*|0uMGJ6rtr?b%d5F$oO`snr>)eTWRye}uRi>E`MmnJ{?(@=tWA=R(*C%i5uF3YWRLWsA`l2E@K@zxan}`UM0*%{ep->Doe)U6)sk z`YGWda|=l*C@y{jEgXb8Lv@$@6&Y}Jc0p>z2L6dH7#{uta|+1 z2N22kOz{uq9@bCzT?tQ7jS=>c^ePd%IQWOSZ>+%MC717ecV;*|q zOibq>poZL@T^S0|a8^?N_HB(j9{y;Q@SykgMJ}!c28Y5NxbmtAc(_lWqE1nyU~!rF z+&M}rNl6(fBqNtNl$GI=<>(6Ad)?eTQqy6!L1reBKAWAL3m0-03^{$@;NbAs6s&f_ zz$SqAA9=#BUKJLTeK`xD&kQL&ypUmQ7nP8Zng+QtJU9LbePpO2!$<_Ar0w(G0leq9 z_~)6&Tx}rg2fI8`Bghlr^tqX2pA9Ozd)NWSmYEkX0d^{mk-{3HGdHRrLCr~7ir|3OUG8kIc z7)5#IzTwSx=gZi6$g zD~1hj--s9ckVT^BCv!4t%k~*Z!$n*xb)r93VzBV@@q?{7V3BHK%QzIenqmDu;zicL zR!!AKD-m27x>ssmB@KPrHoy_T6K~~t{KZ}td-706E5Oql;y5mNIzaPra+kbfQ5E!h zw9wdVb5j@#Q#>lPA~O7}@R`eLo}6q7dXh^)1ZSjq)8&((+GoEtjPf z-1UBW<|($E4pNFy@Z27kegyv!%X^-?vcr!Q41c_DLf?}P@H3`ojbg*K&j#OkMt;W< z?BbA{3<+^vRQgWvk~E~4`-rS#r_WGWiQ51e+onVv@iJE|C4_VQe&tT)DKcPdf==hqkFj`+wr<~;ab7d&&jyH?JaDsu^ES*q37Dy(gCM$)vsn-%8=JF zQw`JjQNPSguJ?ZAP9tWrz*T%wX;ug>`fP*_FJpg3ll87Ee5LFTY`mO)O2rj=?@V%E z8#!17E>Y9x5`VbqtGl_8@O)56Y+E4;9cSDBppAvskY+z{7d+i%4en54$njH^xN}8VjY4ozz96q>HfB90b=kWfu&xcb#O1Zt3;-rM@IXZ-{aF2Na zM^6{@yMe=`wHloi^b&*~M=MY6dJt1Z*7_c#=uZSC11b)k-H9H%tP1N5^j50fGMqKJ z?YG5^jFRVtF6TxEuY&F2KtMP1lUl@jh0}xK;~>JR}Uc;Hy8Y<;n)oN!mqz zHFqu93jd^xH|l=Jb@?OJ_8yhA&%TrS7bYt~Fg->pMl71#X!CAg|9sqNmzofh7j`jg z?xRW9y#S~7Rp9P^zWc`Yl``&*^AeX8_Rw9H7Yndm@&_&JK2`nn&ou#N@@m?rUbPal z=X1K9M8t|&itgOQ!1G6@@l5odXzBxzxXUbkUd5WM<0C zxO|~Wq<@pcR+cCFC1WsCSB@B@bLaFAF?j7YPhh!{gQA zblO{~EDG}prV8*p@&R-zi6#0yxYnk)bviWmj?#W*ypJYjdS(eev_&6sYRLkqwa~t~ zP{q)Az1o|^;}a1P|L@<|cx=)Vt&hZg3+t9anQ>Je&90t?T@;$f(Hir)T*ZMWx^os999w5drO{|& zOE7L}b3G{3ApphhdHOq5;(I~`vw|$xcwi%(yJRa^X0!2a-E7!9H%GvPBD0H2g-HH^ zSLAgW6kyPUeAiHUmU~dk;bFNebFmeHT0y3 zbtB|%{6#i&Q4{A+cQ-fc|23ut{`kK357GRWpUYxfFp~#ae_{~+<*R33`JbErjWIL- zV+Z3Qb}$TB`YWIX@Iw7x0WI)_MU;O7)gUwL)n7q1K;EkU4O9adEcI7V4d6STzk+H2 z#eu(qYUHu5K7}Z`63GpMP?-79RvmzT1vQJaKU_;=H;hW^YmUpBW{Q{M7+ zyJ)C*0nfBeb|3U^m%FC;b}rpEjT*Ds?pwelolvMHI4#{44wyILc-jI2E5%A0w~ju% z+q!&d=u@d}MxrK z?>p-qwcz`*#;h*4ump!d1_d$I&0@tTx7jS}j`7H~bT43T-<$#uqv+jxtqnU`a*YF) zNpOlj7-q!3pkOSH`l1_HpWQkGsFUWnQ{(+2{p@v0e%cj#nE$3~A8pfK*A-)ysc0wH z2EIOaEaD}vKS=G?EI*%De|CL{2Y+~H`~C{QNC5K%6Em{zTO^!}BTC&)H~_F7dV#08c8X zs@~0O8p0DJo!@O5ck=o_bo}T_7VLa3tf~Ap#R^zrePt|5*bE+Yr}rxL!6y+o=M|_; zs7~A8k@js?&|MzvZW^ej6=Le5@HDj=f+JY3``IScy%b28Xs$`;;m~sd@4`5uuDd_h zY*6X`idMOQ>34m+vel;k9rPUxp8nTbP$kX6Bi^2BBk_C6t8=|XRs7(9(wx9zk6Kk=7teCH^;Igwa;{@eQ?_*TCF78 zr-TAy_mO;3?Pa?Bz>m-00*iuZ=I$gtZ8efL9nUgqvxGP{dd!F4#TdlRtFN4}*MSr9 zA7VHTL>!HTBU*|{w|hCI{PtK%&)W(y-pXfD)2RZq;sqZ)M9K{A|K$1j%iJflQoQ`( z+MQ7}tF}}^hO2LN*6bye=V|mUzc(JwsDXd#o+;~V@dI9U z<#v%oehI^jw zHT%RJVB-&xd^+W!Xpl=yc%k-9C{R<8Wxl+pgkpqM zV>T~18;n)(=1wA0R>210 zY1}U-lDx4@Z`kd;S`MbYT{w4r=ZkxO$=rMNUP`X~(`VKL0&`e6KJcqlnbHc#i&Ku_ zhWy0pX1N{c7)hzDdRO1)C5AzZVeF@@-Bp1>uoYAp>z31;ye%`&q2`j)c1bnT&^hMN zNvpf>L{Pe97BI{yb@x)62R_TGy=UkWUeB21hb`2qR!QUH^t8S2DjVoR*BYDN2kfGH ztv|L2nEV%U2^jceTV`3DqH-#xW>x@>MMy&v!(|ow$X13c+=)MqC~UtbQ(d+7i;ml; zrMl<|)Y58Fyq3s_ZaI+HyKV>`9z2XHJ{8h#(rz7`{=T?-4hX16bc`OJGD;QC<*k$Q zn04)9ye?~)#b#4@8lL=i@(_qP`6Z4{tsJ9as&U&9=sL$_=Pv2EQR`CDTfd_sRn-ER zLOUdK+O}Tdb$c8i`{u|5_rm6v@QX}i7!8ecvA$7)r=9(DSM_7N2c)zgMyD*?^UoYO zIA*vgaxbm2Wq?sp2Y5%ks-4}#rq#4^baj7;#>8P_|0KA2`9McMHY1O(ofJ?rTz<7_ zv|!fNGr&lYQns?;@+P^0U=WR~q4l@QZL?EQG}J@ZRo2TUq?uj9Bo-W(S}|}+ec%3Z zVOe$0z|hYXK*Y$zEqG1c-W4XGu4y?uiD?};B4d;?b1to{*~MoN0n+jsT3z4VdzV%P zIp77T)RhgnxRiqXGA=6Gt&?-c{@~g0=ms9Ms@EK?dejef4j-G4UN$l18!4^qKEfJ> zA;o~1j4^1Jn#n$~kdEIerTT!9Q}V7}_?y=5i9Krl`=*b9XLQ}<9P<@1NB@wT4;^2R zMBiTUKGoEVuVWE1|>-x!LSu-u<+BNWHjZ;>pzJjL*S(cG*5=y~Q(hhdz{+%V?=F0cU+ z-_9>O#H@w9ikbN$%O%b~!}}0dL0$#9rnZ0JYox{qaepD8{ZI85u?)XWokPM;=jITv z_sj}~fR2pJV;4}-&;TGU3*$?bRc=0f=l~HGOfEfZRf4MJ<0q5|0rt(xhSUFNta1QO z_m7N>c-jHTf4@%6z?ps=JOXH%@}EJxe@6A;u)ZjbsF*lxS2s6@jq279Afj%e{6P%=HM%D#rHeWPCVX`1Hrw{5pJEY4uZa_YG3u)Pc1X)+#%=r%- z_wt4}e42qG^??hD*=L?FRI~j9nqWvo28ucedY_O1heALX5eW%D46lX75+x-cKG8!z zyBw|x2_@`nEex9F(`3Vq^Lqz^#(Flc$fY{|_7>NOW zDKf}i&r!gI2-?)tw5+U1U@KfQ@P@K5Tms6R5aP-EK>pVR@m~{)<_xhzzxa<~eB*}N zZB4CvNNf&mfm zPxNQ?T99`l@epU(wQowxYY>DzI6UlEf9}gD%&Uc$58wraZ{H7(p>vCY37-NixUk{Q za57LRTptwP*}{j5zz0S0$8d#CGYKg@sAG(4uvp9Fg!G?YEvSXVfLbMZE(rHw z*#t|qnow9UpcWo}J}4XrR*@?Pc19>H7!Uz3*BGHp6Y-oMJ#mkRwE6jSQY^(u0LZDo;{v04Mu<%&nVF08^4QJwm z|NQX(6#N%}FD*f2+_Lg}3H49-%vd3XQEOy&uFbRo0%1F?kFdHteSm|}Y&t!I(V{Ya zK#l=T!UX@PQhNBa`pp5E}XwKjk9}%iBl57=Omx`nd!+_fftYPze_oT-yq|Rr0Y3s zT(Brt^L0BVnrBrmh94K~%&Tzrf0RhaJN zJQ-Y5pNP)|PUGq=R2P;FnB6VkCJ%rVnl6K?G)DMPBhEE;dyjlzn zw$}U&^4j(9YpD?@(6mjz+x8DV<5v3O@fXi0?Y~ZMf4}~eF$ds&3DhzjU0g1HF(x?| zIxM@WQN`t?uGC_FVp|WFfx{5=1f9{F@5b+0Y<9q!=(^+HSh!2YLc~#Yvr6qe^R!GS zAY`uhl5fM!c|Vr665N5$=A0rbYCfo>bjLD2S2M+h662whm3?j&^$S{+`NbV|tg^u%Max+BphM1Tz-`UMa!t*1((P}FJejWWKYD(D?8Av4?DdZxF+Quw1;51O&q^?9VVrJ> z&b7?R*bKRRJMS`=R-uxNFsWQ8So+qdjizP9%t#|k%6jE_?9`;Oze|E>&iLl;bR zF+NNP)M1&k&KMe&TOxRz+-TpefbDYA>8yP|;m`soaMOFG-q^cTeKH@zH~DP`gO64v z+x}IzYxIw{B?A+1fMDKf4!k@O^RlxvYx}AyuqM-S&p^*Ywuw#=lMz9v&D3kW9(8iK zLoh{)m8(HaUBm&a01!Xvx2o%DKAHW0_IdwHB84fshkMBbq!reGj5;Lfi$j4_Pv`u3 z41^@2zzYX~j3q9@!_#;0_!}~)N#RHEKVqT<`|#4-5$~fw=h>qu{`H7Q)1vc;RTM{B zZXrXH37valq1FT0uND~utmxc`4#knJ9+1(-iOyvOP#l?1jEp;c==`@LiX+8fWXKDl zbCWA5{`u(s_s^j7i2xMGI2!*}0-e{!p?KZVcyD;5@t;wbi{hh4TvQRA->OA%WS?GS z{7^yX`h6%qa>NTSpmVkb6kj>wj+fE-&Mt~?9&sLhbpGW8?&19&KfhnDp!0D~6mK~i z|I8en*Gr-Jha-N~8lB&{gyP5R&t!+rb!S+9^6FTSfMe+0_p5TVgXQNQO@rdhs zq4SVuD86;XPxzyAr8g)(e8js0(Yf?{^!e|HqH~JRDE|IvylMnG@BfA3$ImbJLv)@_ zhmNzgCORsmD?L zc>lxRgwBV*qx0!Dbl!{&(+yxS9qm8Ty3lzMJ&GUiUyMJZ^DZG2KfZt3L3A#pg5t;9 zZ(tOiGn%0I{L$lkG>Oi)Tu_|oX#b-%i_RxQQT+J*N3@8}my%Ju^JxB#ujst85XA?N z_}w4qJfs=Lza4SKO>}NJg5u*x{9p&2D}O`rA4k0A0G$I&nB)Ot`H1^s!=Et!h!eCZ ze*F1xmH?ga@T2(t(fD-|bUr1IK7TObpDT3{eSZ8 z@%M$}uM)RzpgvF9kG98uK4{mIsB4Zt?`lyW|Npv~xEShe+0l4E)aLycKcRs-dpy55 z>A%q7nHF=@<>TjT17;fiGa@}ump&fNUqbs&ia@yCLtU;t;y0Mkxk4K1^6~c-epYn; zrv!Dm`DpwiCp!P!hPr(Gd790K&YzE?E+2m$Si#(-f5yEv)TQJ7B@q25h3Qc^sQ1tD z_MMPG=e!Ike!PCkvgjQ1==;y{{?tGbowq8X#vku5C{@vUxDkpE9XCJz0kQn4~idu-#P7% z&go=Pocw5i|2+_$_iCd!<nH@xG(` z-yB8fj#DUpy#IPViOyv=Q2hAy;W~@XSqV@({Pm;x1s2iy6f=q+f8SpIiq88^qj=@f z_?#c;JWmzHmyWp2COWq?Me*bPC+jXc*KkAeIOBjl89L=ACje5Ty--aLs z#ix$A5dk{iFGBI-=jT6vPan_o|LW;Ki+qv4sgaurxh>?7GWOrHmjFzm{%iIUfC=<} z&Ef*^NX}ogxBxN}`)^rX0C_a&Z&_TRt{$1E|G%@iz~mIHV)#oI7b*b@6XxC{bFRdY zi?hguIC3E|4ZmId-wIz!ToUIsQ~Jb=r1pdSNjaT84mrjA-BP(oK^Jp0wpa z?N!n^i+eurF_)6#FRVqkD=lX~XVcYcndJsL04=?%6cf}npEZs4zulc_R9er&_Mf!a z_oCH#l$0jIYX;&}%j=60RPF}wM8vcuS3NDNoQ`Ha@y5Y#EKbr&JctK4=V*TgYx<69@%si#`$fEy=5JonCEXJTgMniw&Ip~UAMM9k zIU#vkpUx%&JR{^-C=Ge}d!YW}9KZ)%s@~7u(+7<7%obK^XCC0>PfFkE)3)2X8gja6 z00AnWai%*W8VnqI*3K0bubez0?$-8o&p_5d zI9{(<>AuZM37{sh?HQyEBiDE)L(=~GyrD?gr4evbE}@lBE~kKLBiw=dh0Tn3&7@Dc znnHhMcj8_!zXl$_J-5|?^)>P`YhBXpz~%G4(&zDK?ogFtG+1T|>(P#MfHlHX99R0< zYuX0x1VoN_^4EVdufOu_WU7MuDNJI_94nw$z*PJ#VHLA7(?|T~uSavacU%O62?oU1 z)b;j6B@}FcUc$rCqB~D7f2r_vUXk$->m~DzY5hZwS1=uL;YK_m0*EzMUlNQPmu=8F z5&Jfme~Q1h4{pB2D3Dlispy*ih_cbwBsuF|^DTZnZlDx1F`&G& z5JwrzcNo2wo%TP^uk)nn;v7xm;AShAjW8~$as?tB`%-c+u!vaQ3SM^D%Zk+-J-4|2 zD!s_Kq{gJ(_JMzxN8e~49ym!mOsqNb7I$&tp53B&-cWNBbFkjH=en$%BHiiF)Pi8A zLtQ{K>$4vjy(~d*Fzu&EJ`;`eJcUe`)PD_5J9q8_3|{~E6mFr?N0cUFL7&+#o$`3` zsJOGED1YyC?OK_dAP~op2=kY)mDq?JUzD)l`SRq}(eWV?BMRP3Ra$nyAGSf zAx76+xOwAYXT=BlSGlWCyx?G9{_H`)L&{x7Lv>=3Tom`9vH0L(+&~;~&srg(F5prP zx-@!KRXWOz80SXvv_K-GpNPvnULx&wz*eec=#o1!okz#!v}~HiEE4mpJk&P~TglK? zzW4sQERdPG|0HF5UGG_2_4^V7TRf^6?ku`Y3zH%pY)u-bd>&xnX7F~u;qDDjDGcq% zk3p5VPWdlI_`R|Fur#p(uMaMQ#`ezEn}QdAU7}FhtBOt>1pZ!U5Z~_^2Zot+%bWcHKc|z$-R}NO=wZTHyZrfE^V;>BFX>H<{J74Hx?cm~RCpE5H|QMk8}|((o?tOh zA>9AqHSO;|&(mpTJ$Uv2U|JWZ2?(@xDq!Fit;Ei=G51!I1WK4T z>=U1IQ!DL6Jfk1fUl7V%_%R*g7&ven=eO#$h-YAYlHjCYcHRIh8Ozn657WfLCSC@K z=3dxLLFKr`R`K+}k8)@`UXCZRhQm6)29M}WDjD~^wC)*_k6+fXN%c(pL1AZ-{rFi&K%NJ1^5X!0oG-A_DvE+G13myFle{bkFjN0IOTLxwwDh}h><%@gv2 zCVwtwpOFU#;3pe z?+zZ9kWETvYdhfy1~!rKND!O!ewbM@s(fNn#>kdbP`73H%fcV$VIqd}rb#28TeJZo znHL>LmTfx0{^RJ1Z#3KwwU`pW{bo-YEF&)8%zphCNV!onaA{skd(%s6a65HP&9Q&? zw1mZj=auj9StS%MRe;vX1q79lq`r0N{iswza^bt>%_9@Iaz?}+CsZRUtLDJ{xhLaJ z->)zH5^;%E3487pDSI{Lwbw0%7Yx0F>a>2BfKk4pIR}r3g=1OIX)#^PH}&nqOKPq@ zDfOK@q%?r*JW$W?ng4@D#;$nY$|@K>kSOz5kqQi z8}?rkG-$>n>N{N-UTuhI`?BiauKl?Qge@bIzFo?FX?1;?!|tnwUB>HStMCW2-}XY% z^E!tnH^BCFm%AMUOfqse;xeW=WGwyf6_w3y^N1=a>li+L(XtCL@Hs?`uR6sQH@1!` zTzi;W*4R5dNh=_%<#9je0QT1eQ5C-wO|Ra4{%(SgqPI2bbhhyHQ-{FfYviJG3I_B+ zkAV7vMnM&`$dUAt6EDY2!sC^Uvwz_Wp1oN21o{xmm#3Fk%r z2c?VmqAGg7{?;ieA9)22va)lZl9j(5nb5>y!FPUpHT%^>LT#7)-QMLgMgrd3VWU9X zKJUk=`4gqe-}`2kq|<6Qcew05;~Uxe-TaG2urw`!LvH;CDpl9qF}v(pN;9jw2`wXw zw7hy|Rz7iA7`#_pL8t87eG)2B$pE9A$mQRIe{h6bRCEZ({PmJbnG6CReg;aL0&ZNw zM3)$**f{0yWmeY@O%lB06|+dk<#xIi^r#hd_pV^z0eknzoFY6{X(OlRvE6-1ZW-lU zeM8&)4lWeHb3||Y!bjRCq(fn&=ToPNAEs1sovEzfJVB>C39K4zodNa&@J2EbRR#fJO z-zq5;BTMD`FOFUbl6D9oi~x}FZ7f7?=8x?Y?2DdvBR-7Lp9<}mQo7mnned!%;W~~8 zkUpnpbhi$N)$LxbSIr(`@_csaoPewaRzqM z(>6LRGd#>bx_b5MEnae)Yxa)8cOqa(Bn;Tu4Qa=X9R=fI#*sfq5CRKX)7yYR#92Ud zjvxd+tZqz%E-=+~FrNl~FKtBD`nA7@wUo&C22)g4k?|dw6tXsrKwux5Z^Nuu~V_LBWqA$6FPoj#q%n#<01?#ILDX=0!nHGkjV4VjEpG*+iBlunOCr10AuCuTV`3gXwC8cn_*KoeFX~bcFNpM(ljBGB6Ou%Xw8kp3T7IGi3G|46+4S2a7Di zTwTW}MplZ#2`JzMl<<^l8Uc@FA{R6nu(>2myF!|8Bi*-;?6;XuvO)_OXh5xm?2TxF zpof*0hgHI`NEm5sqh)jLunHUIuw}q*8HedhLC}4>0lIHbBcS~@a+~_XOMV#$guev> zaSLus*hw%b%|5KuJoL9YD$Rx2ONE7mB_-uOhc&GI13?JvK^Q}D=x_!Cdj!U4 z1_E~s225v~Mj!wz8HPV4W@o<~y4~O+yAvS;S-A}Jf}$d9Pjn4wd1D8=5W%*7q^M*j*v;{Vn>VbJZ9w3n z>}{UF`$rjVHy|*OF54g-+5R#EnW+UI9ej8>u-y{UZW|fMgeJx=WFRZmVOva8LK7-a zYh&~GRuBTQjhu1E0?KI(&~`iM$aNc@Kd?Z=Y9^AMKqhY?jeU4wgMDP23PMo8_KC?B4lwcGH(wi?wN>46VGRwKV7)QS)^c)1zLg>$ zz|NbnARfMR9>s+UVW(w4lWlnawG9XxDLmv$3x@qP6j>amp_1V^eE{`Zr|AQF1j2bb z6>-<R{2PFqS#>gx7G5*U)p!C2#aM*mWb+o7T4a1`nGMno! zSs10LDiq1i4A}%f*0){o>2+lnOwp(eth8F>yi>QSxOJG)SDSB zZtJa>j(XknA;aaDz|j*)?DUGMWc}IY^ZURDoU3ptuS$;ePF%p4%0Bq>sBWaE{$TPo zHzCvMKQyJ?AHnTHj4yj3dIba6is`1*PZ@=$*}(M{cEZdBv(ekAft1+O7B1_SKL(Gn;z-e=*4%}%c|+Wr;sa@d90jZ z2Prq#ScZk~N1q}o>p7uPu{DtUq)69tHkqw1D-#>rl?o6$5*>u%kq>u@|<$r2qbDT!e zgn9SFHlp`J{me(u$DysZN>pJTp`{Qd-s7QM;+0&uMbK}6i+_#5lCY8!kf|Gt`M!8S z(Y^bn($M>xT8C)!!`+`(+urYLXkC2wM;+K^rD7F{KA-fu=j^3)UCwbYZ*?FbW%up% z*$DBcmuY6e-F?BLOi6zGUfS-H#2=)pmA#n8KD?%67Lolz_hKmw6FeUH=0Ec+Rl@Z5 z4KpXJpd?mJ4`X2gD^{}h7!R7bU|WzewP5AZA><}W#@rfqE&8`xgwG58PdUkE(bF$4 z<}NOQvDNI!Jr*`SVG^U|)Q@Let7=JCFG{|-r7o2qN%xDR7>w07SYMoEOP|&n|Gdz= zk|<3cw0u#?RnoD6rki=yHxtlzYfIpq*%%6T?C!+I{)I4P)fGesu!s@LlJGwa_M#_vd)`5b^8-bIm> zr~n&puetCIfA-YfflN8tm=?~VXJv1HSp7}{mv0wWijO?9AavQ_#~*+C(&(A~$0u9* z3GIu6&3EM0r2*Ti^Wr{6IaPi6crTkyxh)xm$6yRN)$+1qHg#?)9^?TAX0bOV{expa zW>~H=7|FPO?YkLx`QWjZaK7(HOy*Qku=qQGMs$P6fH3{E(MFbCjUj81@XU`-%B>{2 z`cJOW&4ReK7}lWifTuoSXCK;v&&2EEE3SwYn{e_No~dm0?*f>G>|tv69NcQyR4x9L zR^BLAeQ>_Ny^Faj=Cqs5aFh`6)8jUt4v59@+`;GN^>-%0b>kT*GZ)0t|HP1SZksI= zH0jkm6w4eu^$El2%@(aBsckpI{am^bPs{IYx4yq3*8mKB(SA)?lQH~zmXZqHnO{`y zJyy9yQFx_8ZPWB&v+xSjS$gYpx;Lh@rh0L2R%(~>H2iqE*2yMYrXB8ESN&i1iT}!( z`Y(G#yHNjC z9R$0`CMSPUNdauY@mG};NXx+F<-e$;Fz4OuuPQ0&35wb9D&Y*HpT`tIj5avpuP4?}`oc z&1Wtd@JCSw<=2e>{(zxnn&>%Kf=gKyCwltnpGlpo_@@5ClKtLk(@e6&2SCa$`q7)Y zAuGFIKHQ9(TbNV?`#tJZR4%SWo~l))bJO7Awv%qkl7)K7WMIK344$!wM>aiWZM(w- zcXO9hwxpDR;4TT#l0lP__mBIn%HpQwi!QXDewyzhSP$w&2D{gaz0Eo_R<)a74o0p#U_vyA7hMnJd3vHqKrY+0V*S`$jVrk|jxv(8 zg1@_KiQUs%5N+RY$SZ({ZGD6fm|c-WOVTN+$&vIlETw7vJ7{SEiKcg@0dw^V;P+kGk)V zTeB@X*qlN?f@Z->J&!Lai}^GRQqx={36OnEcm28lX+(7!@i3T_b#)OZ+=q$=)SvUQPOQR(0IsT>!4omg+lXdOjm-%mpX(*h6%w}eg1vUv`}1fv8-R6P)x@J7#+uBN<_oJW zKG-{_a~W>0M4R~-y!cQs{Q4_!{v7Ow9@vmS)7GdAktLC zMD*BLi}p%vr)cb%)3%L%tIr(wMZbOG{Z&C~*AAL3Pw#PP7bKA`&1g(K`99X)b@vL^ z7Sj!>Ros{c+2SjpEa3Y6NR<=bca%%Gil`Uarg11AEL0~Fb9CCX3;gPN0@S4J6q>sh z+eV7<^mH`3@_n*OzA{A|^ip-oI4-*v$ACC{>kxk3KFz!Rk_j?jvE^0VFquKgbK}BwIc=v8Ie~%^0QeG^^X4=yM8zxz}lDOD|j< z8dkt|lJrImDS?;KNP$lRPfGhYO!J0sgViY!R3@g)c_FCVHJAY3{Sv0=x)iOe?AzKH}&b`GPsw z>&prjH|~Vt72t~X^jl*Yd|bRu;rU&8Li&0C@Z381ebLhQ?!Avo#OHMblNZ1JRF10n zvMQ(%RwH@Iz`1Xd0x(|jWNG;LEvix8>6`m6-Wm}BwTrJsvb*SHydS^CR^tO0TxW08 zJ?g{e6}F1~h)?Dk7?xR4y@Nw{&7=0?9ySp@FtMKa^@os_TSUjrr=a79^3~h-6H=PC zb(|BkE8Dr{uK}N@16)G!yk`|I$8_LEZtXu*Q%>H}_gWHoy(Lyn@#Z}kac@U>v|FsS7kNz?l~nWTD1IQQwZ8<3}fLN<@WxO)Hex#UfTER4<);B@au}2-@Ja$ zi}2{L`+}Ftn>#|+8&1j_Af#W<0!3d@yMQ<2SQY`&j=6=GUs5@ZAW*T3 zC|(wfNZj6|rmqB~S3^fOqo14oZx z>UXo3ZGm%aRqym4GD>5Qu06T2tKo}3vFK>tBKWQ~u!R>+>W72suZeHo{X{THsF_Yj zkOX0OedoyG>Rht8kzYl7o=5!#sObN^NF#Z-_Y1F%ac*(xB&mUY_V|tJRXhrn(45N8 zLO?3Cbn}#E@B&t1uM6AyB{NC$CpA3c&Vi2z9}Jmn;VJ`-+b4fhoP2lwQQy?r^12TC zi#c`BW!@kvF0;8~bV5cEXu8~c(1S~y^PZdEJvOVLdgRlr%;WTi0kw-E&pJeuE`hkb zQUgQxTY>H3gESt?aVKBaY(D5!E!KTGkEfcFHn|I!{9^SCt@^%4>sh~@=jb?XnLV~{ zOLcYh>ko2jCe>T*;Qh||S2ZpDSbWj1%KI+ot~q9v4XzL?8JW5JB-M8gd4;($Olcq>FgmB%qO8NzWhL4vS2!7wzuFP}29nWOa5`P5*{Jvp2Sh zNA+^dqe4To3M7u*iMKlk;*FFYpx zbA^}$CunQewh_b;t77}kH$HyYSH1?M#ACRHtRHGw-0Ym#yj-|&`cBPf2}{g$Q5iY) z5?f(DaN|ViJ-@JyJz6u@+p+1D^_?GP)@gY>OTG{&yT1%Olasp*f*n|vfM(>bK$d*@N2eI$p*Mbl%NJ$cD^#PmfA=~Tyg0Z=^ zy@#Mh)>AUGK&1x2|C1T8E&!!SvazvSAONhUME1r*w&YgQL>fDJG~Kp9U?E!t!U>`93LS5vqM{$c z8pGE|M%&1ye#owVupX4Kx&c-hBJH-PKSS*f3XwCjaE7@CSVsxVbWo1Ogo7geSa z2pnWtrK(y3?5Wm(07%~(=r0Kaim+Oj^xO`;wqaQ%vd9(&BP_1;LHe2;8k^ikTAM)C z4%s{o%64#$7eNRd=m7%lUXb(WSs)PkK?uZ4C~+doD+^v(AV`rlrLd^9v<%i*4h;=k zATXga8H6B3>XOL5bx2c`EleCZ6gLBbz=Qwz(+F6$(E$CokXg;c7ua5=xR##e~(Bu)Y$Cl0gXI zf(%$pjMQR1ZhHA2I#DC7w^7bKY1Ald9@rr-C+8o64=_H$ z=Nj2a5GlhB432z;wldp0uu}N2!6d8{hP@dN4l_n!t_sv6ad4pE%F50KJ>H=*3AYnU zoU}mTABvN(?otSDNk(DVJP)bv!!CqSl@$}0fCti%A|;e4ufnGd*+=kDpR|UZ9-%sE zd+nO@U8wNG_?N;0$!fd^YMA|pNsfeW2t5}+t+3Gkm*z;a|5bruL4Et+G` z7}!%0Hoos!gm0)LsozEx6TYQvWHK-?*4J&6EHDsI=chW-`JvE%=)S%8hYl+J&(*PD zfwJ?oCL)Ap`Zor`WqKbPo=+bzz%<$EgOdn^`!q6{>EBW#+&HM%`}a?{|J@z$_{IkZ zvj1$S_aB8y|Lni#`~TBV@82`}9$@etu2&Vtf%L&G)5G&OQ9tLa{QkYhz8HY&%r_af z64x^xkqsNaM*Lj0>u)&ZmPtttB-!hg-iQW3yF^_nNMWRZcxDi*{-v{?s>prHtYMoS z4WlPwESw-6N-XZx(LN8`ZWtz^}bzB)HDG5t&2=Euv`=!Sz0={DE*!c+j3Kq!K z(0QjS{5{D!?3eni2`_eDjE`Lvb29Mo$a9l`2Jl`^%^m;WNc?*9n zis#zIXr!|z_(=0&z?I`O!Oo{k=X90|o)m`!Sez(Pe;@rO^W&CQJ^)ugk(9-yQzZr$ zBnsH1L|Q0xcF8))B~QvV>boEte6z&Y*U zvdvEga-WNbQ>$jZFu9?!J*klV^v5e6yt<9sCg<%H+vemQhJb!6edJatU137mGhNZw zRdaFT@!vBk6*zj|;B_zK=OuxkO5zl%d0n>=U)mT97q-tu438Q}DPBC+O3qa;a;@MV z*l#>T&*8_~jb+65Ca#0SDKKJYNjS0D-p#&a2H#d|0SMi%&k7T}eq(yrHz+mmW}LI@ zRH3CfDy!RGC=@C==7J#<`_fo5b*1}@$8;$8t)>*%5^ehQ@mE~4LUSmdNezS(Sk*8hMbF3Q)eR{q^=Zsj&kUyfDBQSQFhAZw*2*O_k+{-Y5RZh(4!R ze{u^UUZqPj@aAL?)oT`c&r)w#9rDKDtTSOs?hZZBB$p-#{YsXJFBGilfAhzkjEK%c zaQ-{<1xpO`509287_=xVSNZxNM^Nrl=oh;MBr{?GE=UKUAvtGJr$fYh#K)=ujl(wbG zR1^txg2b0%O||qE?h|3fxGgTk)EKs3tI1}K2IP6n71|c6jeUxar%WApF_q^_OYCPz zGkvsU$gZ&J2!O)Nk!I=d?`7pRJKl3x!;YTHSfrp=jgBO4@X2}pm|6jReMi)KBGzC; z{e!%!8(oT&2kq%^JO}sgar>{uM{%SqGf@u4C8$05@nm|&v;&V}D{AUOu3EoKB_pk` z*_GXYOPs!9M?1xT$)AS;C;A@oa4&lEfG%lT`X9%O{xx(}8nyrYFMCt({}e!xS-|iQ z@d7U7pq<=b)kBa34b%Rn9s<~F_OB``7=isB{-&Y=WLw|Asi*+D!2MN41rn059Er zGv?JRE%GTlkhLah9&RV{{jEt&+!etxW%^ z(h>45gno#Yt6>oQ(B{z@F=$s&ysfd`xFYl1-lO(upnf=>giEDoqyE|RQ0@5QUjCD{ zV&~2U{ZFhPGMTyNnZ-VSB8`3idYUwfrvn%kJ?bhp_aZI6&O5B0LK&l!#QKt228%_u z(Riznx6i0<@ zyQQDv(Y)E_MCK%=FI83-lX8Z!C3t*Uy+bUnD5GFvrxs^LAXu5jGB=3o}v*Ymmp?n<`kX@!=K{tZ5X2kbOp$3HpXVTC{s^Uxy!2E!Fw zktr+gmMXpIX7w#_juTG;IHg>z9Jqht4z0MuvhN^BEcFs^H%&_O6&7E;+Qr+Q1D55B z>0i^U)3~jCvTS&I72F$lj*k!02~kuao0-(q>j1>9sX2kkRJzY9p1sN}87JRiy&HdS zVQzkvz<7nxnB)#Pw@xva^;wtGOrc*e(!2QV2mDfgPnoUv#0)C5fnRES=C6$&~ww( zXWZMg_k$8U^iQ7gJl8Ew@>srLl@#oJ2zlyQ&*8%pBO&~dmAh2v_I}3A_er$6#WH3a zyTsK%{zL7`(!`kLbghg{H)q#0b@KJ!SNML+Uk$fbzde?31k|1=O?Q~S4u2u|@t%lr zsK_tLvLh9%x_;HBqbB% zbO&r4Neq(e8(s`6vV_N{|9tyFAj?$ht{oj~dXTl1W`SeJMvo}y!xH~lE>@yC zhZVRVtuuLx%{Ex>HT-Mp=fNnq_g9XE$Mm(99icIX;h4LBOt_8~I5~+`w zQe7|G;z5?T4`2LigEPY%k{%<=7aBIzzT%vH`Ps1qL)~HXRV+QAa-s>fDJt*gIq*O4 zPv+|?Trhzb9U6Pf??c5kx7XfN05RpM&vq`lNJ-nvA zyaOZ&t@6E|QjU40GxEZ}mdq@JC9fowUTFP!fK4tWW%3x5?vV?fQ8db_8Qq{bD`ny6 znp2KVO3!OxUfwo}Fn4MO6LW<6egRQAy>q{?l<(gEMW9qHC2u=w)WX1Y5b9+!oVh{lSJsWWXuq&tQDBv;I$3R$0BCu6EU^)3dqQM@v^bK zdv#qz-XJc&_$NK! z*70c=PXSef(LISzDq5z?SR@P)wW}oD%BmOdHFnQ)iRxr;6^{e_j~I;Y!z4vG3jt`fA z8~}V$Mm9Mm7w`Aqv1vGBvx{H1ZER5po7x87kE-k)77$GGgp>iP>`)x$S9Id9^(VXXbG3t0ec@Av1t3yAKY zc=loR2dDqTgocidKbX`WA$c|R3qM#nMM~edOTp z>|Ng0c5g6i!Ti7sd~1SVo?AZee$S|u`^>{?qH;o|E9NmGEi;l`8DA&;TtHaTjX)|* zJNMZ3F9;I8phDIQT2~xv-Y;yjS>4RaZTbj^NNLz4u6jL;eOgjIy9(G;)m8OwWn|?S z)_45mle8-absd!K7u*7APOF+eu4|tmy#65bLYI(C^W-5$efHL1N18nXRi%IWI9OuZ4r; zfl=&J!pav+uDU%as~wvB{&NeHh*CpS@6O}Q`lc0KVB>o?Ij8O8iio6g;Uc&6x$92h zjUPU*5m9idnmu{eNes?jF$pi;I-_c2;uT+nAR?gcSQMn+ip!l4nRrCu%C7+o9iBX| z-)Gjbj?MnckvmJpeV=i15kI`Jo{&MnJ%x@R$XzgfkXhZ(JT|wz&wkFp>{@c($H^ZE z0$wfW zLD%!+*^8F9STr8^7pjjquN}}W@DZCF zu!t+_I3<+6|Mc@WE}@LRabRrbtARyfnai$TL48Zy&Y=8+mirDq5s%G7hwwf;agFJ8 zCOz-0pQ47jhXxSrv{0NxI!f^H@(V$o4$6~IphPy<%YeC(4bbcWp6bCF;l!h|+!Tl)a$&m97Lzd_#!Vrazz<9^g(vZMuq0CsyTMfH0_nkAG6K{swV z)f+fvB|KkcfuMwvWle3vG|ZWtg@H<%gei3khc>}0UsqR2pvmu{6E_s?2oKx5A#IXr zXfqJFM~RYfMn<@DP>4lJu{oRIgq^ zA@X%uKT@be2J9C&1al=3BMk_|XafTKFjo@JHUVe*1Z6#Bt2-#rBGW`+{nY|4F8*Q0 zBoR!Qgh4`bWGO;UNr|)x2}0n&wr>&=l5jRDID<5ly0Bzm>|kIghxt4}$Y&r}V2-Gg zvI=x2pJai+PKNPh8UYh0;f$$p#x(d3b0-n$ z76?QJJk5kp3$%YZOrm^|laETAgh`W7T!m)h-A8$o(1PY;`bq53m9>o>C{OS1?(V^{ z`_s4x#2=(+d(ePz3xYLb2$+eIfk0qEh#iC=hb`}LaS4dXd5~Tnhi2Ou2)aX2QUtn@ zpFL{;lP8Z8C(X=}vfkBc1a!>^f~Fa8<&RP)0ZN{P`sB6i4lehjpa}@fokZFR!JJ(f zvD8qSjE|2;nyREhJrboz*4Dv|iIo1K_7CT5Y=G6bK?rOp{WrG+ArP$=2)Z_8zyknK zr0wikgt|Dg9p&2E+K&tb;%5+o87cll?-`hg4CUBAR8aXxCL$kZB144|*=mp-`QqV# z#{rP4Ke87dH+N_zCNKY`&_paK{ku<(AP^qY2LOS%Iej33n1_kP2Q(Prz0)!n*98Bq z2mVVz+``yKFdbfB^xv{Lw_g6o7zLDsS#*FiHT{43;wfzX`_`TqzY$eO6aV{%xu?kC zRj_#p!{M1Xh{GS|wE_Pe_^+;a|79GAeDiDZ@;MS!7n6e^WhzbYR-3Oa7C+7q5sdtN zYV@Q~AA||6XRpMO%`@a){)HuL<}+(1vhVEo=f%nNXzbgK*XsfM0^O$4g%C@l`L)`G z6Lg!;7GARF7nJ#*BqJqoJhOKf6cERkk(<0)YJRnPGohIDPp(P`^JxR^X7z3Kd}03 z0DMX;y-$6cQ1Pqc#p^3V9uZZZwap9F?_HPeqi%x76wZt;CzfKkMdbqnmKxWb(-S?z z#=;&FoAZ20@EiIB&8b#+1`;!4h8nP{HU;^=obKIIy?vcG!flgv{;@xg1E}DT4;a1n z)Wn&9bYr@Tmy6Bpb<@mq#G4CZ<~#)mkscsS{M&Jo%uLPydBLXfIg;P8Zn0M|(*^bn z6y!}zJLoq-(3k!9c0x4+`evN|w^VNI>P7w;e4)le&P%*~i|lid8!*v~t`1;HpOESE zettPkJ=!9Fin?}U@#=zzIjg-6Zz|w8dyx7dDmc|Q<{*@?hSzPqjlTNoigl3`Vz4bG zsCWce$~V2gMl~c-T|z~G;rzCe@M&YK+OYS=U_nlsIG%Sa;2IxalRj9=*b(-}?aOIt zn-K{$HTuBB!SFMWBJ!f)C!p_krK)00Uyw1KFbo@4d6{U*=~`s9PE;Z$5ECX2JPYD^ zSWK0Eii8C2i)IRxe|gb9PcP=7(`RB$7MmBxc@RBYfzE)(96pe(i=^!l#--twpD zd6=Rk-Sfz@KU!&L{%p_{3noR1q>SG=rBMvZyE$0*hdFbsE~F5btu!*euN=QSq7e4b z`&EeBly+7&Agf?b=506mG%z_on}b`!Sa2u&qqfmV^Z5@K{EU^%Wx-(mO|ex|@`Ep7 z2711(=La1ybE{UFcOx2#&TqLBGxvheC;1jejYQujzIflgOMB^e;J0v{_%1psg+I)E zdhXq205ioq^zJZ%oBq$)JG5V4=1U8`Ei(ODDD*n*ge*mk8Z>R?&2$ibq|M>gynP<1d*2$|pU$7>Nx$I%@Q7U)XV+=+l%H z!5s@1cAL#kcZJDQUpC#;n%!BqZ5T4Go`=)y#L}F{Yz6wCJ?<2e)XiDuh}&aG)No73 z_)Fh0!jqr99L$w;MJgG{VW}>bq|W71ykEF`|B2%xL+RPSP;qx;7u1?V8MI60teTGnuhMwhTW zDFW|YTMbixRmfe6=+@lxt66PPOxJ^?q}b%98g;6+8eAUx|F=Eju{p?p*&`nA%2DPZ z|7GNV9A!e@c=ta|sF6KX;2#3nJ4_B9qx`G%2lNdL|0?|f*xmN85-5P>I)9ZwL3stz zZtH(bpkQ!l_^%Qu_yy}N{w9F}Y8u+VN}$NkBdA^~PB$WZ{B9ymzTo0Ka-lK}dpZ2y z>U0yL7x(&^@S36ueM;~zUKmv$)c#p<49T>~sr%sYCfGRsUN7~v~+ zCthYvZVWlK2d$d!fsIQtUZMn#$Im;cFwI=DC9gH~laY7od4CF{Ng=B%egQ1*u!xOh zH`GkZ>y4ZZ&u2`*2y7ye9x>Md&E}1_X9s{Sr8ZVbTAYoK_==}2wVqRLk=~^j8DkBi z{?}K;3gFSPjwkY-IP8y#`rSRaLn3JiQ}Y#*sc_E@2+N3$x0bTe0Tn+xMYrLBMfX~v z$&xtW>MN$#TP6Cay+F&a^9Ia4|saEww7sVpNy8WHDOoAm`xvv(;(~r@mP56T(l$p?o%L% zjfbGa`&5UgP?orSZJ3%Zsc*RA)0A9Y!krReTSwGTHJd)3*x|FYtQj?Cobp4(jz9Y? z3b<0~uS#6EK=y~UMnT8@mz`(D^qYnW9}TznEC0B0U3@fFe%-lj zpwXH7lmJlRfYBgu@U!#{F?D9k9R4o8>xP$4c5n->*0WaZ2QIGw zY3s*zhkMja=c8_N39GF0HX-ug)sZNda|qyuDaL;t0g88TH9JeP{G`Svyed%T+h86I zR(_7G*sIfrkodgz-3MjDLXHYLLuGOg8XU+?);s(Wn!~>7Ns*c*5!o>>=?*{$kFlF) zfoYQq?jp5@h5&`gTSc*~Ga2$%`(*k0TvwbyfGuOJBZ>W<&5ylTZB=AK zVpee#*yz79?&17)qC;QyAX+$+MC`xoG-0(y!WrYc?t$81wdTG!f7feu5|W* z!Y2Eu_L|ceDR~{|)ik`{#U(dS;}C(<=Q%D&Mm#L-B~^8g$b8(`w!x}kbS)#h`{S{a zsY84znEbq{scRcovtjHLgvTWAHtm=v7I2O6A^z>BAJ^kE8o)0MJQmX_ml`Y}r$pTE zQA;(VX&fiE{6XxpwRcVTItnmrrPV+utbu<<>&GKZ29ChwlEx!bE5Gbz7Ng*jiUIKP zCpHlor?ipn?ehA;(?Y_}8Q2vJZBqKC_Ao5$UHv0L!w2z{$MdK+1Pu8+Qjco!eaAL` zW=-Q3{q%~+j7kl_0VVTP60O$6>|Wm)o7egBi#Yidi$=boJc_uaOdM}DcY>iK4iQVI z=){8I@gtkS=-9gt>ei33{G(FZM_H9EW2V4qGvCr)nX8d^r_AhaDwM8ZSHyOl!mwE3 zHN&@iWonfKPC2?ZUK^e_a%@r5k4-MX6Eco_(>wg-EQ`FQSAA<21`t-f?&JS~>dEu! zX*CTKyM`$Z)5M}R$=s$s?f83jy+1(U%ek+-PJWMbH!0O~$`5lq{GMKH#q4pd!7q6I zMJ)9>IN)+8W|a)*kW*=Rk3*T(!+zakqtT>(78^|Qs@Q+p10=w}3YSeHr?duzNl0=| z$MBk8(u2bG5n@RNm*AL!5r9v{6qi~tI6c2}MkJTaxV~%c5EEQ7@>$z2d;OF3N;YU= z6mGtQYGZKl8PEKs+>IKQd!P|lxTrbjgUBCnq{_fMkFjSn!43A zuX7hx)whp*#dOO6S;cKDKhJPUc|WeC7EyFc9_AE@7}+BvQBr;P&GgpeYOwU=+iRBh z5qV~4Y~ESI0cl&WCqIu3SD7-uv#~SLO8)UF;6>*wN=EPH*vjtSfrz@f_1$-4+qlH69GC5Hu5FXB@c|3>sLnMd&GLP} z=!DnnHrHZw?2F|py+dl%J&QlckMM)&2b^*-3#OtnKBla;p@R{vxaWeq`jt!NadfjP zde^Lhtz+)MPk`PcaxFq)!N>Pj#VHX zIq`OvMc(OA)n^h})dzz|q&%1GZ^f6jyc@(eb@ZDDU#LU_>c%l}&N8V+^$dJr35W{ue%S**&b&@X`c3S}bjrax$ zMemq}FZh=(>sz|ib@fgDRB#SP?_){Ic{MhHwTpT-v1yNrhBx;yn8aP$$%WKXv$}?g z$MlV=N*90m0PHKHS>JF9s%LhvSS7VQeYY^oXJ3B~*ty#nLD}_1r~!OAB`hhU>F9kk zgHw5Nd*3 zr#~!lyRXvmxu>*kQs`TGhui_F#pNw;KFv#CvAR96ym3IuU~cDAGCGNQn&!7~k``$+ zHh?S=Vn`E<;NLwI5fLX<6t@rn6WIcllW>WK+~zi9ZjeeM%1P+7jgL=Ad{BJi$pogpm+OLf~46 zjK3t3*e41}IQ?($dPN0t%nlJHf+tUW+#gTK$H_}T12lR8FMf8)1ef`p9 zd&JBAH<^T+-w21kdokbx`obbVNXzWraEiODY~i zHy6^}46WTw9sk)+0jKGMOMeRrH2^;j!jD7n8}nN`c>-le;me&udX%Ah@^{e+3OS*| zfW6j;mm4+}5|KcKF6%u%*(9QvghK=wqHu_bNh0QM zD-`aDwcE-X?&b-ew6(Q`VDg%yle3F!)a{dsVk;Pp0GHpwN%(S;k&)7Z0+3N6< zSTPNMzu>G!*(h=Z_QL_iB-SuF0REcYiqt+A6@?UipR~L{^ZK28sYo^gg#A`XGy>$H zRoM{sXQQy-FP1O*4T9IFkvM{57KE=KpMiiKT?+T@-&pbFG#ObPpiXZ7F826;q1yb{ z1pjs8|3k3{li|M*=YO4_!(tB=`A5^72Bl|i-x}7i! zFa**QPM|NcuQS|?ut_f+5$%Y-xLRiRf%`q{&yj?9HgNFRBIPS>*p^>X;OT3rc&I+d zy(k9FI`Vrl-ie;1^eN!21gVj=Kl6IGgHLKypM2_Sw zn=P-QQ>_06%9(fF-a zrnV_I#i62#dI=byzVG=VV#pzONOR#%J(J``yb9odVd~EL7ee=c`6=oGClw4K;v1q@ z=U*v=dR@1)bS^Y)em>4PuEX(0-c5%;34CT94RPdOt>h!-eNA&I_M8U!87$SrY=>br z3+@Pf(hnesWSn`q-h7@ovMLsv{{?#4+2A{t*>2ah__HgGSvCN~E{hGkrfB!)!HH3Y zPQJTuC2>294jBzZavq6zakesoS^dVJqbAs^(bZ8Rn*7VSs^!GNgywyArm~SUvD46~ zF!ixg!;~}CHuWWLj+@kT^lMDHcnm7jEgIbdQOz<~J?0s8E5eKg_rpu3a2`IRErpgz zDe6wy?`~7mck2Z{OFaU+hDB37ok3{@KeUR^=5+b6%rmrS4&P!~0=;7yQO->u_D4F) zns_Kxs|WdAD>ik-Vn1~4mi>#seC?OydX^+AK!~V{=HmDkrWW5*P2R(xTc@l0aNKy4 zg<4|A6?!z>W`S+C@Cc{tT*RK zAN?(}2-spAJ5jChnn!wiyf@{!^IGH5rLXkm+v{bokSrov}Hy5?deVA z`!QO0+T}=umRaJ1#@GEHc8{@DIqiB_;XG=(IGa^dLD?a(D$=rrFvPqU5ibd9`o*Opk4^r%15}y$MtXq3j zKF49VhZ8s_GWPyBK-$i21Z$LB?h5{W=c+1DlZb&@FqF(rCOz9Tku(jESa0(&AM zz2YLdht$k~HK2x$zeVohyAMrId(q(>6^|H$6z5uPQakj;Dnhc&!O^Dh4Y~4r>`#Tg z-m6Vzx@tPsUJsRZuo5Gx<#7&vLOn;&~1Q zi|y?B!qn-g0;our`5!~-+rLzr|LZd+U-%nt{@3UJ#}vQs z{IBL?Bs>=WLm?rzvPk9IpQs=}jy3*71%VJO%K8%>1y^w=)8ZTo9NnNE7@p@)4f|~MvCbA zg++vOKB&rA;}v~hQ)VDw5eDzF-)g5;CW>{HmWT&SeiNgg(|W=Ed~lun-rj(ZaeOsk z*5(bH6`2}T@iO=o+Cic=Om&YhEyv%Tk#=a3O}VWBxRsDh__O^Ko6GT+R=a(FG4X~Du{!O-yPrUTE;I<6 ziUci7o6)#Ik@nK|(wo_fR95EOST}0UWsuDPm5)MCR*E#O9jr%F?__;Z<=wVjC5hsp zK3qv2r#H_7K#Sx8bI0V_SMv1Ki2_#kx&^J67g8mRoD1#lJg8%%IRJbZ=AVkE^*(d{ zY>0XK(ca(DtiP8pB>jMGWIbm4%nyEGXxu@bxF{(5Wup2X6ZKXuw^hs8SIc{LQzHHX zauIj7!AMrYyZyW7Ns=LN%-YnW6?mvL+kWVp-yx;S*QctdNCH}|3*wrLH$|`M#ffD8??dPrnbo#-s4f+&A68gX>gdw+|DT_%YdUvgA*{Q;qg6*t9nT zeH66fmVOkc2YPYYl{5$%B=6u+Z`a{lUgD#j4p(Hs0v^fZL#nsq=EZvA?S{qFu6 zN-usz6)gXCT}g_o2!P>B{4bO6CET$Wn|k|43ktbR>(ibz$G2y8`jD6FUNHa~quBO6 zH5UVFivon)e7`u}c^An~rcC0ST81rju+78`B;RDCl(?e5wTXOSZXw*?G~~&S?rxBl z@_*5iByjo0B3OSFaq;On!-X^(jL;h5tX6lNv+up*er?eWEp2V<($awV$#z0AQllLg zT>CRQl4;+5#JbDa2I_rCa^5a}NsitDZ-gHjZapkHu2K|WYkTChr0ws*bajN#;=+69cQyzA3$tbQ9Y&yzU#!~SMEi6DvvsaJw?NaY=UVF9CW-X;Z%Uev$MW6)M?>-W zosi`_I?@$xjzE>^%iqBiBJdiHDpKK*aeCO?!6G!PMhuY{Qug*lE^WoOzXp1VU zgk|HQQ!IX>5xK`|e!e+RG%H_seH>p106P}USc-~ao$h>r+3#*)QtlDlm$D|3s(48V#rMaXY7x5$bX+0EY*FK7#m8_5Qo_--s5&OVJ#EItk8 z?kJ0gMlT4nai-$M4=tR(LHGSL*f8c&`C;17dhWhsQIzG-nTY$?>?+i|l>1xT-xk~) ztHJ15d==K)w53UF?a>X^9#vy_)totGCEHb8DxSI3 zZpGhWwHww7qAktI49%);3zCPX zH!;asFDe^Ig$i=04Z>K54(0}jy#PlvJmjXEKVifEz{m9~$cCuZVy zzxTFhWcC{-y|jwDww`NbIgFYF!q%SAF$HC;e4>($3CS5x^V=3z4uH74PkteK;eeJN zh*+jPYTEg6=CVuuHn-FzgL}o*ovWnO#v=sIj~;!HlmaH)Lz4TXn^ouSqK;p_Rt$NV zUi5B_jKkcwzNKF}yAuU$5|S&bNxhtfbAR>IzW$EMAuO)!=o5JV#Q@G}RZFi>5M4M- zz$BMn-SDQncaxTjPs`9HEIPl^+_i9aT~rG4^6&mD`YF%rc*QRk5s2+E%IUF-FEr|g zIWG=}mqt$F3Vl`FV_SkGTLW;$gABn30o4sQEb&Tv74WK{)oK^|f$O1qIuWn)Ag5%)>APys%o2aQBURes-E8nfCkZ@%`)NP9*m zTV(X(G9js{U+{yB#Zv^VT%!8mQBCW@E*aO2yJ@pazf3*T^Iuih4vteX@ZDKsUR}fU z0I!+^jEXfsUFfm=>Oj)WJMUYkRn%5~|8X~G(7R961_fYhsHApm3g2;>SSc_ox2j|L zl9H)S%9f0}b@1HhO?(o-A$}?LUjNAA`ZfWrx?ylsR$as5cQ#F%B4Q?XPBH6;;89us z(l@F>Y}S`O6!d-zjm_=vETXcs-_g0&jC1N5gaCy^dbenvKXsa!YdGSr@E&Ph0h^!N!Q%rY2m=~E(XU%cu=Wt7Z8_O z)YQuD9-Th1xQWkeRna#_C}d`xnp<4+5iH>lFh!(w_Dwl#d?(XRPi*3Pf3I>qlp&=W zlLlW-wHeUrq*RX9auO-Vevi29gGL4x(A^8h80EALY`k@D1iT+b zo#Rundeu6;^!103ltNJW?MKBNKqIs29h5do2PKWHVBmz_DQjOoMawOFqu{K(j9{ja zH}GuvEGKG@?-Z5ECnE0Ay#M@+_3O98>b{Zl`tN4X1+N2KA)}1GdCpDZ=%-`@KZ@S2 z@JPt$So((M47-FRc8sn8X;pJO@1YkJpXTWpS@?{suO(#^)S~x@=(q!;UVOn;1g~ec z%&z+dewt^0*f>KW?0^3iyWdS(Hp`C0w#%lIZYV%y5?j~p-ipQ$kWehQ@{*?FJ6-;X z9jw z)PzH2WDAO}4vusjU);|IPe%Xs0E57Ax1((k|CijDC#gcU@!2k$f%f@zfu@~ zM@$xkLl1X23hTFnn*gdN%gSm#jE#+rBi!jDoM!@!LZK$%3QQpbQc1`qPpsT0hW3+S zO{fxuubUh~N&*6?nS>R&FlO>Mm82wt@tP-4@;nfO<3u-^{g-aC1?D=z+tLufA$(Fs z7VZg>HwjVUB{&omlvOmfAhbKF&4spZD4aw*-AF(5X`~?fA#`(}__-mGM8+dH*<)nK zpTHa0EhwU=$T*QrLPQxM4_>@{g+xsvg2{owlg?ZSBjFew|F#ENLtUW zToUrPP0TrL3^*|1ZE%t@dD0OKc`P0=3DU<52mgtM8ydK25f3+F;)W?DC0}x;dF9;y4(i9o+?Xa>72tY0h zpl-o^i-b=Q1(#@e`!-x)ngS{|8-J=I zQ~zss)fcs|J~xaSC|xQ|WqA0J5k$(Uj*jydWvlVbtP=_*;I(Q6~`*qy69w;Xu0uMjF0=#r;O4%mAa8Sp5A?ibi zpW)IgXrSsV23>pX1>Y_F_cL&^L$6)tn(-$VV0aX)x*EO3G?jKUumohJ3(FZRCnhiF zeJ!0?^t!;Z!NMQ#eMs_>iSgatq|*i<9ox%4pC zQlvf|X$*bntb1@YR@)ijPG7^=KxXtHfoANn8EapNIS69sndquGZ`N}8QIn4@o2Zn{ zi(@9#aXV$2c*+NJeQvNr+PAt?Lo84u%5j*1*Yo<0GHT!TVZYr;F2C0PRKRP-MKRu!^L2vyU9cE zhV^w`3nX6ctimg4YYe&8m&9^|?N(-4frws!DDUU#!{ywdi^jAigjhIFO5!)K1_B1h6vm>;0E>!> z`!^Kz@P}6dEEW!F2j{}>EoC3^IhBL=s*+4ek8TY`_Tl2ZQ!7l_;BMJ3xp%rK*w*(o z=72f|Fpg7}x79E7oQ*yE4)7E3%(7}59A4HDYGsIuAhLN^0UlGZ^K>vcs8srE2>J-d1(}(Lubi8?mdJH$Ux!ES5TKG8 zaru||)Bvf#nYuS9Y~bLTk9n!w*U4+vPp>YdNtUO-&0;(hCCcqtXyo}}j^+H>>A{W$ zYpq~{hO+3gd+H(|gP$+oA8MFmn5MND{kIa+jr;Gvh<~dz|KTs!;6dIWh$!F}5d0$%1xOmvpNJ@M zgNHwVBBB5uH~f)^B5yoYX{w`DV52fZFEx>s7P8VtRyt^#e}b7e=J1KNMBmOYnK|#w zWV#pGW4jzK;Hm{$j#=Nm$=b0466~);+2r!ScQR%T>kBx)P0Zh_-_%oAnD8OXwd~fu zJ`hdHe01enk@sFMk7V72i&js!rP5E8Jc%Mst%|(%p~wL!lTSEVaY+Y;m6Gz$`(nHO zlG$XFX!^dU9UuI5>@=Po7$n0keaS8LRCk2*If2dV{N(#e0*063IvCwHct^FR^ue~? zMdt@-t=i(jw8j6b3Z8d@>yE)!mSpcA9hp!# zuZ~d+$BH#J7wWrxmHnaC$oA!hJ#g0S^A_54H)(2`$GOIkznt%R>Bpf-G^6E*>v=sb z+vK2<&NFuAhTw!@QPEoF!-Ay;nsoeqM`y{2VkpLa?!LqNXK7x z;?KMlomqTyPwWgGHn^+wgV+k4+VjGBCW@l!;XN@rEtXdUws+j>LehPg!qPx7xI2e_ ztXbuu>yVa?KNCWley3f-IjSS&YvT>p_>vuc;xncNX%E zXsbn&(GTfo!TsyCrWcfF%ZT@uEJaL*xm4Mj*4SMi^!v@%_p%w#FaYc9GZBoJOBmLP z{D#6Zf}5-a{n^`I$a8X1R)o7$`N@L2eFPs_u;}DF^l)`-?b3@pV{eZqF4K(kiJ#Y= z<+o-9+!fwcKi-ybP?}@vx~ux|OtM^!-3aMzWKWCl-90Xn0xqQ4y1~D!glM=f1J<~) z+b(B#n?EY6Tz_}mP=>GDd<3?|6YB$$N0$k8sE@~bUn_o!o~Vvqs4C+NdQNIQS$+?A zx<)$E3JJuPy~T;k8B48XDifLhD2IvZeVbQJ*kG0u(0thK#mK*we73uyACt+7cIW+| z-v>8h!LN>Jss0Bk^uT`tD_N=5S<}8_6r=pB`ryP@^eL&baGrTn_shFiJaE8Ijh+4h z%bGELEnSf{wfJ-Imy&F9hexihj$;e6o#USam6bfhkKc?48+{RT)(kv7eWm?JB(IOgWVuoWY0J649mq zdG@C>U^8$rn#;ZUh)1Ae>+49)ylV2j97dvM9Qx;q{F3S6b>IUF*22_iZ1eu9&g`&> zA8t5g-1@J;YxYp+npa9BF|RLM20SKWF+dT33l|$YKA}kjuatDoPyrQ7J13Xq zs`{>h=*NX?o8ppcptSAX4ileObz9fKG7cddr;L7b?lvwNn~J`%@6-BE3t)$c%`)Ns z5HQVrjTchckyWmGHgiZq{}JisLrxLPm(u_yG=BP;=KO&>JSt{nh9R>@o6|M1Jfyn$ zoQ+`Mt%u!U?AIZosJfMpe@+eUg)63RPs(eCC%;e_*gE_q5xnHslOWm|!Y)X+_?J6$2rd-twr>v7- zR~&F_^|C&C>M^kYs*lgJYQ@N8mWEZ((A5k2-Rmdl`F%jj&{YfE5F18*3)pYRdU}4_{Bc21#8%?6ce4N zsRW%5N56Uz#Ek2wIn-ELsGCo4%Ldoe2Z6Yz){b%f(?CR8!=}FRGozt#M)R2)`MpA> zv2Q-C?HdAz=;W#ad~!)mqwB#*CCy)c5L|2fAm2TYO%)MY^}{k03==1m4bxpkOI>vi zt5MXu{osOf-TCg1ztT!;J}yQzkOCPcGcNnu^cl~{_P5xV_IMo1So3N+zoTSq?5@R? zG(eBhk)lyh?A^hoO)_RPpNuyP?BN)+Hu1SFA6It)y9CV8bjy)8@sG~PC6rY&Zk4yz zOrS5hu9;kV{bteHxwWpBU|Ysd=914d6l>HEd6h5Qhb_0Cnu!uHipW;gyFM#um;;}! zJOe}G@0GnB{y0s^!XcvOaNVbLlt(A3pkQ6mQu3c9o3!GU4j7g@E32H7-wN7Ku9UTs?kM?IKE6IpZ)|H53+P5_OE3zv#B zYiZPHQt_S)ZDX0I9hl8XA*!()>+i0O3#Lh3(#%l1q_;c-Yj!!`TJ>orHj2wXgT%W9~({GvoKB}ACa92cRwQdtGgp$Zo@gi&3F zt33=af1ZbeD6J|ZWRz58J)~(y|Pjd6} zU_lP_dczn>sEPY6vTQ+NArebSK5>eX@d`ds3EWSl9O$>*y|T7(6f(_m$c+C|Si+{9 zPZ*!3p@A9+=A4~{uJ7ezEPQ+dn9oS~x9{5ug(3+@5yB)&q$CI#6yYcUDejrGR8#_@ z5{R_&L|gf{s8T^mN#!z;nYHb;e|f&ckxm#C3LnN&A_NmMO!$a9oY@1uop_LBLTRO! zH{4qvL|Ymd1cBxwWI%D{I!aPjboNJv>>EF}b* zaL@RW`2^4?sI`Rmgj!Ij3)v`?FuW}SKZ;tR$bN%dDXB}!7BF!DMpVM@HzKsOu|-lU z?V#4uTKg>G0RP(pjyS*}%=|5}#6IDfaM}lG6bkha&Y6~tI)eyHALTr&Zh>6A6&9tQ zAk9uI)M>~vf7`#2!l0qim374b4WICL`s?5MuW*)KWV-L!C`<@5vrzy_Eq}s*P6TMe zTrc>-k1#MW&oMGHLz0O|E-9hrk`v*VqT0w0A0oNDs%r%$mvGU~hVcsUqaj?3khjAa zPWT&R{%wV~u(YGKy+GJ34iNg@@8-g z#_x=U78I%;&f5@5RSq*A-bVx7ZeJ%^WdOR;n0B&lV{P#GBA4<{T6jXE^A8u z%?nS?jivvMHvj8v_&<{|Prejc9sDQS{0A0#@O%Ec{~NM-fXr3r`tN-S_&3-5_j&ri zGU0#;4W576lWC!H2OiS~jvh1jt6sr2$vCIWig)tKgVZg7Ys9)EyGj!)8}{{r=pAD! z_vUT4JEGsDgY>N8fAOEc4H6IKJ-^o5+6^k(aO_A^4Pvp_;?6d-UiWhfvTwJ(k^>&0 z2w4ZITu4i2E2<^pRI4t=b>I6DpJ&s>ef4v_b%!4K^wDVG_>%fBLC4}k2ExF6V!goe z7IIQs%<5+Mr-jy#->5NOO8b~})gwv2^=0?D`K}k;taD}E%;%-w)ZRX)$`0Bb*0}lG zKD?SF9Z|@BmX@YGd@6+YlQ_Qyk9d#tRID$^r>KlRXOy>iFS=y|H+}uCMm6ps+FC@` z-d*AKts*xq@Ua-b9#5HyK5mRF{iez=tYpzCl9%_HQc-s=mfU4`0`Kh|qdzZ`kV)3) ztQ&Yz4jprjTG@p7QfiAPnSeT0bx&X6XK;o*5|pBuGItC z@3YQQ7dnw7;#F(yh4D?%V*~r})BBZn*h~f5Ms^CXC@NySqDs8-b5^u_i56~U5E25l z*fsjQyh5exn^-TekAEkucQMtA9mSp#8u9FUD0H*Lpxx*e-V_p*~plm z^ozlmGp z#kYW8@g$KxzjN--mNze; zy{CBwyKBB>h&eo{SEC5G{)-O~Salm}dSV30}W)a}oFuAv%Fe~=|}jgY7H_t+kw zwRt#(2wYc>g22ATwZ}~jWu2jq_-|TZOO?>neg%rW5w+|SMmEoCYnXwajhSqIoU_Jz z;TFY!Ta!bYYc!v6hrcM!^vKhWid%+*!Ic;y8)M6NXWolS9Vzh2>wXw{Hs%*H93AvX zUFF#@79dn36A}2v|4NJ}MCO^0?n6H5<1ZxO$7#DpOe06yy%=DAzt-llgm6kW+s$(y zQ*0*0q^=HYGbUE`VYfQR9AOKCr>P!;#L6UYnv?v$o`jGpzoq;@ziwC(o!n(wBZM=7 z2Q=)ZuU^jBu4n9xoUCWr_~FHNXmc}vsh&8!>t*BjdAjql?ATb(?y6rOBspUoW}lI= z?!cb&WGMf_UKZ7#=CC~hazlg}+Pl({CCQ;)5u;qXKB}cyYe{oHufgb)t z4a1e}4HwrxLP2mR;qD)yASfz^vE6@wqoB7BxnBBz4MzbI%kn2U3SffHAK@r~7ZCpl zM}Y>+2>KHo{flerpyOb(Bmy$8A}d{FrH8Ea(cquBCgtYtfWl6_^Si$03ycjL6(Yh! zCYHE8@}$xi;~M&HC%|_zcXb~YW^X}bVq!PPTaRc$t-e^d>0iyFl1SVkwOIrPbi!{_ zcVhe6={wI+>n_h!t>7A5PUp($6G({dB~n9!dXxAkZKNTAxa7VQk#2lWuZL~g^XkLp z@HnH?QZ~YDKy|@IN$p7!Z|}uBkDokBs~@9D>l;S5&#Pr`#(4L0@_;w+66WBun`Tk{ zmNzNi*H`rE>@Q{K-s2(kxXh`~-e?bcDDI}X@Vq)FvD@Nyb;j|M({le{Gloq7*Fi|pWOP;T0`_9jxh2flKHmz3{Knqk@3fZEcrbK-*c&XG5P0#eau)5!2a7o9_MA>1Vz!5fwrp z_EhP!nR^PQW`JYqS{6z85t;$>@`zx(N_HNRqmIXEVCO#gdWx2CH9`ls2xWG~`RSMJ zbn>D&|5@eHMO)XWEZ_m-dJyH3NGpgJvt$s8dU)prerJWq`f7ZRNXlg~33}jJr0V6$ z85u`WN~}-s)zUht@|AaAI>?KW_?7r3yY)w~`0V>Pxmfzmd5rxXEP0jmrBYP}A;k{) zz{1G3FB8-m;8X`~6Xw}!w!<;LNsPjQ&7K6-*eBnteX>fV~`QsHmd>@u7&fttN!(9S0z5&C!+F>OA2 z&RiyR$JLJ>WalQWdgQny?gRbyKJ{;PPYX^Fn&M;h;CvxntR{SsUw8Sq6NmP=>dSSo z9A(&VF!uvokgw#Z#Jh{dm?q-=F!#_pm%tM>TjNv&_yg32U&wmQpu^4-x6c=@G=IIb zOB1~9sv~wv<{5#yFSwYfT{t=Ns1xU>ZOobL0~8C`PsFY8kEKbkI(@>*iA({^%V5y^ z!m7;b{qxh>iD4_vHhJ8t=~(i#2XRWhT`m1w9JkUt`kC z+C^`yFI@R{0M?eDQ#dQNRfqwWdl>0NdlP5v`Hjcu@1$Q8SJ#)FP6x@qSi&m}>50v6 zC)0XcD76c&>(WXX4VfsZRg8Y$?vDes9h)ReVl2DNEI)LE7<4P{U&xCPo^q7n7QcPm zAeCMMZhEYv1Z+gYWS(4TcorR?yY?i-iwZw=pPuVt**U^-4^a2G?p%6>+_>-1uFdSR zyJNrc4T=K33ABnxE(ZZW&K?NN;3j9P8bDw_IkKxJNz2Wa$2nh+Mmk4Bh~k zOJ1+R)bfNV;_3PGNqt%=4PGeqwi zu%7uo7QQPlL0c-V(FGbBM^Bv-yR!0j-D!Y}P3oY7z@M3DzM;u4_?!H^6?PrC`x;%d zC(1FJ;nUFelmA>ef294}(PRxG>oE$(WtYUa0K3epZ(C{x^Y*gU$5bk`=DDNd8$7Zb z_*MyH`^i;pkAOUdLscSwV6>3`SP_lPuE(AJciYWaXIA)ydMF9PD`$bL%+hT`j;GWO zrf!}&zf|l}GGJxA6|-M6CY6ATG`lje_AKw8~1WZ#bSCjG$J|+YgRSzqeaXyo_he0 z^la%Gl(T%CU0UAt0Yyy4qt%2jAa}*Y+7Xjf;_~fXaDa14P~6Jp-sTY%kDZIBPce$e zb-1!?;F#I?b|e0kC{Whciz%p)RADE4IrE;D1J|JJucC8WVcS828+43I_~D?D*t+7K zTdT$e(}u$X0-o~DX*L02c@xXjp-IX32U!bXML% zY$B2>2hzH3v#reptv-u32O~cT{#!of@Swm;H`(2~6E6N^KjpM77 z%)EMF^oWy3O6AomKDn`PSk&{Tj~6ubrlgdE)5`|&SrpsS!87IiY!AGjNcc!=S&ZS5 zkees0acV@>k!ap^@c;hlz7rUaeD&VEbBr^Lk%eE&Ds&WE=#tJ=!?GIkiy_aRk71Gm zNKlKv@7V?vj~vj;de4167ibuMSPSu}LTz&_p5y`M8P<3-_lc01rzA|Di=UHzaLPwxDP zkWb6kUu3EIrJWP|w49c4ncKU7gw;H+d4x>)n$wMlwyuRY=wB!jT6(sNnx-#$f7mz% zf#Ol6ujl!$TIJT0ombJf!HDGK4S$cpC+%Wlyhl9v69w}3lcr`k41Hdpt4Gn8irQZK zKA|F^qtlb5mQOx~oKgWe5;`4giiTzmABiO#y@KPP9h0eqrd0gE*EP0yJAGsWBA!04 zBV*Puj8CtBy@^3U$IL1ews1<(@-#2 z!@1;>s#EAGFb;gCmGrTVWsT?zj$+I2|74a^y58K;rJ$zw;^U|9C_F;I<{mrCz!aVN zcw`!ewGy3`R=i^3(Xw}dAsxEBab#u#JfgSg!0~z49+s!D9YBDs=2gjvcSZY1el;GK zrq6B{SP*^m)oYJ3*<#4)j?-`&>1$2XklG;>o%O^|DkfQnK=7=#wK$iVCsJI-6GhaZfI7FC;O&sBuP=;ATS^}GN zhM^J@rZ$~mOE}LYoOcSLQJ>)C)9}s=qR2zTJF{>`M2QOHD;GXvKp7^~VItv`e+e;> zG98F8kt(6HXQ^mKC7>@HI>WP(UQIZiG@MEXF0ycuYe8W{gSh-9*t?_v2NK(D7c3s7AQ>k zO*=uBRwxt>TyWu@L&+%~K0cC1N(oON;Q51~5d6#qD-?||(j0{7FeM}mkSd)MObJ0H ztolZyP$*kOCTkZC<(Jt|erbimxrPi!_%1?6{BA$!TnCg0Qls6;9Izmv$=@sspm<&d$y^aNqF$rj~HhcgR4Lr@aHn>m1qG_}yEz zv-4Yn37`lQN-+O2h{GC!0~9S({~{J~CT3(H@s%i6_ylYa7DD+Y^ozHkP@M2V*erzN zhEKtBlH4gEq5_Mop!gDc>X2AiBp3DsTbdC;@uh|3^}rwmSw@Bbhv*Vc6bttk6$fXD zN5=1NA%xu~rNbXcgkK`p4d69{S~_^y07{_YC4<*ZO)Z^WT{Gx~MPwlA=g&*XG)No+ zYQ+j(GeF+sFOf-@YisLpuTM&bZlbABs9We`VJQAYA7i3!QKFC8Fe2s9$K-IUeygm@H zWutXG1}LdyikMz|5#2q^AhB#nr;m~#7l}GE-23`h7=~;`2L;GFfBHhT0>w4WuxpuJ z!F|*%XL@`k7rCWZMn~q=p5dB+xS@?t6Z)hYHxp|q_$eqkZcGrA%!@5rNPb~oc5XHe z0fMKKg*_GhAG*V>XnMJtV0!%aCt0v{HYb6Qp7jTxCTq(jQ8WEp zby-uWVyinEJLRY;d)6ZmWWW>aCZ%V8%dmdEZ*|O5b@c@q>o&vdp(4%c$B{X!;AWlr zjpn&}fkuy7NASK__eM0eXisYh37&&ZeDE?S1vr@Rv3NPe`}S(lP<@l(v-$Lyca#KS zKP2jUopr}&cXfgKz+9M}iYO_n_o=~0n_b0Cg||D~TEuS;pQO7>+}||?x!94Hl$%4p zzmzMj8l>^8*BryW&UO11tpa(dC~@g#4q(-PcQOCF9qXA7HzHz0-+hrONsS;66&5&l z&F-1+z&rv08tFoVUmuLf;Id!7fYGwk{$b<;k%7h+CJ7xTmGf(CV9|p2E=~S}EZ4TX zvPS~2y3y5bt4wTd+hY(1U-IBU5aef6sO3}7#@_wNzVICDb-u-?nk&Ja*&3WO84skV z8aJSeJc#>f^a6OH`aVSe#c4;rSPz*brNywH>^(xb(grhNrulvpAA?u&P2p~7W8?Q; zGvDtCi0k_MUs+5_v!j%u0UJR={CYkl(Yeym#jQ!{dx{hz+Vo$y2VMw_^Gs5$*n*o< z!BV^%D5ic{0i0h#LGle=PZgK)HqN!*3Y2N_ym$2_9c zyA*sNNKHHXtAIfjG~z7FpsTT8eJ;2WDkCVj_cHtH4aRHdAJ2A9W}A^HWdY{S!V6qM zQGQ_uf|D+|wfGh~MelmoyL`$hi6VJOj4lGn`In>mQbf;4EYzRBZYab(P@zc0#80 z;?pja^p;&g4wz8_B8ai>C63=YTa+mN4)p&4#+;~YBk+I0>9 zYJQF^<{~T)$!&|aSdyIH00}-9y6+CJkGV`5*!;J_jpDO<%yX?*w%6^f)~_e?3v<@R zD9Rou>7QD#xIQGC3mi{f#|-zA>?R?4U_&41E`nz`EoPmZsGfzfBeoD~^%98c=5-f0 zV_!;=x@+V#j;E~!Nc`4|IZi_$hQn0f6<&V4+z~aC^^3uOhr#`w5UZq6XrXjL2LRQA; zsehuJ%7?k`#^PJf-}|KJ{A#JtzgP`oMZ^rgrdz}-$%ZHu06GjER@+OaR6Y|~l1TwE z)dh;}j)IS_TvplsggUc6P>Dk;ozeT!w`bx%7~7gTQ7cE9y__LG`i~uDl;cGu({O=h7sb3- zNT}wt+NaGJQql`3KMP@hw8WL?A<^~Z&dOEbh<|EQa&X=ytQyn)?s(o0siwU}o(GEg zB%(zOxdYD%0Qp0XWc*~}SHWVwf_vT8HbqCT(dL=ip#fn;wINLJ8-Uo%6UOnu;rZXq6dkqejn%lE6;Q+3b%=;;AW+{&4cSd!P=1$pMIuJG^(2bsEGyX_NQ!`T z3epJDA)$bXgp?o>5(=DaqtElb@AJLiz1BJFth3IwoN+d=FK6c7_h$BQ{$b5W_hAYM zxAlL$9e=%iAbnVVSzskLtH-J570q3JPlJsqqWdqg0P%6>Y-7!`XmHBQw%R6-we3d4 zIGr0hm8?#XdZvmBJ}7yw>1njK?vjwR^|K-=@H2H!h;;B0n&xNB>!DRz!B8z^jz#a4(c>3t} zPr~FnaderA+G<3*3SDpdri1%EMv^u&@rOpKV*U4QHMEpcBe=&?MFZN56eOLQT~NVL zY2cbB;+C81!E&fGYly={oF`NT$WXJlYAr0GT9N{vw*`*LjkT+~uCjhS#HncYU*@0P zV=2Wr2{ZjQ`E`Z{{IYed4?Q{kim~~CkW%-gzTsHJ$%v_$X`P-n2C>{T2mtZgSL-oh zng*^y_V}S6CG8BdnK(ltPwY)8_!rmD2Y^Ipu*?wCtJGfYDo-xF!!Jo!`>bfHQRBXK zeSEn0{o5KKv5A!mOYc^B#9JzZ((ujmPO#gPpDP@Xj>dV@g|RR{f{YX!6K5_LMjGuJrgX8w{t!>qVmJ+IvzyaG=MKTqGOszDe3`u#j2 z`*ki*mf=>YV{VXTnlx&6G~=41u^2l&vf=O8!N$Nf@o0MoD6e&fH-0O{*jzfig({ka z>tk)ruB`4Hajn|>Hg6MA4EPy=*t1DOr)Q-6!CombSt4rC*c+C#`s>BLAiSB@?<;_S z%h>F0_RUtCRpW;FRlJ=+oT}SIE8Wv2#iab!CnQ&ZgSO547gB7>x0BhiC=P2o(`;D6 z3<-S+PS}JftUh1MRBKh{*MOR?lC8k4?v^Sne#crsZ89ejG|Dd!g`L_YF(W zF8%b=JSVU24VXLOg$b2U`(&-|#jo)jc)U75qour-1^mm=m<7CZ7l6*AbfsGSYX0@4 zpNjI6p)+VDG*X-VhCa`*8JO6w7FU9w?AH~P*mAZQqTF8&pkIF7=NFPRxR2Vrvibd- zS6bd1v@fl0(lfKlC}~>-Wi}3se*MWJrdU!pt?%p^6k9Ml2R`qdVls$ogvKm?r_eA< z$(!3h5%Blu#}8V`LJCd)hE~ zlE3ovRHA!w=1j=i`Dr6Dt(4ao7Q3jHoo`TLyZf}Iw!AKT=OOv)ky#}ADYrFuZUeQZ6UQDUX?IOCuO_op>S+>=k2i6EmaWovF@v{ zt3=5Nsok^ZFB)eTshEpOYUj5PuA5oe2DbKrV-yB%F^#*P6)U1zi(7QCFUcpRWgGLV z?$gc@QXr@V;@anlNXp(GGO!7m1}YkuhNCxsl3JX3mDWOdrl!?}2K@HvxHY^BD;mzO z=Z$^gmQ@dmZyM@9;gH^CQQoX@+62l~kCyI5cG<*FZy#BBZ=n#0C_Q*yJFlu~=HM6C zH**BAWwiC3LL;*aDrG{#o;*Kd6TDG=ibpMVD=4=KgW2d6z@b!XSiwr}{ekG-6lfok zbM_J~x;?*wg28e(H2%722*6gmp>4Uub%`Y_Xl^$@VtjV3?)|qNN~^8kXZZA5LpXq# zT~g+@&+CEdUpOqXswQ5(fuT_a#r5N#x5?y;TL1@eTgM`5?fXVvO?>lC=j8l?}!BhFkW5UkNVy>u0i8ViKihNPo z=k(I70QNgAiZ00`Gh~;H!I0jAqLIbGn09V`Lz~h!-7}jsTvEn1{uRx%;`#}hrJ!PH z3*)+qNBqzvl~K&AhVHRlo%~*wK{{n`z72+})TW?Hd=5A0fFNW3D3nt76+%$a?a?=q z}{O z?Z78YT7C(|jQ+u$eJ;z=Rw91yghdVW5>Qc%#_N@4#lK=&4FV&6S?`envj-8+uevu3 z&z*+$iGZNN?O%p1j$8Tu!XEOmi?cKuhIdLkB;}3G!s9cVzH9sh*r9V{TA#o)nm7zIESO>=+r6r^~r8x)$Qfdi5?;{Z9 zNY+yUzfzfl02cwCf4hb1UpGOabbvq-YaKf>61Y&#v0ks$w2n-~?oS1|_6REpIvfDqYp0l%` zznnry1+UDZ1QBAkzsgHYY#az_2?$v@E_~zykYFN*kPs>anaERnc>xna^sk6ZB+i5p zm*VjGe}g?GBw(he+zlk#M1svf>PuTV$dGB57eo{3o{zXdnF(-b6X98f?chmI;dU}| zr&EwCsK5jeX{JGgIkJf80_P$f^;uc)JmlnGXfZ)oHylW`2}{G@K_Mp6MnAr~xefi~ zkY(=fq9J>D;0qL)c8Tyr?!SeYC%@pn|Bd@RJ3~cB$7FzFOm?WnMCM;Y zF(&kq^OEvHlqqmoQwM@f^!vFUYQyt*yO% z=wBHo6k;M(n9#}&NoIU}@&&%Ehq7K6d)d%{6h1>}j`1hT0sbdLDlp%)z|%kvZS}~M zPgq5CL3N=9wY#UMuMgf)fZ+y6pt)&*Ab~6sIiQmsS^-HY;7x>XE5s$ZQ?$sVrGuK@ z0{M06KKn^JG-}hUA~6F!S0JR!CyW(@9ZdQPUgBGL zNTkMla6bDCPhV>ON6;Qw8MPGVP17Aw;AKdWRB6W-@7jMy*nkFYy87&L;24>Go4Uq) zpGE5OZ_xWy|4CaXuJP+Bauw1H0!inN zaWV=|uw27_wgkIf%7U_%L+h;a zXM8N94D(_$%!iapOqs!1M<3}f@x4rg_N2X$eGEqJNQcEBnNLMO>+Ifpvr}FHou%b# z{huiGQf`xuZ-3m!F+6pYVjLvLb8WaQ+S+(c955=T$px6#0@>x zyaCFdHcmXUf1-Aq>DJ}1q#d;4PRe~zfUE>3f3)sFmdJTBpKgVJgiG|*RF$n)u_A8? zV_qhGr~soIO!5>trH)Qv1&mL|b))&?G~a$Pr=;6cLzKN#$iH&GU|<&&yejq z!h~lkJCCxpn#v}6*4|wI8Ui(QUDjYa5TKAd{pnM}hnN6cVk^_#QT-y$_AiLp*|gNH zNgn(k9AL)5f+TR(y2>``7r*4d8=1nh$6|VNVqVM|;a5B|iPiw86GtK|zW*~X{!0bV zv~Y6DQSb26{}TUx7$&AXZ}Qw6^vrxMlHr6c;!+W@U-P z&x2nQ?sYn?u-x1sXiq!`ghkpaDj4@>e%!uK%95t{=$*8CfwpFXG0j;zFLRfw3AkjL z$FmZiE!Hj|KJ$*X<`F?NOo-j*vYCEd^`;|zeI2YXP~3K4ZQuGHOw>=E|IPYr@-Se~ zCgFT;#UJQ1R(%A?IMmr+jO9ZWxJy#7BLxZGT@ffi^M-l8jBi)9i7L!MQQJF73k7}m zi73qcaZXpVnEFrEwgPWt6HM!_62(^s14o2ZPQ~MybceJe15ABo%}-xxONiqmV1GV{XtQ>e&)R?cMcWu z5Z>toZekhwDXw_V+rVspN;f6>36HVop?il4>1#QKZALvAwW#YQ)7OFp=1PE5&CuR8 z;;ISq9&?P;hJ``SfU?2N3S8$VXNJJbZzr>Xu!davTKS_A-8T|P%$J{KkwD~kdT=ib zu`R+c%mql$e~|0V$R5~vk+hlSwc@=EdL+{*H|rn;qi(<*fjm!N5( zx2OdV7-`L2?#%fT*{yr8iMBROsNqx7n23Ij#|(%(&P~&knIpud z7GItTA1)jXeBsr@&k6sIn>oaF<|YPS{q*Ng4SlQQTk_p94(rnpyS?|DmMI1vBB~<` zzD0WkNK$<~^Q4CV+NPrzx^kC;aYj()$NZ`aIb|7@4OJrhp2;&% zPNe5+tra9@(d^VPURFyYV2k%_n#M=D&>%7Oceg>MD5q#BC5!D;xaN=DHmYp22c7^eY%=wW zZEwPCCw*Cmi{)-1ml^w}e?4Ad?Wgvz69WXmO(4fc=vg#LNq<1?7lZLp)*6?(TxnQx zG2eWZKOZm#qJy|7!!I%Bv9dD2u`5D?ua(x-HMS%Mmq@uGhpXKP3>>;$Pkx>7P53qo z6?eUpVXXRUk*sNb%hiS6@Sy?%EO6jNFvGPf?MxLx*`L(0H7zXs za+H2un)3TgTzTyuz(=U{^X)W_$oRZ+akaFI+dps#6ax}c>)-b8qvI<$xQ2j7ZGGBqk-OwH9P$~Nc^CppJ%_&;m@-F1 z#64rO_s&$n>nU8(yU)%pi^pgBkqtafh)({FaVsdjc9dCPh=*!m5=63tNzo+A@d6FRg3h8v44IOf{gpcT8h;dF_bdMb(E@ z3^Qs$J_fME+7Xi+YDX}NFTuNX)j~?BF(9w^iGMT=CYYy)5 z_*q1zBd-9zZR}#)+i4zSN1yPj-h(3)dKP}Uo5l6RAGc6BL}6rVPE-3h3+H7iHH+NR zrk&GsB4Jr$>pcn{AstuWr)gcMfRg)~)7{F|eY?nK*@eukB4SQ8$$QC2a7QaJfkHN7Jyqm#{?JU-Qpp`a77a;#%d1~t>7 z-e{lXY%17;@D0-&+WPTG6{*=xjxbwVj&kWrH~_8MlaPY`5l$Y7JMTW;xKocwB&@7v z{b+KI#K>*t7oHr5dEGqt4g0!U$k>N@K^4c)$N3dq+gL&}H$wIVl@UTpV9~X7EVP!y z`DOpEu|s(^Te6f>+HbtL*~O3gcLEO!YDX97`L#_# zs(ZgE>skWmq{gu?dp~j2O# zSHE&z|DiM~i5vM<%O)l@_xOg(0XR)-8Rjh`(MeP%(j&a|LD+bOl3PJl*ZPj9e_a3A z4+)s;M9Y6uMZcnMOj6az*|)Uj?c^G&q?WaNNlknAI4UM^bdAVvoe;imIJ?d(qM7lY zE~D~WAm73h5mx(&jo+I-U@SQC`4ADCpoT$2&LRdqw?%l=Ooh4KzPNvSBePC?I(TW} z6dBz%cTPynr4XN({e_HE#=z|}9Vd^tnu$jWs|dKJVD07~_%JG_rLAk6%OpweELcuq+o94RaV2uZQ$(xgWpWDE#-2Ieg7o|#PtWT0K+4({E$!b z0q>o=jqEnAzVoYBJoi6uxK?+r=}=ldhHXu+7Ej2T@3?s+<(KxXpYR3LPt9+fk=>3= z&-zHpFJuhjj!+3%WGsC{+Gh`mM8qs@8xM}q>8{3;+>E&ObiBw=}Y9b>C^}Qg!^ud6>aftGAp!9M9 zagl+Eei4Bg41Kj02y_TEgF}KZw3iQ&BP=W|A_u9wywF`j*(KCn!cj?%bdE#ocuh@R zW8>HqvXck0OeEm>WC4Yj?NE3LK^8Lq5?LAk<;x%C<)5i3+W?d^m6iWUlrhh1x1QC z;3-f>I=wDPraK&etmDMU%Jd74>64y;WOf$xG&$t&xaU`-tptK_psK|pygB$3OaQ&5E!f#>a zARx{~78Akqfk!|@3;`xYmzV#9U|zVyq0$ryYo!g%37}CN$tjVf60%C9bkzpVXbTrR zxY%1D5Dqy=0j8rPgqAS#6RI%X-2a_|2^E-7ewmtvj^vaXfd*JFU$-Osc)BbQXh<~K zj`$mV8GI3Zi38o@eSdV9aNi)T92%PY1`*}ILofd{hW|B)lOqk{@Q_hpClt~kj!e5` zV~2ahk%K^RE+C-R(gK11U*ax#`GOJHf2pmIuq7voih7U^{e|WdN-qC~U7A5KYx^Js z8pJOg;_!&kkgZp6$|&TN(a2Q{Jmgs9K)U7s*yR%v5>rx(tD)xdf>$=a&Vh#UUUn+q5)odIra!7psXzrVu;+T;O}&;C57f|XYn_X?0V z3y{GKjBqd^2PRTs%Eoo0z{mpSBANlA8Vr-c;4JEJxwU|RxnMak7Ayxr{x6d}QekRj z>~@jQ5CBgXH|MaQ-Ulr=x|DN~XM)m(5&iUU}pMW<0X|tRVIgbV1+pw}N zV%mJNUoPTWbMXolChkU+&GX=KT{V%2u&7v0%C+9Gr!r}SeykCL>9j_JG%I+-BXjG( z=L$71O>2TWBL*eZbag={K&g$kcnACsQtsVewXy)vTxka%JEf@ZP}x&E$v*N)N+ulh<58Xw{Y#Pn@P}Wsv^~MzqQcRh!0mF##A!SJ~#J z@SuF3C#j+ z?m=0IU`w3WBwA4c2;t~CX7UtGenwgOnB}1f^HYFzUGW)1MbLY;bD>2GAMk{S%jCnT z;Xb1tp)SdF{Ftz%(Mikiy)3$YB!Q^u)e_)F5Tl$p`ToS)RJy8(;Sw?t1XS&o<7HG>N>M}Pu8ExAySue#~e73R82<+s!ldlDA26rajm4KBlcJ!<@vs=F%Z8ifcD(hqD#_bc8NAoueRa$=BoYJUx^^jkQRT>EM+FN!s|oBWLdOhKZ(f0UNG5 zOgl8w_07rAOG$Slqd(qEfoV0)I(JM_M2fF{u(V@?LM3W0Cm4j4n0DY$`&7X_3j{Q& zI5`Y<<3`G$Fb^@mx6?#rY0<)&ofGph^gWuM76kmk+1xk2kJ9sN-h`KoUdzI+airh! zisLVhmeH#4(K6As0+u^tt{WS#aob%Fbb>@Y9Yeym2KMf}O7ECv`k&qr|64fce}6~3 zc>nxkV*ekW`5$lS$RGaSOzho%8Rsh`DSGJm4$se`4|>`n=THnlA2TR8;!+fk}~S4;Iq+JA}^GFWZniX!gIGW8^3!-V!gVzLau?*fh|5&y*MME zdvr(khHSby2&d4Qw=7f0%~Pjc$ES5~Z7<5QoU@DCd;Alj`1+@8IxrFu{&dx#T%+>c zJ^plW9~u9n3B!!yH%I+J)}#yf$G8AAo_rXLoPH5?CO_T#cBd`OkPSF9YcXvhZpZxy z{1Of5aw8=o{9U)CyzEB9Ik#Ndu0Bd4fe}r1HUsq3uM=k4i~cyZn*FWVreAbK^&KX6~dA6?J!D#Phcpv*x5tc z12=g|S##Ja_T!s(E=RLy-ED27@%)}~Rgb~b+*bo*5*WjxexX_;L`@ykhLVD-J1wnc ze!J$27Oa+_lh<~**~8QUf5{SIXq;tb6C|hAXpa*4hKwtRIf!u)1oz&&xkXJ~7@os7 z$$ji3YcL#cQikq+lYyO>`3`XyDu``<;bbGQyiwj*VbL!`eWhdZ_vL;wu|6-7z|(GS z98WONb)7x*lzi{%u<+C578AOEZF1TypC>{c8HJwQC)d%q z9c6SD(pVV-FlZ!s(E_eN zv`h70?iZ4fN#32(svRR zHl-<@M(dnZ`B)>bsvq&K?h@T>1`gpy zqI7Tfcg|`cy`pnlZ#3auv#}s1r_Ab8#kkeeW*rZ7S+~23*^2TKfJjf<(_~kiAy6<^ zBq&TH-rHeLPop4X+u*ya+J?hA^uFXoK3O%K?94&2LrDc*w(`XpZkEz`&LY#HRQd}`g! zCSVMlB#w^@&TGhVv`W$I1Gl#}yRK=h)=As%cD4HV3P#jO-Y$HnWaEPNJOafY_QH6V zZWrHeJUgIaU;HHLH=M28+@2seY>th2*_^2~Za5qeE!G%#`|n4FX+}X?%;D8iFUM5P%ezF`qspHW_7(}hCbz`@=MxnLqlGzHZ%_6N1wV-P= zBk^4fn8vBTwRxh>jVS)HIIFE=uB^mHAOf~t-Mp@dVSu}0G4gYaI{NdcBgc-HF;C@N zIgSSiG1-2Bp0v(I1Kd0v{`1u$L7g90YR(79H^}CWZf*UvF;K3b0ixRTQ)jN>+Fa-w zLdSSox5l!?n=%Jk_+A(DjUO^xI{{Qg(vGrPAErer-ImbVg&yv(n5?05O3NwVa!k!U z#byR==)#re$d30A1x)H7ek^EUxb03Cf%2 zzO3&N@ZPd>2`U?3#ANfXe!GF7wRC<+q2v#vzd#)^!=o%xvq@~4D-owe{{E{3;Z=gh z8%I_V>10%o@(sb)g7p=ew3|gMn|qBP7xtoi1}8pJdnTs6>GRoVa7Eos{D6ld}zp zN*)~DJY*J%?&#geB4_mp956HmZnNJwqcY2e4u8=yKYh4j73or*`B+18R(dJ;`>~*e zFDSOYli0Q9EacYDb<;MzgYItl=I2bm(6OIX>;d^zON@Z^y1IQ<$6Eq6ZpFuWr-2U> z)3WkkE$yP?P}sZsR(I|K4tW(_r?}jqbp$CB&kZ%t$kO_O$(IvzE7YvK$_sSY0l^yu zefvbR2j9g5E4z2m$vInT=tc`6?J;@VG(>Y$jQ{dI*;EM+WcV$<%XJ5RD3Ij zihIF_Q|f@`?|Z)h4Vwrw?VCG<#iA+2)V%52#iiloF%FC=q?C<(J4$a093Dg`y*niI z@b!;*`F3oXn$>-up7E}~7b>Ph`>Ja92uN=Jt&u^K+`3@a&_A_BQCmNEfjvr$Yi{E)Xwz`vY$Z6v_@qEOU@aLJTKSS4$~-%>l=uE+H{EPZT%wSxKcIy9Xw{1 zWl+)zuC!m?v=*G~y|#o(%PCMfzQ?a-VA|4+MGnMV!k)Zr8v2UQb@`gMed8=K4Wp2; z_3ObMK27sZ5$hp9q*VD~Pq2J@m(Mq=mi@|dfRSTib=KQMxxgi!`u@*VKsB^RFurbB z?7CZGYV8U7i?QvXfp2fAn+DGGgEAR{vj7o~lCot){qhfDK}Yw@{PvLpc3yR#_}2dM zZ}=>Nms3Gz;{w^0yIUt(Zcz=*pM}J&8n(|kCDn9#HaX=gyFXF@vwO;xv5jro8N^IH zvaYYsu;r79X{2aVzs2tdpSV7G4cdMI31eHY%(~__9E$7O;V-{lmbe-CC?>H4hml>z z#sS<7NU7c+pu1MFwL_&5l~~`=J#$G=Ud{R0WNSYv3ArQiE#TploqSSJc2L|iMbq~Q zn><)AexKWxPQ;;OU>n^ZNLXe3pp5CqRV?^LK+hxXIurC~DcOnn<3_?jLqeQ(Z3P;9Q!mE00 zY@&;9bSS4JBIV{6`fCKwfw7grFt!p-C<+%bxQIi5Ndong7Y_J~a&%cFk*t#@xJa&q z;z>KCb`n~_@ga$Ha=!QYBDXRLPV>|PswUy`M>Gk)kOmL`k7hClfq2%A02d`hSy{QQ z5KML<6_bAilh6tN4mtWL{tYEBfaLJR+B(dy+|NN^{Yx_mXFUo=;QU)M`D+1z!1#?E zr|{o6vs4Ed7j@wmrBF!8BpgV=B(jP~Ku|YBio@| z5-#*`VSwimAP1ub0>K0qW(x#>RPutva&Yq?sXx>%YruijE<+3nwV~L~7a6fIB^J)$ z9}H6#auA3>#5r(BLgIRV61 zjb?5Rw#P6R2{-iyeC;+t4=6UlMn4aJKbwh~e#{3<(3a%tbu&EULgGiB_XXuY+HjF3 znD5r7+ifE=K2s?L?XMP2U#)u=-Hk!Jy=O1BAi5BjbHCb8j-ZDnhS!YO2E6vKc%gPM z7(*MhEmL~4Y6Jx>n>|goWJ-w;OD&Q?QyQFOCrOrG`Sw1cKI(ZO_sCbA9`B|_tj?gO zp%-Wgtm#o8(y#D|0bO&~?44>d6W&pqxnQHp`^-tc>ks%6B9(8c00Z2PmL~xe32Qpq z^v;~`YDN73a0XO!@}Lj@GHa>^nDuW0BF0XN>GM;HW%F)KHv+% zwrU{Wo3Gkg(z}d!$51*=2IhN~``!8%+zOXHe83UsNpo6~t&`sNKu-QAw*3W7HgHcY zkKd@wm^yp5X%_oK-|bCYQ(4N4HcbEhEFXQQQ%NAqfA0xnsJ_5PB!l(Cn@`7wIbNDr zn^@QGmwSt<*?d+3v8tCtXWsohW_`$y&)_Ap<|VTCbCL4CDX#+crPng+L*OZxI>OP| zf^H`=?r`z5w?TbMFM}Oe8C?ieKICH6q=HUzimlW%Rf(%STyKXX^XKyI?J|R0sm%6; z3eDcJpj80ou#QnzAD!Z#iz-WD54Y6yx!i)TW!0(Z5(b2fM!jJK^Y@lni@$c{97GFn z>m2SS+#Rt0*cPV7nUQ$Y;)89jHL$p?{%Q{`h=W%@g}c*DgIf+wH6nuF4gYxhw-j?F zQz1Z$+iR{;kmGnrjv^r<8ef0YjmcDqayK5Ey{g={=_@hlaOE8cUPYhdQCLn}oU7Gx zOziPiP+-@?m?#_9o80|aWB6d0{1)UGS zcDRfs$u0LN4c_t80#{`TdcWRDc%Y(_bL$3^xFHzodqrzaW@)DIMn>d~X{t-)+N;Ui zl&qe4+(t2j3kjoOTUq<1s>A)vyWL_Q?om&khmJk-T4>7|VQK$LOqqRu1B^RKaP(D?QbCGf~2C*dM%FXLXOS=jHcK>1OXhS8ZF_(G&`R%6jB5b;+jQLrav6<9ZmaXT+3KqV=2%vuep#Xsbubch>gaSs`Ir&c@6v)WR{UZoP zo@!VyWWA6AZ}1|ar7d!0hg{hsR}Kqg{}aRfSoMfOmrh?#B=g->jk>MPp}ob$xhNdI z6h-nxnQsPfz=I%abi(!Yp7jVJ&zZi;mW;%z5ohXe5|?7FBQxkc{a_rfdQa_}6B_bE z@%v&2H7AS})FHc+VcoCIhm~U2-}!?txTw^^>_Tlu{ zEeZ_;{iH8YhVL=RMIp%LO&(_TQugtFZ__YTC4XnTbL4s04eDurcZHRstkLmW{h*%`avxU^xYB%&tsdO@B1co; zBj)y8|I21|GSj)=V)cWf{w#g}$bIZ3JghOWp;}O+lB#uIj@L%vcTd#PrgU{X>6-nq zJy9HOJF37SDETq1S)ooEBjuZ2dj5E?h+|^7u*axXr*>ZQIljg%4GKSKqPYBQuy)>DBWgZl}X&93h^J%_6>vi*W!DxC*lz#8BMs0sr(zqE; zqgWe=>q#{_5=y0~ISlhndJ@2lS~tkLcB zNg~)EjNhw_-9p&X;gsctPuil0zB5%9^Z1U_n`LxlxPK7Z4bmG$DSd}vo#a3(1;+i8 zs(4M&pX+yiir0T>3DLT(DhAr-S??(}hAZAZ!+hTv&7?p3&SVqqrCUadO6B~S^%6BG z)Vo8IJ*U=Lh|40mGu0f>8Rf|EE9}inKA!jL;~2Y}z;tB*JnzEqeuL#)G<(ADC`K`S zrrm5Kb9HHbMPo-N7EsTeUQ<|LO)+%h74G5YK8Q7VC!hLyGANwBDU_rsR0>F8ZPdHA zcMg`|G1U8~Tu;1PzVyu_nq+|Eg_&OC8Ql>Gw0x#1(;(4#t=xvnomd^<4Vy=c+V6xZ z8c&mD@nxF=t7sd41?Tx94BQO=sCq4|p-UQr?^ylbYsup$k&fnE19PtHswNx{<-!YH zE9ENIYjmTTSSg9Na0oVRY=;bb=>XwW*C$5vp4FW3Q5TUd`nE%I$^{s;i%IS_9J5KmJ~8dXT2IIzrAeVp@yPDjrHbLAN7ETXp_2lg}u~nJQsP%Kd+U_%ZztYK>-*n94Ai5HT zluA_It?11xI*EXYq@3gZm=~>kq+$|M26x=UYrxVsHi@*cj1{1P|adbf`-9iOzX z9;CER-U@FxA)x>??3FDnH+_BtR0!+wd|th5XquEu%p~0I@wBk2eF-56EDOI1WYGMc z6*B94675FPu!VQ+mQ&_$l1rKaTiB{v4?tcE;Jm719FfuTZv6zo8$7)E9Rj?h!j6sa z!od*}uD~-QDYKZataV_MehR1Vuu`=RB;a1ryrY_+WwspkGzYX{5ge*IyT4;r{Q0n^ z@3N8|jqm*r`*b=%2qLMjeF|Y9W$hiBUeiB3&cGy~;o%#Rk=NAvVe^oKUtG((uJz+G z;1IZ_74oX)gM@|mXWTlS=AoEfW=&WJV{*Z&(qM zmcKKKB56&@Z(?qLH$Jtr@rUSDP1BAsd;wJ(5Efn1-uV``-P|^_^m~@w^y^GgLCw(A z^_Q56rnO&b1(;gh6*h`a$QNx_pCI2-Tb|lt_Kj*w7{L4}cuWn%z5*eMvYwm}$`dx) z$Ca0F6yaU=%zphvUft;ZZ&iJhfV2g$w1!DREu~`Z7cw%of*>$7cMtD;H!#7(tJQyQ zbI&gkWc7}TOrH`maz6S%j;s6NB%q*nJ(-M_G`7C)htrx%4;Uj>n$<2WCh*&Ter>~L z8f{?oy+Fn{Oc4pUh_sUWz7a6T$t&XeG^Mbtb9ftrhJiKdRm;>40{vP3%gI$tW_BeI z5uZFTKEH>CMd;x9xU{BYfP-5stFY)d7893L)RUWuVDfd<&*888O@mV=?ys>8`i6F% z7q;M2a*LL3##;Ioa)VV`r=d+9^TWQl?W4jj^6LhP;LE1EmdAte(gWq7oKZqz8VwY)o_<5T*=^O=qv;Bgwiavh%wVTLmq@wAA?GPR(3 z_?R%EWc1+o849+{T~P7q>xqz(fvJ_Pb5ufp|Iqgno!c>quUfXC3IB?OX%eWM4y?r1 z(6MoR7?Dx?X66UJfTWx;nqM`s6+Vw*>dgeORJL0sC}9%izvPf8?jFz&?};2x4(WYiWk+(y=Q(h0q?0>0&jRL9BBw2Y z8-ea_Jku_!Y>1;k%U9! zBBl~5B`>PckyuhfLQ+;v-`oNVV#y1sq^}+-M3aZ8Eh+B~P>1(XKO*h~BM^=`2!Ld= zaJaa*dBwt_@MNSw@*q4S#zR_L4|&@t&4k*;Pqkj`!7G@Qs4S33-&ggeHA ze83AQM)1K${1yl*q_15_@1hJGnMn!tk$*xdEf5qY7r~sCR^B0h-P=$f8Tq&!3MAnY zZ2{$xP}Ycnlt;$K!AJ2B6JLaKwj%&M;HSxzNJDosazGO|92W*|7|GeyKY;!(+xA8d zQXPqa>d1DejzsR=wgmzmmI!^{fd_q2hYoe2B-EGSpoIe&sDO-9K#C-pnQ@qz;Y$u? zzN)|^1z4C0pRSIa?7s>l(U3^e0s)|99cfyJhIM^Y7k6ZT6;vO?Aca7rKr%Qagcu1U zk)Hq|aE(F+zP<{EISOzo&w-F1`AVvU8&x?7RLJ<@{|2yi5sr)S%?s~(Q&Y>#r%&_9 zGzB=I@EGOGm#{?4;IdQ%%6J^Y4*0yJ-p3V zF}m-&Uw0K?rn4dc>7Nl|L%(41${bJ?PuZqmq9yK^VTdxHuDV~sJ9}$AtfxTdC_03# ze1#WaFSsOX>9L)wz8X)ibd;qT=w0|l7-g=0R9VpDx3O#twr(8>3HAl%y|Aa+^Az9lCGC91<(;Eqvq%rkR?9Dm3RnqI#n^J zJGyBQ%i9mxr(Nb%%AcV6?g>0{7Wj@x z%#=PXrHqN{?`mWzTn_fR{gL&p(2jfgT2wet@QvsrVhRvZAYc&FXh#V`;h^8~jQ+Jo zrd6sgts7bZ5U02sHlwwpy#?F6I1$Hhq^SwWyMbGQ!IaHMLEh>kSl(w@hy7+Jfl^0X z%Qk`WnnWkvF#V^J^Vd!JVk_8Q>Qdo>CJ!Z+)9(tX~ZMGft~myIQrD z_+CKHySIYzIn3Ct%p~GFFKeA=Ctfb?!_yy*osaPz2`Coje*wa;V$P`&uhQ!fNPJg4W$9XN zMb|G0+!ru#arj&+!B$z6x^;Q!$?<^HQy|sjaG2L zbx%5wJ>mn>+P80+T*II*@`&PCl7E=wazLGby(H3QvauR5{K3)+)b7Ml<1^=vvv!~+ z(-RQy?<){jsPT1Q`G`i@{7Us+4Y)zyI*F>rF6LdBKzro;!Z_Dj!yCJPH>FQE0ky`2lh1g-?X`zA1&`d;pHBqd>m_@+Q4vf#_tW$dn+aI| zobn9I(QmGuGsD3@lMTIdDLv;5D{VXz)#Eqflf+w48*5|WxccONb3vgvyYROJt{Foq z{@GQcT__9AkgVxD@ogm#f4ePd?r^q2#1^_`kIaMoTq}kKh^z9^1#_&w7ywd zSJnKLWr-MJ7DJ_LLLs%V?fj<1?}tsx*BrnnT1RU8McpUs;o5;2oO zXr)y+oqFRLxi~bxQ3lxsVlLAS1U7s4qXC&Wq|;?I{wN60Suh}D-#8<*zvDS6u@1$zcNt(Kk@&{L;?J`{3{a$zv21h zzcJB&aHi$l1Oj1&NTy)+Q*MOzS<7;iN!r@)z}r-ZWfUz|&)9p# z=^hKEG?+n~qrO>f5BBC1nZ_8O{%tDF6D%QnSdWE})@2 zvE?vIkTbo`@$~9q8h-cVdzq1<*r@7y_Q7v)V1OGx`V|Gw__RyJN_V0k3ab(n^2=zW zJ;^#$&F4r8QP460F{VKgp<2yr8)x2K2j)A(DYx2Leep946z?*;DvOy31t0gsmemL7 zYKxrfYy4?$cnmgW5x7k^j)^_UFGNL8p8{`|?tSbMs!R(sTzLD=Iq+~!j*?&WVHl?G zhcZRG)!hb=W`HoCSn{6!Q0hZI+brQDD>?NWQ9>J}9QK$pz4gZ$=+%)br;S{^|KdR^ zNWd3mXy`GduKsq@IWJk;HS22F62Lb$jk>8J!rgpYJtHa7_tkfc2Cs=S`p4^09LLXd zmAQZ`6dpU`HMTerOD%=FJSDC1ZE0Tp*q9)x{8Ryx?6Evxxw~)0(MQ7_zzW6}1hljX zb*J7XR9DnIRag8xZh^lK%-?zyDSd^a&q@N(q6WwP!0vm0-jiob7mNKk;MmS2UkbM$|2(AYWRc*`Z_KAu~| zmrF!zkd_z6;@EQljXXm>Bz5m!$GUOlcB2bR7YkXu_XrPV>24(W%_jMZ61?eDySYs3 zi{k#7TUsKsSLR$eUw5@-#@NID@ps~kSFM0_-z29eHT<)0Ee@l;nf4zFp<+kd1L zbqm?l{f_$p0Db39%R+0W^6*aH>NCYjOFZYbFHEbKskVAkBuS3lz|;b2NuG}IVy4-? z#tYPW9+HM=Jp`Gjv8`?5OT(u;`QM^#6CZ8xZd$~Z;C<4>3WzZ! zu`MKyU zo0XfAl#*gxn?m;QVkeK2K(9y0GTGXVQAQ5qCi17@l)+=kIz25qQx2`MuIKa|qre`& zWAIl&bIO39W`ioK(#LB!WPEdCmSb->B<%zF-sXYGSJrE2BiyZ5Vp=y;EP2=LUQ~oJ zEl`~4HkKO?rhA6~@A^cx)lkh;Yo>ZE16CeOr7&|WqPb1g3<5b<=iV0mKs zCtW&?O7Nm`&vB1pdeyM@QH=nK9WbRuT=;cy@Z--S;NWt}LXt_JkFe_hZii!^pDjS3+XMnY%jIRZsFCZ2D0I5M9y`LcDAEj~H2LsS(S6JE@zb3MpiEI0 zOXC(8pIFi={zC9kcv)53bj~&h$)#-4%*&z?RbUX8h~b)V=*u7Y`i<{?Q82NKNCyNz zZ{67ajo>v3jqU+5c2A-+y80OTv`rI+=a$j%Zz>qr2E-&+EMXIHXnAIV!p5F&WO6pq zKUiFXqE-dcyKdW4shV|8WiEn_)rX=rpr?Fv^pbx6T{Xj%BR%6Mm$WTAS7t5!<1k4Q z(zvA*Re`!zM0COo@pZoY(5I12#Gvskr|ILsD#hDRy(HW=QT<>tNVJ2@vv(T}Fx-9E z95|Cw;$3g?{DakMU7L<&Q~xh;-}@=Hipi(N!Ot{BpYdqfe4~1>$!KL=BZnyOWslD6 z!TbPeMP&_x=V_(wTZGKK?$M)12w7!4!>qTTXSdO)7{RmQFRV9IwIjPf-&N5GY#-kl zT=UNVGS>;NXCz-W7@7wsgxPOrZVI@@wRIg06%6pO(1@7i=mm#%>qKL}OKQ>w{_}?_ zJqzyW0G`nMiy3lb3fH5eee<=GHAxnMJ zv0z{v)hONBw`@n-Z4H! zqn`Plp07Hhp<0(#?^|x|4JVLVK-bWGctyc5gjB{n|Lx+oM$Pu&WePS8gTP8kCT@Ok z*E+6Y{Gp{S%(-Oc^$40ezkEm2)HZr?cUC${+c$j@Fj`vO*(vQgBdI#UG*6s%Dnper z(Lg8ALD{jqBYPCT0yZ&o-;q#zWvt{CG`?HGrs9{luc~Jq);db-9{3jJwkFU?Z0zov zI{Zy^mF2pWwnIivRekFm3Y*|V!&r1iLHPiXJT!)Dc;;F&Pp+Prc81~>(6_F~!limqK8BZlRYI~vZ}$kbF@BMK4wdhr-=wj!8E|}_U-fBd8wDNL zFRy-h0h>(ArT){%+&+es;^^E4DhA*;wh7AZ**v181=oe#r%(wlhsC{FNzQLs$7Ki( zJ;Vg^>aEz99kcn-L>2pY1xxIlmVVIPG7X!=a$v9tDqgq@6tYaB+Tkxcp@{kDl+VM*e_;GOhO&;mC&+$N zKfZ*WT2$K6uW1k-{XFH>3Fdth_ke`HQyt6i%=dwV%d@OPm*6tgLrc=K$I&~N0Iy@m z{@X7baxP`O-cN`C1xHxYibVMPU#|p!8mZNb&t!|Ui;60i$t~UZZWAz2l7RTOoOSZ+ zhA%UVTexJm{DKlUcX-x4qf%exjo<=CeQ?af?^U^oP!Lgy5KH;+MQ{M^!-F%rJyA*P z{MwN*A{wgtO|5>~JPEaEiP4v*H*%W0`Ym2e;68gbGDU>Mki?M9kQl*J{1D5KL1;t{ z$CUsC*@cP?X{d#WNE{Bh9}G{52OvS>xxTa`&@ZHtMhGmVP!j1_heT=`_Oo1QC6Ptnm{2K+luF{@;6Nx#$o`K= zGT@LLh|U6_Ef0DI}6ePEck{RNi*{R1g(W7G85{L zRol+q%@YbGP772=cEUhO*zb)L zNB;3^_w?fb6R|lsx%(4RNC+*F)i{U0kdN;O`5GPvAkdIN5>ANm$F|*$AVVI{Aa~@^ zF>qm;B$P)&aU>h$kpM+OfZUTd(WYJCF^D3{#aM6yXDNG9QcWb(qV zP636vCahT~f6A%gqoad!fjI;MK_39+lJF_QmY_d1LI3#Hnb|nFWssstc{mgl6!88D ze*Gh#gq&Oz3MgUptA>V-^M#4q*Voq%PKfb`RU)SjfKvw|SAvXSVNVvU>w$t&q>nuS zfeW>w5fPCE1yG+{W`qF9>R8AttEw(~g5YaWTUXyWaiOW4MY2lx1B9p&rX(PLc8d^h zUYs4EfXot7OXOHx{l2;N<02&C@?|2Tj!;?>WYjDCRYPi$;XCgZ@^=hIogziaw{O3k zJI6yj!G}4TD9Z@xxqXzbJ9FpPP!MTzO#er$`ESqM|2hi(8`i8l$M}Z$E7m-{c+>rl z#c#WRmj_*Z_y0jpdc)6#xUZ`TK3*n*rdJ+s^x+lejfEU^0gLp+cN5rWw&3R4Q~&ha zTh4+(&#HC3C+XWI2YS^~%)bD;1A?_$cW~0Du_FRw5p?GH>Lp8Pe zI?{metnR%)((&#rYx;HGqDJne!sKuY#UJ9^Xqzk=U0UX zwVm65BAVcnG4;Zi(Qea23@--BC*9-=Cri&3GM2H6OsGBif#&uN4UrMk+YfidE7ssn z2vy;5ml*HyWf$cLzIA3<0bnKn&SpTsVvfFa*OupqHg()D>s-KezMVdXztPEmg$+ci zP|y`j%8@9hQKv_xOkZQNn;6pcO3R3LVq}R+u}lY(j~+htt$2}wNA=Y`+k~O=i&$^E zm+7@O4eFSFLOB}|fTy(dt>Dv+&Os&dDcRMv;$@EAAP%18Ox3cN2_DN=_JKw6aL(@s zz@p0Q=Cg=HMzYfKHtV`G5zlK2*PCQpVs!$l6`bUr zm|F%5-$&#@=#ioE^=CLQ)%70)lM`4q7^Q1jaBK!*qGZv3<{LUQ0)A1p5^oh*Y`@d< zs{WQLlykc0bMMpKC4ENbh|{g*6ZPy%8wX?dxw^>{m0GV}*r=qfo7ST-cd6|K_fyMoy>DgEl}{ zfzB%Pp6g&K*zP{H#;O_XXt`MFZpvF0ikB`YbTmhR-qJ+e+FEHZoIA~;wo@bh-A;sH zuglY49nrNLcqx=wz`#Z9h5!>{#Ck=rvYV5xTIaoO>R#N4tBkvgt?0SP70~ES5j6Vo zl3dH7_q0w*67h@)5x$%H>>PcJCL;wGz8|=Ci9+6v_K3B}$~x}XygWC8U$Q+jQeA1E zDNIJ*ca96ZemIlbm2jU*w4g2D*Ds5;6$Ox}N+M zS})s1|A9*smtCu>c}ro+x%*j!7+6o1Y_*iTy_TAC_!jev*E~ne9o$uVT}!7=(M0{% za4Lajm$RcK4e4{~+iPqu>yI{hsxWal?a2h*r}fLfnG=r&&#X*`2U`^`7uuJj;eh(j zA#^T^qxyp#=vpA|NFE~q2wfq*B%<*$wmR{d`O(|Zceob3adIIK& zoS)rMtvpvAOfkRRzU=%y=tGxN=a>CdkYo`MydQt$cf#3Sf@D`JksjT5J)k9MB((xq$mSj7?3WRnf0ZW z*LAFw?%lI+Q@hGA_WMN@m0$RcL9x<;lWtIXBc^uM`nDtSh)@8!w>K9%%86b?z97AP z91fX|{>T8B(f2`{Ao<9x=aXxA$!)^r?paOgndlge|89x33CU+M8qAecfy;$9inb_9 zAL=uuk{CXcV;|oBdg;k>GqdA=W0r{X@ISf3<^Q#b?O$zc|7FvQKjn412%-OgopmVi zV;2>LNMIJ8szqKJpga@)LuA8+1dQ_eD-;BsmM+h@FfszT)Bac8nRG?~9w6E)Cq;I ze}D~b9fTOVm_+xTMf~(440Q0s)B5fxVx5^5xMLTQ=H8YuEDrACJ^^lmrY=;74_Di|esXZmW2sRn!wzjFr#2s0O9|sH?c=9~ zuR{)IAMhlDe0b8iz)10rt5_xU7VFHr%Q88jS~xhQrn18h-K1^uyN6x`e!i};q_$qYw)VLgs#%DH-z z0_fhHYt33d^Vdnc8mM!s{)VmSxC;A8+2T)hdY3QMcqHI+wa-UNS*xCp`FCZFi^l_6 z!(Ms(8W_Y3<46o;Lq|UcG4Jg18NTbaE+sK>)ZpUFJ|8Jsw!~jgU`y>#6ZZ7G4Td!r zCOWU(-7Ne;-}B}mzDWt^VK|;br(36-%V+bq4+BB(I*(?Z$Y3p(j)~%+tsD{Y$p*Rc zI$c84l_+zAELjAwMrXQaKaguH`Yvqy{IS{TyNMl~8uY~{KkI&{+FVb%1ysI}#(&^6 zqnl{0SN|y*?C&;c|Iozo`;5uJAkzsh7eJsv-*l-kn&{eWXr5lv9lszm?w( zU*yZ?R#gEph9Ugoal~JMS(Ee~FS1{k;|Vs+608h@{7F~JN6A3*Cc-Xjb>V!N?HG% zAA!cuXisf?p86>e6*@&_-Y7srSBje)H2G1sZx^KZF4`cu`+O;LQJKdLyuGfn`QdxSN*PtuGqQ?F$N+9CIz0|cs^y5RsA=q2BOn(6DuM zPR+ZkBcX?0#LL#8HDWC`_k_{4 z#oWW;Jr#?Dj_)`6S)Iq0_zYpwUYRvrP`7uTUsy5r=ZUW8%bJEylSE8rZo^|jGEjf! z5*(ZG66Du@Tt7qSzo+aR(6xt0C3(+0`2F`yBGQCc1*Hw01E0a+Ig64{p@iXwZc*>A z93m7v?dFjQz$UCE~xp(yt5nWb0y?rh!6%_sA z0F|0vJ|wRi!2-B%>U%8d#}&?F-BNEGPOD=&jviw&e7a5%o>cJ>m8lODd+qQcHYZ0c97Rwa^L7r~sT`X`Ebi5k zQ%nEPv->pHwERn-rG8i;Q@xo2QjUz{tRr8H(Z?5;ol?+WH?Ve{C%ndU)7CL6y@Zy{ z8hH9VsUDp?zD&g_RX2)Y6L5)a?!v$(=8-^f^2umAh66Sul$Q+$N#$Mp$%ZDA=-RH9 zZwT!tj?r6&zaL*dZv6sCPz7}aH6>Lr>$pY~j&fDK$4`FV?^rxKWAU$5G<*~RUTp#X zyZ6nDS~?kdO+%6kN`4}6X{7F1y0r9>i)+TjfxUB9n|x-mJF=yfgR{pmY%EM{(e(;3 zv3PVYFQU7FKVY7URS)T?upJbP<;W3Lcu;<)vbsI7zdUIRn zDvN<57I>g&9@@lP(ziz(*~n-S`aWUrgFKUqXY@3)ta0jF1SS9iV&ZV`DL5={c71b? z+sf9}E4+V}l#xqC*P-Ua@D$iMB)-DLC8TWb|N8ynj&W$~L-*I6M;O=Gv+{6EzGT*b z%W~^cg}$Gj9Mdy69*J7T4Be3qDJ-ttA`dNmJ8(kwkmhA}VFPnYwyAB{>E*!0?^Fz| z!K}$|{6gwxZr{Gs4n>v_>qx<`(ne5Y8Gt~@7$H!h#1gh=LyaZWyup%ld3nW$7LZ{& zI9}vUIy<|-`#^yuba4M;@%Dzp_a$tKfsxCiNN5RH3+E6B#A~sP3F+m(T5*u^n(3LBAePNVy1P5! zJYDeeAppuL;WVGJ5QuJgy=M-AK=i^ZeMSfhD5yk+Y!3XXI3M~vI<}2Wp8R!WgdjbJ z6cZ_|yr{&1JKHEwPss`=V1wI2bP1)Ds8C7?SGeGf-0&+8gnhhlKz}<@Ny^73AfSw7 zn2=!V=<4d~>A|B4LZq`B4kKeTE8hT^%HRHvni77Eg7ZYfuQ6FrOKF6lzUVi94)Z22 z$ZbMGN?Lk75@A9)Wea?vkp}P9Hi#@Q%-x+my^9dmeTT^M542oIYy?0>C7f?-4gmuv zjbPwp00IN4sQj@DA1RVv{>j@sI6OL~qJq(re`J+Nr#B3r#6?DJB2}a;?7Y0ed^oVW z6*-Whu5c>lECfOYUR2u=h=-8)B5m#M2%xF0V`%8>ixgBM(_Dk#fRai$pr|sZu%rYZ znVL}guPoOJI6-9q0`X=J9uZc-&HoWp)*vw@1eF&7n@vqEZPQ3p2_YrQ{35KzT7qL4 zmYTz-yRor_iwsX7gz9BTC+9HXSphgH3Gzon3KtYGKLL4K0K!T*E=~-lm34utTJHL-WWXzp2A`qE#QwYo8Ij{etul)ZH z0F%~dWy|$=#F2zK{eUy#leI-iE(L4cY7PN$^gw&9Kr~pQs~>cu;FC{(|8>XIM8SY} zM82`dTE9xM`0S@^e)}fKvf=CgWSS>#`btE}i7ifS96e7p=#)&KhLW^(#C&K7WR$xd z2f29GS`NSB6`sW1 z+CC0jM=RN2eYMl1+T+5 z1SzA8Ztm{}UiN>vgUW3r^g`>#m3`9?@WG#KIMSMDR}wX}SZoHs#+fz4;anIo@(4uw3Ah1a%eKObM0lZeU%YF3Qe zH%|G*SY>_;aAqhH2h{rB*KRU333~Il-)o$pwnw%*k~@{>d&d@{U2=ME z_fVs@c)#*?_;sKbo^*wVzNkC0x5=(iBz=J>q5j#~3~QJYmk4>llqIaf#K~_si19l*+C@}t`bYFHN(W@F= zYI!huoTy{0ej;{3GCTg4l4d6~r#nUyteHJG>NLA;$7WTwV|2ygn+RzKo8@_eB{F(f-J316A*tn+zw4&J%ggl@o2?9tkR-*_WU#v84Qf;;Mx#`%@#+*UF&%~@L5$+iw) zH2>IQ5F-b{vwe`PkloF|7x^dvB~$TBZXJKME!~Xd%A}+ks+yP@;P~nLA~TcgCjuOLG`pf zEss%3Vq#T}E^|~_isH)Li2j_cOka4iAIC!@Ec2CGTr=pN|{trQBCIpu7AL1!oNF=>X{wwtZ zb@0RcZ`2PU_p<&*Kf&RzqrcKmaD{^Muk;fL3PB6YU+5=*b(4Rkp8%S7{z^ap0hdm5 zhVXPcl2p1N7p};K8*<@3_u#*Q%UQ!6%YfC>2(v5P3x_KX#9OsV^Rsk<3bc_Gl*IiyBMF{_!FH z%^LrP{IlSy$y1!}H=&s8KZ{pgjsW_*_XTfGikR}Z2^bJn^kuy}Of-Y)VStg8 z$mTfBfkTnNp!&7#T1hPx0`Dosv-ng=5uwABI&0v{mz&elm&I#nTUYYtD@l#2NOTA8 z{Jc6lv$jt2jZ|{5YLXL%e~>@r!LcSmyZP+8pK=`0d1T%b>$1^O^!egEK+N~xa!Nck zF3(<507l-sqGJ6SC}!KH7Bb&aWS=M1xBszi=87s^d&~;lYolX+{@qW`omw52}F; z+M??<$MxThvt7h8SwF+B(nk-0s8}%vA>Sa0aGuZ~X^H`h2Js!L?~{HqP)5Hj7tE^6 z04o)TGg?Gy8eb!?*a?*ov*CXxqD-Dd4ObPX*UH8u2m)tp2SL@yx{_=ytv~4TV5Qg${ae zU~`w038;1GT{qq2Q;!%PW)GcYz&R(i>9Xb-sUd0>xApQyvjc-^`K0o871#Hr`J9`{ z)Gqm-82hX?kx>bcP>SD|JtYGPwy~P^(Hfi?Pm)kA@Nnpb0N48Yy4cEnMLQYBR}x&H zOn5%2k)%Y?uR#zuD}Bq~_X?9ew}poSm0PXgt%qz|V2nTklczcB)W5bOrevRQo&PLC z9O1sY`8dEP&;K5iPMDl;w!zJ5j5se^9`t0Al7+O;YTOnrFTIlDr&Di?e6(8xok5~ZpbVM2p4@b>eQ-t{nTCmdJB6A4{GMNJZ_i%&{%s}e?dwDm_a0tlkRQLP=mB0ZD$#i4U*-{X75m2J zftB4J`q=1Z(MvfxtXJ8eOtL$Hr@w)T|L^AoGgj<)Rs&Xt)!*3bys{M^O&_YrxN;cj zgM8E>#%6kQrumSQ`Z+KnI#kKs`^1U?<)#;%F^-BX)*Jm$_}MfD>;u87#X zMI@7uOWZNAcLs?qyT6IJZ#X4ncJ-ZJWs-_~R=G>Zqw=`2R(J0*V9f-Dbv%zvXQE`k z6MI#Cz0>khRp0g?@3{9839GE(=P346&_MP@+c>J5;;N9+RZc!Z4c+|GDMB_bAu;oS zMA94bejq)kxO;qZbO@C?Q!%#+kL?Q{_`JSDdlTrHhP)U?6S95MPR6P7JYi^q_W9N9 z!TF{s|)3rKd#17u>sai6(W z!?Hgu>>r^rN_xkpm0l*N6Ia!?@DGho%LJ8!+iYAeC3Vd`D0mTlgHx!ugcLV4x=u03 zSYTUxH#T4v3M~18vvos21=UJ@q~lf#e^A~GIybkYU)JbZA&U@rZ^m^~rTJUZCtkft z_W`x?py)wYufZ?B#VjM!Ugb5nf%kkedUl2VIM+D1#jHY-3cHr|EZX;vPL=fBB43uz zQUk!E);xN2-Spth!X=@)?K`-3M=zxiUqat14E;{4>pk$XV3y@8yH@baqHjM=X(HcC zIHpv8IL0df+@?b3z&B(K>{qodLc{xi;}QBLysn$Mgv))$HYW8HVc#`C%r28%4mL>G zgtY6(SqzLk!*fdq1jL#q^Kk0XnWflet>(85SpmXu`9P!1wzR9C&9)s|RQ5$>0tV5W z)s4*WKE=Vl-k-q25`$j+5;mcJa`zUsf$!tM=$5G!YUu|y*{`d+me{0#o_$#V(3ewU zO6TV}Z>HAw=vnyVQcKDQzKk7H-c}EZ2CvF$IpecRn|iSMbu8mk%beXp`#DSmr88=! zRI(Y5=s?yAVv$Hp$=#MQ%x(gr^kG?BG%YdatVXt|mxPpoRony@pT46@cImg>t8MyD?%$~F zz>UE2B`orX3Hdv?n$I_wv*_yQ7{#Rh(Z1^0dS}5-G;q#+Q`;tQm+&5^;^6d6;|^*p zZ%0!8EKH}Wkz*UzkT|e={bfN>eaGG@B?m9xeRb2I@S(MBPH6>8M_2Et*y1;!zG>#* znC5}1fr*)IK=i;emwfb_CWJ!rGf7QLm!Wwy5R%~R@i?KU-lA>KARu#-)7GSY6&?4* z^!+-?oHCB+QZVdU^s@cK>|k`pXRoOIT_fl8oVM?me6uT;exIY0Q855EQ>T*E^@nC| zFVn{HNLe2mK22KRFkE0$@YIVlSu4`6itqf zA@R`o9Nf{KfY=MEorLnWnVA`Aw4FymLux0H;z_8Ug!%E7QU=N=&CM<0utwJ0*h0AK=#C1@ZL$y;Nc(nT zQUC%KGRG{WViL{)E!@z=4Y3+Tk5D%mfVhlQh34kH>w>aLIG}LS2tfxu+&#zvrIUYf zTQ@vUA_PAde6{UO^^Isv;GV(8#T&qi^H|#gRxKcRNg$%!0~DB>4-3D?#vv zVE7=PAp3p(6{3l>uSY;(=(7aKx63Qws6?rJ1Mgi0v3fOBNM1n4hQ{V``1YDbj<3iu z2k$YDoOl5V7#HC~#YQs7KM>Lg0al?#5{|Wv%{_bqLKr7Nd?AtiBa(#F@iH=26KP-v z*90z9l6QC ze_SX;hFssr$Jak7YpxuU{kd~`1mYF)@G58SToU5_xlqK@qzhL!^1$`~9*6%r>P1Fi z{+F7b!=nGSk>sBZ{_h$7=i2{^2uudOujeLB7yr$FNqhr|%_D;=Gcja%(&-)VxE@X) z1{j+69QP8UFBH1&{i?tRVOd_!H>{b{c%{Q#@bLN@ebdxxZbE|Ls$9LuVq(t?viRaz zZ#C-*E1OlQZGSIer=_w~(68j?!jZem$Q+l*1GqoDJ}+^k<9Mk;$@hj>j6f~7{@K-e z_OUR736lrYHnTv?^g9Q$N|SI(N{R-G3ihKonq|Xx(@osBFVl~;TrFn;@=A)_K_Oqd z+x2VJF1?lvVkCD~WZmDlB27Ud)Mn;+1}4O%W*s6UZ!~dXcRlfL+X(C@{n;cEqCy`V z>+=Xp#t{%IQ_V8T3bK_x?7_uV5I3Ue+s!N;&9e|bwYME389xNix4m0-DPtun_h_W9 zrEsOAVOV|MB-fMaKnwp>9yl`wHhnLl{S>WO&!YP%YQcyQj!&{{o6{Sm-;+1Kq&-gg z8q_|DeF@XhwtnBmkG~}&Y8<-EaPF7?YgZ@*ZI9QP6CVCeM6E$&Voc@xPPT z*xou41rR;*ihlb5g+cPzum6qd$-_HrZalL(JfOA?!)$GGGEt(2SP1Po{t2sj=i-Of zNd#`bX1@}`;0eeRdww|DLsLgtl{>|?%2aL>rNzTSdMhO4Q_rBjKq z{PFLtM2Wd9@l5JiCK!%)S);Fn_};rh4^-H9UyPGvM0@QJQQY6vB&v2jC-nQtD#s+; zPeGq{n#m*APg^bu+T`j!inF=m>r$WiZCMTtHnY|m^<03m`CwN$!Y{$0(#5p`rrB3dR>!=6E%oubiDGu6vXzlc~N@5Eq2`mY3h=ZvTj#r zOA*@YXjMum*QXzxqTn?QYj%e<%(GJVfa6k}QVu3SCNxDIj@HPH(IBvuX*~YLvbg?L8sjKC!e+*}1~t%!)!Z_J#!ZlPmT({4 zG|~>unn7O@fAPZ+{nJ%rT$u5vZ{cq#z;pbn-}SlCb(M1KrBcxO8`1WS?~F>bMNH=g8CJQW&lQAqW0^(;>Z8|GkkIFKjJUn{(~o9Bk`mf+(q~s+XJp{?tf)_05<&nm2rY$!Ok!4~p^ycbGgq&{$h9fAan&-oRA5g>R5} z_ZdSngU(6~5unWKxMH8ea?1#>XL*#^^OM+N{dsPi!XRrPF-@~c@FO61=%4xm!xv!1 z^35Acnx?(gErl{`zw?DmDY2;R;O}4)y+ND46H_rYGhxldmx#T?r2g4kMk05ss9~Ss z5S>K8XBhkhkMacNNdc?1{#% z)2ggOG^Pm9Q9qkinfFE=zdN0XH!H_3$(PsK>C0kQJ;bWgr&lKgp0qjRn2ib&)*pCz z9?O5e(eV44{#4? z&QYRYCRNv*?+N6_O}phrrBt6?BHDh`Lq`O9W4+cd(cQczwUB~WeS_Vuw~6AHD!Skt zqoNDCX_qBe?v z`G+|u-4ypManBf8XkKkI*isZ`Sn#MBNwSgl`<8MWm>!Usm|RajThmp$toZ39`Ll5f z`g9=w*%xE&<)`i=383t=tb%TS&F~L$Iz}r8mw<*vi^`#~X;jMFN-s`$ALxSjljpPz zpD|Un%!*n+uOxz%8O;H1y^B4;X4Fb6>$BtfFe{oSNx|l$zDk=b2?aLqOxE6dpWx1iI`wXv2^fqt*1_8&Dw@*KWzOKP0;q+_%fCFwyXf%z_pOFgdc=%M* zozu%^6A3xHW>!M!AsK_T;$u+TbW2GyEMwqA^lA12f?Yyh^=a|Q;<2TB`}p#{<&-hN zbaFxD`2?X1kFW4yFmUU>`4&1cy*Bwf;}P|B>Er5K8wVVc;Kc)araNuUsU0zkjDE=j z!ZPaM$1fjCoi^kT2Yn-BCKM24TPGh@*%Gy~34mmZ4Yf^Gm?=*#^mSbMsHP7sKqr2>& z5P|AUl7cC0IK!$Hz~>WE!nxc(5nbJJpjQ&G`9RnV28YHyO2o zTk4x;1P-O><5$%K!(j55nnlql@@Mb)4zF!}R@UPS!y%haZCduZ%8 zBs4t(KioA4N=z+TS^IfJMhR%yo%|}6n3x{~hs9Pj4^5`fEAIxBFS}Q*4Zkxl$V*w|#%tD}9!GfE1 zsD2E9Nk$Of!Ezrv`#A*k06}#pY~F@qPgnQI7Z?8R6e9%r1&BnNjv#=9BRd~@m?4CO zTYofy;>UI{R06AF!)CR3F#|*vJ=zDY)K@UJci>!19=50 zf`kE+@IV6vh4I3{&B_XKBs-j+1J2J0zjDD@|5dup!wdhz>j4NF7^lexpSPHn)`f!` zse;sp!vHx9A;-L6lF-2oGc?W2{DPq%G6D{yhdVNA4gvL$MoBn#{PKbCE%fmBq= z`bPu_=TtSi5J93t5u}3vS3B{j!h8L*;kN+vX`I9vHgyzWwoV=(X zhqmpgm}mLLNO2@&lkokQ1;vqYq6<7Zhk%_@?Fd9o76MU=yix~O>fs786ofW4LmB8S zOwxipd;w;>!sqpePC^Gal1%;)gl=yCgi=YQN|K0(7@Zgn5+f*If%A~Tc@X6A%9Q{F zf&y+0ece>ZuuWvvCN14nCYYZf1;;;S_cAi5Fzz(~K?vhsp==WJNmFY(Dt>7-=XjN3AvT++#+pV+$j zo!y-1Q4T;KbWT@mGksXwpNs) zm}J{~d-I+UY!NRhs`M2rp(!a(fv|=a@3niX+DeAk<(E;}e)d|MZtI(g3R2h3^l8MM zTmxEWfffW>*9Ymntlu{BGu&o2w0Mh1l%NhgQto1W<5~nr@h3!52V3SMgI`x;JC}vr znzV~KI!#u4{Xn|$+`CBTlvfLvg9LlIpaPcy&_rT_&TvGw*YtK2QJHc7RORJBnhl+I@ zpMD(p$zrA~eghA1lt$mO34BoB^;vVT4d|<2u?CK*KX7PcYL|Q@w6b82i;Y6y;hSQGsnhoR2GeJzL~3Mjr6(|WKcbf{K0!u+kMhAVV{#kw0-?)+%O zZcGtxSpfX6mW6Ybe91|;%^SE6btWXolx}Etz5;IZSET#874pCop1Xs8so`i5g|fWviIJEY!TTbdt{Z7 zy>|$a5E;qHOtNQLzw4>j`}6*MKHvAZ-ygrfe%Gz%$vRi(968RppU3cWsh$BeAE;y{ zY4y~2Tk|!Of=9OTurAB@u6lj?%9g*MRq#Rwv^dn3awWt&o@ZQgPGBqI4UC@ODg4UL z&^1Us zA0Fls927HJ-AK2MtubiA))Wzm@l&}1iURP21=|DL?4J(|@(_5>M8`aOb#nZ=jL_mo z{vcl}E{Kt8OglmV+DRAlRNZKHY&w&W7xrdvZ4I}VE(Pl}P66!}S_03s?v9D1gGCwz zmdl4uj?SzD8pgdg<|#hEzrv_=W8J*;h9z3xxBCIk9rXEm3kB-E3afRREm|}00$g-K z&7B(2)PTDq%~fDacpaChqVC0vWxWXJigU_)S6+*&;J3&!2VNGBt=~g%17Gx)kK)k1 z_F68ZHq-iNY0|u_Qy_ky$l&9NRWJ1c%cDiCTs{V&k)GKkk@^uM-%|aQULKIO%2i#) z$r`^t($n1x{8AP+uUtmANqM|2?zu`dJhBe7T)x-W(mf)(l2Sne$YauoHlmcKmY$U+ zWwI8S?4G6q(R8-NnI_)q*lcQ%cC;*}5uR^hC z(-VIvUYXv)#=sQs0W1;gpy5kD1%{)0VguO{HZp|F@+#O=9uK5He84p*9THFEi1d=k zA_4X_y=~*FeU)9uRQ-mWvj$Bjb)76%2HrV(KFNCbBjpn)8^l1%rA<;Ol5u4x9an30 z%C+tJQlqTW7ri>CK$qJJR!AcxKZ!bCuXhgCu&m5QH`sfnrk!H-u1w~U>Ed(Q%b@QX zT@*9?DW1n9+z#alx;!FUP{T!%_Umz9Azz(tmKyN0cK!Nlr;4i8Ndm{yeJFUWEbFq} zy*wtoX1|s5kgFlU;7SPLn`bR`YFl$??|~J|fuxRprHS>( zar4-i2jn_aWl>=fdo-ZfL#5`{UGJVN ze79-(KhAmoF97q8#`1ryb#W5^pNszkU>^MgFz1i}6NYsEmHq)9c&YI>`UhY!-Crpv zaDvxVf1{uvHV)?J{Dp#oib|NX@)rsUhChw`m4bq8*f{t%3i=Pg^qyOSy?RJu>4RMO zA{TyhUH^$)<~UHFCeT{dFlZKx7d>F@967Z=R=wmTIEekG@|!jwfxLTroZb(=BLeq! z3&Ej8q1X+HPD_0LJ!+doigVdCR!}6HSj;WgDGy z&L=8^g)z4*LGHtE+9CuBfVOk!w!DJK2xd%AC(qWy%I)LAjV+7H!eqAMIg|N#Vn8Av z)pmG=qVPbtt%f1VPtnVBt>W|pf-y;8M*DtRwFh9YFS|)l-m3QbAQ&}`)9Ik}hvv%r zl`V&efb8cx{BB3U>-gT?>7RX*2!yu+m!aCKF({F1()1#9)Et%tEb)>)Ss#cfx zyPG28lBR%*Zn7`)SC#E(G!vI%LcYn1(d3jLx8E=G{kqiZvk^=T*72U$VOr+@vVR=4 zQSb81VIYa~tl)b^C3}RL8wr2V2OxfL&Y1Jg%Y3w5SF7txY}T<6J_R1K*UIUAAKBfJ zq%8*1Rn81D2}cpwXVt$0ujHF8@Jgd%DY%p*`}A%O+ltEr39-(FrXR$Q_bKRh6Mk^F zABsMX$wjDhOw_70A55NgfdsbJ)Ka6&(4$+)BxMrHYwdS^+TVX%7dP6}8R!3TR0rNm z$Y4@D(C-wfOL!)i-`8JGCE7Mx5n*2-d_>!{F`5oCjeBp$g;gfsA{=!T>&m%3=$Wvm zjC!EU=&+u#&G5PdXn(gItiyUl<2|kxp&lc_9206ykby$DIjO|*?aAhY1i+PJVqwNG zcB*|iLSJvlAhoW79_kgBv*#jxsphkw%n>uhu`A^;Xd}UZG0hStcRtS zuJmtw7Jasxh!d9+-!!J2JMxvP7HpGit0%|l;$P4Eam9a#f}3$w`}^U_?}4h>SK)X% zqN5<;m4hz@ow%JJYotdS&$n%DF3N!F4GH70{?GRp*nl@++2{LG_xbljpMBDgx%8w= zR~^~DDB*i1S*qK6VERbR!W6@_LFJRTCcU9Cbs(Akzl(A_#45dX|dzh7m;H<|$an$Ey zOF>`ze%dbXhe~DrgygR0*Rtz^FV&|$D*#t><9v1PgrewPBKl;a~S@X z^^40~S4#vNO0I(@bv+rkou)J1V?T353zdl6vKqv`E=r%{B6M{P#2wZJQBa*T-Ipqo z(7yfCzam1YOl&xD$wpqNTy2@f6Ep>|N@$6kvu*TbYx}S0s;d;8>lJpjQg!oNJzNO;B_Mjzy@Rk}vWmc?cMB#h~M)z_HZwnmB)d_@mr9AxZ|yL`b2#8BpE?H)6` zMlo^_cyn_<{!^inPF2G$2w_W|B@@2COeSQr-EuSZI@iG10%dXS#4Pviq`pOgC%`Wu zdtm0?qr$Nnd<{G2%1L5!);p?p;rRnZB*J>GX(IrKhMrd^l!Z+q>Gj+$aZbmSq^x>r zqpv{C#AOoLaP<|4sl^?bm`7KP(~K=1qgM~E?x~zn@ZXR9uIzZep7*8$&<*^=y~ga~ z?N|Bn@PTf4;WcWuyY4=5ZJVf=6pYe$m4K0DVPo?(o{{_WSLu12^2ZQT4-CTUhOX0T zn1mI*8_@;PUoWTCM7SN{>28~}ng&F?mJF@Dmio3Io9sqRB07KlJN-tg7dd%7vX+-XF?+{1+;6Ul85IKMP0L*(B_5t>7(Jpxy;~_5OiV^Ebx+TvZ*&HeNJvcA$!~B02hfN`=~awu zTiUpEy&qi1zysR$Zh7CS*&a0a@u{fCkODpxqs3onj9N_#o#C^0M2Kh^%bO>@?D0kB zd<=dwy>c5^hb(>2wlNQYB33ir_2-ySK4vRW~+%NdX)b-gASTLG2|R za*FEr&d~%My#hf?4?eR)R>>C>Ts5r!ZsuWAioZW%3Hq{!FL77p zMMfFi6cGP$e)%^OtGcGSUrBAlH(~)Ncb|Z$)tx=`o085?WBS3+>b|+1_t0`>>(JcR z!Rb|o#vdc77-Esv>2B&J6YysM-fE?=^9zzA+fMc&IB*p`v#ygS@1U=JGZ1oaMTom zm}K&&D>Q8CkIZsc&#}N|0-E?;t*n%MEFPyRU5kQa5}8S9o9R_1HZkoZ!T2nY|9Wm+ zBslYF1CNpE`jdBWKOa7A9XfKV_z?O$?oHLi7C6EtVf6`_!Xc*@Gqp_Vz@ag5t{aZ|;;5Q9?qcDIdWOClTVvrhFtU2LcZ|y>==eNeQX710&6H)tQMPlK zBGN3}_g|N}N5Qs!NXRJPjU<$Xg%q%Tyr`%Ij*99bWD5=wQ6eEF9Al7@L8mtKYC{e) z*^WSb@kb!0;M-sQ5dg~Bka{*~qMe`rjs_)@7jj8t+$0v%Ok!hShkkA7*v3UNOC+?! zN0#3R3f^o-ph0LUBn+n$fus}t53_`mio?kzvJn(8@elTp%fMt86EoP$4F}|wR#s3w zX>9|u5^e2Z2hfE%-8K4;V;ioU4A)ITVmPFP5|IYqOP@nP$89zOz~sqHB*H{Ck|W0- zsc1oAH!^_|$|wO+NC}H@;P}HX2cd3sX=9IMmlq}7kx@`)2$3by#2t4HS%(8*CDf0mW@lH| zTr}b|w`3#Gk<7BSEgOMoZ$}{B!dJ%}0)gm+-%Hp}4z-o=m_Uq+RFn4h_Rp`u&Tgoz zL^`;Us!C)D(BU~Q8O6V?+cbsU-lOi*f#C;zrhV&mo|i(jVqb8X^!+{ShDpj!@*dXaowo zkdVSXj*8CzBdf%!s6fU}!r)0r*Q=qj5=u^y+RDb3X=FJLvK{B!Je+SK8-ZAyLm&`K z$i*^TfEDEUm!cAhE|KAzn_Ea~32U0JT?5yVX6`>3ugH*BDmvj?kf6heSLA@05*3n4 z!;4-j#2w_Ua_tCoh$`jf@51Aa^m!{Nw!^L<=>A8#*;O?lxrD>j4QeYdQWHEp9{VFT z%bsahaQy>9(=-1lmUHv6VP=9Md|&|oeg$WEJ%@my35EznQ8ofm3|}RNh|AF3URG6I z3x768KL-Z|KfVN8six)-l5>hEhVjr$j`Ff)?i>Rprw>w26qD(>9h6r;|Ci(vc~g9V z@?Vzn|De)5DEwcm-2RuW6CGw^{;wPD+rR()#V#lpv6uhzw)?+@V*dLRF6Sr=7wfg5 z{1@xIa7niL|M%$teQ~7geZ;BN!>rt5QjJ4?Uh9H8n|Cl0TYos>9!<7(0>Po9w?dtz zv?eNIkEg53P(#w{`R9ub%rbdw_?kTg5dh1_UTzecxUs{&OGSGZSg7UEoQ%GtavJzF zGxlQYEhk{rTXP|OmmO@m_!1MeYiBYRNy?uQIZJyT`=u9rsg(w?ZUaBjT6ESKdXpXq zpL-a4DAv^Pjhl~Gi{Y%k$DhXssBE9O1}W)S%D(ilZ_ks{oUm4DAI(0`?eBmAUbPir)5P^<uV0=BYWo=StX`l@`l~{cq2)~A z_YzYvkJ>A36MEnc(J^`acV0!k+T?O^Wm}dE{mCChg4-Fqy8;7KTpgd#a&moO)ho{8I+FE zcaNIbxe2^PskM|JjA(2p>5?!9+qg(l(=aZPa~t&r&y?;eX3m3pJ5EVH3iEOI8#H{z z%nP#}0?Q+;qqmG^UUh4xo8fs>G;~NZt7*F*+@`9Bx_dxmnoc@E!3foqdrNXKel^gda zDFk}v?ZS*H*0_OyWao3QGB&h(7SR}M``xjxgY2TNJ9m#>GN1V2x{1;Z4zCEtuC`8A z4&$Us+4Cj@aeoqaAR-{=zLS)~dRxag2mGK|W}636EqNM*&J**T)7ERB-j_5GJdtVY zrq4c+QU!*^_2FD(1x{tBzAZOT*M2Uxs+=n%Hj<$U`+XE6XuAXYAG6^X`jX>3^N#wF)HvjRyyouOUr;L!qiNtW3<*XWzYH}6Kd@;lijP3^*iqG<5t$T3(y z_=8&=(WFT;qudp%easH*0w(ge#F&EZciszzorrV3p05VfgoWh}y~Au{@>0*$h?LeE zMLs`F)t~&vpgTaagl^ynzAxFyacSSRHRWi`VTtpSS{hQIeDqUb{eC!J@52tV4OlZrw*WKL5LI^;bp^ z$%82Zr?xA3p_HEBmFoRg@t!tE5fpc?qus?NmBzPz0|({%@#3sxH-_b809jFqxVUXk z^w24N`N$1}#SN4GCg*iuU#Y?6MY@;cN8kqL_S+JIDw6d2g)ftZl7g+E+wssm*D6yB z)!EY2B@krXuR!mtkk`}XW0p^|F2Z@Hy|qmI7U1>Qh)kZCTLx0vX9T;J@-bv$$K)IR z%8s-O;1Ey2udaT|di{sR$-kZBE?obd5ogcCVZbpQ4 z|HD^4{tx$TLvqiL@Dk^5&=73E3xdBvLvUe9_zOG*$m+wt!BYUQ6aETM0kp~d6`q2q zXm}a)7kCOvkwMjefv3ph4SUP|=2(y>4@f%$kc&X%A_%z%o(n+y*Y8XO;^Ny=hXA2Z z_c?eM&_-q|GhSq0c{I!Pbx-J(z1x_YzwIYJ^nnyGXxNg6L*F;<;JQ9nDk!;b8sZ#w z(@5Cp#ZCFnWoD6jAow+K>@Hr31yLipc}uj_&}4fFUL=ov%ZgUfUhbM;Fg`j9*SXm8G&x`>lcqzjTd#!XA1v)&u5V zR$cOE{1BG!!g*ce8uia7;#_42^QsN`8sAtehq*&A+0J52Tyo1-2GLzbASG@jL<90R z(xqgrZZp?!#xY5Oqh`nP6lal&_rHx~4+l#j;}PVd}p0)~lg)3t?G zk!qY-w>fLHYs}t0mtB!EyB^PHz$V4a&;#zI2kS~Jy%_AJ@YoD6Pu=w~AR72(_;x8M zR6EXz;UE)K^7bt5Vw{u_?Y7j7E7&+^mM78iDt~`PoWiI5<=eG-P$lB&*gW)xmsNbd}!HX;V+q_sJd3%tZ|= za##|p==t-?&D!?J)}1OB%5)hMp+V>IkS7$3J(UK}@1GL^c}6`-Cz^IFYOj%q0o%1Swy6g7}7*uNhcWQ5LDJi{*b>qyB`#GmaWw^`@TaZH(=}cEH5I0*m0M!+!RZI%Td;FAxZt%K4QnnUH0xnyU!a(kuiqG^%M*s! zx>OFGmKo6g&;%<#wK^c*`%B#nd1(**Dc<~9qo`zDNkv^s(i;PCi{Wgw^5zi5ZdogH zqLWvXTn^gPwkN&a0`rQfpHMv-z+NZWo3-L(2ERD_YE5mu{r5I)k2qEP?~dHEpL!&7 zb{#NOyoo<0e#oB1RGiFGnSn(Rf@j~bS!~$>D<8%`UZDl|suXdHcU8bEav z*b8JPvz;B5Yr>$O#7Y4)o~ORNh)ID|@sG}4G?I3Co&0j*dpO4{_}Ff8=aWtQ)AX4GuGYK3e(SNcm?d-WGtT8r ztX{Y#?kXTb>=BN(Lrc%4n>McgQH}lUtUfOaJ5f`L)_!+`48|GK9T%{9~ zv2pVVc)NDpcY2z<{{5zG2&0+)>e*+EUQ9 z51Ay@unb;C<*~;kx^8R-+%jrfKb&Dm zC}4|9zPww_J-tK+mxtO;>JLg&vw(TEQn}mh5@-kp*nwwp)f( z#mkdLZRXk9y^_tmaEd~okykC!l`bNcjaqSR=?U7 zkUw^;fI*z^@ZvL;X$7QoeIiG{KYUt*LBqo@p=}Y?1-`BC&~u7Nr!I0RshCI9wRde_ zQ?A=0rscQJnLZ=ul>l0{{z--1*PrIiF+{&En_asbi7sG7B%U?B5<~ao-G>=4cZ|jI zfETMyz7NyRX*}>e+zBR@;|VF>&^% zd35pmDPCy*CSEhCuxM%5=srqJ+fN_R%3$Fe_^M-cV(ti?N+~e!$L5Zv&&K1HPhaN` zWfcRG!0ToVdL~0ti})(~^p>{1F*J$W_x-{u#y5Wvlk(m-F$cCJO8&5n=Yh6DCyMu5 zRPN9caoGU;z=XFyY5CK#W)=ZaSMMaXxMI^)ej`1LF9*pB*V~4^Gq41_E^fk7H}*~d zMO|}i8(Wvq@wjfP7&^KIy?!&ZxQ>H=osv%MVOUgYIT$3M;@}Zd_Ki-Uy6Mgs@OcKC zR9HMJ4vmOi#_Aa%6&+xi+EwzWeul;qg2J9VwgSVG)m*$zT)i9HMoAlY2~FP_g_o86G8(RlSVxrNlKkf1)o>Mq%DH6%|7Ur^HsJUQEo*q+O4vZ? z;EiHb(uedSFBr1(KRNNHhUZRLCs|D{_~LFD>x;Mrn+&3nkT68UD7YbfJvQ) zUOR}0d%9flUm-MFIYvhsz0on+5jfE4eNmMI*(FkbiG#$5myw!ATz>@O${bX*L4*mf zdZE^hlurN(FaIbnq3jYHDY=9L_Lab@6ePNol|wqdEi7R%5E5KokV|B3jxBOhJ3|<} zo{b>>(`4=hhcj|OjezHiq$iG)Nb@%`cJhK*LSzYz?YVh{Ep45DjNkv{O~Ot5fYe?N zAUF17HUcpSUqkH(#4tp0NXz?0pE)GBNbl2y z!qqt8>&6`7B5)GHoehPSaGpO-_kY!v!yOjWfwDuS+7b#aVcaCXk+F%HS?E9YIItiG zN=%W!4!KP+hEP`tQ7;UdjEnb&#nkX^7_gc60s^h1BxFNSN@_-SbBq5)=wusGV|k&n z{Ko)}HS8s%725(@aM6K`33NH10qT|M-}oLU5)hK*C3BYZ94+|wd^N$t+uGJSzp$`?)Ky;CzW?2hgA`b7emeqn=$0@IMxKTTBdc>(fa0W!?Bgt!FCO3NUJ zt?&LY{Ew!2Y`h(rssML+5>EUDPK9KZUkwph|2!<1nKeXULPhBU@@FmB&(s#@%0lQCh&mB(=FXL1Ir@e7yln0ltVf?ahc~PhbNK()q91Ski~F+wKlGQsvv$8nB6D9P z0pC6KgUf@rspjeng>m+o(CdpM;~Iztil#%D~OK`^@L(n0@Oz4vCZc+8Izc>ZivSqq)<^m~rxi za1b3$B_t=hZ4E!5S(-L30p%@t`Iahw36;X|XY4(GWjmVYwmG4xa7+%rrThBbm+LK1 zL0a;w6>ZAlN;yv#*} z&DU}_w9aYPyyqBt^tGU}t7UmnikL56o8UL}V>9?Rd_wmxwU^nqyWI5V(f%+2kl+`Dq%3T=28>34bG+`ZQ~t~f(v=uM1%2YmA=a?U?N@t_E3UVqNGhqPjaOa14{tsde*J}hLnAf? zP&2$$0K2bNK8(p4@pHZcF1t}2qZ;cvU= zTySaX^JHfy&daQB8UX6j2zz%tGB?em-)~hjF^bA9{q71`NVLcZeQD@}O5g>$#7;CV zn-eFkqsWBWO%0XA7n*Py(@kXqOUR3bzLwI1m0e~`}L)j_SfDr63Q&&g_rD6>LmMV7ZL&USaE}DRqjImV7wTGW=14PXS9&Ypah(&z@HJ;3fSq$}BNu5m=?yGT5+2Xkr+5YbtKE=gk zXx=yY30|)ol$7MT484+a_mEg~>tf3lcl$n|`VD-+iTzaBqzKGYTngeTKh%zTWsH5r zkh&{prg9*B99}4CE~SHsWseT9MD!y@Xon8O7kjG7v4}~XHnUpO8#vTV&MJxSqS>Z{ zWvm-hPndMq{DjFnUoj-q@P_77#}W#RGb#M6IVrR60tPDCXS5ANa*~ZPwT@eaa!us& z)@6$CUpQR9fejdn5I~-1!$wSM`BBf+M7{h$d*4;=8g0ApT*op7v2qX83u1uQfuFRe z($(9A;k=U{NgZYgN!ZF9HvN6Sve|ED{_C8!e*}Ga~01=Z=#!3c3W^ z&=#G&pD|t1U^7cFk)hArNJHMp^x>L#*C^NUS4QrkPi z&yH7T6Z4I1_aRz`pN%8wW4WbY5y7Cmukk>+J_ld?d&9AVj-vv$B1UE+Y2l)^$eQ4* z=bXNPNl6&EiicVE8S9`fw5A*lIkw&MC3E}!YKIi>*}AGW&^7f8Hkv2!=Sdm*VQ3l6 zJySlRxKAQ8JcC&LhG*2JM464a3OEJv(Qy5EDw*{bUx;XGtJ-JB{ldrob(j9 zI4w7z{FjjPKLlbTN#*~y{__9fM}Hmxb6Ebu1VJ(Uo%J^+2w$Y;5iR%0~aen!$56@WCpQV}>9Xp~%HE2k-LEYN#y?p zWc%Tk<)>T5eEz=sB{T4>Odo9Bus);F>f}9Hjk~pf{hPo+aP-wC)%?oV$k^#byBUmu~lWvt_Q zsgjf8>fk2m4=${y#Z9!nk>7s7p>P?=u^7iQIRWJre`uFcOSKKJH>9WpS-ZgmkY7=d>_I9}QWgzv$uW7Sbrf7i%IK|yax!Q}`P3}jKcnRR$ypeFzOK%BFE7J4#lOl6`>X;V<)7%H@ODqFq=_}eG z-2Szlt0qVIt>kaGJyse`tlcprGt9h#D{`5+oA+5)z`5Eteb=3!2wa@RjBf^ueOJ>n zbjdX~9S!h}B_5(WvVitkuUhhqXsub!Tl*#rW67@Fg;s3TTXD&?W%~VuZqa~by(FSn zvl~a%5I4QKtBy9Bg+c2^k$_%6isB=VFyna8u1|e7Z4{`^SRVW!v86&7Whi1f4lnqV zfTh>5DTP5OFzQ~e6I_&J6C+o_%~smRmiY3DK4AhpOUxpYu@T140I81ag2i@hNhHjd z{5A*)eC&uvY-xlF>c8F;O1+VA^(!Ed4hp`N?O;Y@@wRQNfi3h=|3>>@_*_Nv?Tl(2 zsk3u%xGIl-^{T4)XR4lIv7qAhd2|T_x?&+3J%>r-I&Hp6fSuK1Yu2pf{?M~V1IKl% z`$o5+ee;8CR`t8hET8oCgn-!PfZ8Neh0g`Wn1|MlO1VpICNyar9hO-~i*?i*u4+J} z<6hBWZLN=L$1wMqS{ZSR2*ZIRYqnkIQ>XBC(FrOr5|H-fEZ-`blhYrHO%Kt9VN3v1 zdVkdO!R4p*Y?4J_WP_+q$xgUp`fXBb1m_%y$T%OLv}4ei|@H0h5QL>|5>Ys)D* zGiCvCVqTZ=wr+o&jrt@#*8N>OK0pg^KjG$Z&dU2I*;grjz^n1!?vIQ1F$<;40+a_{ zXk}4Tg&szR8{cB748CQ^mIG$)Ddm#8wk65nr@`nDWs2Uy$8L25<#8<*vxzroqaV;)r`E>a-{vDEB9$>I zHy^R*bFr@Y0MKxh9%nBu9{{FD`tC1szik_pPRy_2Kc3yXV^)Jg&JHA`J#)+2=eYUB z_1tQ{Gg;!MZnhZWB?^3&VWDhWSicRzVw+E}eYX`tvzwRh5SAEvG<1ErE|^ofh=y~G zTuw_5#AGwG-wl5;K=RP(S#4Wy|K~LP6519SU?*MVS-sG_M=VQufi!{E^5 zqDv$cUZF9c55#qBo)@;f{p|^Y_#9tcwmrd+HFzf^6{-I?F{LxRXkbb7*#mm>?a16^ za3(94zs#X&?H#=*IQQo>qcKeR9twUVp$oDPm3{Wz-w86q_VIB3lp%<4_Lhn6y zP)g2+g{yL(zX#&qgkJE2&jJkYg&$CCtNfRE9ekI6Zc4sFq;9tFV={2x!r}M{yfx}~ z`NVu9Qr^^0YwR~{KE20rw z*|E-JVxL|2ZUT_&=sSnT#5c_??(5kXf1y8myLl$fx0+Q1+F8l1EZ0B0edvzWMDY zg@%DK@ZL9TMl<)0&Hl=8-^zU)tE7Dr%iQUC#RSitw8Dm+GjLs_eQW`EyYw^6l%AB* zbC1eDYhT7i*Y&PgIlq}$Kme{5oJ4X-XJr4j=9{Ox`M|`7RZ2TJG_mNqY*3Vd`Mxc1 zNUZvGdTsiMkeToP12b3mz=YDKcSFA~0R~eSFWl()JFNOHVR7&9#6WcP(Bn?~FVUU7`K8sgo|wckA<3vwSMSG9VLM{QMw$YI@r#W5 z!LLVDQu*sgiaG6_atit{*KqGRxrXG{G>=XL@#w_l7Qny@*m7ku@B65}VUj73a!YY>8SQ_3Rz-P94gsYgyp`O-1Fl#HB?L;b|`_ruGq!dl>I zaZ}f!QSspJIfiU#QgPkLwrBXj#$`@!algP9ecx=s)IoOox@N*EHOmyAa!N*(Tx{ix zBtfmV;Mbq@;=@bQK&RMnoBhtims@)$3^I$@#1u;10(YN$82+;K`<#fAmmercXS}Z3 zpg*4c)t+fzFD z1wR+C3B{vp9Gcf~(rc!2_KRqcP*i)~i@C=_Wb3rIN$%Q1MPr?m+C8$2dD}iDIW4EK zyy5-O=r%Q-q`EuQRf=RI5Tfk}To^(5FEA-C0Z|M>(jNh!qzy{hz|;1`6C7#Hfv;}ba3DO}|Y$*;~05rdR2-w>#Vh-(+#cO-?y!eNH$$$xZ{ zh6o~L;SFrOLBdv1aaC<(-3?q{4~dub=MXS|at;H5F!VVKq@7mek}5Y7wLsJ;QJ znU{Yy;tJGGLiOZD3rwjqPfkuEGhE?8gdwgou-0d3<>VaZn<4{Tk&0xb9vS;Wj%)~t zemj!r!+pks-)U%HhaV#JM-W`>Ch;{AQi%4EfeNCF2**4-f(tRSw6u&I%(1aR!hEPzM)9cmXWD3vM7Kc?ZSR{?Det;$K9DV*c#k7`3@P`9q z?txP^2$Aqe`^cc<6&%bIS!f?5C2hn^o&%o5`i1d58*yG51A7k}7ID_#RDal7pF`(-fWv2nn4v~WJOIuH@Cr?C6YC>hqn-0diZq$T)GsOX4 z>NIsVUF`M$$18FH62CKtUn7BK*Y~AKkLRWD0vqpUOvB73d*jXzSN=Re5gkl z?73N`$0&67>8-2QofADhB-M16XQ#kO&(&Cxz_y+aHzW5OYe^ILGPiaJb3Zfp<<{lP zoCS7+t|!L2Q(<|u`?Kb~f8C6W1f?;=>`AE8o+f!X+k2=`OGJHE@Bny&Vj z&QX^%UeY9(koe7B=2Vv`Z3G)HbBj_{=5gF;-zd)-VD2^$x8HvBp?lE1{`!51v$CfE zchE9l%!9tYrVXA7c?9(rtVl%PS z-Xij`?>cg}cTv$YTS432*B%j;^~_M8x}PD^vX5!J%L{?f$Ns0yTe$TjEcjrjFzAu3 zkzw*R3`bjXrKy;(u!ZN3^v4cGQ0`6QXSw$QN8w*tZ&k=GV|iOjndvp9pSDRau_zPF zv=3=CKX=w326WdguN6LvvpZ%woqEYorC`ORlDo1#;4kiVbk&|^J{a6umr{+l-2NEq zF)3x&Rqu^1d882k;6}b!_>#_H*v6f8JXqICKg5*W6sLWM0D>_bRP32bLce5*=wxYF zmSI)IbB7+go^2ghNmD&1c7Vn|7+@~zrtO8A$R9qPF~fS3xXoH^lejbjWWT+y?K9g8L3|k(eCg{sz(TvC zF(N~a*WB1ecqN{00uWkW7tEdHdRU)F!;l{{H6)H`NVYP0i-7r-8iQPF{-CKHWG-K} zs=_#NK~H>A(A|>f{<_iqGMUygxUW5OnFhprD+$w?RurpusDGx3B0XACJt1cjr!kd$ zI3f3eJwp!o*kV=Ba7ze?JUMnC(TVxKoaKUD_=(lNOYn^s?(cUm!NGh0Hr}xZ$8=qO zX!nohL0pc76ES(t*%vbu!p|A!!$3^s$8YvMYZOm#Bk@M4`Mx#FpNx z{Hqt(AJx_Sh8J{h4u6Otr+-4l+sY?~v6#}2RnRdVtzM8U-g z^+Foo?grofXE>t3-;e*jsQd$byaoRts^LNcKBD_8{R5U()_lCN5U_2} z!a}p$`TKe3_2X{ep9b`!DhLUDaRr+%7W)h6rP`K@f94iS;Nm1N8fg^8Wnz_ zsR!PjLrKGq#CH)mZTPp1MR|kYF~(k19$5{2=V%sa+Or9k`9#t3iSkqOCWi9FWfSxK z%>@?OQ23u?>&sNeEQ@D?tpuGE?w_wd&z(mlP;}}w(~O@5Gb%b2E+0ON5b z+s3u{-(&9Sr1{_OshjcEo2>dszgnnnVa+FXp#rQ0r#t!Yo*c|BD_6_4-Y5x5J5*cQ z-*mi&@>9@uKhhTTVk|Db*RkluTJv+L73(Obx*|p3P8WmLk$ zPm)j84s{3~<0^5Jr_VcG*F&)di?|)%9Ok#T848}FJ+429K9e;h*Uq>2d?L9fhT#vZ zawr|-2DiOmOAAv}KKR5r5&e0V;4$-M?Y{UsQ6==epDcl2!ALjNM(ru29O!(U>y3wiO&7r@_Jl|nL;WVVMN8VCN0zAXAciV|YRv5T5YIygz|O{3 z^P0QewK9ks)6cd!Ybcan%}&G9{%uGx)@`Albdb_Q^?_|_)Bgbn{+*h`%R`KdQ3Rj1 z`i#v!d3lZ+Jb`p-pHb^PZ=!xU9gpAvkoxwcDTje$2TvH4c^h#Z9$Cj)B-UhDyfuIllE)$m-{O-j+7a{MM!h4Vx6$K z-a7u;(VhqRS6L)zxYN=3geL6OOK#1JIE+PG;8RE2x3=vKn>;K7*thpd14T|%a|51w zFx>NX(9I}i75!2d=D*{Ow&#F_2F~`gOh$o@4A*2=-muRb(-l2M3Q0sd*7Iie2M*WI zUV<%aGB@Mi@~lf3PU5LJvQ9w*lvxB`O7pi=hOMyqB-_CXf$n=kKm8jibT`L4e-&~5 zdio|K#Fu$POW5q}R&I7V;PMq2CDzqX3*CFWQKw=;bVbqY)VE)Alvr4rI&c5QF^Eyb z_qPbTv44r%BVVU*=Fsp5b7Jw3DPA4oQ_}Q7H8r?1`6W4G{JhqFSdT)y-9zICZOF{L z3O&veuD&XdaeD&ro^q)cAl~T|6|3!#H8=@erO{XUEqO}m{_`b!cu}eYAS{s~w%J=- zie|+j%Y7R8eO}Q->$$lhZwzY`V}oBp7MR+@p|x@fj7rB+)U$O7iipmt`F2CzGjwM6 z;P+K_Wk-;eOX*Ox!}}yUr%k)@k?)g@YwB9j?L^Gt?n}Rf+ew{(TUt?h#o|wVLgHKI z-g!OB_N6Q3jZ30?T1i5E+^@9L0eaPYIwn#5pscFjGIF}+vBg#O-;PynlJjQvly#lF zBEg4a3_K4z#}|5x3l--6#^=TJHcNt*}_1{F_y7+Tvq4EvyVasmI`ITHCnV)=Y@?uErv!|*ZmZ~^;9XVFGWJM(SvifO_mo?|eR`i&^Ks%Q z92m(dsbUuW3S7q1XGyCMc|Gt|%sQaD?d-C=Mf=C8A6p1sARa}^C#UmyVPpX%UF&JW zXo68@Wf2#@R>xjf~WN+Ia99$WZicyhKRG5|bVA)8!#$6@BGKUA*MKzmbvxwk^&e50Z~CM?xsFV&f9&*b z9P3wa5_9m$=!Pw!0#TDPV%AesHr|^?c0K{oU0cUQT(=b;xMaL)UPWM0@B&e31vua9 z(Q)kCR(75~Wpz!&)-ih$X1*C&rC&LCZUU`@{58otww$+XXBT7RT^&M-+DAD?q_bHB z?v{VHn8XG6qG=C)$Q2HEW2$LuZF8vmPHwQ6+xaKFY&q8St?xVqm`q7GFjqfntsc#zK{Pg(?35-FR|4n9T-w3bTJ$eZBgQpKu(eUqoxP;Sw%u+{s z%K;>Q7`sFwTG%BKX1Z$TZrsl09jckt4z6-#3>?b1`CEYGg8KH)*JuT$?)mt?U*1Jj zbZyWv!yUcnkX-ZOm!cl9ubt-PcPTs(HqI*evbs+ilG}yF-NkO)cWArD=C$+_SO>;k z>CIyE$!9#kDL###hMb<*h=(>c3b! zME8s>pNfi*v|=*vf9pJo`Y?H9e{!ys^K+9!7oH!4^e=y5V-SiM zTjlih349)}Wt(+G$zIv;L-oCO|FBRRD6^?9pV_9kbXCD6I(Pe*f~I{!;|4Yr-5tAU zwXL6F4IZz!rb%e$F9BskXWyQ2_+OZik?jVLs(Ds!_cca6-%uDww*{EY!sDxIRyOvv z(pdyeEMwyHM(>@qy-!awN&v8>^7g&VVBiMdho7^(|geqX`@htxo zP^+0)`2{6+PSCS>_O5JT;SthHt8{!EJHG^JB;=8hvDhO?l0!BE;b@2;{x6y2g=o#w z*B91kKs1R8g_01gA^qJ@QF#F+|M7R{6_qsgAh{%*;KLl^BAOEM(I4t0k<$(tUI--- zpV|?q&{Yeu(dRF)1rs?=VIn0mk`g{4M@7BJT=+8yl`$lf5auoD+T=##7KeYO%ZL~e@P{g&TbQk6k#T%xw)l{ZCFGCJ0f}x0fmzO z2y|G;4Y?!|NyWqW5|AU&9|54X8xCX~Cj_$TdG&2Dh7x8_!hRfxC80PHec+-O#}I~3 z!q;{-0lS6Gk{oNOF7W#phdQq>AA7Ho?1#NK$tEk5 z5F#NvWfU^9g{;Ufva?4>wrn!9l|8a|wvgZB==FZRKJWMU{qEo2{qlM~bB=Q!=RBXz z>v^7!>+^QM-L&t(yL3{ZG|~uxe-0+^-7_?XK++c)hWa7vJR0Y`l^Z7GoVRhmeuxFR zYb*qIP#lR>0t?9|k(*mKjex$BNa|Wqk3g@4RpkxEk#O5<&h0nr8XB8h&lQsM^U!$` zSxZZ>fqP|@2-(988`qBr2!G2XQxMolMu~)!m*Gx?&D`YV6mTFUtUOmp{!1YFPh&eh zGKm~0D8hpBNF^k)gc8Yf`^lR~d8oR&=A9=`an75#otz=GG(y_?BM|7&ebUtp?pa)S zWI=!ld)whl!R~fsM!C;(KR={6G$Rw~u<$R*B-Bh^C_qXk3yVrVmP1PgX#NVRC2}u} zr9ejoIQB$60s}fKOd?g1h-o7PKz6jlf+ErH^GhhmD5}3OCY)fwBDg?^)FUG`qHrHj zAwju_C>%=+K3#kofj~&W8T473U$cd2s0y;#6&Z*In)QJ&_H1pRbV<7Wfr#ApHZXCp=>lJG!#As|-5~cXN>vfdX3e<8A3z~ptp9|FUc^_QhEvCz3Pt|X zh6V#JZD&rLDR*>R-cO)pvG(l-*hRaS&ZvTEQPcm)%=N_e%DK ze(qr*jA=!8l!{t*NBM4Skp99vq#7Lq0#`>W(Y~8u&R(c27r_xFSNF31A(eFnt;u=D zj?wf}4oKwZP;(=Hh;e^nKtIUa&wS*a^*!>{TLNfNdKY`clf%G*`SQ-NX4#YO<`_*e zK1=1Pyw^(33P)IPET4mbFzNz8(5Pb$u5(_l6hL%1p0lCpB zXMr9p-cL2+$|K*ZR=f>+AS0VkTjg0g@Z{(HROROZ=AbY6zQ@Tvw0x1OtBCNsAZX)a zcjn!5E4D@2=xRq}k0eN;V4j1^tjE!|MmI_?~oh zr*BP+LNG?{%?=&y6v53;a~|#WqmGGr%A4?V?(ud;apOlShkc4^rjF`%;to{FN~T;+ z0(GiKK75uu{-7H3>=D=I3F3OKGa9<~grRuDcK5(-{Wf}If}iQWdq%*>nDC^GW_!aZ z%u=hXFEl&WcZ}t$bE~Jtuz=0$nU!>4`nlpG%GDv#AA&6EG;W>EK26`I%LTev_=4V= zvg0vLgMy!u#T3T4Wxh^wsdt+qgY1>X6d8^d_J$rf3i#NNmH~RUVbK@b)W;zb9~N4k zv*k8bvb}5yLYq67sIBQfzEBFpafQ4VdM8Zq#HU(I%*}J>Dg;=aoKfB)GNs!EdYW&5 zA6EYQ%$$|IcU8*c9b5VOpQ$I$;4abw7r?r0bZ7-Yo)W#RnO@jai_y0+kzedAg1%ADZ&3{`I z`zlZ4?8@bAuqFPYmzmCPMGWwMok^}op8xOSsCF!KlKC&O}~__o->k-Qgt3D;qd%R?u3kn9G%Ucnj4 zn!rRqXzXM=K&gBE5{2Yb?iCDbdo*WDXscWm-?Zl(cw1y*2xKRd>6i*7%CxGZW>%Gm zuy|gf_6w5>G{3b>lw{@}%L5h^KXreQjTclfKH-!hP?S-)_eht8i`yZP&^p(E>G(_X zXPg07T55D-LzQc{t~ul`QJh?H_3EVH6)Tj8m?s_B3-&zue~x+QS9|`; z(eV6u{qHNe-y{?H;D7(cnb;Y|68u*AzbvyGGEDd%q7<&AF)-ox)gM40K$>6w2?W9~ zW@OL8{|2JK9QN4!35Wv!7fAE({|!U|{EGe~5Ctu*pZ^F%!94WC{u2;Ie(yk*88-a` z0nhjL;rSkN6@gquPFKLQ%)h>v{=t}yb*=6AJ1bIoX%+(u8?EtYzAvrSzC;Im!YR*+A7~eT031q_z#LN^u80 zmNkEFBgJjcLtchPj%8hzck-254D@dad$=pG1N z!jilt*hFl&WC->f09gcq+t01$j-Sr)57$!aNj53Q7wRn+v$?MmG_!%JM(!%v)650; z@GfC$Fyl91!=-t+=Z@9nG6zL7Yj%w?r!1|{|c$X+pf9+ zHch|F@c(4`Fr0?bp`U5HNQO;UN%8hjHkRyRK2~~?9YC-U|HIABn8#(snKr`043(QsFJEkQbLN0Ejbeg8Lxevj8E!K{yuZtz@ zQ8Oio*m2JvH6ohdx9vYjwvh{-o$-DL`dQ3sJhAb8!jJZ&wcl!xOocx(-F&z$)FDeK zOzdjG4tfH0zh07vVjWJgkCC1;bRD*x|Byl3AjdCz@0aY2wMwu`hc(#w!KtmP(4ee6 z+4-9scE>{AhwiXv+X+V-7>VWJo(ML9hgh|MTX?lOc}uRv-DOK&k-F+73i_4~7 z;5GmA)s58dlKs4qBfqze+k^B4Ar0;qUgs*kMUds}n}AkXv94y^-r<~6!GK(i+Pl8W zPqZ?Uj=v~3(Y6CIqH2IkrQ(mf*-x6_L#`Mks#V7n7Nn2s8u7YmQp=NYjnf8X3vi?m zzNBZKo8IlXCDqu_=T~u`_cL#9Qxd=RaFVAU=(9xW$yGZc8V?-29^m!l(@5aaqRgJYN)N8H&Ld z?a?M0CQmjDj$_9qw=W%gcOnTs*l_Zf$$hD1KM~406Ej)bhge$4&= zeC;2-$unP-OV^d+kl zTvA#w*~JyjxYF+LG8(2BC2tv6WER$3dRN{q18&-Wzsw`nj z+n}hp{T(aKtCGNHgHLmXTz8Df{wbHDQNY#-sdKQFUtr9SkH%&Jubb9EdNU8N8-2j; zH4_WF_THscQC*Mlq2-M;A!BRzW^$VsAUd~a2ZfkdH?$N3uyF7z1;nIfv@Q^5RBv9A zP`L@65d8zQavD0BxbB#RB+g(GTG>?N(b(E;)7YXI)-v3C5ez2I@QJA$QuF(%ub+mq z@<^E^4g4b4&vAdA^6YxddS(*X;J&V9;+pnh!qCWtN7+3!YnhydSKTkJV(j1)6Q5EL zsE%XeGRYeefP2q8`WM_IYCG7jDcYA$?xQQ@9_ry<0sI-4M|htz>VMonE%S>h9NFP^ zaBE#$-otR0#(yjC=njZo(n?C(6r=OXzpWt9sF_t?;E>(aaEeJr!66jySULn3_(kPx zo_rjcIA9Z2a`p5+JQf!BUSZ_E_0Y>FZiMzKkk)aIoj9lpoyxW}rU=Tk`qWCm$nmg- z@s^x|s<3W`1?VHWZdzLZgoIIj7@ue@Ze;F~&DYVDBZQRf4P$4|@G{Ubd_h&$KQZ&; z?k{RNUiHXlGZb} zc~yyWP5!2L$lEWoShONKPhFruqoH$Zb{)aggU2JHaJQp-Y70RwZfx-){TtB(uhwxu z^|)>Gn$ee}k0_Uui<;|4r^VG@Pn%VwmrSDbwR9EffL_eCN)7=+h4CMI6j~7z;$0JX ztiA`h#1sr-@=u>d)_{%yR4jaj`_{Jh&V%1K$vG@TDi2S{ZN+^PQcuwyy9a{Ma&jfd zS6RR0rKa66pBkY^`#5BaSXOW7K6%zVe30D^ex+J16k|>Pa4Euc3mRKdcv3QgrL6tH zCaR*bV`S&53n(~1VBso7=2bMbZ|)vlX5*DtHgXJno5nkX%9fl7Lwm%e?zwBHT8a64#;NjI%1d*t?<>SbL9vL-{17omN)OE2ry*M)T?f z3x{y|alN6nt%!ZCdxx+LV>Ea>`CZ1|hbnc$W~sn~N<>wcz&j?RW?)G|R@*H(J+})C zU2^rU=$lzwIle5UuIu3!T0OV0j1anh*EOVZ^%R<2{kkG`DCl_{)=~)~>Ui;YhT|td!p>HctG5llW(pyGXIkb|q zN$_vUi2yo-h9(~Y3G;8O`^}IDEJL5DG;<^|7ACs_70*P^B!PsHIOhbi9`W7}fdZixq>#Vkfs7Cc45;RV zqBbaN!zLggB6e@VM`Flxmr10Nf`7GZ3mYM@Ar6v|)z>#LOo3v_6!`4(WE}7F zv~GkcBv0rNN1B-<5tId_f)8LlJePt#2@4|N6#;1HlhT)_j z&|n-+BYZzi(+C8j*$9Dw6iK$UdLz(bKKbW1Zv=UJJCsRwclY(f4(*-u=4_-q5^_jy z1oqJpVI)LVNL47W;FTM<&Rr$X zStJrfLT5>&wWO{t9yHa2cokYdzz`fGq;C@n?0kZ37g9+0BN{TIdm4e%5+D#b$P}QL zFqS+t%y|bZDmwN95=X*lC8(7|x=A7tB(&13ZE9{Eo&Bpf8xFYum&JN0lZ31i4VlYb zj{vJs263*D{8wlC);^?j+{m(OD3e60B#|xI=VA9q(g>5tp>rl4q>OWCSV+8x^V|9W z{w>cDBiv>}xDdg2bIuyiJu`o|q?5wBL`Fp|1`QCP`GL&EbH~hcK`5NHS^PF^^@dSX z@cA}y`Azie5ok{!YJ{Hy=z4&3$#i%MsWVa+>gpK8OBV?e=0Tq-7T}c z{I6mE(G>k{0{8Dq&40JW{Cm>=U!Di(TcdW(xS3zE(L@Wyy-H%OmAY?O|MF&SqiXwD zMk7iZ7!Jz{q`7M3md@`kTgITJLj>F3v(DM4(ddK1!gyL_CV+PjBO$rL-W4X{v6v!E;q-XN=$=Wfn-s`t4P- z_+NB;>B^iw{f@1(QYA*-v_^|QL9)K6QZNaqanL>Yc$sFPH!bG9SSNGYFPVO@RKqvl z`<3L?FK^m1K+e{fgnciMfU`zxP%u&|!+whK}N4V(|S| zc;&uzge0unNtP+j!7F`+8hzvTmq*~W0hM96`hEzfRLt-XC(VhxU1QrELxdSh(a64zU4n4o#Thlt zX$>ctEZnC86=bw2V4oFpn2>Dt>)!l5J(L$$wko==+Dtn9vN!*PuujG~%m%UeCoEM# zA!he!zDp~oXmGNQKUAGEqjF2p>QsMgZ>IueR7bwQPAUx+r56#+I(_t_d#&JgZ}A5U z_eZ_FcAMcFfMytjMKArK6gp40u)%3VVCE87DJa> z5gd)wP2AU?7Um>kqW0<^P-y-5o&!Ai{HP`<3kNNbGU34sg)PLVZv^ahyEN)d*s;;w zjDhH&u)VkJp_WWT$^w~V_MLd#H$m3SkJ7glRxa?Rl3X=j2Ry?yxA;gx#<7bZ#$uJ1 zj&luCzgA=lh*%-k-b;0`Z-$-B9giN8^Wlknk+SLcrH)%hX*(H@5~jTs920PDU#bw) zVG&-9-*uKuk~!WmzluYwx$G&4W=@lRVMy#-!cO`H5Pu2JK%21p&9*;xA=r*M;6(3N z^^KK@{K=oNQbgP+0Vwz`d*Zj?DBVOGjyhO2FS`?VU`9xFSSB8>9TI5F7XZFc5l_cx zVtN>l7+g$#$%4M3zgSXL-H0cYb)+8|mPG-6j;%FcYS3v`AGwuN`eEY9&wn9#w{ zzHA`lT<~B9?6^Ps^cF2Vb&snUi-A~Z@TGgzaY*{hRBA)n3RNA`iy-!P#_*q1cun$+U>CzAD=0r>C(U)kL|iTmwrXn09Z)~8cmDQ7D&9ae4)8k z=vWrr`ze!k3ll6dISI?FcmdL9;w$0o@mWjST1DlwCM9$O-`bxz8S(D&^1J|?Xrk*JQrrxJ^kmvZ}*?V%&os*<~JnFY=psoeh1$Y~*Xr5cGaqmio^CcesHk)jy!J@@P^?^#PUbx)1?ay)kimCj9EN?y}p4I7iYSPWi% z$sRiWN?(429Nlm`zH~UW2guNW@Nlx}+>E{`Pg$WKOnXAzy&AiUg`VbaN{G`cRRpIj zgIt{~VZrf~$>wtpvjluA#+p<+bu?EbZ7#+QC@g{l?@O2{zLV>pNP2S%SE3Se(=264 z#LC{=U_2Oo(r<_jaAHEyW{-9*w>=~>cHfGL-%t4Fnma6gvn|i6%81Lr72G-Wl&HOt z6oodVj#}OP!j8}z#wANiGpHREN_|yQs|TRCh+f(yZ26!?<4zM-(OX*Z>1}X{b>X$C z!J-svCIwJxTB5j(v-)u?{j3cAD3tsAcy-dK*nm;bh&rb-H)bo)$OrW%328EN1G!>F zg424EH+csiebX41{mG`ylAv4*R?59~wFY9mk4!i}>NFb?QE};}bzk&6nzA*`rDFcz z1s?2xGeI`HFmgtIbvq|c#SXQb%yK;0PqeUw)OVIVs6gpAS6udDCCmtQs#lk5rf)Aw z`BT=}7SVpA8RUC8#G3@jWQK!jRZDVJb2W206lmk;uN%DGZ)_oLzW30>I;;Q}90mnI zrR(L2MokmcZz`+vh?2RYg*@S=a5YnmJn!2iAg|3My3O`GPmaaI zct5g@()X~>u(#VWKgFujm@>?=hLR;MmIY)o0pEM;TVpXJyLujkeBvy$E%dybKL z_O2)V2!yH|xcHgp4Ls2`zd+VzggKx0%+ffkn%&mOk%g>#~i(3ul z(QU7)tUopmMjAUwv?bO9~ z0c$5px$wkjwUh6Ze!m5(2Ph%N*cE7R5qwb(Xwm2fuwt=a;Ko0T`^{dN>>XqGO|a)m)X(f5d; zat%rRg801OxnTuD1|{nDvI*PDK^=svk1g4U|G=lG_K`|2>Itv1m7`h)n2XF0p70FCrw zOVEC43;BukdPwLEF-EGH?yLZ6uw7>_O&mWidcHUupp=PX%1eUkrWI2jM)^#ET3Mfgs@A?>Pu2Nd6 z^77!*=;rCU{s4}_A2;3bc^qn|0=ft8u{Ne1Y3(EKo7pBWXc{MHe3=C{dF=y}zc9!g z0z%_*3d`zxMi8iynl2t86}#j-@pZgF@C3~%iWyXmj8Cn~yP@EcaO;>q zihN(rEv>76-^4TW+v+I_E)@XmA~OE*WwqUxS>%+Ro|lhbBv-hpW|>i3b%}~DwrYzU zgw7xQA~Uxu#-wGumXbTRUhjp?OIseFLc!#ov?f=n2R@(*7@4l1;$3UkGOF9=SXkd9 zV2P?X;v3s@934+-*j~EU*5PVZ2vsGw7zp<2jxxf`O{Tl^KrZe?`B`E?>48k!gsw|V7o)m%!W~o` za%PWZc3<|nYXEOg{8iELMR2>d`!db=G1nFU(i#dr*~bAXlWS)eFR_5)(z+!c;jm)H zt0s+K#%4B7SjAV57;m{2R0``PR2&fj4&I>!^RP{&U*hjlml>~0|73KmQ+-ufeX5tu zr?`<_16IkBeyRq?3rKec$Fb?#*t^V9eIX>Z#+TE0_9`;7b{6c&I(K178kE$`VSpiSh>kSeP?R$;O4^xcNVS44j@vUCs8xB!5eFBm?38~GKyWZ$FuR`)C zPqI!=zJj^iu{~RdBDeHjqmawmXIA{!{YApWezTTeO5gBZ;m`s2iAQbg5E!1*H;6?p zVemNQQ`01kQfORZ9h$Ur?ltJNC2Jkj+PP!*x(HvTSbr_SSh%dRC~r=4Dm zy#|L5MrVKdMdPd6`atfyYy`MJec3+%&?f;W-ZuPAO=#ePa@{nn$TvEgW3@ zPVhLjLnGdoclFQh5_v@d3>-CW^Cw=FUCKreJz^8`j<4V9IG|>@ZV*~H|ATPn2rzYC zcgCt+d>b`F6up0|p?&I-0v=6+Lqs(O^TUM$rf?9sbF6P=|1GHeURY*E?-n(eYVZ8} zlD26odcNCt%wyuf2(g5Yp;;)r>N$!prSF}V(>TB*rJ^Y!lJm zat;{zdAUlW=m(~O(lyCjEANVrlnHcV5&@Y(SgwdcAcK9;C4~-|ZyHNJN!aqqaPFgd z$I>;mbsnI-NgtW9ba|CMNC?!*@hE7c3ae||C)oLnp1rDV@A3iu5pUDQwL)Wl?9*|Z zCzfIRf91OAmB9LLefKwpB!Q=~=a!kUt(K6P6)FXJjG$>GT=?L^k3>%b^$2u`Aq9mX z7rcTjsE0&cg%IjIs$5(``u=YY36pN%2`fBfg&9Avuhz;M%PuG+^cBpvF+!k3L>!`s z!gEX%!~q)dF!dgS3Go`@&A+se&^r>2mjG3tWfe6@RV0!}BGb9gm61pkiHx_o3|S=X zOYa|CIroe_Z`Iy`wF}?r-ZTP=BkK`}19;;w1wjULexOa}@i~J19Z8PzTO5hc%nW6M zP$-CO)rM`_6r5ZF0)kgm)sUW%$bu<1NL?fZl5jX3$jy*Q@@_o>{FP3AZkY)wEV2xZ zjZMrfpgs_aB>jLigW(T&X?KlToO68=_5LQDyt zPYxFfr1+9@8i7Dir64f>vXF#37Zx4TJClJCx#5nKRLChI#Y4Ji z!oVLV7jGzzH2O;$2?utEiwC^V(;GnrMUmc6BKjQmtHVtX2nzelND}EJ2?v6*NFxNI z5dIa;4Kzzi`XLcNmq(rh%h9oV1O_CQkXhCv5R-73f(z6~Vx33+{GCn?C8A5~n@G_K zEU1qBD|QO$*-A`u9#IZel8{-7h#^ZHzN7?PB;i<6-f)hGB*n<+DR^5p1%VDZrks2V z0w8&=?nzOP*>z`7@atV*973R zk#pEH`%nMMokE~N5)ZSd(EXA~ph6jWSpeDWj%;+t!>gS>yNW>6O>ZNhs{yhxyqGf{qeZ97YNr_ zPh*?@tb3bBo$V7N4L@qi>Y^oc_q#S}rxAlUZvmZDl` zulsV`yrwtkdok_)G%4-tT+LxwMV0|0OJa~Qo}ih)7poxaum}Y2y*|ktzT~FuSHfJe zUH`JM16uTa`1S4ZeRuHBBA2zd*G<2OhSxd?G7BqLt$x<%8!$I^0RdO9hJzDnB?aAz z*gNRn9^7i|LBv3I@csHQC#F?qFxb@38K5#J?vL>OSk3TGUCxp-K&yoZ3g^J5L23Mjc`S!nJq|3+A zHY@u}#!t+fjNTZNnQ#;X&V#fxd&?7nClfN&pG`-|J|sC`EKC~+n(@=@*7a}A0_mNB zRB;}>B!2i}4EO=TWZs3I3aP|S273Glws&Hkslmd}+^@xJjUAMNBrI)I(g7XUR7aEf zQlxteWgVs3$WDP+n~PcBOTjlQnNOFmHv7B$B;v^-^3Is0k5M#E3Klv8xM?}DOcau_ zSlZG~x=Tt3A+maQS=&bu20m@*S!wf;~7G zvUufQ-UL@Ft2n|cbSLM!p0*XDIlu7`N*CeUT-}s?B_jF zJAnG)P6JcA(rc6JY@cU$4K^khH!%r?D9u9;<-RE9Cl~_Nm*iKw?C+Lq$}^cV)J0ZI zcTnRhG}l!8RaHa2rvH{>&`tHEKRWsC>wi5yQy) z_LGYA4o{*&S)T^rYfpM)_=xqvBWJ812q7%?t4si`@notcwd%ghdv8$m^!iLKmX6~h)Uzf0?eys!1XXuu>{MHkiV z1Zos(tpm8r&IEcjiBC>!UuS^ZCGHbt&#yN|xYRcNR7caHk*WcFvm)MfHz`vDs3v>$ zxcVALc*mke-j=Z$4;t`htBq<5*OJ6m0Dbt+;T6|xyoX}ZWtWdC0C z7mW;$U$lwLKA`@ZyvK}(Dr(1FKi7C1`Tsg1o*(>v z)6V~LO#CzLJb&)LA7ZQjlXw1GBRagm_(xa>l99&be}IJmo|XL(nt~~$4fG$NDL8{2 zIDdqufDWFW`~jK*X&LBt^ap4PpojS%p(%3LL*Ds%+8HKHA^~R{auttUy+y7PrUm{R z?~EmH;zlbz4YQfda5ro&-=?|F=G3mRYzPsjg>&T=h&44Z zhdGrZn6R{1WN=^#IU_LtSx|a^vU!fCK$dnov#^BWDz)(e+bd=gwHN>?oFO>^J)ruqBi3#Bk$8w@u`GY}Cx7(aBL z7CFBHl>+;O4{llfe8u^krWaaiV`k2ZfC-@x2(UKeepR! z)ix`gFfk2Z6rV84(5XEg-C~RQ<~%3HzA{x_=Lgaxpj8oBKgP@<%{WGZeu6m6D_-L>^F0JI1It97>xP& zR$SMk?k_L!Kw^vcKEV}P1k3d$xA{# z?E9k~Ex?mJNT`1<=4XnVY8P)C;mAXv_1u>>S!3sAF*@l%{M9~lwntpM6oJlKH2eg` z1cy9|z{)WEvr=a0pvC5V{pU*jjIIg745MQMc_Fj4XO)!9C}8_zGw<=MQ0g%B@eLV^ zc~<_T@kRBH(u|VU2fYewNAo+`#j&N_nLba+Y` z^MEU{;GLBM1I_r2C%}wVK;+48ir>0&sj1lZQH}k*W!_u1Mw~y{NLLukbH0OZscOqU zDFJ$xZ_B$!8y7AF524NKIry7XevsDuE|A;3l00hOS#gYh5%4hm3a(q~W?l(E=sM~2-2wIv-V_=NQ?0a8 z8pA(lInP!dIiD9TWoUOaJ1Dx`>+A*XOuC;HA(RJHXR?%xq!Rq}sl&$b; zWsT!8NLY^){rWf<{eBoo9N)(rHIUQa{_>>LtD{O%UY=W05GWMLV)Jo?459LBJ(heS z?b9asU~XA7<4S6RJ+Kg?p#x6+y-)6!awdsR)W)0r7`5$C&Qy(463TwVpNMj1H){_t zU(h~Lz|_g%dod)}y_GW}{fgD;I{7k>2=k%&bDsaS6tAq|zPQFo6U zrD?$6L>&w<@2fJK2T9W?4(e>E^$)c7GpyykZ_1z~jqA18(dvljJX6!-ZUVclTw}tO zEe8#!xE6~UDJTNNJ~%0S86mU9J0mW78>v8uhcz!xo#BG$AYR6+!_L=s&M}q*JXwBD zdMNl@zdSAhiZyD!oq|Br_~a=(*LK}hT}CNa5!uqtbUG&-Hd8kNpktU@T!tW*w0VQg zD6IKBF!6oW7gTW1_)XF#RH1Q2fzrm$9badc4o_V|69*?mnfO1K3j)#>PcSvnz)NJb;mv7_LuI(asHC8v#eol?~dCN{TE z=`9{V>zbIxxXdVcRpr(_hiCqun2Wz@JA`mnECCuGez%gF$XM z?injMzE!>A&oBVPTZ+hI*J!N!nc3r)(`t)q&5C#3V&8TMOX)sawV3(=FfLIGNt-<^ zq!)9|Ed4UEh|9<sx7i7L=Dv5HP=>YhNT`sd>Zd?aoT?D;7$9M(0qMER0yg8w1F#HTk2)d-5DFixF7=%2sL5W65ODWhiMmD@W!zA2)vecwAat*CJZn@H5L z1eAYU-P{)r?;P1Pwtd<#w0kJ|;!xMqwRHwbwHFy#)>S@vgssm~CHK;})M)1v zS$Vdt9I!;>J&YLxM@xcu3Gy zZS7p@dlvw`^oy`h%?}>H+) z3xnLsIp+)%mNTo*LQ!*P-)|dx^f22*OtDMYrM@q@#H$1zzew647SQnv%BXH>KR{qo zS$ZDe5Rs{-c6=qi?-iK_GRsF6u`csVTG{#pguiW$&I?7EvEJBt@-EAP>0wc&1$Y>@ z6HUcYtsI(KHp~?wB-)mH-7qz09!P1Mh7eHF(u1qFj9r3T#(9I%(lZNcKjV>0-F+CH zT)MGE!6_snZTbo%70oV@QHbAA4`0P)yCQO%O4tS_NM)XpUzgJFpEWfAl-(;n&l_2d zliv?-GR?X4ZXc0eiLd@nqj1~K>s?Fl1wh=Wgw?W!!DkmTNKV5pBCr3%?V6!Q>Sa2U z=-95lB@!SNliRYqD{)KT#kKMCET!Ddy9N(K;!1C6>fU=Co7O)8Hn6D0lxGgmdF6GJ zzK*5T^{WZqox$Ty;L~hnvz=eX0}`?yTP||k^8G|&MdJLC=1f@Pm;EJ$kT(fg>u40L zl4{_t!5o2#Sx`wCMou4|Z9rmi?^jIipx)sTDmw0vf^BR-Bd2`lWi+PNr)}-c%m5OB z!O<07*{2Q2n0|_UxvD?^W8i7EV zLat+m^iwp4A>|gxLJBJXm0$kI8*$0X$`)cHM`%$G3u-HW2Y4GH(4oFEHujCEb1hyR zY;3PWX5m1fiG-<5DNtYumljB;5UubPKEr!)kS>%^U@S1Ji{BoUJnJA$W7p!Mj){M zN;2m$wgm#h=aBPn#U(_W5NjG3x*>rcM0(!PWZoNrj-;7^$ang;+!6yaO-MDp5tvBD zCG_EhZ#X=@2#Gb3M6;rzva-4w+3Q_f*U&KgI|c{quOOTy_->Zr$SWz(l5!e>K&-+2 z{abNak3ej~k+xt#1J3R3-J_qEpz@Leskr>z?hQ#MH4P!M*Bj6=%E&>030u4&LH?)7 z8@}J0uvC$|`4$`=oRdsscDaUzrq(m0-qQ8lozl}2=9WXxO=8~{!7m}YM9M95k(CFB zfbcHAzzAm6cte5-M?n<9ZT+28UXp?UP*MuFv8MmG>JoZWA`6Nxf0N7a--+QSFZ_bY z?l-f9)Dn(G2*)BqjztV_kiZ)k>Jf;GaK8ei;PNshCHw@6|CKofb(jC-lq(QiSGkY$ zPdK-8wSA2IQ#?sQAnc|Q2!uWImII`*Pmu)$m;YFCy1Dx&Cc-IQY8p&uf`k$lG~tAR z5^8_5A*3z*ty+f8t|aBM<}d-@$qWVrUwHKnxop5MSY61=-m?IyyEn ze+KVFfrSbM%;)MCY#ag-E^aiWVA;>w@39dILdXb#_OD9>@aZB(2tw$+iFDtD=Cv}i zvI^JDf>BIN%-rTssix0RP%;>&&v;NWb*I0eETTYzOG=bLwQ0@Y63ze8dj2=l{Ezvu zoP+FtqJk>=mp&2wo{rR_g2@a!L&`Om!m?|cl9I;CJE zjq0A|k>+;itxk1XV@gG!;$dpa@PNfT@tJ6Dz+k%fhWd4)&R3Ei3-E;L3aWovnp3xF zm3r{Z5jPo@D6qltc-s8xuDXYFxP(fYO1(d^-WHjoxG zvNAbr%5d|1QuafqkDeGU=DL>K1Zde;T4+NRWiAY1`nGoq zn%l8>c&u?~EHZ^ZM|`Pg98>yG6sEi3V-mQ!*ZBjBB#5DSN>hE)~F ze{_wz<}k4FGWIfxT(ZSy`mBe7yg!u8_hx+S?Wd~IQegmqq;(`~ z(W8@hBxc{nop`G2v#;c5Pj-jQBMw<{BJChx*mrrHKl?|K`R&VwkNNS*LX_#;#tkt1bK!LeeKsxHPG-Q^D1_~tmLME^Wa8*SrKq%N>zDqzkZ+S zYdh{HE^6;_@x-=AAE}#f#de)|$$BCX=(8f&>RH)eTnnk&P(fo!i5;^|Ac}tj&d1|e@rsq zjhw7+iw{tVD}FXzv}9tV8j$EPCH_!V3u+oR@qCT|FuMOirr1yI)h(6CwuFbD*YjrZDwz!XLH5{Mv zh*&bY8OePEDs|*@)0*wde(P>RG?zDxNUx$bWkw83Q-0GT;%Hgn0}OGBorn+?)a3SU zmE}lMdInFCi=+znPg!w3^9$nCw*pef+m7qfC_K!$)J97ulWq=$bRD;__3)TmE!8+3 zH^e|cLWF2KUNpwRR8EGOB81+RzoE2zt~0^&(|l)E@m?@skeXXUw``41PTL9ubb_CS z9Ym<-M(rN6sBlLQUs3D_%Xhw4MjXTzbF{LOJf9Q`z+kx0GxolB!L!G^kzNnyGq~wR zvszG3q+A(M;G&V1O6abj4#K;xl#?*q-Mr)Jt^j+K$rr|n<((MnRhsS7s(vnV6sm5X z+Iny3gVtp1hhrdts;8^iQ{oktO)9n$21PU-R+RfexA}DEPs^j~Wdbq~`TFII%j=T$ zv7i@MAnWOcUa^%oVVepEr_ z&lb>`0=E%g`}}wqj)V?6a59dmRfB8fh2}`Hk5na`Dkg<$HtKEqeWI!~Q%Dd7TIam7 z()Iry5zmjj|Eb;l`9XFe<;vMx0 zdh-lWAW~Ln)#41;!A9{@ZkjqKziO{j>h=!*Yo(l)K5V=8E~|~ORXyEP1IRrvDZ~!v zsGncJ_38Dz@LiK;ZGF)^4@?a&*VnE3M}iBd((S68D86!I3|%fN#^g?#!>FcJ;e>h0 zI%A*CE)Ib5gBrmJhB*yEp}{et*D{V~`3jW-Og8pDe(#psJn)8rL~HM9SNFa>Dqh}2 zdIg!s9r77eO{w|67?#I{8udbifGD@#JNIOIZoKi}`IHQ8zxy-wo0+kCk6q2`D(c+S zvcSc%9~-HH^P==y&76~5TqcCu!Ocq7#pNr$mN+*Ye9Q(~f+MPCW^&a zO8eh1=6g%O+<8|*w#a7#^i9;=EIMpxPMZ`a!>N%@$1~FGuUH_DR+}O*4)XQj0*irI z7RL?7qn^E$dshYos7KUmal{s1$FO~G0Dln|>>d$5WE%77XYYC9{jMFy$aaC9T#Rbb2 z#{g{s)XJ?wxQ<_^-O;y#!sFbntF}QR0k#u+>8URq8PLE`T0r*3!vL-{bUg8elPPf0M-wVwen&tjBb$1eHKECk$+pct?tRhJWjYK*+b%&14J=` zS|a3fDfKWDq`y+Gx(!k1+-STtSI{4&an;@85T--S_8<^0N~* z7oYbL-Dm&>t}lCxJ`8_G*gnec4lM}#@|b+PI#w)?e8$>d{S3Pkl$6DAU*{udxTIch zB`V!Qb^95On){&JAx9yRsqE^L3t&pXFhu#Ck85y-S?ff9%dfKy{yygA_Xz&`kxr4# zT|a?gGmAhT{%gyEOzy`Vs@v2W^6eNxskeVn5HzF@@`_UeesvGYqrseEzb=x)ro}CB zB}QyfockLDS1{kyeAEc)10{qK&%8|hpRTyxKX8n&A9wLK*u6Ydsq&32K$tU^GxlPE=%x6${FU%~LG7Za0J0I}=g_9X`yDAH13XlGIn9a+cF0$Q^z4Vwvu0gh%L_ z`m08vFp1S(p}2_i4Lm|;@K|_9(G;f`yRKy&n8&6#FFU=gk>#f)HekTG=?Jd8FlqC% zVNvwH50sb>#*SHsOpJ@@G{R5%sKyQ!QD9ea53LmjkJ8VZAM1bRJn~OK5HOhpHL~~x z4R15t)XiH38?@FAF>iY@al|BVs_C0K21ex!{=y&>Q+(-cO#JhCx)bYm^GU%VKP8{Mpk>OLWoi z8)pC(V7JL>-9v$`*SGx&K2I)U;uG`SH?;}Qn%Jhg8~6r%>_FfVl3e8AzIivibq<@< z&doa{BEF!g<%rqNA*!Gr3}B41aOQoSQ9ErQ(VSu$bv>q&?!aKz%%_wX6KsF|3EZ`F zeK~|jETn6e6x*;ut`VG?UAJ~ft)yf3F1vpO_IO`bRJv*1)_KCr!T&TChFMR{?J=K{ zhF7*utv||YpJf6f*YpD0&=_S`STMtw<%h6#5H~S!-6!7_6gH2U8`!)C^M;RSEMdRYxnxiC!S#qUs$hb>jCSh!Eehx&HljEk7_+tH+mVLSoVeB0zKai zt+>Lb^*z}2Epp$??ZvyS{PH<`3!CQ!>@EADp$8Hm(j0>#r?7v{SK5u8fE=v3=cl75J<=>Y2S0Ke>!qVc>SJ9Rre~R zW=t`t9h>=nYH1f0Up1(5*V@NFu5NO9eG^qA^mSh2%+{eSc;5;{<#Hw$F3>Sr#H8op zktyEyP3}ZpZ$5tA zLJrPcQFxNwFuHbN>gfBnYHSyuS?Er1{?E%-<#i2GJ`bG+=Fh4KXv8GVM<^Nc?DxqG zTTLIjB+qT(@`taD(?0u}1GHb1&QQcH>P?*HZJ%O~O`B6kz3!3aWmUCz#Z zRQRf-#fz}okt+)Bv9)d8vrFsS)R%^c~B9NB&i2taBfa-+-_&RSF&26aKOH; zDLJ{ulYx6$>HhIT$o|o? zB_ySOM5UE2Ev-ff!yoQ=()ZKV)xQ9%FyVj>ZxlOvp?Q-C)KsFRX&0`#hqATb=(G>H z&>wR=O|s1VTE{*PVnQdgxmE@PpfMJxr*e zG(xZq;kavr08~P1`p_43b_WFhR{=Tf|3n!h1gv&Z~NYP+T)WZG==1ih3-17^t+y~mcQ2{0F`&mWr?8ep}0W~!g zPhz3G5w6Bik06Xj2yzBp^=v(Yg^DNP=jY(p&O=5BMG7}=Cb-ewLWPnjU4%m$*7`h# z9XKbH5q_`R1OoMv=;V=vAf84D;)Sl}4S#OX)qWzKJP}X&`uh35gc1IbI)3=$@6M;l zM`e=*h0x>;b2W=gYN2ZKI|ONeB$K26fsW|f6J7}N15#8pRr2Q~TzhKj*Ua299-1fl zM=tp%Q4&T<{&9B`Q8S(qgRt?0HeQuTg6C672o97V;sWrNa;2K_M!|iJu*% zt2z1k9e;|X`XI4Hz1;)ofVxmr5((+z_c5r_Mc|11j9_{p2v!n;V52`V9C!qOq>xYy zS&snJ(vDXDoM=J`VJiVQ3iM9|%KZHN0s;(wu>J|x7eyPhB&Cc{q>qmKaA6bptTTnf zYyv?L^Lhk%fc`5FlMuwh3!#JYnJ2PP8=H{VVPR-)>l+xAfXbA8?vkKZ_VPc0tx%KP z+%nOKm|lXJnMm8jF$IFOPs}4o$HXvP_kW!lpPU$@(*Y74a@BQ1O z=V?urv6Zk!YVI}v5KQ+uCRugzTbe+4v-ezaMR@D6ml=hdY>AswoHbdHcf^Hb{b#i^ zyu;8JLKb5Fvq10#hJV=lP5mMAOtL)ePRypALFnKqSh2zY0mywniw}mk1q+@S%w2HY zvta8!HKxVbE>1{i@=`kn1F$uaHOzcx?s*<^8hhq78HbdQidChrbk&FSwaKFE-gJP} zvU7#h1Q za+y8`TV_17BerabK-a(hnX;8X)!A!TIoF)K>dxxeUt^iQnLxj%XG(g3T^THT0WQ7L zQ$CVfG|odVk5#h{2R^)tCT+fGSoJxZHMa@yM$D(}^SI#dO(;nO-!!34Afz)NC=|KZ z-@;$DSEv6K+?VZC=<}WTr{4~^_ty4;*GR4($KKED*eilqM(02MjslyHDwKbI+1DVC zO^jMl&ABDh$x8Jta#%UPaUKT?p5cPhvDQ~Iv{**pW{<8X4qSLizo#!WP@~9O>gK5I@S=&^o=>@+_2VyU z5Y$U!qeK4V!7cep-3o#^9)E%w8g*sicasilyO&6DtH7H!Z6XQPoF_6>1!L}81)6i~ zwRw)0B^UjUDmTKDc8vkU_T%z{8EsLQUH#^zsvnz`azsOmR8RNt8l}SJWNr9?T%dPj zhsmbwOIOUYjt!Hg8`haV<0PvLF`Hpi*>BgBKxTPO=r>=ZW+m3$#a^!hA)3LwF!)SJ zc5yethQ{6ZbetBv3Z1eJa4){h=0TKsKn=`oN(n>H2zx z=Qe-6f%H>F!Qb@bvAT~`V-=s(FB$KGRo6N1EpdwT7v5?W)>HFxTi-tvqIzlI$Z&nV z>TbN%A-L}Isw}j>ihQgrLzI;W=OUKu$IA-_U&QUN=`CTXDmMX>K9Szz+AfEQM}-Q% z1J}w8r@DqR`<>31)YK{um@uCQ0gux{R9h3{x7Z%{@~e7ZxU@R?vVtB*&L_P^;A!>t z2Ov02+FvABth-B9e{t1`>3rqBO@&kMjh{O2YiaiKcsGD6l}hbb692-9m-O#)=Q8Xe5go7+>ntt@sI((gyS#7dpCs}l8*1vVVm+^h8Ad_@AHOL}- z!#^vxj8AK+9_v748&jT)y=t+Q^lQDCCj7ja@&yp27)i!*yY`D`=(A!fdrE~(?E_n- zO}wLH8_b(3wIVbCbHvScTl;s=-McHF`QA^7ec_&5TFXpxdl=JWV2m?+00bfomdog$ z-=-wY``|o>r}uf@F8@WKJxk=b3M}&$?CSn`=xmu~DAGcjfhGCX}Wpp%X&ALl?&gp>e&F-O_{Z?fJlk*X&B#z;Hj`k zm-_~tSNbx1iD$6(N=&uSve<}b`!f1ukae3ohX?dGHGa0r=Hhy9b76LR>eTctEJ@yi ze|b$jdHu~l#+j)9x7WmOiGN-%|7}mK~p~c$KgOB0d3j*a%5>921%o=68!Y%PUxa(fEVW5Ui@ucE= z8s=7dk96J8*d6b6*@2)OeheqKVEc;uFsjDV-5=jkrvH9Luxy$PjYl^X{C!8cnRNL z&e5*4Hsk3!TdNkQ2Ev)0_`>QvHK`}v1BqVv_1i2me+&CDJ;C!;c0BG|_bkAo-op|z zi59aq9dtCYvU*(~->u#?d6tKv{Gwmacj6%MIJCQOMtOv|9_L3{-^Y}53Z*`^dy=eU zIs|hBp9?DOfD&>$@X_+=+l%UNqlw+VOq?}{%mOYe-kcF-IJym?ieQe{WSHLW`9%+t4ump&8XI@N4Q;HH2UQKye2{``F02;K0vsidf6ruQPYDqDP(8!11+ z!i$B#$CSiq&ZALRh6qjfUjdK9W-Khhd`_L$62VMG4^|33I9h*K)W?XA8Tas+^AWQd z4sEP$p)`q;*F**Dlg)6G`+(wASw*5{oyCzjgPoTkxo`YJo22x?h8T2eeN&5Z83Jcy zo1<(}hG^_x)%)iYJ}fbMcP0Yct;%v-><7-Nx9Z8@H|eyjxxE(IYpKJbYv)_7*|J3| z>U=CQZ_e(yB{lMBfLO^EoOqi;-6g{>ZD!2{qV@0VZPs7a%x0gBjQ>&dycQT@)7PXo zOXj=KTURBG_Afk&7ksCiqB%92`{ntmF*|c0`{-r3!f56t-G1$S>h?8>u@BQF${~nc zoW}0CJ>fKSeO+(!JomVH`zXdE$FoSK$;KdAXc05sNKCDv#*IHzZN z6kHLs_p98?eED|W`s+mVs{93QyWC84b;#7r{qX%F+1J|$AjC;xF=0GqX~U$U9IJH& zFU!c#d~ppKeBwM<%~gEH95imsMdj&yAeZg9*ixEVZ+Jd{q*<-12@6NPsrubGjs!4S znUN$YdMXn!Mps%FdW$jYnaPJYqvvVDz1ujg!*hy2N8jdoqrlM4-f!oXT-~#4JA1Z& zlhLr4g%wr*nrC|w1VZ0*A>y}mx(7!Htiq21Hx$c1j)*;_HL`AM7m#`_Z*>W%Ciaf(9$Gu*24+aB=oy$=M-*4pO>CW_ zWs|>cWMS(8{1Y>diMhpe44ndsn&>2S%shh&TE31QV&R>8p1X)o1U#FqpQL8~=IooC zU%%MYzGc9)p=ffj4IKy~udjyP3lHUOR9!Y2X-wfe9d}zYRSfv-2e^UJwKc%7>(f8}}*e+)V z^Fr?r8F^iE8asa8x2;>*CcYq}7hBfRJHG=ib6dVh1NqIpBTHoGc(iRo##Xnmh_qsp z$`Fq0&SB+e3_=r|zJf#3FpGuP?ivp9b29|gPe`u_uQ7?}-W}7*Dww{+FC75#4~UH^ zKXQo}f8-jYS2B%EOwIhbqVDnRMQ}($LB+@nSlYo5x^80TT+ly0i-AYa%yJbr064^d zC};7HYMNMF1zU7{dSR(LQ9}%u#SH?2(gzl}MWoVl%i1Wl-8ya_LtJ7yg!$0TnE~uKhmh}!| ziAdi9lXvYMifUAQ+K*g+Uj~EjA;kVVqIX@nvQWkBslO)!QZ};akZ+F{!~d z9aGz=#NnSSTq;`ktim#LKMl?;UVHdBC^@(G`!qHa;5YHlXzJ-_%qebYWqL++2F55* zDQ8P5%-;JdBuXA253*#ebEbqP&g;K8TIY^tx*|QHVD{A0Cn0AT>$1J)s~)g>aLk}{ z&sARA$jK)Ok3if$u(WmTeppr0D9Gsg!Ndz<;@gHqB_4-lE=mThV-bodC5{Sa!|cN- zf3N%2*Imni^UGIl3oI>M%vc`sE3$YB<*7>f2kg z7R+8Crk{j{PG0w}Z+b30zo}QkBzZKW^WKPv!@g78rlEu%Fn$omEtpbR`gKi3$0y+uI- z(4#CO%ohkLaNtw@p3--2VsLC+Y9#{L2>8}466$5KiQnBUn8#-Kd!3k8*SoxT zc!5*PH{}?MURXlQz{WYVxx0U2k_4n><&cXxkYLBl7Z6!L$GAyJR_!NCz6xJSnrxQr0ZK^%5s@4kZKKo-;v%?ej! zLn#lt5kkuW2Ta*K$=T%M;uA!{9n725zwOpc@<#+}Y<&N@uPafcS=Z1w2*c$e zhV(*k(9WCrg$V?TAkhO`g8$O85fnkf+bRTPs0i|y5*0tf?s3L5aG?F;Fm&<+M4mo( z{_+1zpUYf&}eGu=;*mTbwj&tQ1v4! ze}L|7A7cf)fWUW95qM(UMsky&@Dbkfk`R1Y#{Cb6gd2+B18~A3(NN9OQdqFwF!23^ zM51|<(D?-iC2X}p12&-mavE0qEaIFHNO%Aw@cyEnZBjDyu9Bk<3kBRv31J>u@Bf*<){C5^@?wP5vir z60Y(!3923^pobpH9#Jw0(c}o)Ko0NW4pcv)u?g7q2#O`i{}{AU7D-Aom(zzabH;X;4168@+lQJ?xh_*Gi^hPsgvnwek%nLa&A^-*;(EF`zEu(E=bH7GbF zH0%%3$9e-XWDVH7OE!pQ6`1S zv^~Ac%kXyw!hATkPog$=jZlyepD4efvUML0$nkyNOsHZYofF6B(R!^-B!hV37!L#K zo;ardA8UY+|M<)Q4|UJ~PAC71Xa2vZ#XNq0?DEHYj`iP9yelw({eB)%8r`NXA1WFzE(|FfuOD9 z2hd_`y!DjZp_ZQDGI&51ed*Fm5rv62UM1Bx43w!pOf`Y<^V|3jioW*!UU$A>T-q}I zjo_Kuhnx9~HrZvHN6+=Q!94GGmL`YMm-XVK((ekRI8UEXvsVhae*HLH#PNDz%0sYw zu`$27FIwiICy`eC8MY$rtD<)^MGZ$w%a1b&)wMGL-W|aw&*jbALytcBap~l8I)rPV z#(p+*<*}jtw=y6+2Z)-A*@KDuepmQaS{{^KO^=_St}*{rT9HKg)t$YSxft-2^RX5G zif3bF32NqG6S-ie<-T<~-Pv%Miro*IwHASHm5TI-4t{A-yPX8J{Z(RjuRG;IeBtgl zLVEYk2_5VKCf{>6K3rB{`XnNl%eA-1Sh1NW8N%{ec=puxXECej9^khx9MCLaqQ;pz z+bnSOj{dHhYiSi*(_v6s;S~W=7A-LRG~9V)j(Nh^u+!&FnyImtZfkdYl`$jhy#9E$ z(Z@LOTeZfHJA?HXyHN%6CSDl+zO13N4%Xvuhj(;1pEpq51Gf2TUiZ}RkmH#N{jPfJ zzUHX@@|Z9~2{9huPl)-UrUY&d%LO!FO5kCT)iX1?EU)I+P8~#$Zg#JyO9*e4^Rf>J zIAeEzdaj0X;mY9q5ned~VB%CF_~x8@^rHQn@Ffmhz$xX-PtjMZn8s%ZY$FO})0D2! zk!?l%!iamECw4jJC%6{8D9Wcg&TZq>qGzaMHZhJErmOeAY` zFo~bE*#B^5hjEVdrM5p#<%NWg5?EKe56^c4oloq<#PNh|nuOLP@!Cf1@m6n+8>ch( zQ`Bo52QIGj0E9BcY<1{ar+azSqsG|V)09lL64uwFyY9AlIf+GoWCSr3&Ku1mrsDlG zM>x!8UG>Z3I#?e9EU$>l5**PB8D|27y6$sCw8J?TQ-g<&>w)-<^z0u`UwQx8;(Ga9 z7R&i#5X6`uOrpL;C4`*KO%V*X?qX`eldQ4p?byPfH51U30sQHWzZ)bPi9;0Rru*Me zKhzPNX^~Zux%RehjC2X7Z34Ky@Mw%a_o-)x-E7v2Js$0AZd7Ut0Y%SK76OOQ_|) z(Mb5h%X^H&2QNb&yCMH_c?W&X=G~Y$cE|Xay-&){(NxX;oJO(WNlES|@B*t;y#Gd_1qU+@z7-U`&CfP4O(dJqMLOYf>Ld~nSeV++(k#rX+ zOpYA<{#chu9kFybPxh>n5P12b-v<|I_!Pk0q#D`ZS@S7!Yn#>X$h)1eHBBMC)eGe8 ziG~dJS zJsy!B$K(K(trkn*tWPDSDnCmNf6n(Nj)mYs-THg$<%PleqJd-JY3!X>_xi+M*XfPE z38<%bcv$Bt>+$?5Z;bye`{mEQgy49C*z3J|mTm@NuvhAXG)BB0^T&<>t2U%_2ICY4 z(mOx^o0X3}YHY~5@ZCLaqp#mx=04E>?Ch?xw9oS1;7$$!x@lj>t`y#$UC<-aoUKXCj|{=M?jb4+ITxY32=#~?2QYx|nd`uCCBOL9y<-zFy;J{T~y34L_~nL5;0b65nD z!vq+!pX`r5+Yy8XitrFV7&BhKov5;x8o1TIA4i%4CXRo0z7HhpKf)Z}#r@Qfr%S8G z#;r%(emd1v0Dpg0446ksz1SrxGQN5AKAbc0>b^E7_kGb}Q3g`;LGdpl8+kxw5|=ch zJv7m>QbVn^U2I1PVdZNtZn~Rc>>2#?-B%YNG@T~KGE`-wY;o&1KHs9+h*fHbCI(G8 z2eXQj?W@Z@fPXg>ze8ls^yvZ3BfU@GRmiOtVsuqKzYf>*(L1T0mI0o&*4nRlDywPOTHt=$fX#nP;m}+uks+9H@OWDO8>yi$Aa-L^NO$jle zY79$#N?cm+nsH`JglP1glBM;^(aVOa8|$=rJTGRhfya8h{#yNwr|f!saq6t6!peQ_ z+~VjrTh5_YnPJ7N^97z{$)xc{{=Xjw@0>i)QPWQ8?mh)$N7&AR z=kw;Qa8-44giHf>$3FUsGq0b<{v;N&u26FebYkM^@d5czf|0u>j~@%Qb$fns%gUYd zXlmDTN<$7>LCKyDd#vz7v)c2^PGy0DvzN6IF@5UWESHj($sgWM#)nlSLK}oJ+)+Qf zN#$#w5n2@c4JOCReJzyyrkGB9#hV4BO5{J6Pta|k60o=SaXb6V_QiV4DYFKHp$xYz zZN~v#@L}n;-|;|&_p$TP{BuvHC`X$Wf%CB{y)KLC(lnVOAHdTIC#T_ge&ULPlsx&* zvsA^`dx+YGeGZ#*btMN)b&#&m3-q_D-4Z@Rh!JU}0DGDZ)jE-?2r>dcol-r0Y zpf~w?8rR6I9OR1HXID6e$B|Ldozb7TAg^k@Kz`E?lg=$;9_RaBK0P4E68RBbAZxP0 zF5#}z^6N+q-%cZfR#`5nz0!u?NG4I@9a9u?-*I#KEK0o@ru;z53^_ZUSuB7 zgns1u0Ol=Ajjz4z7x5Z!uI|ElUA}S2^O%s$nv&Xi+KO*w^9YE5G3_>s#UWa$2m02| zPdaawum1q! z*zB&}EA(2gkMx{lM{!6Kt8C+!^xb>Et8Jcnx+I@%0-C_HUM`^x5lMQEn-N`1%)(Ej zD(l-mQ(iTHXyqP~-v#<+5png9in^};AML1dMz`-m;3`_VIfwURWg| zYuQXEpSG{p^0q+8;<40C^MzF`Gz3&d0sz{0`V_sbYPlcIJ-$145LO*-Br zY-Ltuzu{8BavD#M&j6n^S>$Et=N%VKm&wx_zM--3f!@Y0|GgcR@L=o|+iCFhi^((9 zt;DKx9D-tZ%70!7&Hvaz#4hijSNvs8Qzx?yG&Z*{1eC#!czOYG)rZbcQpJzbVdygNai*Hs21!VoQgzd*|EVS@I7{Rkw?XkDcO{VpsMIt_FNH4SiJ@sjlUC z=6Xar4_?uVD>!*Zj^RkEYTt2b!65)_d{^bvEFHXZevm2XI<~Aca`B7GYTk~@`m!#o zZsYR?^!)s_jZeg{psIb>C1~e2r?8DZ6}Oc`#o+R$kbf&7BM_1roFJ2E_PH(5YCv6h zv_i@xbIPRoBj)Yi7e*%H($a)011F@6=I#7KiDv(5s zk`QtzV}todrm$|@9(87;A(KW3Kn>Yw&Lq@IhJ-*HdSrB59&DsOF=L|)5))ELbln=r zjcUKZUvQ%Bd>_30lJ z31K8$!4t)TUXV!PKvTB2_cOTg^CX1O2M#n=6N1* zs0b~qtm#bv*e6cxdIS^V$O!~NekLKL{}4&khW_h>N_rv0kiX6^Kqz*K7-|Pe$&3&h zaFh`S4LP8Q9Qr95ky^fBPf&&>Oq>xF-Hv@Q500|s&v78ghdMrW*(JQL{ z%*!kKr#|XL7>Vj4>k$gHQXH-K86Df+flnxuKVref(3D7QoFoJ}1s_zH5(%Y|@SyPF zhSNp}(SN+>em(-i6=z0Xi!2DK-BTrala#|V`eb&~Y zBodAmbRexTR}^L(pwF>(i1i_8L?CBOLICuk!=y}978-!_ z=}|n1{!T%aF}(9Qr_Z6et!S6j6&Cba!+RcVw}?>zj1f!-GckSO>F?h?aSB0tCYBK7^TaU$Y#y3`2h}%md=0wbCrtjo zPCcP`^XMNj(tkTihtPAmxz=|2K<$v`YS|B!f?SDD9TpCvS!KNZ0ogWpf) zg`0-#JY$-Qo-(}(Ztd-Qa^`lC6-Be`o$gQ6R^W&+Qg|yz;pKDMSGBc?@(fbirWbK5 zMWuQ4Q&UsRV8Q!igbLoz&!=91qbMnpA2&jDTu;3- zl1WKlp~(z3@yeAey8xQnLs(ut1~!7pVeCK7Cs|Y^8Zz5)ZQhZ_b9<5X+4Y9`JKbxQ z=iLE&3FCvzbgeT6IrmoV)VPIp9?9G1jdzd|c2oG0Q^CA3{>$cpG?Z*@P0J5lmOuM* z?U$E7N#wSn!Ri__$p5;c3aYQBj@}}~7%kO%G%hovs?}9ilXQRfOG4)<%bY6@c(Q<_ zA-S-5MN*H;xi^&lpMp8Q?8VYCT@4qNUBt%W{JCBX#%{bz7{!wt=3ab!>&Jk3PlMFE zHa?0V7JLqMSwB9^Xi%2P`Sz4KkTVzX2yF)r*bCu5eC!gBUU&5Bdk`LRD}cOJ`HkaG_|R>h9@+vB_iB>4l(0 zO4)PHv*PWNAUEx6{5MM>oTYGW@qm19_SA%5(u@eX+~<;9W%X5w3J@b%*`u_)RV#Tx zWWQ$b%E#Z{JxZ-Y= zBvzIIl>v-p;@QI|ataM3%UbfU2{mMPIx_PTR-Gy7ee0RkL3yR?ONHxc>OanHK3{8# z+iv7=?m2x!>iI(4XG%He;WMDZHR;DArB`R<-qmnUAra#(dvA>*tgA|{S@z+zIEc3a zzt}~imW!f+qbhBkt{g|b)@6imyN+6vymlZ`qOiC8tB15vQN`DUz zUj~0D<|>v;>ORgz)^PAkBGL65d&V%?{lS}*eweHS8+Me*{`r=O?tj57Q-DuovNdCDY!1k)vHZ)lKBV95-?vkHrLbrB zOmWP-6g=K;(x?7%7!g9`?_ER{J<*cTbDhzj7dPQBiue@g{5l{Nc+abY`>ByAY`Qx3 zyatV7VFd<7LLmKnJQ=@^_W3o)G<&aqjP3+49${MPo5nwFNI1LuDvtKyAm%^_vS$VD8R90oozo;EKg-GBtX3I+H zT~o3sspB=p5?)wY{?e<^B}AFsR-%AwQT}h(62eY6{smiBV4z<(&OfI8QvYwj6UGVGtO8yO;f{o3szk*W$ z-`xBaoB}?6n1%Ega0=iXx4(kZf0$?X#B&76K_TaRbjn4i59pLPap~WfC+>rlu^7n* zW{h)$_;eb*4;QzyGq`#mwM%@_n1LP9CV)tH;=z>A@Uh)D>b!)W%V$<;6R!@2Wm5%P zvE#g56;%egi}#bCaMQAUQ2gwbUasuzcYWr8BWD+ydve3+&Oegly9U@@ zRD+~FdOeu#O#pTA{2N;O7?%@Ni3pijC5JdAV4U0f6?yteWg2mvgQOF_ zZnal^J1g=txZQqy;&>o<3zWSv5$O3@D4H)hOVGmqp{GYG_@2&&%1X;oW^z+Ck0E$; zC2_Iku=(_vYSYkzC+#bYS7cu@ay!2p40Zc{)skZcT#>waQyJXur}Owl-qALg97r(z zI$@6@l61K1tY^kh7AQWwRoJv+Z{lyGXI=dRh`p~6cDUufxYePqycCP-pvdVvo7%5+s?-Pl^J z$1w$EA9{4l__Lak64H(74(0$=5f8lw9?yNQ@>+k6)rq%==x8QAi=%nwRKN33 zHZdtBFvMU`woIUS?=4`<9o=Q2ePy@#G@q{BxcBnphuZG@hhWJl?zJMJ`+{!Y*Q=fz zi3Qh7cC{jQuxrX_#?N4c7VwwEK* zcg^`orz-@DcjiCh-ZTX=hqv{w>3(wcl1ZkiCBq+@`8{4b-}PY0?sBA6lqmNi;91Sf zh-$m`j7RKF)nt(9Ou((nH+}~(MUE_mH89$}s|J;qe_!o6uXjn|+^eB6)f?LBUazbJ zO$K|f^m>gpXSBWsjwM6S*tPXTyZITNNwGq3cA~Lg63g1E>1b0D?oQDI+jqL2KS9{r6m@*I+1|5+_6OW}W3Lbo35n=2L;Hx_ z9~Qqd3#&my%%O?PZ%!UreHf70by`uoj)D1#M?`8y*OzayH#E#Z%lOQWw47G*`^niI ziDwZ_hw~|B@1IQ5s&(^@%d3T^fy`QJ&E;K5pI_@j;__V8Zr(vfxcWTbjyNKBv1ueM zrva1j1Ftu!8BHU<7I1`aXg_c)sTsy0QepDN|v)ik+ z-F|;YMXhmZNecI7DpVC zIbnH@RkwP2`I1sc_2V4;Wd?B{z@H>@zj50!KDJw4Hzl*0p6LRYfT*TzN&hI;^Mhk7 zTkxu;kCcH!LfP2bBj{sGJD!;Qqv)(TAfb3m|E`O7R01d(wQZi933!v3(!qE^LB*x* zh+51w{r#$lp&6xskQ6WQOAh+6CQ|WZVVnHy1s<)?U$QYH%+5!*3|Dj{9<+Ar17l|Ww>hUtacWh?iqJWs^ivxgv<}#b0q*YKf6eMml0&(k{-T_R< zxWtmODzbA|EgZm^OJc2a2Ue+^smW%~X@#-w#FcGOF+27%-FHi^UsHJrNcg1UjAncprL=yORQ1u{ z(b4AQz@woh-}1}X4J$_{7FDyVzu8vYR;xcUaPY3veMy#t#Zi=N{+XEba|gkHyT2%jG2OeA|>1X{Z<^6ELqr@jAr-n;1- z8<&)uUs~HQIql=liD^3k3)M#^A=r>a1_eWuf`Mj3BCjEZJJCkMx4}{Hs(EE4%!V{V z&Yp0{|M;|F8E13n%!xf4_S?Ymf7e99MNdE^Ty7m=OSJLlA3Yn4#oRwUhUO@!k3_YR z=U`qEGbz-7LPo?TEq_B14TMxuHu{e;5`J(C-qav8gD?`}NGP?0L6|3XKib-Qx1l@| zY9paK@}%F!`x!Jm|Eak72SfV%zYKYul|7&tp@-z74*P-$1VIYXsR&Ld5=k@)^F$^2 zCl3>*MV`n(Pa-k#VN&FusK`kpgdWvOqDsj>&h*m+M36|Hl-ryLCGpAOK*1yhB?{%J zyby#Met-|#$p6tx!Y%X=LozU&0V0x;Ff|fwxIu@k7eWGclV}_HO$9}j6VEmjOFF=T zMnyV0)g#DbBLs1V%oTBg%RGTd5jC#6K85SK!DK9`m`p)Dg9pcni~FCP zOnBF+Y1p8P8|9SPkW>l?!qtS}p$Quyh{yzjAfo8Oioq@7aLZNrJdl8(7Rp2+pOuwE z{oMc5PFbR9nNTh2ga?CJp@sWIIf+Ci!Nh;Ka}4}Db^?KU|Mf8LAFlHD?Vo0!#Kc6% zX|vE&1Q-_pqgXpmG7&!E!z|W6kg^BD%#aDvec))12iB=A=(Jp4^GR2@UjG35P82u4kf zk7YduWSI#t(i2KLVW#KtWh8BV;+Peqg?Zxb|F1JP8wZ=?4jP8S^fokc7kLgbG?SQX zT9@cBQ*X;Pvg*#O0;k@eT^c3FH9sf=a#Hh)tlPepS>!NZd|;`q=<;xo*a7$$T%%@- z3e2>z?s&;?$V8!}wR>^*Ah;Lf^x#8;eEkdPPqrB_dB#r>tR2=g27H;x^%BzQMt z$*}emsKn!ep__5Bwa@Kj^?fAVTj6{h9D z_}gu!?FtR8!kuv93L285;H(YCAYHKjD14=7*&{(H>5l(Ik*DWi{qdTizi*XwsA5y) zz0CkXLy5R-v(D6O27Wqh#CsJ?QpThb$KqAvDYuBn^p1ZKs1N3-2)~<6pC%Z}rqXZk zdxF2w5Y}vak9(}FH|UkOFkl)g#OJboyodMA>y?B?7^PY3GOm#GCzt$@m}3>bNm(HA zN>$NHz)8?WM5QLMG{pWL1~#u*?y+G*g6$VfKS6zPO>C@67!PTj5fJ8n>xaD@RIkm` z)8J=Qhsn3L9G<-d)DQWz3(w(mozg63s~OX!o4zpJ{GC0nSxG2YU1lQnA)pQF`>r|s z+L#}km#2n%>>>#TYh1WO+MUy0U8nVkkF#Oxp0(Ii*KtNFY#Gk|#sst}Bio2qu~y4q zQcl8!FjvjyE`2^BZT-q2#p#Z8jugNaB4mb3^pG+ zo7AVcn>f`f3~I15Hiy$>6?_Pve5UxQLVf4*v+iKqJMKZeEt6+Ld(A)~#uW#?NU3`Z zPg`cbSdc|_bUq;v`qiI_n=Tj|7%2M;Sk7>cN~&00X(vvw%$F@#XZGxOy-|3_xv(Jn zg}#sO6|ff&n<#r~qv@iHQ@M@(qT6cxjv;Sg{`ael8}Z?0v2Q^2onq|5e)}bgzEz6I zCo>mV!&IkVXzgmRi*1Fj6!?As_sYg2k#faUhMv%vLM#8zce}Z7GQ~N3;<|N@60;8T z8MyOElyGHKi{Q&`tmcqq>~B?6jS-}7gHQV3$KC!&IHLe|hU-c*J6Gt|cumFSR4z8) zPBslv-|c#>!@pTS*T3K4=vKDzL2C5nrc6V!{dv&-{I<_ z(A|_N)|nYH-FTXj((MfSl1v*CrM+M}G5p&9OKL#+Ok%*!9jmP~_Ww`#X4x_AcO?Da z_I&q0k@6buf8yYmTrvgtuz-`jL6hH9`}p%h~5^NXqjdC~idORa`?Qnk;8 zy?8jLlW3P>AtTOzYeDHdzCwg^ED$ejHjO2iQ;slmd(HBGVl&|AlES=-C~hugrK+L1 zzC6g!dl4FY%jY?e9#AwBTkVvL?+P6uxXLg*+GYLLOLqh4$FtZsC_5Ot(uuOaX?r(* zK8x{6r{wl*G;|uwrGI^yx-mniUQZwTJmsBybKJ$3+DZqY!avcbc&+D)Moz9&QAyI>H(DvC zTPnO$9|KvEFq3_LfhDc-Q_4!nb2B_!t?DQO{;P@_#IL@~_+D#yDR;5bKo+n^CMul6 zv$?#p`9e-Ve00uq|C>p*v|#l_em0kSFNqWAIm^@VsN!6jj{N8)4!`2*>t^D6AMaRD zm2m#3_c#9U1%em^8?&jsoXPDCMC0J*9eSm;-(7s<9+>JCX9}&`SA&Af8d0ebu zYSKCh!oP=IeXvPEbF-V3_?sm8{(|Y3Y{|4hxgI5a!Jay73*c`Z5;-uYg||b*y{y3V zK7|~Q=w1p&wmW&15)ustaaq<6gjUOv}-$^#a}uM zuZkwn`;q9DV>MwH^@F6xTfJk71Y8y$1hO&a@1D6gaEpbzum9d_iz>O|`WzS&rwf@7fht}CKD@zI3nda?^tEyigbx9`0O)y-Q=PN!%KDDjg0tJtWjr{?$)!O zgLb>ExjcOwq#y`66P(AyiEm!;V5FHfRITkiuaD8Qu4k=NW2C#pGV|b-{QGdfum&6= zbs2uQ{onh(OhsnXl*|g;d{S9bD?^n9aP3 zeq@Qnf)9~VI7{9=^&gE^_eB%B&v=s#3}_IlC})x9%zm%Z_< z7m&o?i696iUf(zU%*XaH2xAfNwnn5!Uxja{2cYc|t(s57mc`xwznFXPc&z@%|Nq!Cd#|UR zy;s>~WtW*1k!(V;HzhMd$qX4~W$#U9kt8H5B4k7;{GOM3e_o&O`~Cj)`~Cj=J#V*j za$T46bk0TBb)EZp49}&o-3`5npXU=NI*t`icy6%7z^-AyCTH#DaX)8nX*0WkN=hp{ z*!h};k^`+IQ|pJY8iUf_e^Ym$B>Mf-NR6D2@bD? ziHB^mmX1DtFB{o_nufN%dF$XI6|26H2NtimLXwXJlX18a&HE`FHsk&oaLlcB$gCQ2 z(a>!E7ZK5=fSTnd!+J9jS1S704|@tKR^U!Mxc)h;dlBahznEM=Nyj{kytZ9ceb3A? z4(A0s$2gFjn%m7}bw8^d#`ax`t9!fr^#ms{ad7lIX2O%IcLzs6Q`bJLWJuxidAq3v zY$dHaeV2O0^n)XTiEXRUoj6Qs5L&$;zt3OuYDYrNn@{cayP$9;vFmXu5^6WzEv(bX z)Br+Od6Ss5r`;Q*Y>IluN!1M_8@sv|PpfA)k5o)=dgXxH0c`4XwH;H-7*}nIyYSR* zcm%%L6EgA1+!b;t9u;N;1zjVdRn2VY?!lPD&F?4V+`_;lrQuWG8|_eHNe8Y0Q2c7} zoW4mi{*C*U!+VT;`o=EaVN1u@ghUduddBxQ1c9iG>w_;?3Jog)hOwzxxn;v!d-$wp z6$~9bf>-yjY0rTm{d<{2ObMA?^+TlR8u#CwXbr{ns;H0OdPXD5VOP=&JbU%-Rx#K2 zh&(FT{&tMbVCZ~%XiC#{No*&gPCInu( z-%rUUBvb#=+*|g@7fqbPGLA!^ z7ry`O6xhF)lywFOh&{?#M`z@fRoA)xkz8HR$vYypU~%Vw);K;T`zwM6sCk79-Lks+ z=XQSj3F>=#2gD@hSI_c_xkXLNTyJ9v2k}V_wokTyoxc-Am$u=g?-Qi}FMdzUk#Xw8 z8$N0sTL&ZpE_c?qFv++C4mA_& z`TofzGOFCVsad)otgwiC(En=JZ#Q{jvlS92blBVjC4#?IlCX^& zrD^N!g(^0Ph33AlLk0trBw>~$tO!C$B_W!GK3nKeI<;*>n!}V0u^=Qm%x&|{B7w!=%Of%{}#Idn)@u#}UirYtYrxm??P* zC@J88+R5MQNow*_JV}dEiZaMSCV2|z;65qAS(V|U0!5T4Q@7eIf*_aR3jC=cM}b;Y z^SGWKY~przhm;c4XLIL{H|aluN%E{ zR8|k8oZS#mj-v*YRHDKrCm^4M6HLJYWtFH-QYfW_zepG|2@TyS%7}{su24*gfwFeD z!GIUS-%3g-7$u{iqCqJsp;!Wz+MK$%Wn}13Qc5VML=E{1rq@n0TL1XDvk@$N)Np`5 z0VSh!bb=E?9p#@!ALwgGb&sP?le~O=p|K2(v@|BDraVn+MOBZZ+}&_wXXoVQqryy~ zjM5UGpJk(Dl<>DS2yYC*y+#{;4W9*oB9wnB$6@I>98fwk`QyhS6jH*Bv6B;gl!Kk~ zR6)rDx8#M>@WFri;Udrm)st`$f_sP(PoDN<{Ylo8kd&4-g%we7oXU~`sxS+MC82>G zj+=H4ei1OZ^`GQcBo@vT7mOhBmhdV73S6It)WVd6#H3(^425M=V6tZF<0nsY;5C7! zmKK}KNJqF9(rKfG-_zUIKQOcarO0bGnpmfG_nUC4tyu(K0?0;?9eCq=8$yCIwZm>K zco_h;V;%hZ^?p_sGHBQ+gdiW_iCK8x>vqL1xAtCf5tE5^y}IaVk_kT zODg9-GyI=lg`B|PyZ`ep)U6}u|Hm@fMLF0?c7nek8%VL75DoLDm1AJOKL#pYw-(r+f&U&pKXxld`oP6 z@rmrrbyM@#Jf;^;aBX|ff@>hyAD@3MME{<8|K_DJvy-%kWYn?v%`W;h-8uCP?O>@o z8k=rVQ?~lNgG#2t8?J6+S9EP5SH4vzKYRDtkJ5lrV}Fx8k{_G;3#GaD=)-nKG_y(G z!pv6-*BMw@tO%$8PQ@WH_0%Xv=VKg!Sg-n@cbI)U{hbR%ONxc5{mSTb4WGyG`G%g?bwlc(l!_WR1y#*TK z-Wb!Ng%b#Mc#{xfu%w(nT<>94EvV_HBA1nS?s6nXnnI!@o__$ip7MfvYd)c;+dMvr zhC5{4L!41VS1C>F{RBq`{b8vSXncf8Nd4`^vbxPD#o^`U9O8Qx2UwTr?|8)Hz%os~ zD!`&mz7ns&-?6WJ{jGEgbs`VJV~S3SbKLG~#9bx~8J*XECf5~Ol7of7lIYOfh{39e#SGkU`hdJ2Ex7xs zZ`kG-3!`H56=^_mW5>{`bzsPV=uW%Or}8?%{O|(nfRrOh?`%1cR8AxX(v(=%xXE&b)MA`R{6x79V^kIrYec`p7If1VQv41 zi67+`$#-Spo3wX7 z0MmCa!A;Xi8_)OW)o;^aV$X9@s1LGxaFl92n4XL_paw~e8p2H!lo8ISQw78osnsatHy5kSMk5dVK?sgvQ3pF@4E*qkgkJLvuoChm|7aK z;S$3*w|)4(`S{CQ49b5^*sgW6De&gMUQdp6 zer)>UoU_1)n^%o)T6DptrY0@e3H#BZas4Qa(^QOkB1Yz*%7_Lbu;;F2P^cAqcSq$K zz)frA&N);Nd4%uGTUXRXKKa5th~BwsHC^jYQ~2dRW5E843Ntw-ym%=hHjwP(ph-AqC6$-|2+T2$)cs@V1|NT{28kL-l zdXcO}{_W*ZdK>xIt2K&p{@bH6JJ40}U$;BI1K%Xk(MTm`;3YZKGWLgXHlYaT`o_1v z;z4i*zUluB4+4GxRHNkoJthV4L)~97DS($N|B6Wg{P^})ObXzKyT4*mFf{!7ub33| z)WPh{%2_-FsX}qhYSgL*wW>v}>Sp1)?!WS0{=AjeA|N)5<#BMfW#6|boLXJgydaF?QL>CvZRZgesZ4f-(JzIloMI)tQIg$Iui!U)2azN424V4jeA<=*_U)YL)Ca}6V zpQ&y!#EG|inOnn;2fk-tL^B6DYl5*?39JZc?ZC=1~Q~C%wzpB0O!cAFk?T z5pmx<03!u@Ta%wsAN#0Jmryb5JleEUfT4_Bt3NLFm+X;5p9Atl{iQPx$jY2=m>+O# zz0#GQcxcQx^ptn5QZTn|7{eXtnj&ZiIm|2$A{qPJwrMJh->Ox(v5ueekq(~`zdG>< z)UOs@7|HQq>~$omN;vu<>!>yDIKq|feM`oC!GMiP!xH_+$!3Btl99NmOZ;xY$;PQq*ISQ^0Nh8W!r zY+Avq5}|XWQKMzssC>`Zd%i#a#x!N$SrRAEy=~q{HRNoRS9~Utot9prB+TZ;gG^85 z!nNn&Kcg)cz7VRc3k;ulFK9^zHhrOjaAz8X~d z{u<-MN=h999tEwhDH|Z|$_vV*tfrf*AI}ETW*(N_X7mtAh5qcPhi)4JqVr@RE%L15 zD;tl2Zvy3q{&oSZ`mq=V3soASY2$vbq+@gIqT>e>mC&Gl&P>|)JCUGBONWfz5y2Dg# z1+6U(=(?MIw1c%$~m96%MfwX7>LU9*&|RI#v3(=NUU z*dDaScyv;e+Ayc9KMm&_{w6wOr%IvFSRT}|HTFzM7%Yt+^Y9PH__+6<)0ZvR^d{1B zqAVk)<)^tVyC!JlPzCH_Sl4xeYLy4Dg>|UR52$~tllzd=+o)@2^j!`MT5SXwM*H@O zjhaMhqAVp6?BMtz|K`pu6il`J5}IO*oXm z(H2*)Mm^t;66pHv76)y#Fo?gFd|gc3AxWn&i4mgX73W6nDn*VP2rsgmdmJJL4qwd_ z-&^Jk{5rwDR*7f+M)#ORH*$ldcl#cADPj;egDK}*{%&#sn@}z-r>vp#$1h@GdA;t5 zpE$%az&k$aQF`z64}o*8o_;Z@FNY?-tWgMwO31l&^vf#i>H*u*&WVJ_%jg0!+NSo! z)t$_76G3SwR26t>_`!x@)2u)-S=*}Q-O{nR^`~%FxuoftMQUc99^Sa< z?jL*?#7yI)quKZbJuDf1D}LV0b>idf?o~(K&&me-${AIwb^smMv1Uo6St>e{z`dZJ z)?tQE(4JamBBCm@YP+FrM-5~>?G%@>x!*qUkxS}=s!>8=L;uwL2_~bImfO(##T633 z!ZX98q98Ydhc^~X*Kbwq(C!w0rh=#$&E!gpPkxN3>z#jPS90rbl zT>UO}^J(MQ_8zXJ$D=R%IJAoQ@;0Tf+CFRp3+J_S-$knj#FTu0==J1XjS|{9s{e|z7+&h zP5ZK;hgV2Ub?=s#s=-yO`vW+HybkxlgOr-##pQJ>`g2B6d2Op0;8iFUUXZmOf9ftrAiAZZd&ac^K<&f6!%slN;E_cuDneeMo8MTe0JHX&U3MaoXo9{cM@-hvVHjf>r z^V((Xyq}ojGFPqcWVVB$jRQe>!=MMrnXle1${3h9`p;AF$fXsQ_8nrAv+0|Im>2z{ z2PAYXQYM}W6}9cJ5j-j-->|7UDfz3nL&LK%jEBglg|%|H2`QOo%eTcCDFX zscjdI%KWI70O%NFyA~QaB@|VB`%ai*a(YAV(z%wfB@kaQ&MVo&Apz+h$*%`SKQ^&1 zY5($#n3hHag%w>rb88~NmrNz87L!@aW$U=`ZDRWL5WTO!)P1MN=xS~c z6!*d+)FB4l~n@Q9DT?Pxxr9_Np+g@x#4ftC5T#s(UtB@KCp{D?)6Yf_14zpZVV!sz zLI&-&SFgfEO;b~I3!mSh5;8xOu{+EXK`}}ysHQxP*Sve$_7fXlRB~$RhSR*XME22K zk*Z)M)p^_le)dF8+kQYjO4$Y(QEOXgSKsVu7$a0qLjB~at$S&S7^+9VLRmO!oMOpf zsA7N%C7b{kraXXxaLvU9`D zZ^PxcP!fSc$+lCWB;p&4phN$9Hmvu7a1S0J%+jPun;Zd4HRtFV? zr!kW#8VScKjy&a&ziA|-uea}bCnhETF1~sE7)gUOJwcJtbU1YeYX38{Vb>_+gxPRF z-6U%KHn`^(6u~&ra+HE{01n*KGJBNC8}eurn0z<6hjMqH+Pe>b!g+t8{*I1jkyGU) za$<>WA*rM&%a%uzE~ zSR%-^Yy`1H-LOL4eI4Gi4u-8i@OKYIqA+FC79P5j+bI->+DTM=YXXW)LKzBxVVg-0 zA(=$6$ww$DB@Awb1ImT5Jr)K}9<@|fWzip!Y+YEVH z*8A_xLdw7X`Q}^y554IB?7*!PG}hDY+W%=rKUE>MhyL&BK%mO%;qd&(dJkq(@1US; z==~iFvzT0bp=zZwd+n;~9*vmX!8zdTfVH7M zpB%{PdT&SzO-(eE^Fwq7_ghkpX3@B}eYE$%`C{{twKVoJUCIxei?0vQxA7fy_}oY! zrr@ZoPC~C=2VWbwz7iI;{oFrm=@~Wka&#GEnZRUdp0*2})jxJw1sk*$u*c*a;5WJ3 zh41*_OuklH(3$siW0L(MRix0LBtZ+_OMTwiU7h>IeAaK0AeJFdv+!;%mUk3~#X*K+ z*iqkmfPH3%2EmXFtrlCfc@wvg60 ztGih@T;6N*8uCjQTm*F_wXK>>1(&>Yua_xq4t6R;eNSUq9$Hkm!SrpVjA%efXL3ijRl5$?_eJu03Q4YA1Q%^-`x$W#;@PZcDs;4f z;9mK+Ikj{0JrCyyu8!K#8bt`bkk#R%5gJU@k1fP@15&ichc-JCu{D96_Z)d07hhp! zHp*dn$`VX{)Wjn6djyKlenx-D6c+bO&35XkDFa{KGR+~D*7qlZWm@sfS`qp{H{-P@ zscBO1fI7s%S%=eeEbOD_okTlO-?uTrH8G zxj>wbs|5Ja`>c7DUt;Qh)?z$kd@Zq4FnzIJZBcBr3gBoy8r}pCQd3zSGH+lrux?wq z4`}vfxvB*e3#-(ii-Zuf0kvvG zt(s7)=2`Rq#x%bal8W6;)8DwAsu831b3suS{Z8L=mj}W63PvVQyAl^bpqd*w$em=E z`Skex>%GgVoDnAT^!)dO>W+>ZC?2|e0GSIVZ#?}hPUbIjDF?ZHzuFE@S<6@7 zYo%2E6nX%zuS=%ba$fbGfVZL5{G(&7IcH+Fw_Hueb5HkrnC1h)Ge%iDDRvlDn0z%{Jsj5(4s#}Wpv|f5~!3J;BCAE@~IY7YMp1CmxV>IixwB_ zDp0Azz_O=T4Sk)Sfptrd1GV@JG;E zt6wlnyI`sY1ZpJEG-$-Mf-1C;@d99QS<3uHbF(Bw!{ir<)5 znl`84j%~OdGkSldhh%e?>TW^r87>gD^Rl%&`b?9^T{%V&?eb;VFQp6A2EO4C5`d!N z_afjPA9S5@^Hyn(`G~&tye;S08Y^I@eP?Ahj5B2OZRjo-PKod@{ z`S<3C@NC{^GmWAvRUN|A{@h(Qtev&pFw7p&#m^bIx@J^n&`*^nyfnD_DMSH;N39k{Zrw@06H5S-CQYXskB3uE@| z%}kn-J^(oa(cHkifG_Wk$Yvj@Acm!eLU!Oo}d? zd5~+f>BY~!$vvgi4UxPeXr`OK+<5>>s@*Qv@ zzWa0tjAuvET&8(V^_^gA&%5LD6YXhE^8LOe)o>+>Umn?W_d(PRR_F0}_L9{YrrVDi zy}5Qo>;nyAyn{fvU^?!vE8IXuIr@{aXU5A;?$C{GbFF@7+0CE@43Y{=WZ5Y&*nJG7 z4|Y|k52Ro9-Bny#tuz@PtSr1wM^3s}J^rRLSn*3gI0+?>J}8&>RUw=DxETIf_;4p( zBZ>I-hb)@*{jx4AOpx|b)9>Mq1e-n+n;q;$rM-FiK4UG8+&LBE+yQb@RR-W!x-&#o zx}lBU_gdpB3;X_>Yl$=S`3kGZxD;=qJVHyqBX(IHa`Ijl@^3 zyZMF0x6ZH7o>#dPT2$ZI4|XXTP0FV+AsK94!1 z-FQSQVc^Bf?vWJ{x1M*$X!ty$I*$H#>)I#T^9EldB)$RnVj94^>5XH~xJP{-Vz&r| z#AMCAOIr>pTvKujD0JO|-DINoOHsEIXlbTT`C~3U*xOxL!$~&QQ znV$Jh*xlIVYMjhB7G5CXNly7&mr7zf_K78%UQTt&4|hswK2{4&(|DDAs;0LA;?G`o zjr`JbNUUu5Bzo~q>C1tMWm;h=oy)Pgg&&pm1HiMI(Q#s0W4p{xg0f1gwzuaAt?%Kh z+rNO#96Vw9t>a*PTu3pYh@D5%=b7k5y~Lb~)l@#oyS|++eclc)aEYzK&38Dr3cko0 zd-}vBKc3&ES9EUa93(cifjO)B1Y|6NKPAyqwtqf;{+ zvR55ld_i1l!SkLeDr^77)&Uj;yM!WrW4ih_3gUA6rBT^A>pS%`Z@ypg zS*KO=Oh_fuPpYTUO?f33`WYbwdNxU&eS7Hqo)L*11Omp60ihAxLdvcl`Lzp**Bi!! zLBd@!%8KMEYB~8l7YvyUB;Q8sS{qMHhfflI2~pn zG`w-=(TnLlB3daG&&Mx8>!!~f7AKd6ZAf@(X8q7A4nC!b?8Q5cZQZX1X0YfLK=QXk zLo@q3v5zRMQ*#dofAOf9+LLPe_UN>~qV;udQ3WlpB~^;vVd!tMwy}EfXWaF^xL0L$ z(>pTxWo@^rrnx2X2^${!x^>7aqGu5ro>E@<8j2+M5eytM4soj+rd}x^XZ+g{2~A*X z#}K;%m->yc!luc^kh0n#zn`3UneM3e0N$%>dcW9gIBq{(-M%;{94DuuS1hisA=#0> zbwM(^RSuM`;#-Gp9Fx;HdPKkf`h%E4(I~B~X>{uZi%vLtZBr2VR5#83;?lSAim&RO zUOQlu)M^^yQE_~TBYUrXlv+>)q|WlIoZnt#-g=n#{6f#3=AG!Sn97=42ayAxE){V& z(gK^OIFkgN!!zG_M6?`Vqmz3Eyq@D%Gz@;)y8Z))*gXs+);1sDNGm)@tEgw=HZ^w% zyT>Pg$-v@HTYY2KD>2E-;JQOZ^eXMZrv(nNb2gOpdKNQn2jm|4#hAg$eUs;P$LYR0 zgvV2jZXQb)4Mcw8HX?f-0o~GKQrgcC&bA@gsEj}uy!qsaSWpN?P{Nm*-)-F}*Ej8{ z=NknqQ3F)~Waf|ZeM3kIb$Vf_5stz#5pact%Abt9YYDr6pbr|Qs6>78jEzl5Km{P? z78e)8Fv`k5vdV0D)!Y(6tF86ELh`2tsJW%%6j;tLpuibQS&0w9-XCzeY>D8VN-J03 zSPe#yufYg_vbVLh&7JQklnL$Mzmq9X2_`KqM72yXmhxXt?=}Pr!b^xR;k200@U3SzNa(a3Or5%L_xbhX1M2QQ(6%Q`> za5E^KL&^rX9|2 zNNMi?=WvAEJHdIJXR#1W7dTwuF(PiL-MnRq08}5)pZHB5zko--m6QLnbwfHyhT@ZX zf5IpK*t)Z!W)jXi3}=0v{m0e~%YfiO2}Ugu0w@`sn3(!F2W5qaS@bl&?c6YX69Yvh zabcM2pEOs9>;wb^QM)39dbWh&q#|&iL{S4WN;o8>q-5pgP0Y=qUefYZF$wjOZl%|s9+-8y(GA64keLKq1_Br3KQrnYvT z_WeNo*hk*~`pxLV;@987t*5!In_F;}?O6n>B`u*^5-z*&27ojYC6_#H(1Hi|8^!+m zb&SsNoBfkR^v}wMBLDwNt`$+$wBgO;!$9egyM)p7^s^!E4@M9}xER5|3ds9W26i&o zp@pKcry5bS>%pOL*j&gvk9O}~mpEp04E#R=H=IQ|(dTC0!+h4+6DDLC9dGu86zvNd z%WN<_`hRHQ|G>rnze_Yf&HprPro0j5U3~uXq^SIH1Y0ATOxn@1*-R|< z`^SEr-lcM>;Uut(mmY81a_RP?CxcO6aNp*-Z-&&#O3mtxoKQW8iYB=Svfb|B&sq4Hw=@|XbWS27gp5t+ewZ88jkjI~Kia`VvhC^652?p^vn0iiT0nX|$z}|oV`;Re&?r~q#_Ix1R=~gh8UANaS_H<`ih1DOVr8}6@RK|_upMCd; z>Z67C6_=jzipQKdAV) zVE%OdI<20-enqaZsB(dh*SLcp;oGHz;ew3B*R!B!U7w2wV2YjTaFwSrZ)*DbR`qf+ zV{LKyNZ!yQ2{TMETW+nsN>YYxr|qR!@Bc+4S7x*0d4RCz;=cdWD>TwiLEes>L`?)# zD;cuBt6b8fPNExdw42A}FK%2SecZJ_@D@-h62;q7TpM@1 zm||Z>7Xb=J9}gc77v`ApoaDV?|0eXzAXWbTm)n&$b*$BR`nH(C4+oCmXC3Bt^ybN~ z%Zf{H#91)%y-oX`_gw8YnN?%i02vWHFJ?{LSY`LAV_8C6=_0&_DpZ9B4@_URSwDQw za1-d?)LLOYuZBk9xs%S!Lz=29G{ZtDkD(R(U`}al=K}+1B^FV@nk}PArcw0K*9rW= zCT^fA*XVrQ^5Nh^yB?+{APYCUXv+Oq>`ILAT*}*@D=%@V!rLpOR+FmFDM+onumJMW zvYrV^_o4w>8`lpk^H=&W0|F<`e8ETy;>{FP2cDpuJ2p~v;&QSRKHobs(-Wht+}q!N zq|TGo?eSZN&tv=m&t*7!{Hj(vD{C})-)m1q%pZ=s1>W?z@pS0mXY|kLhYVa`=H$3+ z+WlEX@xchjm*!R36L}$7+eo3{BumTxR9gOvX#U3||BGk-$7BDm^_d-iSY|PbWx}_} zzk)z;_g>UrK_Dn7gcl_L0z?6P`}`{q1@PMPUx6sV!NvP45Cvzsxc>@7fhz2B{2LJc z!!ny^;}N6<1ut7st2WfC9kuG1rTcFz(^zVnkT+U!@JHK$W=Q9n8AX7h>GDJy)@Y$> zaO6F+EKoFH9hn@#bYChsL+cxzU&n>Sl~*pLRu5x?@C7-SXC(nezV?mxGy%AudQNor zC`fc7GuMqM$k{_(bU#;McmW8!*h*W^|HY*-@b$!|-w#)*q;Wl~FAj4ouC7$%CaV@$q>73-f8$Gg zdymAVnZwPfFXN8lUFDAWI;>ZWtr-Z*fi2eOi*+iPcvp0YmQ&KbnG8Pm~s0-*JW1wtnY$6u!2}kLrfyM3>b| z+n|}w*Q9mX5AjT+!OA0l{{rpD$IT}-3*B7L!_J-P4yOT3ftiY3qD2u!4jk{Z?z)vM z+OauT%Kh(#xMyKDuRqBGqual#W=5}uv4pm!d;&Id^QvFNDi=#+&h!xt%TfH$0~J%f zrko#|3^CNsF;_9A6)<16_o&}*h|GFR7y0ZOb38bqNJJMecb~7`Jj9D$edE5IA%mYr z%zEj|ghTy33r2J>-K#7Af2#B+KdqFfoqg2Vk!ew@)T^c{AdQwYeahb} zhjQ+OH|=#iBjxOVxzg^Vj0LG8oJVxK8$bj9L`?m>?9(SWX1QTcYedUR3^lm71^0Td zZ1?r|AAJW``^!ds2b^zfmXDvyYj?_HDGsJ$=D+j(+PZ1QWordau>6_dCxL_8_tRyu z3CX~*ibT9R-GGIquF?xK^OsCKuY!d0ByZ{R5v$SB7lcN|q+I6lgqSeOHJZi3PKaRJ zq7c;5iRZA8XItvNW;>D6Q5>S7=Z!da)uy|b^ky79h@Au-vLD30%`ldYu(x=OV_qEM zER22<$`&>D_{?D09fhCoKv8s_HC1EZFM|^_mQZgRH_R`zKk8JM%0wN1)Gik}se%{N z3q7Qo4l)O67sI-Vw+MX0J#EJHd2p6qy}O?-#DIWen|?vZk7bfPwQ4lasDnCKv?XR4 z^&|~FuqPj}GchLvea{}=h-z0s?y94{`ud-5@g%oGr7vy2m!)5ryUsSo3E2G4AQz5Z za|0r~Ok&29Np?okEyytsXc_n$4W9n<*hP_92_x9mcE9b{mV~eY_ z%mF=9M+8(NGMeEp8vC~B0Eh4eZSSJi+3%R#GM8#z4Sl>>@CDbQiB#X%IxBw^>d>;@ zW45?ez4B-oWb~{;qgOZa?Mc1K7BQ!kp>~*+Z1x#k3|LrU;PB4tn#3Y^3M;Md-@Ksi z6q8=n(Eo*j@7fI);QfGsNmoC-?8DD9>PFtFU1Q|e?L7T+M&5m4RQ91%xC9T50X%1airC~PC(|)F+WqK3qqFy=rO`9R$5J{+_@0r;>wY)80d1t}MDkbL4&J?=h zt&p7jBWf89U}jnSQQOct_ti8uHJ4IA=)IKkpJ!CvIuDP?Ik?Z+1%rf~n!e%2t&{5x z&VDiVt%nQ`nr2r%&2!1uw#3wV?Xdz)y*e_59+6HW*?`=Zg_T`nDAug+(A>B7bD}F$LJU0lV{8v9_Dl&Uv#Xs1yj1@BvJw053v)) zY*o{l&JPKrD>+mp*HW5&WpI4_^gSRFFLwLh^rW-{OW~UT!-D5;#y{=i60mY98hS=1 zWIrncFFRpF5})uDldR{pqo2-7U4Gs>_hk(m*YHMscFp!KAs~^v{WzzzvhxEAhoViu z0}?Jl33=4;7u=GL1GiAm^@gcK^~L77#*xHT$!`UK?bT9*{AC6!_d1wHJY0%*jt5H1*4RJZlgv4*8Jx0Bw> z%AF-*(7VySynW365$HKCkZc(>FrQa8*tvQmcxat8K5GDniBrPRD)IVui4iEP?3gb; zyF5l|U!-d77Z`IA8JAT_c24@zouHSictpZLO!k83%2`nrGuvr2;`q|4&Iw)>qojt8 z;kR2fJkqv7AokTF7QOH}lYp=(BI)2b1s>y_>ro6WZYwSxkr_n;1c2h4l4v@GfO9JL zO)<^16ABd_B8@<{zGZ6W6@IHPyDlKOXMlk#=}AM|kDP*Ec&zTxdE*}r&MUfv<}PCh z%jj7H`^@KK?`J=+i>kXnc~RfCfX^XsXzG#ueq&F});WEC8LW|tUGm}-btIyCj)~JQ zPK%*fWE&V3lOUn@(c0;LYy&u8l#0y8AWm3dk<_}87T-+WTsM9r^#0T59~PmqJEsjy znc4V6KS<4bGfg6TK_ekgT>0{iJ9pvBgZ7P=O#~_?z}0VpS=BM8((BDC;dx;6xZ9?BstPfLAhV3H;)I|nD!MJmH_3KwCNButWorfJ9&Q9f-g zE!`^yo?dXgiH|~ zY9lQXB1jZrolou9_^CO2A{YuI;W7#5nS#r7HiCspkNh|Tks8!Ro+=}uFmi6;%gXN% zNjy9}RM`yybZDcpGg0bK6fl*Nk~$ZRV4R2kY&eY!oD%9Gv3~or;SB|Nry}&@wkA{w=g9Fq)~7Z_hx?cxp_aIzaVr!)=k{ zS#0QVn}vNeO9)yU0*j0g1i`RG5X@`@!Gd$5{OQ>6T!WB^7iud`GbEuJ5>;^n-Dgk^ ziE~c+w>cXU&1(#LFs`cwz%0dbQmosFs- zPkI=P5JK_@nPXaJU43&)>qG=vd;1<*JkkkwrVGx}9gHA7Z3xnvjUcb!4?L~*85n;3 z_P72K?kbjOT+sUi2$|6dA{h)&5N^!Fau%sgaNs+ zIeP-okmcDEb_Dq{`wr=O^U$X(#{t~Rt!Czsj&JbJ1UY705Nb$TN1{*M~-zibKSrZg_|NaI}T89p$_ z5x8k){_*;*zFi%DdBO96 zm*BeHle-O5ejmzmi$~K928+`2y^5_b7_%g{DyrOi%y}Cmwo`WtUB0{Qrgr~}k#js( zN0Zr)g=~X`WkZ+Q{ZOq$@Y)i`W8UdGhtFoTK_R+Ut{PeyrgyumffAdar1A^FAW(KQ zJ9>J)?V(>iG3S~47r(yaTFY-5N;EgUpz@Q%vk4z`cU7F5z8Lh0nZ3^=rKus=K9_KQ zXT(xPd--kR;91iJKvZtBsg!1qMeaVc(0wc!$)=o>A`P`$dZ2Hc z_3l1J2kjkjIcmd^Drn)?T7KpkGu9HEnRE$9gE<8jXcnB|vQQra`5sPUTl7^@gftAF zj;W2iCOEw?${z-`I4ystOn6|a113GtaT$h*&Z*_Ik=nCvx~8>^9VdLo$E7a5=5j~x zNEK`YnG`D1+`;=tYwWr@8TBELgR81J zx|@OH%Cndl>lQ)qU8v(i%rDJ*0@IsQHt9^=^DA2-8v|7N%@SuG$F;w$0X{&jGZGLW5W|6EkGYUxoUMXG(Y>lcvL8kK1>y z${-ylQqbMEm1fR+HUF0t8+}Y`Ee;&D9b#Z%7$r`$_x#>BAApS_MF+^JZq^{NQ^V- z*J`O>(R)pL^?v{7bBxi;pqw^v<-6F}o){ptlfF6^&jb)t`*PF|UI z`t8es!?4#AZMQ~CP0E$a-*^)Wlat1<=XS_dx_@S*V0xz|1}x$+U*5LRczW%lN{-#l zI{V88FY;Nx;$ZM{rpo)7ig_?>bWHOIoiBF3;JWWOwil=QqSk+Rd7oZUv&5_t{lC2; z{)=7ymsiC9MKAx`mj6;?{>PRr|E|Vd`$I5MPIGzqQR=Vw4=6!f#^3NCuz}guf5V_4 zJR5ek0O7=pr8u|%lr+40+>|(R}2a;u}~jP{_im;>TLiK>Xn^tsFpFcirZPtlC=~@s&BQV9+Dux?U;>zOB$r#T1*ON(Q;Y0EyQqs z$^N5QGS9uYg9a^pmtuAdJ9!&Ce9d{HoNr?3ZS%f9)Kl^NrJv+`S9&ZrD()LFUGSvj z1c6H#NI$8qu$T1G_uei1;L5dz$0t5Z3DWGzoXz2|658asGD~~gH>wMUF@}t@m_;RG zn^qk!$ms8d#^W0n)cpc0-=2TEzjHMwbN0^!Z|2X(Ugr`uaTmrU)>gVDM${X*03oF+aCJ`p~akHt4PD$c13JzX;^ z;x|xoFa}g3=V@G&eqK-t%}D>hIC~4QsJe#lcW4BpOKQ;q64FSAGzbU?2q>vYC?!aD zODmu>m^31Sgwow1NJxluiIn778}H}&-uHR#@4n7C>$+wm!|Xk?_k??X>mL;{N*P?! z3<_kP-*g;jTNf1^sZKzc?cL{cS#?g7ZJKs|jgRy%=sjC+`Jy=bBM0_ONes~n1Ss7dWoKe)4Y>2scs{)zkmA2jC^6R` z#Sa$pddSh^DVm+^*soAsbD>%C9-Hx<-(ZclRbZ_v>Qvg!?C#Vr6Fpw?&eF>im+ZXa zl?^%E=NN#HU&{mAuNn&p6Mdm~KbbwEdF!8hl+dcUyLQDSAZU*g%r+N4rAO-pr5A>p zGrc0!*=84-B8zWe)7IJNYSoW%0o$TFz4_5jtm|Uq;$j?@m#R_fy~k=3k#kKN4Ho_U z4}cb<%8R&A>|)~GN%&RR@H65{59UOVK0BTQk}Y{M%fPXrZ<)rAg^Eoa#Yn|eWG|f%ag68EABFBd1Cm_I zd^$0e*5d;C^4B_&2;MciaBK6S1%@5hI_tOxzXvDRyI0cpyVOvz_gm*G6Hi$EpRl|i zg>N5fZ}sl9*M5M0Qt+_97^{rgZgG3f>GbkJ`U9GFTK8g|l~@ z?W)}G{lOj$zP`r%hP%oQi_x;=H{bE*!$WCT9`9DMezmq62?_`OhMh+t9g;jOmsM(I z`!+5-WTd8-+bP89VH9V~Bw(Zl>>Rr_rORO}r)e|S4RqdkO2%)EJ4_sE3cR^ZzPqymeUQEp3~r5SJQl*I%C!JTMp3rk~*fQ3-f%h-N8K2vG_4a z`HXEf!S?%|Qbn>E*|1#THoQx1#bl%VDkc6c{+lW8Im7i4$^78}*RM~sU0uA{!64aB z3_i)|f*KsU=(>p`V)A%8+#6LX@GyQ80Da z#eI|paEs`~CcMT{jZVqxLD3uK6jXGAOUha|>^(DIzO9^H$Dp`)Nx>xeh(_a@uHmh; zoTAT{MS!uHZAcR)%p6QAS@(M)lVeuMtDWA(x@uEzk8KzPef9KI_h)gYIbRy|*)30v6rMWONzT46Ck zaZNL+3|Cs2TixO+y%)fB6mB+3TPk7}Z=XWtLqMx(W9Jjr{garQ%f>hQRS9Szq>D-{ z8u}%ys;h7P>`i{t<{_s?7RwDgf9M9r!KVT$<8de05_Z0kG4Bqz?p2aVe`mO?cPli$ zVx8whd+>)-5SDx*=<~6PcVoVzx~+%&s$Ef6?>T<|S7j>~M680t%fX7Ia?Z!j!P!$n z_pqlK9TS@mDkZe_VkT8IOxioCvfcx&yD=RLblb2faggrU^`*s&niS_v&1@sydq1xq zM;Gu13qv38zc!qczNxg1+gjk(y|}X{a4+$wXqrb^Lrc%l1~^3~HcVLiWxXCI7FNCM z9=Io~Yi#=NlZ5=Ux~0u+et8(ftrV7vrnPoC)dqoyVN*-LrH1c=&DfaqC8}F4KBy6}3~D&S z;!@2j1>C|w%(K34@Vu~`T13J+hoY`qb=|i)IPIhBvO&kAkyk$pXgM7cI~Li+^~~#! zNrYrHECS<;uSNR?&L6SJDsuMB0CINiTLaTO7$lrFar>z18PCFs!$2Im~10C)}glGjiXj6jMed1CARq zTLtH?`vvw(+%yZTZd*kcNlv5W_kTCPdXAPgw-a=KTLXNOI)UlmSK0Z#%^q?o`mShr zJ~b_&*NObhbAt&Sy*0gHeA_PS$*^M#Zg7T$TUlsKWA{AjTske;Q&NRQ&^l-+na{*( z73tX7yS;}gn)rHwUV0v%L|jGlo0bWtx!dX7J$H?-P8(th}}v6eg$Ybt9kG zCABOXK0g2&7KM!QiRR1F&uy3_EQ-_ES66yNbf1mlH*D=tFeuyyGlWX2njV2XDV2D! z3li!E7#ihkX1>w+9g9DSi<^I<^GG~|?%9Uf zS!`IujkvWTf|-X!Gz)MbqQ}LhX%q^z46j`=L(w6k$2C|zz5x@Ne(fHTlfy3VKW1$@ zI(irx$N&cb0NUpzkd7OKO=h7`%5ZHJeKs29o^9#%HwD_#-<{Tc}5 z-;X~E2dW~^bdgyo0OhOH7ho~>UwM+SgdCAX!VJx;N-C=aknrLFw{kxV zg?a#2JEN2cr#urv{?+mG(A^!%Az@VL^HlWIv<&#@|9G{b8uF~$=M^-k7q&n!3CEv; z8`y@8j>7sAAPKiV0PkfGGT$LMpnv_Z3{AM5(Xok%85k!COS$1mJqn(?Bbky=8VQp$ zA)v&;!X@HCM3J!2{ZA1$0`&xtV<-qWD+D(y3@;*ZOMjZkuRsYXVq2G1F#I3L63LYW zNaGFs`UAiJK+C$Fvuj9rRLq%c8{$d?SYjZ??X17ll65F7#Jl}832r?ZUQ*!Y8GN}t zf1Z||3uTk_4F@QyXY_Qil>Cor8|fqO?C$GZ-hkr|xBTUQmMn?df$Q$V%bpnu9m*u( zV}${lkkmq@B-~#N3`k<>pic6yd<3MFoC@hBhk|2H$Sb+Ic`ggWY6N8H`J*r)tweAo z${-7cGR#6 zY6e$&X>eknz7`F(26%JJrh-rvCUDszy64bW<#s-7>F(^emTNQ^`;n5y3kbIT(?5B* zy?CQr(8WW1+>)cbr+@mGX8qece7VVsD^frM(@gN|Nl>Csa<{BAxLp9=8!}X}PaPZk z>Ms~ZhY$m!+T5}S0VGpjZdV>KsG`%OiSWkLycmAHPWs>_)%6D{ATu^>fc5CrK@?}C zcFi{K0H4YE?YCGFeH^NxvB7r^Md5^Z*z)3NNh*DoW{g9-`l0=;i4z(tO7T(L3ij-e zqZ(kPMW~)$0_!+&ei(g1lM%gML~gMA-f4BhiEk7~3RM-rnJDE{o(rfUIe(=}nSPIBO;kj3yw6zh z`GGeMk8i2KFz~tx=bI|9=#)1#YYJnCQYd!jTC}+&`_@=jTIBM3F!Cf;fcKl;c69le zJUt&iPXl|FXyixHTM0kDsgR91Uk8K6)^lq=ld{Ii(n4#6&94yc$5eGa+sCRt%s>-; z?tKbyg$<~NkDU8u4J^2AyI+D&JLPSs+_E>(e9ck5N>SLrL#&R%)sQ3qnc`e+Ex*;R zq+2rBeYO&u%1ce(I|}cl0NwY|wd^SUVuEYq$;Ge7JBjw&6f{{sx<&>bnQn6DKL)fu z1rPmJJ~S_4n%WmAFv^GGHtAgW6qzJGZKVAEfSC~xzV6dZ{if)})^(M*ZA@80d`jw# zE7{$PR$A9c@X7rI;HWl1uy0 z%`fSzmJ^E!w3#a_(UQB|1!;sXM4eAFA?6mnIb6F=R_+#d0i#047=)j*leKNvVd-hg z&es{^OE>B=5!Y>tAL2L_5K_2gQ9b5(w}|}h27%2 zP?XCC=nQwf_T6g@Qs@?4MMWC-KV=*D8Vl%NsoLUgr}`@E0i=>_+tg}BuW<~#D-$nu zDUN%yDiC2f8_HR;d3dCzwg8$)g}iR26x9r-QjJPAwp}HOntLuQWgSgoz3(7YEZq(c zzlv*mF=#&&`TosycB~A?qrLMUn*Y6mgm&=dv+<8H5WDb1|A?wauGJU^_sz}}>1}Lk zQQO-R5AeDRZa*%pH38nY?6_s(p3)H z(!(|!HvNweU6_mcFXH)Me>wZC{o_9WufP4jR%`w*);R;`pZ^II0witwpFkmiR@#3A zrT`|{{39?0<`$O!2uuOYA^JyP3Xl_|e*&hUz5zB?{sS=mi*>fZ|0Yqb2;po)7VXHQ z16g!VKlwZ0dFdHHZM=|LYSVt4qp`67mHWILF3?SBiBOAfLOobYs@AZ z`%?!jH{ZU`s3e|}AudXw;Rj`db8S!D^e)sVe8yw+k{D5~>T4q|-LmqG|d;7(DY+etw!7Xk0&Q(bhNnTb-d&7UDh zY~dF7Y_~i#?P`2)HH0v)Vz_{}gmTW*(H8w>?mGR(Bx+Xf(^P%@Igb=>31%oMN5}Yr zwu{(4*i7Y74zDKIo*A@;Tl1fKG%{3*hEV!ojjP`@0)F`+l|95gk0_!EvzcdgRLZ{S zh&1Y6^0YD@iFn!FHw>(uRy6#NrUml_^jEar2k01 z);RIG$m{b){os0I`{&-mf&8}&{0wo=W>&t`HjvSlIH2u6@_?SsF~0^MeZGnbp! z#K)B1Q|if#JE;nhLNhXos9svY)x8>mp}!Zc=KLKqO^bDZ_|C^%6#Yoonta?kbCb^# z;59?ro6OVwh*L^t*;P9HUUP7(adG_NqEF{a-atT^AUGmNAH)`reNt*%itX6vCG0-8 zr7V$Y{M``}RX!^W8XAsPEr{!v^Bi1bQh%oHO*Kiqlv8t?IpaxY8u+SdJb zGoL`M=%60zC7?MazL6I3gO8{^Uij67^{f>L`Sr9iew|+CE9KZv6F+b@?R{vax#Eu> zIZi+ChSZ`(vE*coO}OdjU-VCyth$Z{rcDS>hYXBWa141rKOOtHbaUgbM^p8KwRN;y zW4fI!0Wi#ew^LlmECcJ&ML{v)CpmGAzC@yj8JdUcI_4-ZT(39gJ5rMo) z`w7+!=c`S2T>QC3yFap7y(6l@?8*@)5KuG=t83?T3CYg;Ma}f4qN=@natb}Md6roh z_}49ERu7j4gqh>`jsI9@V!uD~ZB9^Y*T-K$$t(=R9>iqo$3LTI9ru3y+40raW&K+o zez^w(B&^aVAG^2kSdD>ISivC%38Sc$Pe>dC>qQ+$XLsNDqW7JR zZ+pJVqionBWCVPN8~X4VZYCDjwM-qH64HwJ2Pb4VOmWy}=6|B(mDC1Cx2ytQ4R7$b z_6$v9Fv@52;&Kb6<#zSrTz^s;X3(MlJ}NcxxAEpOHeq(4jgr=~CuCel)zxnus!z%PD?fa8?PDj=!AbOYO zW?{o&s?`WJpOABCxrjD3A3_G+wBn4W*d3Z|d`gdP+tZRC_s~p+Dz#_J= z`8<6wCZT>{=!gI?NXWVR1&eCfR|W>h-E}zS!6nsmvdcUCxFzPjch8X6ES^*&HPDFHm-#|G19b@*L&QaSDk_4XQuAFi;?I+t3S=(uVlPIrWt7|fSAHA|| zLd(p(w(IEBGbwkreB#rn%$%S1Ful{Ho(m(?619iV{op_6q^WPIejZ1vgp-!ULVyA!!Zw z@RmLfb)CGNU_$1);wJq+zy zj9f_Z=h3AdY#MMWJhSoZuAHvT`<_1NIVYxIAn8#RSFW<+MI&Gv9T6 z>!!G&XRtQ@k%U2L>?FO*$J${AwxFjuZyGw|J7yO#aId;V7l1`N4g(vX#QdLxBwTh6 zL&Gy)%^!;ynLX~BW6<^p+_(TFt=xmkdl=YdQ=BwnLXafE<`dHFkXF}q-&lH zidZzQiYB)yUSq!>XOVF_@b~pSSc!}D42+maaC_g}1HLV-$(r2u3`u{Z`8fVb_IEM{ zW}z$cH%!w?r(x7;~nktV4mns3qip6!;gVRZ>z@gC;I}Sj-Iv;@?K{?wy_SVabmx?D%o>d-_LG3D?bl zBhw!$Dv=#VB$cR~Iut6m4uuO9m58SD`)!MXb%CxWMQr8;Km;b zm)I6!^m-5#i*eGov~W4eKxdd~hb7M6z1XdVOx)y6qDb930{gE2Q8i!|Gt<0rEUV)S=K}=z$pu z3(-tIjy6M`M<^^J7%e-4knkyi3=&dEM39WoM;O(LkjK`^=@}&I0M^`N%+Jp+WT7z6 zus^(mWq1cG$n(1jHw&>p1pn~b4VWK$mY|75XYQZC;8qwwLVdvuWu-_=2L}>T%gD^e z&H)n-&Zs|JN6id@{^$_;BMVLV+ckKtRviihNqq1};X=vijhn`gJUp!QF}>hen?6DX zWKRD?Ye<>iLPJ4u=Ov_D$PLx%@;8KX2hH==+0|wLUu2zSr&!<3{*!?IZ&{~%`Cps; zFF{cq+Q0jP{!WPW@@%~d)ZeTJu54k*qG^CD^-IHk*LEn+rM;yuCgsD31F;S1VWy1F zfnLsL@aEe~2~=r6q}<9kbkd^_m@+6+BYr<)@?`x)BL}Xcy~i5l{uowZ|43Im_(NCU z1Y5i8dO)zZxQ$cn`>-eA_RE0Ww_!F0*u`^7`=ga7$Pg+e$T{B=&ZteO-!O z$i35)UeB!5i5VBj@(vBDGxqj{*3jP3YN^#K#>jY(_+#KAUY*9Qcc%_D3~e^2q@~JO z8mhnkt`IYxw~2CSrnrOjM{wfpW}}tNJD>sk+`ugjU3L>n>{7a!5`pcl#GSB$b|nf^ zQ?#xd_n3fnieLG5F=x}w*%Ii$DtzpwCWWbEf)~L1ChhIY?1ClWRBPD1R(bb_doOBe zj1!L^SJCd~Tk)wqMJ#r!2jvx{AbISgCGJGX)Hz_7{6TtA;w0+SrCxLY8aA2wQQEJD zHP91OBBv}z_CVDIn=kq+-4BZ2>XKLu(b}7LqvDP``oDl5b+-E_1)*aNPM??yzrB-L z5#W+ruR2oLsEf(FW#(TABBD7oPc8;W+E*-}=UTDrlSN(YFxOhu6MJ)`AxVEWi{AXxXhrV{ z{jh90&$HO3z=Sj~Qkn87XE#X9C)wC(>rHc;!%4*&U&3I$O|(~_JSPo0cqbAxlQx~) zW`FPLFGBp&S&5kAblFQHRNAr)KYc>G9f8|A$Ms3AE@5G2AKA(~i`7nS9U%!Zb%qAC zlx7c~_iq4nLmOU^Vd2v2KQCd9OLzZJYkf?ab9$G}Sn3;*(uAT7sN%6%V|O!LLyx@K z8E2!CRnw`M(CN`RBr}gq#gws(3Glda%F5q~zv#BQxj!ej;mrOdIh(*qc3OMg*k5Gl znh#j#OtiFc=pA=14c+!()eEux(tr6E9lDPgp`3y5w-+LynofL>QB<(@T};Q?a&9TSY+C#0$ZPQ!PFfP&^xFEr#{kWf zw3+cz!x=z~`%Kbgxo;&;sH7uA;mtLcmHJ2tAHB|l?cZMRXDW@X+L;{zr)$T)K^ zPMBjWou&^C%lS2$U91#%zj#%piKxF`^W`HDCe!@#(!J*n_n94^omV9bGd?D7dStyl zdF6w5>Ophh1CX^aN?`t}ODc?mCjj%_wc^cKC-r+Hiir+NsUPHTPfmgJg67{oe8D^~ zdH-S4dE=w15&=Q?3o)@Hp=^16+)rl~fmgw~&lZdBpR4vU?4q>1=x^nD2s?CaKlLcy zk7U}=tN{9^#*s7F{`Wm8jyDSYybn0U@M73CBRvE^%~3j>XFmXQ>Msf{%S1+N$KqN% zh!4?OJ{2shNR@g$!{QRq^Q8hH;8%qdh1K_G0h1qizCFA_*v%7J_etrwr}z}@{e#b0 zaK{2!e2 zZ&v;{>HM!X|4Y|NNBO549TWbP$;iz5M^Ff0SouGKLhudd=KK>d1t+Jde*~t00l@Qs ze*mUHT1NICfhnxQ{=EiU1#UCA*>=q;AS_n=s^~}$f9pr=kHkO zfPikV&t(r44v{I4ugqh2f4^r^Sh-O^$0DEtOPE#$T)f&mK%I${SxX0T~h^YjGhdeCrD%RhJ7N>#^#OdmI8eht-~yIbT6XQIPS_{@iF< z1W#1WoJXIh;ozDLZ6}tnzo7H&U%wqzRID^qWZSc@Z?0$`y0_pX$(ZreC#w-rWB^JFv;Dusr|ad?n+e zwN5dxWv0B7W-G%%w?v)RePB7+hPOy^_#aA=|wv7k}o787wU-kDT1k#P9 zi|%YWP%J%MTknY$>i8m-0XpsVQ_jitf4?KqHjyz+`8fkkY@q*k(S+{Ll|Y?QsrSI_ zRCV`pp`sbt1^rAd#U9mN>QYT3?{`XWe5NYH{_!iIUFZjXVTSSBACY!N7*q8vd$Ho0 zA&iGsro`?q{KTwwfFQ}&yeYv{p_$RTKo6QaN`tMZ0>9r3Tl{+A`$5&%!Vs7Pb|2iR zOV8i^a=%$6(KH`k>~G-inYM;mW3KFMrep*3v#1TQnr-zCqdZ>hY@NTtj1#ul*61u1 zWMs{x&f@9|iZNX@UQ)NU#io+RXB7=tzubR_QX&ndN%ce9zceA`08&`)1E~+c%4btd z2`LWQ5}yRDhlQ>;xTy|bzVgCJ&I^$4bxk;u2b8AaV6)XOGu}JZvY3c`tyJ^6-&lp! zz48+a+HI{2%6b>}=WennvU z`L}91zd%vBWe=_rZM|y5s2)=t0m%sc*FYr((-9v~yQ=F}7D2P&av|LKa^Mx4e)aWB zfw#7k$j9g|58`GGgOwN7A`0>)MR)7uUeU?r(hGg?pd9~z+ppmyrxem6Vh$c(ul~9I zfz8x5Sc17qT|-MOwl6N(j$m`?k-v6N0~HIXA55I&c)%x*i#}NSV~Tb5+vVrCaIF-c zQMFCI)QPu!1#&BRPeZADHcOWZy$hN9*Kg2Er-7p1WPXOuZ$|G-(gTlh&BNSXS=`Rw zS+8~-XIMD2xcCE6(M8gj8R54>$aBDaB&(QOnan^0HNR(a{-H3329<(~Pto&_=AWu& zQ{IY!fHs%W16FU>+&lXw2bjI`QZe{$nM70=!^<26;YuoPpzWH|ND|-I?pVn;4I(;m z_n+yq&3X#0osYnMJC&DWBnOCM&OehaltO=K_wxEL@`Yq~YHAOOlR*x9FJ*~I$7_rr z+E|pET&bAEC}+I2+=O0yB?pK&T!tqjh=p2U#M+4vP)? zcH}tv!->hV$8ihogP<83@1ywIs>Yr3xgYmbY@VCW;IO?wxw1=5{R(?qV5b3Y`LU&7 z-!&8~8oPh_yNzi4DL%ukA_s{jY0a<1@|!9hK=*ei*(KUCIoY3F8?qg0#Ho#XzkcL~ zlW#|bJq@kiTn_T3GS<1^(j4R#0(QHOnHaTN1_3Vq9d}s`=6V(8&p*7;>4ZBPQ8_&Nf zhZ@9iH$+mHS7=BZ)k4Yc z4cH#M;tJb&elUJuydgzeV8F(T*)u6Y=T4Yvo0n9Atq87f1}9Xo3ur|bRKyfkl!Qc? z+^8qGKORrHiJjMD-qxVZh$swntZFYMRaLB30 zEohW48tW>LZqMEzXH&AewnitsqSY9kN6dLc5tKaUWvOd)r{K^Et|J=Qx6?wY-7QZa zX8QG2oK2LyxeYuM%4FwG%w5m54Nwj(_jzNSjdrifx%4-u!b@#O_s?^HUk=MDnS^Rp z+o_7YdGKbns_N5W)W$`*;b&0^*^d+FfjcWqDoTlx10h0pMa=b_1CQ#f)CUgUpCZ*T&r%E=m+FN;oNx?hnT_q{YjV#A z$jqxZcwyV^t2*!`u9r#QY~%8mwht5nHS14#m>!StC_aqf42_Yn-MCD9f#W-SKDT{J zJ^t)5oLxJ_c(6h)s~nhDrEFI$W?X}LNzkuaXvEsa{SyJ!t|(AG#WwW#&!;Paf z@KUbpI@g!;EEOAjV>1hNXcwgJdD64Wo}9?tn#NGms{uF%`ekNG4p$yhpf&RrR|$|( zT`zBQYZ)l~OiH?BI>rnPLS48_hlV_^PdP6J1Zee`XS1i5|sjgP_Ni5n7JIYSuUebGWm%;d6HwaJme%p-W`eAs2Syu0%H*JZl7hi$4 z^QG~Oc{+R|mt>fq^-(8kNx_E5$z%;hbw#LZaIfi<@V?6e_t&{iZH*C7Y<@Ry%>*h) zuYM6K?bXe!41D;~w(P-iKp4)aDsdM_u)g$g*IQ7{Ch73minN0T&yrJN$3XOxlDR_m z!5y}%x**!Mu$4&hgh?@|O}ctmh^I4-P(xqkaYL0}DeW`yMiZbRb1>YlV6*=2j_@9# zRDf}ayMA9Uu0b=r=Ma=B;i_3U;_>e(Dtt1?06q20! z0+3g~XNSNd>TUtYRm7SeE(y;l*`h14%o<0SL`x$m|r&hwK#ir)gAz1GI3u&81LK7i{ zI|9eYXy`2LoLt=e2vURW7MhU_jf@cw_U+(^$XHaO*%#Pc^syR2V$h%ufmq!FjFS3! zfd-~{!hr}{i5Xc~xOh}mH8c&*VmZCM&5cM9RVpG=$jFBfw*=9an$rNp2M>=9!fI#! z$Z3%Xe&Vy@KwQVY3uA9 z@CBHMj4&e7XCH7wu*k0m<5SHL3mTLwLOuxHeA`eTEeI%P=jJxfpJj%Ul95whkh`j= za{qx7R5qRoU12}Ghi7nR&Wl(1@5;;%(1wNr_QA-=R}7@eofLMmBI%o=2(6Zs)Pp+& zRit)L0DOASipLReJ5sX_E7qGkyL)?)uAbff{e7ylZX!hLd3*x15!l(;xp*#%>*yN< ztfOxFHWA;tZ4m_r#GsYXDGqt38OnTz99rZ*L$bTNxwW;udq_%2gY-+ypiXEI$uh$J zA+1Gx?yP)j>X1t6>ghl7u(U(-@wGIkM4GV>>>3^oYg|j-l?{y}bbiXO8ui@*mkiQ) zNKO}4*Ee8vDmgg?6@#>l1qpy{PG{i^XR`@vx=t<+GqeAa_P&A@Oa%iIlNeVmwP0h^!YGNUN?mz}?ZR+YC`MR_B`}hYT!Ep52x`ZtVj!M%MAU)->#0+CZ&@d4!XpzQsJif_nk`^WM@pJkC5_Nh7Mx9QpLjCSEFA{bt2Nk^27omiA zS{{YkpN5S0_w;2H>R_4=g*t@lR@gixhBQwZA<+w5Nc4gzYEKFuIuSYGQ~}b2i!qIZ zLSbg1rloSv=)xID`1hg$?H7kOa{VmY-Z|0fh`+T4M?^_8$R1L?;f8gPh&;N71f2&{rW<5Yj#aU=OwKd%l*Ze`e zEI|D6msne`4}xT?3|Mdd9?8^$cPXEB`Lzvc@;z)Pgl|95Ca3aGtGvu%x83w%l7S1$ z9Hc5Hxef<))hD->lK$|yZeem?5?!Jg=aG4a?2YG_$4fwrkJVPU8MdpUk=gneydk;Z zE@>GrzX@T`DvIf?)VB-<=-cz!esSh*GCV_z@GGg{P5*7e0Pb zKOQ!5rBv|jq9(eR8NXBc_EOuX3_d>0JW96dL>@7^VQ;MCY^ z6SMhZek*Xz;#}100=GWj&Yyx{OWRv2_?7sYJ-y;nv6}tF@_JTx&#&St-m@hJRRae1 zfh^i8TRyL_;}Ey=|zmf5pq z9rcxj7WNjVSntpEXLJJFp~+7nc7!_e;#fCb$vl43elD3AzYP4vk30@|ub9SwdQ(oz zkg49udSRyZ(P$F;d%fPnapzu9aW!I0@ZWLw0=)t+%O1Yuu&12#j|r6X;xhl4^C|Z= zam0@8*s|I#+9hx?PusFh|MZvk=hUD_L_?cpr35;ZRnJV`FDie%{;@j;@b0JZNr^5m z>BZbN72X-;v^}wsnUi$BTSxNbxJk5lA29J7w;0?|@O~Na>ND%wJHN0@DdET8wQc1u z?a0bG6~+P8@pCwI;e7hEe2n-$G{TiVe*7fLH?no_j8qXw+vREk!8&X#j}^98wmexw zkzz|Lzq+qs{4l|K>vY2OI?=Ao130U4#b4bgEmT6a@ekpj455@FYqrGs?Su#%Otza1DHZY17Me6<90aAi-Day*>zuJbSvb80vA zH+FWv=QoF=0`1LXqXR8ls0ytIU5f$Gu^*QRT<;S(TyPFr!KVE%*K(h)^CP%M2` znb7`p`qxiC6<6}@Cy}0yt(tOnua>l(8yZRr3jk{)9&&scUpqLkhM$M`;Yjp;pju^` za(bP6H+ih`fgUr+WLKGB6)&Pj&Fs=O@`yYdzfmSG=aB@~PGay`zoXBCU+qd=7p(P7 z`4Sc^iOouhU9x2|J~rfWgyN2?FQj!%fze2`@|=Qgw>s?(>KB{s@mQR$#CZDmLUv}> zJ`hd+J_c{&T+w=bZP-G&{0W8iKEJCTnL6T5m;7dZwSgo_!vJ+a3=krl`s()XGeWrXK45K+zXI;TuZS2=}7vV^Ay3)?1PQyiUU(K6Wd#(wvg^kc4nbNm5VpzSOkU9o`D>j5EUfAD@W- zQo#PrC*s*>>mLp5->vyC&;Ng|d4vY1F8^n%ycOjiHmm`E%D{8Le*~+*3!bk2ImP>X z>pp;|CI1MRL3IuMkM$k{71kH4&e#TKLKVy3#VKE2`~c*NvVGX%*cOBkPY`u zr=n2(2n-%T7K6xQ2w4nI6aF0=Hj6(!CfFt<%toigDNay95zD!tlU$zpL3R8qWhQXm z8t|g=Te=k&)hhbo@%;F$5N#8u&_s^88Z1^(Cq>Hn=r#CwK=*#=Yjs_r-J2RSEo@$E zy%tjZ4||s>dcO0Mh1&aB(=pk0(47qlDe1w?Vt z=wdQa%1IL@*KKl>Rra13T|dVeA71+H9ZL@58+}mrzU}6RcO6zJ-{_{AQx5~u>=aPH zft`lC#pPHztwQ^>P7CF@h{a2H~|u6g^*`k}EJi?*xy0%K)(i*9!lXq0w)G}Y)T zO&uT5;MLe)@~G%>u5W){aq{Nnr(RQ%Y2dMr{mI+*0}b zs>i-cIZdw@^XRVj4EWYXFtl_sM*tT63-axsh$cVYFwW(Zr#+YHo+_G?ptK+qZ7@2u zTLuHMOQJS!J{kJ9CM|Pc*tVE(-h4)QywASUZK>erN&CbJ@EX`ET08aMs%=YXCaWEk z54IcM+;SydtGF(fg7U)~LueMV>Po3p$$g zNNP9kqAHrU8w1e*&y6RdW^M9eOI8c~gLu`HnWHsLluo{-na%lYu41!XfM9j=d(W)_ z>3g5!wPZ5xvZ_1w&}jJ>eT=1D*}RkDTOoKV?& zm5sKX&i3+A(sNZ_s!T~m_b#%qx`WB7jX9R56aMULESJ?nc49jjd#Uic;=YfAgez+ACLyR@8-DX&$uR*io;&%*c7N^Zt3F1nX_lcQ2K18QP(|pO6y(wisl|V>naqDsJ9seW%j3 zc)KRSS$=0Xf91|?JiV3WA`q<_Q0+n@LQK^WhUqIAEB}T}gYa}r#$AS0_0<|dt0Z90 zj>75v{&daIw=cTuFsaE|ZpnhMUFTWSbqn__NwsmXadYXpi^J>T4Po`B$uY*n`NLDS zbep({kAxJ?0pA2oLA%*TYiPXBMb5{@UoU5k&g9FhMaOAGyr*H~i7F%zGY99l6nijJ zs~<`v{k#~$kT>o+wYuo;IEfx|cZJ`J+%y_=98n_}l)1v8WfS*Ixp}r- zbAHC_TGQ_=fRR%EH&ky7U&2RnHU##y88^WjVLr zCPPDD8+_aJm0&^bG`jNjc?FAf424Y9iN#ZvOM%L!v%j#-LE!4X-{Yy`6`dU;pf-om zF?yceFmcwjeBYOVEV&_~6j0xguBgT*BYgI%Y0_c9_J(8nidIvv?3%o(hy7_0aWSA! zeVsZ|F?VF1geCctIB(c4udGz?S9q3yY7t8H?u#K{s|kH_*p_V;&j-i!@{UPJ2Is8e zf4pk5u2Z zdqmfsGj;T;JV>Zw$jmuxVW$~qE&^?{YE!(Kg4VS!wH2GrE4E%N5|VtfaL+z_pCck` zh(;OEaUX#CB+6IASZ?{t3O z;Kh`9n}fw%#`JOWqp;*1Y#?KQ_<)$sajEZ^Db zXxHOuOz_6dfqe{aZy^4mLDYcDx#vsiIzG59!~F_%+peAS(MC1yDv?vHZ7UFY%jf7F z_{yk4Z-}*vTaRhs9D&G#*9{K!q0y(-ZTDxvP1B|ALnejKzoUD}sDp+{h0R@_5Rz98 zZ(XV&RMq&B1PYE7nq2OMOiO*Y3%b`%8Jf{Qq4tn3wc`XldL!t;VbfSL`#fshjC?1x{?52s?m6tTN?F#9E#x@2Ab3vq8QHn>wa-83^lQ`2Mi#K9 z1T_2Y0^VlBx2!24aRVGl?K~1jF)rm}d*r*)s$qOYpOpqrbV0w_!*Sv1THD0lO~M04 zKI7C&_uqBmyo%%=NvLW2fGGrY>}c2zu?Tr@xyBqP`rTd0_J2<6f>v}CcR!)kG-)>l zoDlIV(#aU%xLwZY+UCyxK`I>7sqj_5LdyO@(D*xNXW)F-x18d6;|smcWJ4#yWKmV$ zMoKy@vk`P5jjIzTTW$kyb9u+M|}4wZs_oVm%TP zPs$%3Kug3*$*<_VBBhwSCgK*PplB6Y)iKHo#B{741#F_iS2yr}IR<(&>* zL$^x#sZ7mL2xw-uHHG@KxAD~*rmsz^ea=X#8zS}yJGenx4M$B4KxhyoVUYVV`9Rew z#KSNQbmB~J3hC|-8R+MN(DEuQ4?mN4BB&CII}vf_8Jaw24bCX!y%y-zK4VizlKv%b zUZjI5CI$&O1xQut#)ir@*l(nB=F5j52__jqVn|L!1$Cyt+4bSWM~|Q~6o!m`9pgJI z9fDLa>Dlwt^z{9M0|066nVtRghKZROe86X3arlrSn;37MXrg+v;%sf7hu z*rcumyO!W%eg$=?huDy>!6edikW}Lnzca3K%^Gzl`|E z0AZMGDk=F_(@|O)@>K!qLJ_g+2@36&nK>d<{q)JlA5bEGIu__sXGes>!66~=+eTQ} z96ay~OGvUS!C9_r&Py12kXL}4)ku66qzX|xqV#vl{m!VArAe&GDRWuN4P5l$IUZ=v+VZ$-`DIL&KY6(tL@h+hFzLb53&4;EU%!BWkjF^+Sw5tV zrSGeu6nboI>}0LPXM$!0LInj_Lwn!F#oaR=9_UOz zG#IA#w(l!M!w{sy)C0KhScsz$CZ8dFw}N6~c;ZO%s<}B5UEt>cY!i^0u;i3y|7vY& zg1oh*rKN9cdwXy1gbMuO!mN;|BLhhhfY6nTiDgwC?p9WF5ziX z9-KCT<73UIxrL=Au(Z64yc5=b9#YcMGAKc5In3$RJgfbJwP!ll0epcydgSSiyqKYI zx&XnhP#0b{K0Yx%aE9eDu@LZh9-rb8AkRLGqCHbjU%7HcLgu!Gm6Z*!iT)#mMwmOCM1T`2 zkhy1M!6}ut&aSPkUl(9T?4OiaIJE)?Oo~O|E;7fGg~Eg1QIAnHEUfJ87tI+-ktA3s zW9H=*`F9Fzpg7V&g))Q}BYzYHR5ByO1kS-AU>Ff@TiV!|8<4_0g|q1icmUzMFE;jl zIp#D9g~FO9L7}jx2~a4UX<`%#cltc6FN2D96dv*`KJr%rL{)qq`IT@Q8-*g8zJNjz zBVSxe5IRgcO^rg4A-5w(Cb%f3NnyS+ayzPNahR|?Ede8z5uQvltpt4m)9la~Ff9Xf zmJvG5fcybWE22=0)4C`W)3oXTHB|xfXoOT$p#HH)H;rRlyWii|OV`}O^oOHi$`F?}9MA!PclmHNcvB{HN{36Vyfy(JVwy@pAyu7*jH4F2;)-gNw zpF^eKCzZ9C#-_xA8}Gv1xepo7@0o<-U&$z)^Gmq0%=yJ~4NzRX`Lb1#tbu*g$hPfD zsou~zT#lRC^8%P3Rb>UFF1CWI@1Dfd&9ZNjEmeqymtJfxbAq^q4`Bx?|cC zNT_s>#Z%JW=m`(CHY(h#y@=M{vJ#|UQ1RSF{K}0RAApn}^>d?2PoFToKa?w6V_&1WoGielsJQle4%O6&ts`78btJxcJ*!ZULRs_S4p5i8;G%YC*!uf&os`}LX+zcY14EWC zEVn1O9>pyRek}*SaRCmj$v&EC8hrJ4B3@9#FA8j$*OFNx299&Wl?YaVtn&&H_LHhW zvENqk&nd2yDMx!(J$JQNY7fj0MALIkLv&9!vlv{@^$nXfdD zGfJI2nxr_Ox`A<&kbl@HVO|Pcn_Kk6?QI5%>;-2DY(o>`8dOkev+{W-Gu`D4AAc4A z0?CglE{#Xh)n*A>^!Qcc7d?xV`2mt56V~F79kp*T2{qAjIDaP@khwq|ui_kJJvU1C?}I>L!?f4|ybK~bq>f7xF~Oy8f!o_@MOTkHx0 zXxe*)H&#aN&wgQk$)csITmFwJ{GT)8zZmmBoDnb1sedu%f7XprEAsBcKH$@ICII04>lrfPFuI0M!5v3jHIf2JqMUA3-&M9YKEt)d0R1 z`y;3ZaQ@~WK{fI>Qu8@P$%_cY6cQ>=BUdxX)$G#HKjCF-{*1E|-v(uzx&Yo_gFNX@ ztcpj>VK^`2(V|L|5C^+pEQaZrN5U@RMPRPbis$TeyneTMv+(-1dB*J8m&cLbpdo9e zZ@_Pl@mSPPHRwj_-HzAAH-tYgHmSZi&T??_F9bYqm$~jNA_iIEB}^wy?>9%a$yexb z%7Z#bZzvDdK0^g2XynfuR#`~DnUpiw37S~(l zGrwbrMs+~naOi935nGc|AaMQybplO|7>AG5JSdon{*~kR9lU*j+u%{PvbaRMoAc!o z{|;%aSj*9#_n{K@!Gm2~dBq7d@R`S%Y|Tcb?qQ|6?a&k@Msnz}IJFa%RMhxSwm!*Y z1i)5x3SV@cq+3JlNeKC6R+18RV(lEW6kmEeeb}kTI1MgqwdXb6v1QL>>QqS15L%zw z81`T*^F)c>@6#vDseT0N1+{wHz6tMlu#%ADPh@M{vhUGmIlS_wr_gq9j=Mhsywkv& zC6R$qEW~0mW7klA*j+EYDfY1B!zDr^Lj|Ei2H?Et>ck=Iq37{lY?kuoPg^>{=Cq#T z7JkI-Bk5&U+qYmCFLO5At%xDOd}p$Z{)vaC^I=QH)Oc5uRJnNdm#7F(cCesK2RHSNuhQ>aqlB+kQ3){{8wE0s$sLed^@b$%c=qC7`+r@h%z5A&?!PkIZjK?*q z)|{$kav;f~df0@iyZ(*Wb*{Xnu!xo>SM`-e$HN-#el`Gy>f_=m$D*D6ZiVPc z%Cd=qFDiPi(I4{!_!ve}za+y3ggU!9BS~|(xrgfU*0>xG-1c5o)he_ES7Ya1-iymW z0XZAOwbM2j4B>6;Z9#SYIXw9!_n&{ox-ZLwg651ks|S5tkJ$D7LauJI5waX;>V8&! zl|z=RuCkYqJM?;9Ep{60Moo?^*RgL!HfO2gbe$4(FAhp^j`IYbsewcl_Dp-=6#nic zOXTvIs{Z8+vRcuKts)Iql!$}NTlb}-Azq9E51v?Y|Bl^_WGU#Is(#nujPdLH`kSP0 z0hA}?t~Kt@>VTiA)>zP|rYVywhGd;H+(Hy7g-Ugi6CSGlR-3+kbwl8=Ekjc~-A%y6 zff2H6i!$TPbh*~#<;N{~jV9vi@uE6F#A~ji{^Jfkdo`CTo1<7cPxNaA{s=s@70WZJ zMzvf+KycdSg_Cv12<31n+2RDd&U%wy@s>rp->ScWI}ejsBQVsF$B-0Um{jQwc_+N) zNm4swxxj=rP07vRO%<2Pz7M#~GdzD8#Io$RJ4`xcM8=<~(Z)16sLkU__+lByPvL{N zQg39x|9)cXBWrE)LqS~PZSw&7``~5GVtU;$9IVg=weUndube1r zA3DM!rJ|EmGIf2FIdo0iz&X9P=_TkHU8NQfky5p6!8LvRe)bScRL{m^AD7sqSf^x4 zJy`%KzQ1B%>YF+=!sEy#=%||V4UMDs!S>0G+wSp?7^vP2f$gu$pM%;uzmoA;)%J`n ze>)>poBe!dn=`$-fk4N?X9qm|Qc0PGwbOe%LJC^O7TzhCr?L2KyhA`! z@6w+7z%;7c4F-Y4Y~a`b=JbCs#Si$lQJ1>{c*k=iA` zJPkhPaIJ~%s8g{YrqoWUZMdwNWPjV9Ll@MBvaP2f+)TWNKK;O8i`&M)lkv}d*4qAY zmyF8L)GHS}uV|S%#{?Xv(Y4LPUr-HPtJ^hAnpc03xxMTdoxjWngrjm_4$mIYu-cu` z=wqjm)cmHFP;f|}^a(2Rux9qq1lkGDaoBwsdphvT#Yd&ii=4XY! z=vv!#%&zVe0Covo+lbPtE6n^NiYl%kqoi(i|B#SQM8(^$XK>jvVeXjVrb^PxJh@PI zTTsX>*c7^XM5*@XL65(%#fj)QbXx6%xr6hoYG#)95o7ZQ)*$TEYJyY-OXMBRquMd$ zo>3}cCHtW2cld;23QEA%wYd3&;D&5@T4|Nyfw^@M zS~q*{UHkP+lMGK!)aOlboRG2azM!bt<9D;mXilXhK-)f_Nw<-a+tv4Y{|m}^roFh6XzrODsF{zjl&!7;s^eo*~B|mZ?VV)k5Oww_Q)i!a&^)aDWo)OD!v8b`n z`&2s49ueg`fXLjZj#c=^o$%)~3mD{#vdZP}m))jU&J04cipp!xF9R7JOK$#0;h8^< zNR>nDKW$;MEMYY-Qj5Fq47|pB7sLgQr9$R!e%jb0Q@rKi}0G5^rP(j_D_d6mwo%^G4XXD!Z_tUR1#`lAz24s|JwB*s5Gu= z1-i~&jdNH@UssB%>%iu!YwLIS7o8lK&kFGPpY)e`U>ng=Xqc$h|ILE0QL5 zB5;sAk&+5o)JPIUgXBN7aDQ~22n^_QLdY11xD4Cxkwem1S>b3bb3eH4Be?j(B>=YmU&PJALxIvKtcb@kxlomcVXP3#A%)1Q3pNJ@ zX@Z8v7h_2G7nv*z?dT;00`UR)4Ss|NiOg$2l1s=g;cizt5x9`*eqMzmzjk)e@S!1# z443`OoJG$5LIP#@bdW)$Oin2b2`4gQHV}c3fE!EZArMl52n?tYOH0e-A)s0ci9Af$ z{cH4>g0iwIgxP<^HeA3!x(hE8NdsZTtPiB3u#mA0ur4BIk;hm7a|196kyW&WKp=_( z5ok!X55MgasD{JfhMMlai_C`6v9YmnSQAjwo|>6mIzu@-cTUEDR2lMBJV+z~W`TQU%|k%-7II+t*u?}a_*J;zllOOy0~Fc$1%p`4v4H1L`W zGCdX+yoiBx;~~pGCWka0X+B$$_L8H3tH=pj3`^&@2n6HOG9tu(>6{e>!Mt>igD8Hr zgo$E9^&jSV@gW(xIz%~!;w|!C^*V> z2bV9;6a$}xwo;o~wiZi)W}P$BE|;;ZP138;y*yJ>N4vV>8N|0v*WPT&Ui+C(3<9_t z>kR##1h#s!Gvj8;1ZOd#yyyyy_@PBfrc>`!{tieiLh)up(>Q0p3S9)Zoul6N^6!9b zj*m71g?b+IjD%oIw|rJ2Z0MnT1s1Wy^^MnPl_aYD>5RWSD-)Ej#627bLz;`cxIVUT zY_E!zwc&^fCIGU{M!Fxgmc#4;qYtd^0YM$FBzB)AkFz|MPpl4p!Ln3XQtNMjVx=Z; zibhTI-URBM>rWD@K2!V*9GtpUd>EjtO&lWKMDm7(+Yg9>roZ`QN$W#BicZzXwJOnC@QFQnE~;;M>zdw3w-Ua6%ahgEfcGr1=L#ALYrV-O zp5VHL+`Zu5r<&aj<_+#V!G~d-*Y4)5i@cW*TadbxR@M&!e;FN{(K+&tT;`!Wx&MQb zv|iebAAL4=x`~&zE0CQYd~(9?CX}_SeBjG-f8uy1t>J( z*m`iy3cr)&z6!}2Ybed)JpJ7`+%L(qBEhfmm^%So>~k0GNy%H1f4e zU=>G1pMw_n@2SmFIGj}zGrj3I2;#W~IO2BuuF|#cG)~Lppvi*l4>_nk-M-XW0Y@%J z#JvSwM>=~ADV2wUN+-Ny?{Y*zq~3#{L#ATrXDj7783cK^ImHvA8RVTvY6k{ zI$-@)Tl9wG7+uKuDGmT>a4M&R>vyywx{T<)h!;a4C6je)La#fwL@F+=7{*`&oBA7A zM=U9ke&*Q9p(zrwn{#bWOUq&vq&A9;DH?Nlz(9pe8TA$MGiy9QUW_%gsVViTbHA-- zq3FE?ihcqsQtz!GzljF#1RN_3P*=YEfKwi_a+3-kMRpZN%5I5y`Yd zmLiF%2x5t;i(Y*9Nj;CVhI2*_8VYkgjHAqouFJ&8_L`NZ+XMX%Q{r!&30qi%Q*5tx zJb0}4;Y88b%gGNlyX^$+SSkTLpAbFhXne={eZNTIV~@CFvvLHFQ>i}Fqny2d*4m5& z5HVpD*xNoJ=Grri#Y?m3XqYh0=@v~vBiiVEziq6X0!SPbPx7sn23`4)a4ns(hn$7& zjV8tAxY-w`=(yKg6T*Qx{q6F{^!Vm)u^NtXvzXrpsDEF|smfleLBo@gEI(7G>G16B z;JlQC2)KoXr5qS#7kb%h`c){2|B-EoP{jq6{I4_OzgXu#oJlXvn}4y+f7yMxikiu)}e*&feb_)Fwm;yLJ;E%u*z_&wx z1f~EvPxDW}6u>Lpe*~t;UrW%Zon5*LXQUzt=R9(?fLtviS09!h{}b>Wq*!`Ltj%YR zNf#nf947Dr-M-FyKfg-g*#Cp<&Cak~;J_HkU1MA%f^bQqVqt3=S3jdMcdasNviY`FuO!Z%BYOE%l9QeJ{bUvlN=bbZR4PI@i6 zlx~Xp(KpaYJkE`lHP_O&&C+`hN}^A%4D?`=bnoCyBwU@kTJzcLK_bpx&wX~cKE|H7 zGoZ%t@zknBODz8igGmduE1uOEe^uc+2WU;7OL&w>R}G5$wuFB48QC~j7FRsTKm4gO zZb3XMbI3mYWMF3Rso+cSa0P3e(k5v*4O^Ib^uP!uJ zJs2^GCO5V&%R2z2ABT7PisGxA-PQU^QB;Ogt`*J*s0U^na#alHl>D*);|=FU8lsVT zYnSg^zwwr@bNJIlAhX zgi^R3g#xDJm)%sKhu?3)zuC4}C0r9 zAb1Pbmrndjq+lPY2K%nqH0u5HyYuE<#FgT`QNNGkfVil{u}%&CJ8``jfo7or?5x=V zKe4Pgz7!es+?<5*te{FEV+*?_<&iS+%~CwQFqSlbU`Sqm<5S7;lTr4!S4aW*quR&X zgC2p!;rvPdvUAoV>~F=$EJ445ze}PtuA@6JclffEu-SjY=k}90V}iJ$g3z?aI9{0a z#YeG&4Ov$~5CO218$&fK>KxCP-xqZqR)mVL3o=@WbK+IKM&L`L0~W_?L`Nf&gcP5> zn-PP-au2Q?tX9N$2nrPB^?F!%=786Y$Ez$SM_!e!BHk+K`M4#Ktyu5hoWxLq%K6&% z>=JPFt##!U&~mNAGQBf^`yqv~mkCXf;iovhe`tis-P@4>pVf8d)WY!^Lsq#*wg>vJ z4oU_sl+~ZgpKo2BX#6%350Y@i1&;czaObB_*?n#V4Kl@eMKh-M#qUTt_f31;@cH?Ie z$??n?RwXO2&S7xm(kjuIly=;ymqfYsAc-#0D?Wsd9>d%F*VvdB=)BZ;>l;}M>re7j z=_M={o%^`M-yH4I(`*(cO4qcQ(?GAnksRG_L12q)oK^cqqk6(MAE!k|1I;@jUNw0o z^|YY9O`+N^W_dteBzZvF(E~4}*HbwrJm!h>+f$Sg;W|FBn8$qLFC)4`thH*gJ4WED za_~$s`LFBRssSl z`2vh_VlN?Gam5*CNp9!J+J+-q4nc7((|*P9*c>ppC!&{7_~6mx$1mS+soO@!Kgp{e z8vAmHW|usIC#~!PBH)n0kq=i`V=D&2GP{fBmJfNH5n-N&(S@z`OX7vUDJoUd7-QF`xZK z=3Hj5@QzoHRD?e7+;!o4Q8%WDss?DBqYEr1+1@|AHnJ=mb!et$ct4`(Ij5AYu6f?* z&J{**U0zYkDCJfEA}T3^aA^m#>J-s+sayBFzn>!RmCh|;0}=+;o{1H9NhLSm;OM6x zexm4RJQJ<=SP<@5LSZyY%>e}}MlCx(5H{1eNr?kSlKCRd|O1>+@5rT1GKvV^8%%d%8$`-UM$)ezWe#T_+8e=Z@2DwJZpF}@e3H#&C6?y{NVbE zr?be);UAxV$QPU1$fIkXb!p(oDYqpEDC@(biL4s%%AUUEHaI14$J#FJanYMyHYo#} z;FihXfGG0_lUCH&H7vfMYw#ry@$Xpe`J%_nk-X#b>7=OC4BYP>e|de3tNyKF)Wjj* zuUXBsH6}qh)xi2wgrap;ZaFx?;S@Erb4p10PQE`Ud`4gwTp#Y**$uXm`xDm zHFPkEh}&j0O|6yof7+l{%3ejQF&O-+=`^Sxu}UKj6fy?QANKziI`n(T7)s~e z$KO$@Ev~NBJhF!?1yYtTUy*MdS^P@P>ExN%J}|qE$6;gd_$VC(hs4CrIUl^DmXuRZ z`*KdnkljViC?-`{_2m*LA)~|kcC9Loi7OpQs#-xI*UPM<8jRJ0lq|ZT>b&Rwq@kHekh3g5!yC2Mtu)8PSf_5q=qHBS%YKjz~w8{g);}) z6^mQo2vb1={d$mE<_k}{&t|qwnXg%S*0=ct@01VkGhWj(0f7k>%SWgzyc#iOZFg+y zx&|@TEON^pv6~i5FMNLZ&=v#|IOdX)7d=$E2+D0^0YkSGDXT#3bCyyL;a2&NW7lSh)FwM~f@xRBfB$6oIN&PAu9x zd$^%he7YaWsjgoYFYY#Q^bD%_fDQweYeoR8fvr!~%eS-3G#a+{P942dWYw#F0b?lI z*$_Kky?Pbzf;+NtAVXvol^~kA9SFlO%@F7?OIAe{{#^~yN_05!>W=yn0s){037hL- zX~8)}$b!Asy6|1Bp`o#{r?=lDV&r@%WZykxl0nEYSqLQk#4s3zZ�BNH!@WCIb16u6|q&C|@_WGi_8DZI^q zOC~%F=m7ssVe<-$ySt%aiZo37kYlbcm?Sw8NxpkGGCDT7jqJxq)&-4(`}x%gyW`>Q zQ6B7#hqw{xLZ3pId7%?Sp%@hdgOM4gAh5CpBB+tmt&sjT7Z!WVTD^bcm4XrE>}t1Uqu1F~?HM|0#5~Xk0MH z+W(G-{Xc}xzdu$BDIxxeiT;0;&VQlHzixj!{yR(dLil|7;6HHl;XlF6e=ALZcx4rp zSqY0ND^0lgp`%QT5j*pk&PkytWlRdU;o$vpU?KG*aYWIWQ18R@N=c`1>*Y(eXjpq$ z8^#_P`ys@u{D4hF!AE)F($lZx1NF^{R}*G8R!b3V1s2B{?~Y=M?65!pQDp&sDk)V7 z=RH2O?>0ntm((<}J~RpN;tNhKE0#Kgbv|Rc?>rdRR8CYvqr3S>gJw%{2ZR#R-*~AwY1qeGH@7+P-3ZypG`y29r}9=Ylxxr6t~s72)B~qn0Wa!E>F%*m2eX`= z311Cjsmn98?|vl^?~SoxnH_`8R9a1LbUyu=l*0fK-z@z1Zj(YZ@#X%UQ!N&(Y2Q%JKdd}9Ig`D9h-L}sJJDqT)v#t}yyFa5`2AYmT;^b9zWK)Xb^YH^#BJOQ6l~V@Q z2mAMg_5^w^?SbMLRG~+uMOaUKEgyLncoUPi=T~S0d~%bj47ocJm`}j~OEwYV=5V4Y z-+i+gvquphSw1zDs*x19A5yA6k`H+Zbjn0BsL9_p1iajqk&oefNl2p`RqfU*)N{@r zbj{2n6yzFI_Rn#uj}DQCn{IwX2v&o=rgRC`eMY-LZBnS9g7qunXkVzi_xBLn`c6GN;6}-xiiEN}N! z&g;B>>e=>Eq;TdIfhuV4sgU-*OQ=TZ*n#0t_P9vz}=E%ePbAeY_LJw^SzodaZ?IZquEW72$AFAPF z{Eu=8&xrpEH~)P`{QE3SF^?$zr!D^%ZvMUHi~ok3YY=L}KZs$tl0^20{D};Ly84Dc zl0jr6&L0UWKt}NViI4)=EcHi13SeW$9|3Dy+Z_ts0!*`{(EO*AM zLry1OANEZrLkelTQWa z@n1H~DI6x_Bf~pZY1QXIOrKnYN+T zs9&Y7f)5*tx-zEO^S$hSCXPt@_jm;#v(YRUfyK8sNtT?rq7P}*8une|xo7jea)=$r zQ5%+)%jpn-%Ro{4_xbc43m?a{Zy`2_4=>rC4pje0($2Iy_HFetpj8L#h6D^#JV~@4 zzQ)I9Bt1DN3R=e+ERAo8zLgP3iLx081{w{y12JOkfG(d{#>iN9ISG!*P~XRgbo$Sf zUa;x>0?a?{8sazOR$!UZAbkl;3eGnuls6Xd5f&ql;ax(5-zVFhCR{U*u>A+q(paOd+N7kN}NgP*|vPI)|wpDo%gfi zdg}YO24K8i&xzp^bBOvxTAD_4JB_yXo1fYb;98ohkVMTL>$mB_ze^Xi=dt`AZI(OkhYT0VBL|j0*ieLF>^PbsDFL{XxpMiUW+yt?7%H%|c#1=oD zUAVNF&=&ejLz>PXk}ZxUFP8(7$~zt+*@Im28WrCs5(-0P=5t37bo)ysHrVSo$E~-3 zSd#&2ZHu_g36!+zv?3VJ2h7nwDf@EXlAE#|7GeAjUht4ov1prrF1Gw&)~q?-6Qdz( zB_EC%cP@|m&O?CfGuY3&?L~3>1<``>w{NH{k|88f=Q%5SqL*%Kv8UwD(bt29#yP!% z1SegUw{2GC<8Obw^`(4-+ADlh2U$E6YtsL;z8(VOR zj!8069>jm}5%8OYzX)}?|MsMA{eb74d63DIaP1C`4(l{w4u>x)z3)J##nrfhq_gbr zttZm->JAN8^y@iV4`a}_R&3OzOr8XTI!EKI?Le-p->zgnisn83F@II_@K!i)+1!v) zfE51EJ}_}7?%W&bOZ41dE52N$qvd$#{ZZ9Pj9I+=xmB4%VU8 z;C4y~hGcTU+waW+xtYd0x2UgeV2nG2a8<6C+j>1yc>!7`cxnbvnZsf>B-e5S-9n0w z&zV>WC5?t%+Q+Y3eck|wB9}81X*N601)0&=19WPB1*3bP4}Ozzz=+T7Q`WoSfva~) z>zj9S?Uu9P{iHs|%{>(BTls^^Yx}5Xt&X zqF$o0@p=^TbOno@)8$b{S<~_!=54(JAZPe|W$y@|MoMer3=>y#1A_`EV)F_+XEF;J zN7R(20MgWa9|Fu%igAXwlZUBgHT$@aLQ~|`@@uCSNYV?mS%ELQ9z}9`<*WB}Oo)H7}--Qu;s=+;Ts8~3Z>c;&R{U2Aq$f+eOjHZBT z^5u__Y9ntgiQdQ#G2Avv-_Z;$shIx0cR*73!>NQFcnxl5AEo0eR|#}33mo1lBHZ7z zkF4nU$}J`_{)raODRq7PWtT|Ws(kbl@g2L6#71E3k&yc8?KloGGq;h~#0*$Grn8Eu z9{s#`z$2j?q*_oraeOA}K`Y|L)3qQIOvM4J#>E^m)^VtTkcwSY zDOjj1b~*Zhk*msxyzj#&R5GOy9_d?w*uM1K}bw{_wXt<3B9j|`{fp=pr{;S#hiTY^kpukTS3ce@#oAg z($*ktae4v$6E=Q##I#ImruY3v%|I%f ztI`XO-uG+g&h=~Q2Un$SU0?Mc3)n8B;CPP)Pb~qXnxo%0s_;}g29$IQUTopAsN26; z|1OfTLCJVc=!OEM;hm!sr{s)$(z^FOg0dzwco2^ekO|*0y8Dz~^;x;x zJm3|LDL++J$S!O~MOABOSD#ZskoK&*ZwsHK=;N0?96^1fjv6M77fkmGgI^GFJGs{p-Ua4G zS3a=ManYTUfbb)ApTef!l&og%Q^aAhRW059Z;t_OX!G#eerQ@&ZgG3}(&`?Hnu~u} z&ENqpJ&UNLbJTZmReqK@VRA*#r1`TQxoPY^zz2E4}Uqt;w8$=%FfudfA;&c0u zvdUvzEtPZjTUQgfPovYDm;xhW5(;O(`~noL{Gz%qdcK`v0x<*E;DXZ1mcc3BJNM$V z%UY(^cF6!0Qy0CsVsX>-r(c+)^c>n*WgY!PL?zec9$%=Cp&%65p$^S8#Djh5v{gH3YHH`uh6D=GOMt zUF^S-W1$Fnkp}=<>Ms;XG73s+dVT?s8^~5~*y|06BeJ9*(UD$4{5`?S41th?`+_l> z|1u$w&=skB!t_!Y;b{TGXCZzhM^3SVGUSC0iDZrsVb>HCA@d2HL)Ee7m6hn?qv@IcKF2n@Jj<{=PRO9^)&jGIAu1 z6zoLM2thOQ!i|Ko11vFd30Vl_kl@k6!ZHtzwStTF5(0s+fkzAF$O}CZVHb!1P>-}n zW*S@|SQx9|mW1qUzv$^lHg=~|Jw>_={|1r8#m`#0dSM}1q!|JOqDTlM1K}9lPB;Y@ z9wv-N7`@oL^5tPR;+5qWEB6;LF6eJ;vWMM@X z^c6Grc?^)SE z3-_Wy93D;-5(y&nUE%#$xWwflFrgUXf)IXIOHO@yG3W})lCL0<1pjX$+1Uxz zP&gbIS^aO{eMDkOR7fV_fo+>15Iacb__Y&`xq=87DNe$>Kj7ng@MZQFlRZ4YNHRbs z1JnJT9DDUD0}~SqJ08T5$U=gC6;-&Q8r<;C5(0rx&qE+I@({4eABp)dI6q{v1_oxZ zckhDl!`KZZ;K#gR{2?KhHkZPqik>6?AFH&y7l`r~*GE2!waDGoSq8($8sJ^@M&xj> zWz>&L_9&mwG?$i9Ld2HNWl=(5Few8Hf_tg$|HPaBfA_2Zn{oa}Ec*AS_K)Wlk1swg z*8EeaJD>+qLQ1Y%eV^EWHG7QE|K5F_Pw-X9kg<89xzW<~>oTy7Y~g%oOP+iEbVcn{ zKW;VPqwjPsO^LPTU5{^KmJ?3^e?FGsY&4yuLeY%T!>RkrvAIGu4LV3))YuYgDQOWxDH(&EJC>*GC zi7%P2E^BPU_%Tx(we?;-!C|WyeLcF8)Llee3g~`%a{`m2^4KS`9{Ho$L7nNUU zC0p54KHB2eek-Z`ZE^go9CteqVG{t8ET1x?M<6gOw>Oaa8dcZkaYZf3RyR3kApQC_ z*4_+2@g|QN^)RDsc(TRDT!pwVaR)bqKc*Dp@krjUni1~~z>ZpqbW2R?CBVEsbu?GM+Y?v@N zV;U755^_HLswtG?T~MGV-Hpod>*Oi+ZGN#rO>GIP?7-m#8W|z(w!{|lgPS15FX8yn zo(ogX2#Ou{mmj7u>)1x9o!~}Ey5F}S=dn$Is=us6pkVEWSxdm9SH&7=9Ojf1_fe`$ z8QQ~8y6z{FM9V*`h)l;Qf z4lbvHEGv2@G<;{~pdVwH?p9t@US+rL1qcv5 zAN{OR#KcfQm6mh!^XVc{=TmSwH1wpI~kSf~3J-ZV8@+RJsJH+BmS1VEJ zZHK+l_?Gi|c1Nb}wU&uc8!RC*kknJ;a+ zW9PAS89kDH=0I1#J@rZBFGE+3p75BAQJdJJr*&YdAlNj718-vc$5sO3@aSrT^Pfi7 zNqe65IkJ8Yz)Q7mfy#DI;w5ELVDFp#lADz z4+TA&LbuZu)CMabw**ou1`~suX1R2}&QVNO_7C#z5>f9bPdGD2T7)=KQ;c5uN^W|_3P52ReP zE;M&J@4CJgnRxvV=frzDjFM8ffUMhO+jl@0u2b4l z&3s6Ipquf%xdx_>&L#mlL8@DmceF#>tkpumhN9}g@x)l8ytw95ZkFT?t2U`e9k3xOTvWKT-dRkcXjaL}UGWu7OokbVRI8)gX3PmAaK%9&|C5l(x=v>)Q;^OD^ zgaI{kpj^(bR!@!47>z)P4^U3{Hq(-nC{)-s_Xsu#OZ7S~uGC~biSBu$NO{adqzyX5 z%f5Um=QmeL4R!V+r=0E^$~PJd^CXn;8v7X8^O_q}M`(T!74)~KZd4MAEa1lu|F%@k zV4QgKCEhd_-%DtTUalE^Z{SXTb}hZW|1Emyt}UU(sMk8qu8Ml5F*OxV1?(W~v%GD` zqq(OTF3~!@tcj8#Rd#7a&hpVAUMila^AJ$;Pk$uh^jz~=cX3bSTi0hab&J;t-;*A4 zKbDa={h?nD1f_b$Sfbre2ibiy_f z{3B)H_RQ9D%FT&UL0mPkJf@r|7 zKF!Y(qf?qfsRcE9=OhoY$+zOIk17|h=WW^erXMf^_L$XYt&7Rm-`@)JFAXT9pY?z9 zIxcy*Hf?>oCFDbrJh)a!E-Q-9dt^9G{(K?b^|n~;n?tVqHS_2egVdDPyT?G3-a{!N z(r?xyDlwWXxf?&34oN%{^&d8@cl7Qv>dzn(`nM3#8 z>om}HWqfmgf^s&>sfnJ&u!rG}GQx61x)c-4PuVl1upLs3$i&yP*Z{t9n}~n?%3!h= zVfu;R#MkH))JgJ5*ZCcxB z+oTT*+^if)t(@Ek_i+;x>}i$astK>lDA-nvZC|=>ZW~wqaxNjcq^7Zb0r1>#d0JTc zaeaFqhvY^;VdK#LtDZ9)0og}QJEqn?S!Li@R5EdsH;bQlfUrz4`nL!O2!s3qo{;zi7D?FaQSVn13mvVHc9R0?X>|0q^LCSYbI&<5LcbOLQV;@ZDMoB zM!*bDmFk1fhGFK>I@Y`v1?_m3RUZcD)vgoE$k$ju*yjMUie8%tNwcs_BtoI#;J#tu zT86jUNf2(MHMxDvb@8dZ)TA;`4tn?O)p@7 zv-8Rsni{oKQWA%sQ3ckNT#B$nLX!r6Yuyb2;We9IPq~F2W$fVGG`;5)mzw!v?Q%uk z!6kMA4QZyUUF*<0o3&um!lYjMEydZEdc2( zT%*@Byz;hN_+iUb%pJE}ivh1gehcH_)2Zf;^=&pWt@W+S6DA&m@J^0v&OwPKuU3Gv zn$ZJiuf(Tyiy|K0Si}lpMZe?>ABE=kjecZe9g@AMc|XV&9A8C z);so-TT35>#I){J4;GQIj-ic1OnyI`h%`uAMyFTF8U5}2DB@*jOwy!Ik8)VoPWkGS z#Yx=LYAqK~pm*;HTh(Oq`y*oe(ecEFB2`*P@tnaL$z{$aRtN8FP}+7ZBIZ-mxkJXL zawl)42#N%_DhA%Fb$gWw8$V!tVrfUvK1!z2;2T&UvUV`uf1?X!Ybzq~mTYyZ&j z#5XfrfI-l%q^5otGc~sj^sb^~l3kUx85+hV5%md)Zfe>1$slCxnOXgoo>?is1$_F3 z$#lcUO2@s+h08v2H2E~&M>-wsc16~2A{5q$Udt$y_u zn_une=2xYM5h?klFWzI`P%<*x#bOswx3C8;-o7*<{uyQ1O$XjTuh);=Zel2#HnDV? zIJP|{xfGNLzr>P!V2O7*t4+y^~#gUABQCTw>6#e>)VG$#{~nX$kL0| z9SYll779oD?WbMuIQ?EmP3&<>%6dNv&6;Nwc!LjN3e0T;7hc<|*7-%GEs4yYgsGxX zmi+t~_S~*Pl@0UT9;BA|7s4b&J4AuVltBbc8HCX2uRd-B83abKTOApGi5xTp=L=oE z@fXAV%bG+saFZZgxS=?S1eUjz)zqBeL>*@rNa9?PI{X$_KEt3)n3D;UGXI)5b-^g{h>_nXJ`pi9E9ZqViIgSH5D1|>1O}2) z3SWo2Ll=Q{1Bv%U;opE5vi=I_l#o_{+!BUt!ftLj+6hXNu&&r48Ksl6TV(vd@MP-$ zL)}|IMfElOzXKAI(jc`ZMN+z@JERdo0Z9qz6c7OsDQW3aP(ZqoZlpm$QbbBhN*cL) z@b^B?`+vi`)?Ih4yY61@Gcz)0GcycvKIa>+kk~B|E}R5cKqSLkv?<7egq8n?c^y9E z*SQc^cEOkTKRLOPpc00-_V*7Az-qDKv2mny689fUi43beywFa<#V;YXlkgLrWx`G& zIM4kN009RSi=tk_!1zNhVWScfTOwPPV5brX3J0eNg6INPLcyqrvbydCsWi9?@g_3L z(gaE<=MV_=KN?E-$V`yK)D)?sgyW%&-9_=%MI{x|*&Ru8kuHmDGmCqboKjK&HI#LA zAN>CbMSz!$a5gm~5Y6zF04;DpX89kEc8F`cxQc#Hu*hPvew|8J@ z>E5qH1UwERzWU${g^C)kLz4;RlqT?t123=5Az+fFKeTf|7_L~4@#p3ET-2!G_^&{O!P4M2`S69ghC z6M=XJFN2#A07^)aBGRyk$f&5CKhX)WO$r%pdU3v>9KE8V0_H@RD`M9`g>pkfGqOO< zAA$ZSJfRs1OZ*Y1mzWU?CJ0n`=HLh|0>^**Hf)KtTA$9s{XUh8YnL zIOZr(5L|QT@KN2IJLg6qxaZao2%fofF$97a86)~fmvV6k{jbd_Yzp~DuJXUyM@V|GdM0l6(I9177DStQQZU_TPff|91H9g#vCS zyIRdGrjphU#5*qSE%a+VD=_1#KE`_Dtk0brPtOMS^H&w3=JqY#$bR6W+(i6v&tf^G zC-x(zK@+e!@yz!GvZkptA4`nzE9^>qZ~L!xHge64^?3(4wHwA9>2e7Nf#MFwX5+I* z_jICmD_?JKWv~lJ>}{!_VRqJk6rCI|#s}jk(?eWjKjlaK*J-TMUgKSzsyNsf4jp?* zb6t(#HRnBmc>mNz$Y6=Z%a_TrTAR*Zz2NDw`PNIlD_zw4(%u;s~*rSgDwe(~Y|u8+HqFxOQ(~_(qq9 zHKTRzN?C`4k^=k)0;33d3Fn{B)~=8r#1#xRUA5f0_e;6Z4DowA9t?f|=)R%;E+?>8 zv|3mH(rS0bt(gxESZhj?(kAj)1a|?cPq-QpUMV);r;t>Gf&dK z_#sIUm9rf5xuy==_o^MAmpRod0}Ai@Vke)mtTDQTdt}qMfXX+?OX#jFu$usa*-LyuY$qBKQ2fAeHv zd+T)5JMqY6Pt;Gb5XkpM4B`$=9)+Aapa6G;JJSs<#~T3s73(UC>$iZ|GH`hMrNuSW zY4gr1@%1sQ=$1HjIFv%&llBqUL{-)_xyZRe8t`lB~&0leg_a`(u?mX679UFt{%j03-y|4SwS3~ zJmhP~S5KpPcu5i@Uv~Q>`sG$}d(=z%1tWHgSZ{&x&O)9u1NmlZch258ApHKW=gQKv z3cW+wUk9B5mg5nRbya1)8fW%=9>s`%w?Q4P*}AJPXDC`gGR@;2oQVA<2FEC~vrSWM z=2i#!{}UAY|3-4>AVi?>AEFwGLXjtB|HctvsU|W?{D0?&KvfNycK^TgQ~)!}|H@NA zI1Hix8&3tulhS|VsQ{+h|COg+OUxSWo{LL_<>}ftH{k7aFWqGy~Oz-u&|7+p`hk)six0=K#%l0^N_`D z1@DQx#w{Ei-d}H)Tfj$jf&8ch>KKh0)ASgu@JnHd;-R=D+!O#1<0Teq)D8{baQ5d!D?ltwOMGx1pPPy;&Dho4OVkUZBY$qXo(z64E zByyK-$j*iraG^aj^gAWw!~3D$@IZk4NPwI%?`04*5KXhNr8RALA1|Li`^p;Y+G#$4s#gXYkfgGd5FmKc@1u+y)i4J;!1bUrqHo z>;n1X8I}jJ%Ets3y!AKY((uV_tAoK>R*YoDOqhn2{x#diif}T#u>R1pkiY<5<<=7U z0km#y+Gmv=nTX}PG+ic4vRIIhyQMq?PM0MGPu$*S8!)uzqOR1H{hm3K zkwm2b@eJlZ4SmTu@An~-|h_IPAZ#!9-%T0``EdGq} zH(3f+g!LrY5gPnR%er-VFtGQCsXQ{;R&y9z`$w03Aux2$ zTcmem$H;(_O_=g`W&2rUa_I(1EGTNhrj6&t-nmk-oSfCVh8Igl-b&!@=lH01&%cz& zT^ih3bDrR`j|w%71@-!-Er-(FD;S zVxlwDaZhfgH0$^^=d~w|;IV<%Mw~@>{><}*%^%-teFKQw)@Anf$;WR<&n=pjQv(IM z4&#SZ4;SuKaBF2r*K1A4bkMSoU`~J5q1<3Kb)x~>*@g0B_<-ZMDed>MvwfNTY=rDw zf2YrR`|-;hryN(XIbi2vQ^g=+BROU>{m@2VLZ5+~81qMuP7A_<7QHzc*whM=qBD`! z?RuVQ;otnhz;1SHzS_G|HJ8Q8^uxF41W?Jyh%=KNEK6mSe&vdCFrMP{;jm7?i-26! z(3uY_*wvtF#QwRS?&-4*9IwK8+-p`8?6=e{>{Cl>P%y0=yy9Mgl#JYWsMJDU8Rb>& zGjp4y^wKY5d6hN&U*y*HjEwIc0YHC4^KRbBIR~#igpQegjqRsY?60hvMI5^|?j&Sj zgKG(|zf-x;8dSxMPz7j4J#}hY{HbIYllh@z@I)7yqX8Ng1p_CKv|n82@HwVTbi$i; zHNBS^?`r!#pX0IdOX~s~?`2YY4taam#A7u1)Nkh)Sa>deIbVp3%smUjqFV641FPPm zy)@M4YiS5-QQIe>Ec$7?#2Wo5@dd3WR`xZkKri!cb?X=qH?{N&hgylQf_tqFEMGY$LW1b>zI0lO_OJ8dePl$HwgfeUAcuY#pE#wyzWG7d;t9Y~=?WyfQDiqwZRjT;bk}KYol;mvPR-1tZheo6l}i@* zrWbFrifAXj@A`R0cAZUJO7~%EM&IVv87dVMC%>>IuuUtjXuW(>({?nz{9 z_SE*idcp8#QnjSCCNR0ojzckZ&|Z0cj-FRQRbTDh3~5p}szpDSn@W7{s5}UGLCP1j zmLV$BIJ{0}Y?DJTaPM^&F4Z*#*NCC*Bj#}Ms-lzmrb_?p4y#3p@&G%0PJn9?x>U<%j=y`E6Kt@FIt!R7fY zRLq2IEVDI<58{-)gA{uAnnBObiGX%SUFXQYgx=lU%CSlBkd%_<{-Kd$Ofpe50}ps4 zup=8#+A=tCgdLdHIz0d51e04-OkFZhN^43-3sBau@BlH@{DhWSmbPc}Dg9V_ZYGfUh zQN45h#!X2TpQ;t?YuCl(OO8#Ry{~H?-33?Z_!Pt5*r-H?OVB;M7RnPV{y}-0u zJSw-Au3KvEVWZeoJlaO?xzz(`gn(0CS=+U(3za~~HY8>flX474SnWyZEDAB@H6G!6 z@zp>hsJyI|=Ey00JCHB)ymtO}$%1@p*)mV+F$HeGS)Ds5CaPRq*~cQFRI#c=kE}Y< z&2Jb*Tq0vLwu-Hv!Pc?>e(&m+4;k+HJS}S<+xbl)o0I?byQY76$Jo!4bI#{c383v* zK*9O3Y|ikD;(g=Vhv!LZt~h);e#Cc9ABDwqa7TjXlbFFn+*LV+-sZ@S){bByx%(bL z8@nNu^)P`FVoN+qDqenA9tsE2<_%el43g5x!VNl7aVaN{BtHre9$hFdm5>peNC6zw zzCm!L1zq3Bfz(~%x+6{A(33oq1+NOOn(G1 zQd z69frVS0eS5aKK`AWb+Xp)EW9CP=tg{5Y!?f7a5ySSt?~@4hbb3mdJ6DISFazCCDmm znh{w4s45||^hc0DXFG(nPEKy22?>cwa3ITaARPQdDWRq``|Z0wwy9xexGjjX~qlA&09X*4q2Z#@db-3#WoSV&1O$p}~yuO`zA*Vz`W>M~$ zzzTSO1QDdPhiAXZX^@Ia05cRIDfdTU(8IyN0RM&{zCe@A%r{t(wNZb-@?GTl022hFrWpZ{KKHt&rsk=cKW7Bs`2dI{VS>UEYP5$ftrzrnYv;6Pu^Y2|mf75pUwTS2n44y`_y<&GRJvr)oto%do z>3QAl@2objECI(bgN*`Oy?w@82fkv;ehJ#twoumz_u}?5Uo33u?*X2P9q^ zru1lZ9Z_1J_h|wWV^a@koms3T;|zF&o_?_@_>O1G7mdY{u>cch?zMHo)r1SrGN+WLEzFuiz`wo_wgZ~|NhX(~KlKVZ6Q zRkD^|y~?M({hMpVVcbJ^5}j9;N8Bb=-XA zLwo;JvCmO^3z0nqYhG#mWouJDu;^L!i&V*pt$OhO4T|w{sv6GMpG}$O$#g`%)=X9_ z1%Zz~o4g&tjlZcAUdeccp=LCG*epE|5ToZ9vNU`0;TIw3>r6=9v3UJJq+H2XJk9D< z-{Bz95ihRh@I|5NdX1?S;P8ATl5pvEPN{08 zD9uzhZyI$k$oSQR_-hNmqVRJqS3o=Ak%;A6rfE8gXh%PxiK(Qg<8-P`JmFbtpzkP; z&)nou5uHKLdO~R`Mz_m6Ut1w}IP7)h? zawd$d+~#kQltTdRtTVW~YUB<(#@>?5E*kMhm!Gi^zF+W3N(jrk`}Ac%I9o!MLESf0 z^7dZTL!pe{;ydUJmz{Kd?~i)j{Ehjl8EpA5-k`h{I@dA!jEMP0Fq83as^l-+7dD!n zugJ~<^(8^<*_8wr0c`R--B#*f3{rt?sqcse=wcQUWemR|2wpORc|IQRDJ-jFGN&ZD zLtY%o-Tf#RLq9qy1Nr^~;2v)be%<30NsAC*UvGS?_n>#!Z*ody$<@YYX8W9uC9}8l z6}b6(*IL`!g4nN2>E*AZbq9O}0Trni75VQrNGCkyWZ(hk;p3)l@h!dYI_uz!*_;xC z*CqBYL5=!jeI^H*-#)%zpYnNjc6gUFXbX(KO5unb8~WU6{$a9Jr2&1B@0sTVkdSs? zh%S|b1bgreqy7q0TYinuzDVuao*v3jaH8)#HlRG|^mKB4N-I7u2jY8w^6Sax(l=Au z-piW%a3HpQoI(9e*ObrgTKEGY^Mryb+8Bp9t?q53t9zHTl^MN-{=dSRA4&e;%rbZ> z(ettQuPhMO6T(;)WGKu3VnYWoHRrEP6u{2UzcNt(f35wMi2~Tj`Bx?iUcf@pzcEpO zG$s9wiT;B#*XKBpMh^%vH<6p4$jugVvpwhYKQT=n!#2BZ#o`;R?_BM|KQV_Tm$zCy z;6^^q zwyi)+!nCh`li=95=m=_+fVgIBRB#>fkG^o`f?7(h&@FsesibV*FXQN zq=>^bo@_+~tim(#xSr2Dr&EXpJ!uIzMlT}Gy!!aP$D8K(Ocqi079jYDs@W%j zAe=g%IBJ2c9~QxCBh!1G1(raCG}tASDTk-&vc?9IE>9Xc(|Pa5%ldP+;YhCBlU}m|hAyQ2m$Iqm^=5s~S6UZ)DKsd( zb{`ckzg_YW4bZLa1M=$|_1$YGSX{%ZM_aZV{Wi>b=86U%x$V+5K1mVq;sTshYnfwv z&YbRg!b|+=odywCeiX2*3F9eVJz7rBYh(nEAI+R$I7==j@I|j~F9v;kuSCgcpTR0# zozM6Un5SC;)}8j>i=*Y_r1fV@ExiY>!`mV)VYK~AkIDJ_eT64#K$*6JsKPUmS+DF8 zvlGgMd`Ckj?Eq$kO-ygodn!Q#CP2#Vktjbtc8EhbjO%||`2GZo_166Ske|5f`BG8p zoCIiJ>2{#N@1UDH_0$86|IEh(%0uTABnknuurg~r<0-l&kQcKm3!{!2Nt zK}i4ls4g||W16kG@mWe8IIc>){_2YeZv6+Y8lW|E2;aC16y%=0lqu3CMz}_IBCA;$4d-5hz=wk2Ra3JD#VoLfsl-J(-fl6z7hSTC()9=>sO9mr`);0Gq@?P z9#L5^=LLR*k>G`3i{BHIPOb|j5MJjK@rvJDvmtnT<*Az7pnw<%9~#?IGcKvB+s74= z*7pt$pQPhdw{=b4+NWl?@i?>))VCjjM~@Rya%mVX?Z2K-S*QFU40@iUVtyd;WMDABy*wt80H7K>Ip zsTxD0ZK}$i*5Vzb#Lu$bOxhn;3BzSA0V7}k^_pNE%t=Xt^=0;$%ul$SUQZ+27dCFb zZSI(+;01!(M#jEL=(sAT!3oLdXr$EUK2IalPp}Apx~W5OdIM;iSR!YX)6@xgHOehz z^uVUz!{RkDW%tbNy3XZuD#mbNZBux=BW%3RWfjk7kT>{N!^*mV*Pa_L-J*cKXXDMU zV8bnJ1ly}`?Ut--(DT?2ZCKY$T{FtQ{n+Dh$okMcv;eS4xdb(|hYxfhYg^qS6;rw69hnb!p9a4WN$CIL9Nmdk-2b%qx&yy6!KkIw^zx0DsZVxLK+5HZ zog(3-=ATg&*1|tDPzVJ4AbC>MevnxG)1kZ%feXm&{hnr5BCxMLi-?XNAgA(4%gQNR zL&t`Wdi!_pKcfLLbzSeg5==tH;$GZU31XYP;WqB!5*<&C^H+@tOfh9(be+(YnB62O zc9lMN{99hzz%i8xl=Y1YxPL=o*R^~Co`ob5L9m(MeR@^FFCa9(rEg@*Aohl#gI8Js zp_o=UC?Dk(jPKQNMJS&5u$qwabuSE4t5pvSUrSxvyeu$+1t>H~WeB;Xg9>|j?uJhw z2!$jxOkMqx^DA1$zuuD9xd;5xGrM|M4zaGY@e24A)zi=mn>_IC{)(=oZfV`{-8~yr z)O{h=(KB*SDiP`WLG+X6Vf?Kv4}7T^RH56+H~CF-f#5RX8%E`OiJuR~=)&&sNT|KF z<|};=SwDi!ckAOZ3O;NH^o&j}>L54I$uFJxaV~fJWnKI7FDEyT(x&xODW%)szF+Xr z4go!JjpxZ>)stC8O`~6Nn0W-!@(NKT`X`sS4^eP$7>0wW*p#YHwsREn z*B|=lQ8|1|=0&H@Y0L{Iap}(0Q!%Q567zcU@G%uv13F+4lQVee5!ZQLokCG>nfNO8 zA-3{WVBE5uC??A&EcwVkFeEIywT~+zIyEN`{ic{lO6%xnTEHT&=IEPSR@JvpOwJ{v zZfxP;@+2_0wCUsO6`K0b48q`!U&gJ_xYE%r3hb*&FG}~YsOZHt^^J4s0(z|8amJ*9 zIiP9flvx>2%XrT*y#m+N!udr6 znVgb#-VZuqSC5R6b}EF#!{Uw;oU6it)pvFq!~r%ZvI$!&MpwYxWefM5sW*W z9?b}ZC%o-tf&ef!@J|m=KtRwxJ@?4?NoWNB6F_<8-MfEW;1z>N2lyv{7(6)#ksIWa zkW9i=zBI!!%S@R0Zi2W3yMSgO5=5p@Lg6UvXhE_{bl5GPEc`DZlgKXH>6MsFyg zgxFFDu7(gs!aH z>L_8%*@bg#fDoFlQ54vyOza=$P1e zcvmijlSthpba_J{N&8RMCO^N1=D!TyP&WA=R5BBRFox3vPE)w38H9hxUUqX!D^pQm zYabE{o!;RvcM^#sqhexUUPD|$!iBZFzP=t=A$18EH3|1__D3LE=Ae=fDkWi)(uKtv zhHQ3q_4W@9r5}-8=p%Pvp*a#hBGWaYk(6%>T%+z{ez+J*Y@Ur_Sm%KpDW=Knw@_w)YCE;9cHng4}*${hdu zt}g#m&5#QejKb0MUuuS${QvWQ|00?HKBoWIet?uiPJeGQe}j3*OwT~$wJ41=&IjVP zYYSuC-WK~BoK1l1q3wynS===T?u2Jlrv+8dr)fE=x`|%-OMl4_WvWUwifkxZ?X4rJ+KtGD^DbH*Oz>z*z7!b2OSHSYgEk6L{P1t zbt)3YH~=iUB%d$8+enqpa^$^JFwQtNW*3g)SB+(A^Xl$4Ek`ZzX|?9>j2|NR4$7wF zNv00hcB}o+8G&-?p|JwF_WVa^09YzOC%a$zQT=;sSIU4UKgo5B)kNZ3?z`$wNYyX@ zx()>UowM*3FxJDd_&?Hfja&Hz1XVk}#knHAd!&V3PEif`8BfJo(MH4L({N7=#*ES4 z)!Qv4RA?5=7+p^#?D@e6@U0$%Yy7#l&*V_F+~H1g^J5(;w)Y;2Duf^ggc>T}Uz_{h%g;M?Jb`PGxmenx z%X-;X*F9M-=hsufQcwQ_Z|#)W^^`vUR)(#K5XruJ03sv9PDx6dv89d=X7jAG3$=D^ zsP@hHZGGehwb*!_z z=b{MiHh#>V!=dM{C{MV`#WDPq(*Ic7Mmf`O&#+{^%_4IExIT+B{!R6%;nhnc9<@X> zS?b$&m0zBr1iW&kHq^=z3jq?=t4u?o4Pv$us41SZ=AL!3Ozm{VWm8Pk@d;p!Py~z` z_bj%NDhE4W77_3+BGhI6$q?ma`6XRmynEV)RJt8-h&$Tx;K^K#PP2PIK=(*)c?o|@ zIK!^yLvD)#gFT88h%@qjFnwzjwHC$l=K{OWQ}Q^3@eG1?%lLJ_1?CW|BCMSnWxE{o zGGJC?lh-vVb;GuKh2<;%;gjKm-SRX@bHLd7MyHkS#>3U>dEYe)g{9DP2NU;!y&+?)Ew(3>!&=Fgq%%;cgeHp0L5uRx@ z)B?=Lgp95Sr#<*2jK2Eq8KRxZSZ==Hw;iRYTL{sQ`Brbx?$gs?tLK)xk&h{+Hp3UW zvEXMe8m!YE;*-^LY>gQSdPz<>ivuS#`?rG0zA`fg_?M`~VVwxHg#GxL(JA<_2lSlz z(Vrbz6IaUghCVy99oelf3OS42&bzfg9Lc|$Tnog#`k!6(r}g_79a?{by6)7ebkZ)x zMY!N7$bO@RCG;A2jj;b^tA!gc$Q*8JoZHv`aJ=fXHJ&uZP4T^!*YAYt0d4mby29_O zN@eMvzlN@{=e@X17YKH#Hp8w%d|8GA_8~$q&=jVS&W&@IB216&s-!LG^ef#dO7!Y)Jb^jHI0@$ke zR~!m3FfacVhXNSH{Z||cpylVUI21s$%wKUR^4Aiq8QPw6gy&O{=yDgi*+XuAAvgPT z!v7P=L~BuI9bDAC1uSBQt{v_=waU4-IZg4L;C{>u54<022abpCBwg}uofB&xOB@}t zP5^elt**%2EI53Trgha~w+RIKN>6)`-MeqC_=>Hc%Tm{IcExLm%J~wHCxx^TNp(J; zh`V#I2=FkW+bduUH1{9zQhiz*_Fw9)F0Of&_vnxVxFs^!)1X!CbobR%#n`NgkKW3O z&mh^^q|B{zsXm*^1;p4ll4wy#Mz@7`Fg0;kAC@nCppX6Lus;5NpB7att`Q{FYyNOm zn6tnA%vXFxMS+~>h|kFis;Yt7O7hA)jXz4lIP z>?qo;hFoF@bZgFg+#j`?@5V^Gs}S<#-46S5G-9Wzldo{X;n3|9F?gHM=CjUL?DNy6 z2Uqb+tf!=2Rze5AwSI0x9jp*8kg5<(<3a!`rJj^)$Od zarf@IhF}L7Lo7xPK|_w6LTpb)GV^6^1yaBKtOs;!6qQgMy^KY zd^HjHRCpObj=86Q|HYN}J!G zzSP;|8u=);E48yL|J-Xa7yX$r$d09x47>tR`;D3f#OaRhD7pKV=U#Nh>&**KAB6Es zD`_^qEjjlE3%)ZZ8P*>qTv2kPoWcx$5(l;WA7s7iV!Sc#ReptF2c%`CAR1_oYE?v0 z0gIfxbA0T*>ylCJ@>Zz?&SpY2GT_Ry(_q1(ilFSwtivxEDsDP^z|o8znW*|D1JnMuEfm573L+b*9}g)G}jg5 zEF=oDroTFCeCd09W9km6Gcb*@mQNO5w0l77afyA>?sKpoQ_Yre-*7RDw&g0H8lV4Kl3B50s z#D7z=xMJiblSt@31Q^`HGpt#DR-SX%x-&yFYk~>K`E~PHB-b^~?Ba`WjRC!@nrlB5 z4K2La*q0Mj9C3y3-peq^X`K9}6nJu7MBy%Y6h6MNaU!FtY3df6l2ugCs%sja({&6? z!ncJbT*B+Y4qjZkddG@e|LrXG^N-c;4WjbcAzPF^9BbO?1zYy1Krya!;;OR8(_K5f zQr6o?b1DWeURH1J6Wz_qc|Ujq8tFf+9>Qkl*X`fFuWX*3<7v3MZ<7jIB_@CTw#TA+ z|79BJ*dwOZGjw$Ieg1~VEIGeq7UQPXlj1Ti*>RSb*W0Riu)5v6imS@=ZuaMc7r8}E zcCT{@uFqa&R0|#6LKUPjaR9y{jjzuOAL43e?_u2Jk$3cstExvM5)jwPXq`Jb$0P?g zZ`(Y1);)nB;1`uNv5v^fX=z&`VRGHm4}8{wfyZ|j_zTD7vFSlYYspx24jBu-m~X=J zIbA<0m1IJ0$+y*YaEUK{aSP$wwZ|DGY1=VB%r@~26G5HM9q+^u zEb^OAQrf2#j4SIuj~{ZG#%1TeFRAJ1pLYaqT@yc0F=(Xh0$)_D9Kzn4u!80@2T${UZ=A8)Jg*y%%v(_M zAEDP%G~g+pq_G#f_P|mA2c`vkw|t})7-chZdYo9_+P`?2@VZp!`aZk5!}Fxntbz_O z{OK!`PIBJ*5rfXt*jKfm(G~TBQ;OSG7-AZ~%*>Je0u074+F8`FA4}5N#izIAN8m-_ zlE^vzI1TR`gjD$w8Mrz{WHz3>!N_0ZQE*Ml;R{~J3^Drzy@q~K-SQgY4JDA>JTSLH z_&n_84k`xqE!&cY<{<*XTWXpHjiV0cvS(Ji2G z&nY1CZOshh4Q1`SMvnL_(mK9lzYdeDx<<_Ho4O|fLiPR*aWjwgwlIFctpf^1i_o-V zSr5-?G)#xFj_=Wmz|dCkgp5O0C9QLIVPD8KC`s4Q$}RCtSML&=;9Ya4Sn%r0w{=pU z`;;`+?%}^saoBaOzg@znVGy*IG|Q`O=p6$*)lij%su9awjJj zS6KEFi%ih}qnJ$oW9?3Ziq3yZ$+L5EU?^h;q>ym$k8=npmxNdPpem8zPdhjCbzi6@ zFDiggA%lf@ZtTmKiHSq_1dfmalu$8=%%DUbcRpurDFcED`Xc`#lSsuRQYrZ$N5Y@JfVLkf?P>XO?!4_#bbn-OR*>DA30uHZ3; zKwLsLOqn1s{vduI69mH79|11x>raE9r@TgHC%}Wof1+Ohft5vZ7CISscCrnH>!(LbTq9DT)rbXwN5eTulb9lfdK6lQKK-`);hcA)D+|mCpqBs8q zHUB5Kx%nwBcA>Z1>q;lGi`=>hDIbK9c0c;A%ozU^Zj z`5e<|mRVRTHh5zYC72TZkzg&j??9&YKGEsrr|B9&|NJPwp|HM7Q~U&Sv`cgN>PqFz zU`VIiBf1&eIl#UwE^^lYK|tMwTxZFE3;LUxVDNlE#P+#?`t^R}-UMc91qZQ6 zUz=i+YcD8cC?sm#c@h98;g8dh6)nDv4f_05WvqSPI|7U{znV;I?xlrcX{%&|WApRv zI~~h*h3+;v?6&)XiE(IZ`-iD`^?2?cL7cxtKnxSzr-oP>+^p-Cc3M|FLr&9yggQqL zVJO;x&BD93Uho}-tn`Ic2ku->0A1Ucsc9;!8jLx{>Qp_qgW|24 z3Egn+tJv6l2x6kX@$v88;>`BcdJ1arR73g;+C~uy#ZC=De>1D=FHSj)^5rCyQ|Bvv zsRdW604y`Ob1Zt_wk&3>rS#;TIrZkiYK_^;_f6M?04nhlBXE;RLXe_`NxG-)K6Tsc zTdOmw`ghbLiuc!Us9p9iWO4(~lt^+9dE^=O#G^mfZuh#MExWvV$ugR{@VkqCI&*an zR8J`6FMV)N;buvHJmltTQp|QR(PeBJd3W1M7@l69xiPftw@87NiT=;4S=qpZS6j3`OTqVv%NcZ!lzOtcmDLcCcw4%qD_dt6_%-S-Z{u(?O4cEqn$I);gBeS9-7mJ7LzMTs3X{gj#DK!?dg0 z#2QHT11-L7w$&OK`UecuE)cZouP1(5VUuYVxvM=|fl<<-zFBr8S=vhke9lA%?NWC3 z*#$`7kNPFi`tkU+4-Tok>eL;lVfi|s04ja-vl>#Yl!)fmzJ4zi^g%28Os$~8YdAS9 z*&XA6GX^H#^WnC_gb$w8P;$Nd6~$&O#Y}pdrInf1A9Gv=&I3T{+?Sz=h=>*;{}mD6 z=22DeDwcDl8l0X9HSu%l*H$q*jZM=|WKx$JY=~PVI5PNXX%- zS@BH9ol{IY1$X|9AO96b{C^v~`TvI7eB&ReiG-UH7ww6EA%ein+~Ti95ClR)!{5j# zc>M1u*r$%Umdu8M_5=Y`viskb@FfuGj8@@2eHhS!C z^u6cztuhnM+l)NlPwf|Vg0YiG^MPKO(j3*;a?Et)bgUj9Y9EFp8P_kztA%9Ezs+`d zbY23!v~1dZg@Nlr!#R|~6>ZXQu2*Xp9Nz3iKco+iZ?J?z} zkJ@kR zz9JNjEp*<+d0+ezK_C`;kG$t14kJ6hYQU@XDM_!GRWdK;8YWM>xvPX^Dq!rUWN@)- z%ATlI=zMF0>rI)>uQX~0*S8k#^1k29A$bqbwHV!2mZo?Or?r-pccBa9`Pp%(qR>`vpFHnvtwTlr{q$32ZiD_e`f!8SP zgb!5!Cl)5^q$2fs)$8u)sy9iz@-jtze77rFviQ}8(sI<}zzb)kwT!w30eSQfFQ#f} zGDeG+J05h|2dh-%FYs8fcY_}@%lh`I1YR5qvId9CpU#aU#lm9CJ1}Vsl|%g>N6LdE zntsL0sZs)X8h1iMcJiC5quR-hW|>YEBQVgHt8b+O=MPoCtZ)$Ow1m4wAsf#1%~v9ok?4TPWA-oJb|LDx?o{6)WLW^pWZK8IppQwY2+ z4TWc9DdnxDd8j^0OvOzp$dJpPKc1T;d}3F7OaBqj^Uy>KA`ji|TH20iGq%K}N0&|2 z-pceQ9q5YN>pMLM`d+4ChJn9EO6z`Zgg#Hd*Lh&~v$y_H@9k)>RvTwQY=B`=i@tOP zllSTbzqL>xPQc(BlnCcp`PcE3vmo!?t_$G1yUwQMgjE0bNM{nm@ZK|p=wP@l`XUpuf!c3ImTETNwu#i&LKQTGm#hnZf z7E-0%9tGh(Y49eO`Ix=7z^1l31HO8#Y7QHc_LaD*ku2Ht+dUB|M$ONpUaMjY8KDv5 zTm@Hj{ceR}lD;hCO4E4!h%$5JSLdVmJF}lgX&06b0r@q<##xW34?P@RQ+}MMuin19#7Y}pgGoqibbjroPb%m^ zCwg^sHLZG%K-gAza#5QSWxHA4{U^UnNI}QQHiI*)+zG>!k#l{Wf50K93quEo)=m*< zIQT&S%dS*-P2(E48=Z1YWNg#2;^@D+Wg3^P?qB%az0vSlc%lP>=BMZmBGl-fqL_0WCxA?keZ=;QAzdc z#wj7Ya22RuJUBynnwCZUB&qMq(bMo(?`!+q{bLCjScIZxHnblAix(_>&&j1{UhO(o z&#uY8SI|!z{X(xzA|G4XF6*0`4#tkI(5ZPO(X&2^eLwtt15;MT@nwmzrCm&0*TCfE zYYIT+o@2)}0r}PI4l(T`i`ybH9^U@X>pOp4W#N)nGD_@7h8y}xDP z7u8^BGvph%xf=0dZ}HJ(QxF!_AZtX&m(=$HO1^Kb5UipohA<0V!+tAgpEOWODFMuV zezD!Pa`6vCAqUslB>kVom9(y(<5SYmi{5vBoYe*v)(DI}3d;uSflvst%$cFh}=nK(S5f zOSDyml63cX=)7hV(dp>@zW+F-dFd4ADiD@3d-}Y4VB+^9_ZNk2lZ!GI&OU)*u_=}4 zjDiZcLvukP2V0@5k}HF%%ce|cbO#J(~4IKSUA*m4c+=k)XKiB?_9q9#BBoL=Jd_d1aDz%5SUqWNIkHr zRo8CGY{8S6c+o|9LJm)vB>g|zb@vQ>>nrDC!oZ`}ePDG!bYAprLt8(CTtr-aCg}Wr zjC0$<=1Exm$MLE8Oc@d3^m;rr;NN zUZ=MQhP2xg)4pxUT6)BmYCNzCw~NT##4{*qX&YY*wp~sw1<-}|y!4AfZQD&5gDB{; zZ`{Qsb`LbN^$sm>Sf_yp5I4V+33RpKjB3pDH|3O!eM9T;ukgm?#MgI0h5~^l_{}V# z+-+`g=>QQ+;^5#Q8I-sPrG)MyXy%5H5;1c_k1Z(~%~cK_K0bbT6efZy1%=#Cm6IqD z$cs*G-KV(nFX<%WVHcN_mX*`L2i@If*s!|W0uD=e6uA`~NL~4@Cde>#M^XMZv_E|G$kNgi z5l})2CG>Pdxng+uY4RjAb0;Ldd;b@ogfAo{l#o#(e6PVBg=(xvp_-s768pD~vZb{i z1=`xXdiqv=AW4%jY7$B*|D~lw)Rb^woR$JPX_6d~)6ktZREf!i3}&b_ zL|sflp;+8eXMVQ?kt2DV5My$2$v|}_k~yiSrVcYtVO7qlp<5eqbHklCHgSTnlkOhI ze+et=QD?lpy?x+ZFX7@ljY0vatMo?(l#Rj>6a;rV98ydqak3ODEGx)iYdL}|A*+PD zUJH+uU%$@4*9{?+vvY6;Ovoyss~gU@XbjW-;n#1*D1Zno5rriZxw*Rfb882omZvI9 zq_K*MijHG#l7WFq9Ex?_p|TP#GW9Se0r@$}!D(Ph!f#p$J>H6^Ih%h8O5q-B z!EOZr!6giOg&kE0wuA!_CPRtkb3eZnh$_=F&>^jalV-ssdm4p8_0eEr1)ZQHm zG@a4mpPM@hjesEC%NPxfh{!tt(o9?w>gMzbK-#xXE}&2nr)x=QME41t=0saUgVgiC z#^xXOo14ESK>yxK25su(0_o{IMgL6IlsW$Q{5HQ8oJIHlv;D&6%IO0d|DoV)^!m^3 z{)V0ZKJEW|J0N57S&nsDr;5K?igeq$%Zz$*fF5&_R?APiP*5nWRULMQ&PD2~=U(Bx zkgUtM<{yYNwO6M=!lConz2=+;_(7b?+N7 z7BbZqVC)L7nir+F^lW(39~(;&X_e;7vVEROwVGkdvKiyK4{mL|XR;I^%s091nfkGr`y4Ki)6 z(@@g*efZ4R4mQ)o+tVfk9916#NJ?GT0 z$<}8Hkbx>n*JD#oBUOK*zhyslPms|UZZLTIxMaf&icb}TT>@{QVf zG0^JJ+ZZ&8GwjBWSx8KDHld^WTrk$dthrPm>hPgCl&#}V$y>_%*o`IoF*bhn@`Jb+ zvEQ&6doa_^1JcWGUuz;+&*H{i{z^IbMk<8tEJ-y+!l1wJ&Zl`iy#R2O_nqtfn>SBq zy>Hy4*Q-sJSCuduFZ9SIvLVnPeC#3u65h|5ty(?XLtl8$^qA+X^N%DsM!iU(uC%p} ztpdYU;-Cxt^SYUmxvlS)wa)~ctJOyqJLtXzP34-3LfrkAL)5|a%_oKx1tB*tznA!m z0bYDVmlMZ3XNG?M2m0%El$ftL2w@@-3p{Xe*j8hcub#nLwmX|OT@-EW985}P-k$C^ z46f{7oaKf04lpraVki?=kth+XGO52Y#(dDA_&udO6T}xBs@-p5z22IPdMT6_Qbqh& zUW0q8o7FwKO~=GU_XEhrSQft|;d$;5 zd#(DXa)2oYC3xF(yOr4yBN{)2%3?=L0au@Qp_Vj-#lvxN@9?;^ULM?%y5O`)oz=QZ zgBIGVMC~1YU!lm2sN^cic`kz+Pe>d=-F**GfVl~(Ak+6;wu z_=ccSK<>}7h-k%gS(*~br_4W){jFqhIetRAfbdy zw(Y|cNuK%;j!shBxkoZMm?zc17fmY@J=x)=GG@Lvhl)G~*^A6yrC7c9a7qjcE(VlB zf7O|3A)4TiYn?4!j^lMpy{>wXQo1kNRDK`lS4Jz@Ne6G7ul4*CEuM;J@gsU-dE3_M zLxF&rkPn|gabiIzpCKkllk%FS=bz{_iVi%#{_|YW!_J9!M*bfnn_UitpMU7F2NCoP z{3Ne%r%MX(SNG?pm0kenkFjW-6Yl3W!{_Sp58!gEy<4>LEps-r#uvr+lFs>Q)PB(X^3Px(*Aa_xZ`q2N znD9z2x}QdGR$WLI*4Htz`)94kNtqq20P*M>IqY_W_m9$wE1xy2mGuNme4 ztXu!F;D<)Xgt=%}*7gBO=K|Vppcvj#`+kBm(XO~M zCkxtD$o$4s!eYomcu3x>8O;Z(>8(9@yC`PkTL{HK9X-JN3%N>!v4kKM%dNXR&#Mmm zWrOg!9XD)jRbI&49quRw)mV&g;--Ym!t_y&c#jQ9J|Dzy#*r1&Z~9k_Uw@Yz0P1ur zzk3PD2c<{Z^)iLt8xsjxbmDoh%TsYFW`qhygA=q$&f*oc^{nkYp1bd3Dv(kawB2r% zUv}&H#@ZKFU*=43O^JBNqBq&Sot}Zcw?$d-$g9XmUE+Sgh#Ecm)wElS;Fm<^xUKJl zq~x%cXsd22mB#`{Tf%WHcwP%%C8o%+1wi#m+1aJLJRjW`rzcSvXe>LGZnFVyk~E3C zF*MzxvOmFfiSrH6eG_;nxV9fg$VVLRw6XHgaic5MaFo?HSV;r0A78n_Pm!1-mWd9p zImWsBS@L#2xuk#{*`CH(Xiq5wT>Ob5qa1k(Z63=_e3^T`es9;HPExB{~8fk@BL;!+Q}y-tMy$LSJOfFJcw69B#E8Z1sZJw?2k33L;e%neMqZ5Q9>o8^{wr0ulk${xP>)<%E%7+^!iqT#s4TJ7lM{1_clWbLfoAe#(c-a&sEBvrF5o>P+>M2OUC%+GK{W!mU-5E-Y2%m*b3Iwx-!3o2S|*< zFZmQ`Iu|^4oH;0BHW`Q_;+41{(IluMW+QVx$DfI+%J=NKNl1`jPzaDbVRZ(6nqqGePhUEE@Y2NF}EuW2;ye$Z|I zbZ~+7X<{Z=L!-Fiwk)oEJM}FWzsRF^^Ek3P=D8opy^Fhg*Y_FN0l!6JX`4#@RNcVX z8lm4M9pAc4OkoqJ9EF!5(IoFa{RGL`Qwtgg0veWf2{`bM=hY3vhrg+5nntCz^q=5T z3J#BM5>noj(~U@;_(|^(3-U^u`%h9#CA^fvI#sF;>w2l^B#gB~j);NBt~jo$5eRMl zmZuUrvM+FmE_uiK0}Z>{!{D&Y{IBH7`fp2@Rwx1EMZU++qmwcVir)P^gM}*+n3y%P zuu6PW-5_XR`hLs@utGxHz+zp1dsRqp8rvfD)A8#~(YOBbXJ(CFBBjDp@bjc-tK=->03yef4| zLHmK7t6yL>%!}S9AX7IncMJQpgLCo9Bj*=>uk(v)_UOb48Yhlm5$EnVimQ?a!*f;4 zlT7%gPn>JTDo=R$1Gj#WGbkNU34R8lbwUle&&%jso97J7AAJA1a^W(&oPm{Zzyu}T zRneE9!P+sq$i4Wo%IeV>d~SYOJ;QtM>D5yhOaeE}ZPJTsTfyfwNjHy#x-Mc)-iO}l zRSlG$@#%%tcv^m|>D#Q83hF?-ew*c<2aiz3cOn@Tb)(`PY@kw@K+g?YgjZ1Srv^}fr6%<&AaZe%P5K_WKhG0TSJW&# z4T#LEXlUs?0vI?ne0ujRUw9G9!_&%S;a6h|*@b(DTuk3}RqWc>RKbZ}TAd`8e3(vY zmwQI`{2_X9PDSS)w(OmC@$esUmskK}3Dwh=%~u6Vvpa~n&4aT029<-mH^{h!tQ``V z*u>>P|LVatMU~7CGwX-w66W;--*LtB_Q|dYn15PcMe)aug7q!HFR1O8Jy^=ZS5@>N zRjPVmewwyV*SVQCxhR=KRc$^btFT6L|*#0e(-d2MjV zzO3gwj~WL5hu+;2s^s(!gVXDKOn2{l`H!686ubQlcx7DBvVYM)a*^LY=AyWkQ_ZjN zPFqGHPKMht6DZ2_tboJ%WtqNjYTqO*HfrtLi*FsqRVRnKMVfr4)SMN6}tn zz2=yl-LbikC3{Qfwrz9*xu%g%RQn({AzcEA)z{r2c$rpx%5zL36++J)KUbod{c=+ktGb=g!WtsX<6gDr+Jh}$2UY&zh#yX zC1F}3<5Xzrek!!YghI>H>L6fe=Mo1aDH9Tq+8hWilVK+1smVJnEi0#`qZ7NcvlF)E zeC#qt;UUUOq%j8)OGu3R;L;DL8*oQaz>f1%@!K#2MU%UTxDu)>V?r^1!Eth8eU9wk zrf)=CiiL+q%nmodl7hlSxaCz2I1eXWuBDu+D^c9WC|n+7oI2lAP}H#Q8`Q!99$1}PzJI4t)SCq&!t!UP9ERY#cndO6r$OC;LW(H(_xiYtv_l7c%5^(+O2a)A$Zh2uH={sp|>%^d~LxI8?( zyrI+*5nKLNTS8+v;tYq(5>iX@{CsGGKgG1g6}>}4h@5#e1$72dTf!;6z-h=UGeW@OlBfR$z?6#771Jd=Btx0Wq!sYKjS zrtaua)QsFAI*mf1i-}21PeERLs^gTIK0zVO_T(Idw$r*OGw50Wud(+>xViWCADf8( zpXPM&y?;N{;eVOa9k@;(@Zle5^TUh(-0pv%&A+z;MtHN4y>Z5+%Ki$3n@!D+udwk> zroJLwIo3YRnsuz;1~r>cQ;8a!rcx|CG&3ui?=r-MCJV*o6U~xq1w5kWw*{X?IybhR zIdbVA-Ho_cKi#Bqv@&38_N78xIXG^eE=(1WpXp234_~ij&Kip6P!wGmag8lJvu|VF zbnF&hX6Nw?Tvr#BR8H|=iFA`5Z{LqruRrTE7qoB9tHUG83jOFRfKb!MZ*uwY3riw< z;GxO9S5WqvZ2mI2OMlp9G~JK*2EeK8?Khuao1&;&m-Wy*SFTi>ebIGoeB?fvF7N1e zaOD_8s@i*c8PYddy0=YIA4ds>+^X(#i5B}_tF@|VNpWHg7FXZjrNpzZd2eOHMAUn& ztf)1)HRE`f%r&i1ZU3IN4R|++GtkzdO>iXnJ)db$RdZ|8Q#t5ti(~EJ91i{~s_(#G za!D}I=xi0f%D#|Agu|8q!5MMwv#e3$b7w+*3P@Rr)|rJTo4KBib}}tkgNbfY~suTb*~D8Lgg)874v2*OkGB8o*FGX(6#Xv z*Qv&V4%^BosqD7SFY+&>%k9EO9`f{Mu~67DC1A#K}U zNq7C&_LB`cBESXb-3yP%1}c2}CsP}~dZ$NV8{L*~BR4Kfs<`p=Fhvkp(BjvYy)oN) zFZpbO)@)bgjURC#Z}L)(`Y|8(6|T>QU`EJxEHS-7)3x*LjOR0x=XgeKTN}O8-mlps;|*~{H1;p;x9@RRRANn)`oSHs0jb1oT| zxWRiQXpqR{ZjMV9+GQDkiKH6B~!;2caFshf>i=EF|b-w0YtPSyw8odRU8f?zZH+AVwDvyNRG0YqE zxGOIw)a0$9uuz|-{b8LFjI6cjtLJ)rpZbzq-?jR!Wpk0x@uSSa`h}DVwQ?S8G7xM! zAGop^9WCjv_le`>EyW6Eq6K~Hr$v2otsxAm=?7qjOw}O8mGqpatK>a*c14C7$LLSQ zInldpxfEQDBN3PAw~i`E-vx092q$)s+YU?+snI_qUCHJ1GI=@X^57J0{^N-F-)Qsi zBjP{N=0CRlZ?yT>mev16n?L_Tn_~#tgr7kE$OXZ~?Q=+kvsxCnxXFK#_dOP%z zlj_Y~)(}O3WMy1)f+P=v&`7>{mq(bjQ{WlbtJvC$53Z7rmQCV4_(a3st0(*%mxZW< zs4|dmOBxNB>#`X81X%7X-B;CY6&~#K$+35vTco{O%##|gurkOAPt!=_V<9TZ*L7(g>PEhw0cF9kBG%iIVe!h^6;c zyY+-3S(|jfv-OVHFX*{~RpR1>{3#tfYaMlQ^BtWz z3-7J5Wl?e}EM7Tl9Hj%FdPgr(@9DMkQp%@)5d`5_isD6XIq@iv_PWl2u~8SF}>eBQ8pka{*kT*%3`O;iXpQ{A1%9C?44 zX@~8LwCNz9z>uAGnS6(WmMFjH`rB*jAib}DTc`POr1FxWNSaZNawN&*2lSJrD7ZSjDfrH_?YyM+^^ZDNwQ%F&!mGKr>SfvtuF|9K}t=W{2PVf90XIQ#F;2HSA@VL5RVJ*|3w zUJ}T<+fkE!=lVQ-FcC?q#}|I--6cIA&N-5}qFY2bfzK3yz>01BZddmuFDfJFd*Yr~ zgl;ERx8r~JY`TZfw(t`*0c;BvJ%jI}rpYk;Us&nAq_Kl<~Qu3n-p!KR!pA zT5I%(LEEvnmR{Y#SCaN}NR(pb+B?;sTHzq+QpBaRhDxJpGWRe@0w=52gC$;GH%;#e zC}GGAe!4deX1-noU#`aObU16h`8?Zh)Aa-HS>kSh# zeKTga|AhAPp|qvU)4Ys0$LGwqj4{BgnVTj%3-WU&O{URzs(Z9GMsm(q&TY8RpfZEY z2N@^8B~snDEz(I06=q;g_Te|L8u}MfY#ljeZJ2U0c62j8fqyE4+9It4RTqg(|N30r zS^Oc#2%G1EFZ3G@Jnvz;4}w^e%HU|4>d%}$=akn=hcv@4_Tko(9T#x+Ncg4%$Z0uOB14XG-+?a;pG_r; zP(We+OH}mJy`+tJ9^o+bmp5*chbqA- z%4Qlj$V761`H1$@4OaJ6I?HEY?a$jcESLA8(Z?j_l=FznzDO;92YP;Pp)Oq$k~F?& z7ZCQkWMuKOx>07uyWy{wuW{edvCae43!7K?bxZIs`HoI}CFXtb%q#MOOwdPK#r`Xzf;;@YohTwWg{3ake&BlR)31JkYZR=A-w&VXbqM=RN+GRi zWgWfn3mcd`^bf)%6xBC-9QhWM4~$_@vOKV9>e*)D(kh2gi|o40ZJ$Mtq>SQnY-KMH z*n%1LJ(aa7N+PCq``AKG-No&s?}l#tv23UFvgGSYKoZV@`z&U7l2=#N#Oz7t&r(9s z(uV$-ZAKM!gOL2%uV9~=QAXV%AhhtigobTs5(Ddli1^M8yi1zJ<)gFn%TmBEATn)6 z=$3BeUSabvntBmyLZ3nzhHF-hex$nQoebDe zb*o1~DR-77Q=*yeGahz2hJ6tlHGc;DTNDe`^%~FD_*6B^%2W;2TUf8**uLd?g`s8U z8yfoxWYnx;(sQfkv`o&hifG??RBf> zc~(?D&n;y8!aFdwZ!v}QPB<93j%$rAf^j9d*kFA#Y3j$0?ilJ+%%k1LJwm>d6(Q0F@am3iPZC&OV0kGr8RBKo5GI= zE{VygS=2QSfms}OjljsoZ>uD{y0#$^$={fy)wC^N?_-`7TzXi#&japwWwo9;s}z4o zpzj}&DTp1y;NqVa+t4N@W%>LSvydft60=VHiGcoMS=YXSURRh$u!T+PR}N#%;ca5p zXW@lk!EI{?m&mhM#gg7Oc1}CH_(W#aY@Q+a$0Q_?u?&xT-vOpE#nlhb3Cij^`GuC& zt&y{Hi)h*8S7=1`?Y>^zcLO_(=aefjR$lh@#AdaSI^(;p7Ima0yH zNepKwK0-V2|q3-A~-H7<#$9S28^goNi{|>yn!PPk-s4T zDJ!evlwtnv`#vquq3-WLEj%9@8XnvG4J#2V`0??tdU!eA81(~v1BI4?Dse-df#3}K z;s18T;}8-t!rE&DS^oBe!{$FxS zc!vqR142uHs4bEHAlM#+&{~*e|JWa<)t{;^!=U1l9+rJWe>lP`V`E>vs`%{)KP8sX z6%Hq9gp)P7qs~CNWea@$Ahc|S(Uond;?mBK-94-8e`zi^k?_hbs_ku9oU^-&Sl^G2 z(a8Q)o^xRu^;dpn3JS$sk2-S^;!1dv)fk1k1eeS8D5_J5=@qCe<>p0nmN3K;af)kd z8KWq*;eb(=Iy#6;9P&zIq&deEZs%qETWX1L*q0ESVL+3(uOGaDeJZvL_}wA|>1{|v z1Z>fPQcIX-Sq>>2#FxJtbYPVa6kS5qB^)0rLM@~~$t7Ht z;O;|*IARe;1ed2J=PS@7{{v|#*xK6Jfx1h~-?5g)D0CQWX^bL9qCC%?hr7xOwINbc z(vSnnAVU_;CkJ0uM0Pn1PdyaiP=q&7N+~D+qdbu;PgN~_M01I#Euq{J5nP@sE}uUq zdg1Ap0DtjLnI)u_#!znQ4%L?RDAZecI}h$XfN2Z}x6C%_siU<1E(NGH0v#5EL?sO*F5_;{w(IPxE`#m<$s*_Le zF8`qzwqY$E%A0#Dgr|9+_T{{o)Y?57WC`UmiA^8U~5{s-{S&UaoA~@?k@+Ek z4>S`fyvYdU4BZ;#@t=;3bhK5a8&nj9tX?ol$IvX5vT(lf z%QvhX;9%_K1US6%;h0d3xftDWn3emJ!uvQN?!doJ;>km|V?aHYKKPPFf5panR40a2 zsQ<;TLA6reIg4$0+=THxnVGtbp6vcUGIGlbVykIPRRQ-8h8_8NL}yz*le3 z-N09Pg|sln!E55o%x(&eFu1HmD$Gtzl-^LqzO@w!_Ro}5cJ3`u#u}$ze?=sc*%+SZ zF;`f{oxm>F!JrXq0ooin8JM4jpEroeeXdpQwcX?L{nzzY;g6TjLE~@6zBY&`If?Nq zFN3+7co;+(m8CSzM0W$aF>;{%c`71nie&+v3G zDm+XL)Y|tQY7N9Pa~3~d6Yx__xi*$+!&)-=e*VzcltLbD3vA-uaK5|rvExuimZip* zc#GB>HM!2MbJGF~Tf(4+mk5~P;5&f_GNUyUF6QRnU+9lCe`i}4VGcEQx)J|6EV}{h z2w#jH9PQdnsnqNpH*%d{8;N%tZ|aEdKNpd=jz1;@@;)4ZwI<>W@?=~us%t@@%cetz*wBe@Xj3Qxn3+ePgwe^eVCit!o2offXUB&azfEZ z>w**q7h4EEf$r&7p z9`wf>AYq<sHME@=iI9^e$St7}!vG(Vs}I={lhfWc#{6p*L=vMb9={#UOG zIKZ0}c@@k0fLrReTQ+|}f_UP3Ppc9!+t2<$3p;iKOE9t1&u{%S(=K4X#-QolcgfrO zSxQ9f2L?^{6UhWOiGF||dmc*-q*RT@Q`39na_=()?ne-JJzac5y>^b4(z}ZjR7mGq zn{A4c6+gqJIMJWz=qe&^$*wL?hznx!s>IHG3Es-@TfD#79X!vREw5+$(0`P2oHDqI zQU1uueeE2-dKS1)|02d`anP+(jHaka)Oym`-Nws3|06Tm&F2r4?e41q5rJ|0umVO` zUT-OrjUxY_M?GWh&PA2%7qX3IV=OT~(zCDQsId=KumcVWZ<8X(50YqW?$> z0kog|k(z?fU&jAPO~Jt-EK2+XH3hVENNCLeO-%uOEBzxi1<-i%M{0_^EkWRkfz$_K zB7_qQSz#k99At%y6b_-EzIj!mAQDA>7?mh6(!X72bCI+_IA=$qSb1x{`-C_g&;N?t zM~XzWUyd5~fI5%xfW3)sXX;~YoUeq5scCO$_DhLoBb>yKUCer%s-Wqa{kJx$7vrBh z&8nno@g~)3!#FmM{R_>D)1QW6vKRwN6SHkgl#$i4I4k9>afcFbcuEK}dK}&gqgRg6? z-&OOr!Wr24=>rPKj_WU*9|8FT_DYQ#r5e-C0ZNBqGuaE>k)*(WZxjco;SRQm*+Y<; zx%+8*|4k0rHC9()J}Nsq@NFY?<1QtKj*$QSoi%rm7c|sGb#=p@F2}d!Vll(IxMRMc z<1q_Az1H(QdiwYbU@!JXzO|RKq+j|wTf-UunCSO2pB3h>dEX}NM-z%!l?C7L1*OuM zD>#VYzG3Qn9`F7jOKG&Mru){TOVn?bv0d^2YvD>7Q+%%aNMgXf>$xIDPp!Jq;+g!= z^`eM`)uXVwfl9#T{kZG2!TlD2m`>V5eN9U&bj4l2a9qYNFDNEr$$`ElV@UyFP12Uf zlR+#4n3`!XuK9XMe-a@jQU1w^z25;StJEqanz=U|!)}|IzZt2KCp3!l7^gIrm|=+I zCaf_9+vj8PokC)#&V0+T7N7mG;+%MOzJygPduU30Ej-ddng&r_U2$fJ7* z-2Jp}-zG!YQM_Fh<@1!tDVm8Xy3Jd1C-;sF*75ytML=Q6_kMWYyJ%CSbi$#7l_z6y z!PIc`-P~#u4}J{UlsQPhgXfapCW|K8A>u;NYSGL#E=aQ?NM*76aW-B~R)re)bBts< zC=0VcX7^@`f&?qG>``nfD}2A8m;f9NA`p4O`c#uZ>n0Wc_h^YJwEz#?MDcr%~S?+_`85 zhF+Uag94I|q9v^h_k1`K^|Lc9=R-ffLc_{-o+z2_zmIBb2?d7}OXvKT=q|q=K1=mO zKg8mM7n4coW}viSRB~`nk=Hwr`M&hWcPfI1T|X-lxh&4~>4;e$cE_BPUlNIUpV8UN z3o>4?#Etb{Q`zIaZqM0A?eC`5be`c`@8yV!vn^O2eN5oaAXT>MZnI3HoY*hU_fJHg zSn91ah^utJW){vRCYh-Mfn3hk+N8Iu4;){e;kz57l&v>~uce6JyMm5$!`LBb7Eru- z9wl$I#l;1jR$$@zHJwif!?s>*=Hfi zOAhDcjrF#eCeUN#_w?)4jYn*~^?=?jmru8BPqe5upHr07f-`B-k z7py_^$JM>FZ065HzC~ka@R?m-;}pUmfVJi7EYiAOJq_1}iWb(UB z0y6P}hRBvK%^H&+FeI;NM7PN1JaNWmev zXkrzx-m3X3q*G2Nq4g$Xc#>1a;aPaeFdGopzhfF1JC`uQ&aG$Q9Fv@u`Mz~TuBmH4 z*~HerpX@47e;hu;CWGTeOe~<1<#{G%8T0MiEp5H9_XqT4je{a{;QJZMx+{j7KDjtj zn$ME!JGV|GhOO%)8?^vcy%zdM^ENtkbq~jLXYR5Z&*EJ~O zCq65vS~Utt{W{N6)$@=*O0oJza?M^8@zLXLt{`5{(Iq^yXME=jxoX114JnPv?*8w**Cl^)nmvteImQH4 zhCw-1xT)%^JC244_&T?IGgbRAo+>;eiJ zkL^mw4~aQ!0}?XY!SMKZ5(aL0P3yvejcaNVuQSVrjxlA-6S8-i*{=!SPzIK%S$ShW zanuu%3tQ&*Pg1&Ol*(&5FW8WZ>4_$c2XFxG#&*8vZ#m*he#RsiGCE}YC{cX5@apr{ z5T@Fj+`O-QfKk%UH3skEHP^}yos(Dj{YnQf@r%ls*zIBwF$!DSyMwrtyv}vzD`HZf ze)(Tl2&v3V8n%vvAA0)^?wtj)I3BQ<_T%El)#NF9X$LRTjHpTmR;$qDsPbO(JIO7I z#-Ch3BhJ7zQz6Zu9GFQ;p?0^8P{J}GxO`@rL+!3#*QbRoK%?&(k>1%ib#RuJ;nEdp zy?alyD*DGSMzqiHi|Qm{f&-#fPKh0Zqp6#HSmc88gsdJt2}JfzY41T! zgJtO|&@KBwpP~QOkM&{YJa*0Ghk7hE9fQ=tJz-U#|I{rc{MGo{Axh}FcwBx-Qy(^+ zoPH%Kt$c7)Vsdsp_}KsLn3YYnje&8Wgp9}PS=j5=wWzeAsddR?Q>GoE5wObm%)gZ9 z*6R8%kN91sLhup&URR$#dhoTI%sr&6SNp)y)+ILgHC$t7-w*XWK-$tip>=JKMo#y( zVR2b)H&hPXf0SL^4AJuiRxVS=teSajJZ9$?9%D0yw4k7P_RM1tkyJa5&u(pBhna52 z;vf5s`|9_Y5tsA7a4xi|7FopRAQ*S`FR1!_%_| z?4}GZfr6rRFGH%jhrV3^=Jrk0>c*DE?aTXDE|c*NCu9X}un!-gz~xgJoFjn?QUv~6 zbeRb8B{5W8LUaj*mk=Kz5reLln5Vi+D7!>;yTkf#__Y_(8BAETa~f%hJAe$RyBr*v zMxnr|VfPc{M%%lH^b(RxsJleLOX+_JFENn19gL@qgosuAudW@~wgYFqhM*oUc;nxi zOFjrPA?|`K^OPOkys3v|Kf(diEs=c7dnRz0niAc=e+n-xth@qX4|pgPC7yQfAbxRo zSOE@~s1y`B)Lg><0>r|Blng<&Db$<3hEmgPB;&HK;Z%Cr+)UAejK3%*%=PRXSc3Sj z9!f9abK5|`)F$Mp2+G{rhWG41=m(XTyGYv*tQ*>gn$x3W6xtd1xgGX^|5jd-Abbzz zT_VCuPFWR*F5!k6aM5&!ipvxfCPFWf@^6gOluKh2jlMq8d~RfP2VzVw#PWrREn&E& zpT9AR6f#T1ARZhHg{1kX=J070l4}W974;}oWeTkQHbz93@CAKej{=Ze!p0$(<_RM% zVUB0ZsZIR1<`R)ye#iU%V|9Id4+r8&O33D*E&S|RD)_9B@*Qd#cNA>NhBMK@sp;W2 z48|yM3T@9LgOOEC`m}rJw>=zIfWtgXB|O9&uBxh|jspa$Me^(D7#1=AJAHTpLL}-}{pvV&TqW>Cv9Z2^ZtyZE=&-tsKoj&sPgx(nqgq%3ljz8KCqTTdoIgY+>=U9=wL$ZInQ%L^# zaca^HQ!uRMOD}LmGNqa`r>_W4LftgGWiEwid&WhdEd2+7B>!ip`;%rK-}E~5>Ex<0n8R4H{dH6s6b){JodVK3 zAfGw?&Ea!lTMN$0nu$TJj~at!M_TuDpNeu&$-0S+4g+mrY=WD1Il@W=`n0R{VUnNk z>!KXkgS3hAQBV7@NQ?m)qf|AgIlJ_iI~VM4j+6I?J`vogGU)Fbo7pO_;2L@d4l-(6 ztWe}XC`MZ!5IVJxF%+Vm-J`@ZkIKPZf7aA60B+sUQ!Y0nRN+N0@^IfZ>&6UvvKVe3 zoOIvU=fNEXDkosvAayRO&6DDX125?=$B$Q57XXcb!-$Tg`Nr<%>&!NAomzEclR@gy z zk<)2J+JY9+A81o1gjR<_oSxkQx>Gzu=>oe-q5Yie`>`62Ghln|(7^R7Lgi)4c{w5}^8vV>!^CaZ(z+75F2$`Ce$$Xir4z$+zC@~yUFhu8huV)|IU^+8Ih}x4 z^3IrI3tH}-yvLuF&a~zwS{e4d#;xrZg)N=)GzC!{ew^q;9Rs7!dAnbop=!3LHGViI zQIw~0U<0^0c9WEoup|X=OK*zOGe?)Zk8usK4AtP4)%(&#jEfdJLuFT_TqsO*^xx3VITEjXGI9*v2^7Gi*OM-4<8u z1)06vA^2&jq)%QulTW+odgKWoe~;@qd30g$&}q8TD+fqBjizo*a-d(eO02df&txw$ zvcIx2Cu}jNUTu-KC@~J=iN)j^4b)5R)3t%`Osu5}ZIX#6?GEOcUg!Qx zd8=$f;F}p7GBU zcwz`f)7@tSx9hd@Tb_v8KIHQgc&Lr`LJZ^-c-`i+{obVzAL7<*yOK=}rgAc5rQ9cS z^-0fYj6Vhe4^Xe%in-9HW9q7dQTJzCPA+MU#_fy<5@lOTERk4&H!P7K_Er+^Va<;+ ztB*bZB~?*gI?OL$crC}zf_;C)0-(O(XHaZVIf${Y?nSJv9$w;m6Giww=*7Fdy{lNa zmM6jSD>l!1HI;b>z4~u=`|NfLh^27i4ay!3R{1@U*DWjpX7^vG_zBM>=?NK%+d38C z{^FbooSihl3K%nUE$h;5gJ;$h=X`gka&jy)c~L39Zeb|ayrIbsxh$Y%3bmKAJRrRM zSCBUTJ(1~Fx|P?Yv$C&KT_{5a@>CZ&)-4NcSz5uf+e#tqk>^Ctyv0}=AcCEtAj8D zXYnJ`NA<~z79~q7lGfe78p%uql^)o-cpm`LYFVMni|4EKZ@U}eqy=>^1bh0>&+6Iw5>a{YnySl zLlHmCkmXoPn`dV05!gLX{}4!4v@En=bLs7}tiUYb;!}Cs@czViUlR*oYXzVtFEMya z9eWYCK8~p<7xh-{_gYOqmg8yAnNJ^}^{WM@*&pxt4ctz=Y|zq8+u;}*AdSV=%9p2u zcdsc#)P1EJJX>SqC)dDxTp7cejMX+7r<cT1bf_ci9!2SB*q!*KX&N{ohy z;<>_-2};E6S=Cbifm52b?h%dE(^l{WKXNW{Wq*~r`F@oTuuO05f% z41QcM>BQ~@o-xE{yemx@84kz|ryIrAYzOCQ7e-`9KiV+{9@JOF4d10@_nX;Q73u|) z(y|=On8BnjLpvT$>RaVf5Q-s$y4mO*w zw3GPoSWQV$N4)0v1~%){nd;>*d@m+9u2(p6JzR=x7d{tA&x4(qI?3r1eG7Easv4)< z)K_!4)XPyxc9>tWh&qv75E6A)k%)drXb=wSVn|S(RCT^@88zH?eLty>cbyeMc`7Zc z72J2pj-vVnNOx_?{vUJi9gpSv@c&}kQK6Jr0kWIm5`lHwxqI2l58pw zGPAd=vXzm&=l3|(=X2lp=YD^C{CF=%enl+vKWe1Sl&7A^Xf5)PB17~()p?M87(JY+37>`0-nH2 zw)^pA^+3-s!n1ye;*tu;?AhLR8hOI{>#p#^#F@ zUXE;iRZoXWW2p}m@gxH>^t(3DY~50tu(eIKJu<#=iKGZfe;Pm+60_|F-{-ca`-Hp| zJ4VOvKVuAtmbv5qu4kS^FZxx<`?+my7mzV4>K9cvO3uM^!w8dxEd*P}G>U?qKP0PF z#K^?A1p^p-o_G{@;ljsnMcli3Qz}VrMPuWB5yoEEw8h@H25W8sVm+6%&C43L?Oz3z zoQi6933(Ln^sS?qh3w+rk2)p89MPtE`KYR~BRulJw{;VkeCm2HtLx`~ZHept#1u@; zeG7=Z$B44j2HdQo+6n;3H7BL=AL0uiCw)j;0)0fBb$sj)tkF?eD~|Y%8 z6OcI^a%(u(k9!Yo-u>2aT=Yx+EspRtDdy&h8)wde2fj|%Q)pWIS!J*ZfP0ZSP1ESsq2>MG7rBJh-F*|^@+j!phd)g%-n%3WYsY;`2Y;>7GrOiu zvGU|kvL9Ov-9z2>dAuccyWZFtVs<={$&gmIO)%ap)B^blr^lNkYt>!x-NfmtEni7D)U0H?szpS6+NsT;Bb22}@c<&7^mo zkzY>Vd}4EV{|N9X-JL@xu(0ubiF5XXs6$XjUGvs4rNqtqo<)pCN$L8)Dk)Dy)qrJn zZxrvmv4D3Nn{wGaECagyOWl0xm@K0f>@|I9JU^CcN65H(w*rrnNn@Sl?z7DN8bUHj z6N~%)UqB~ELe{H_l{Gx(Ta(*YHML`M@+#LAjRGF$>|Ry99sU%Qef7;_%UTN%EHhEo z>W{$keTr>X(>X_?;22JsGsnse9KIcNFmNc0r*>h9tI7lfw~S$_zV9BJUOYG}r5qgk z4&Z4yclIA+aQSqOu*oWZS=+_EbUlzmZyW;`v3(z(KtN{r~`GBr%K9mzpKurb#5bW?^E>X2kX8kP$-lsTro?B zBFb76$^zaj;n#QJlu$(p1#QssZfoxdwW7cKzY!FP3@D|cw|8~-^{*gNmcLUh5&QSS0WmBS zqGf>a5e_7@l935cc?sT_;r>A}CDvtRu);goCZMhQG_vy#iRI$q;S*Fwy1}*5Q9v7x zQ#~b8ymM**H!^bbhW@I+AY>p~N>m7(0uxfn&@kkyaJYLAOd|gG-}2Fzm{|CXVU}Y# zqNO|yucSbfl&8%?FomjR40h}wH1c<9Wjd5l!U>VsO4LFv3bmMyLRMetS( zU#AjeAfnMS_!R#rCJ_*c45S4843vw`qoLv8jBq~`*u@mx!H`Ft^*dEdqKF|^{4JI= zhLz{=99j zZw?di8}cVo0p*PB>V0Dr&Z*%Ywx7G1r~qh^gYgPTz5;^!VO$tO`5}kQ$%9hR#-?^$ zM4k+j75-!^)ShN5VEu;t=_pjcF$y){ha&t5x|dnX@rK3Zmx$1^U<)e5ztI89GW@AhpTI_;G$u}1U;x3y2`S{^6W>s;?oFJ~ zqrngYDU`MKf8oN@Q)6WD|Ju6_-Fz2e{3jfR|C!AB5BBv!^9j^{1DXGJT|xkkOz{U~ z{)gxCUy$>^PWS)49l#{r0sd*B-rwWy8;uD2#E=Q*xgN+$&>7mdA38}eC4qo>&f+IH zVNWD^=Mr9|W<8y)3cCLF6Sjl*GkmF?<~|M3{^^qf{lYqXoKef|K}kOAj!eHm$+-0@ zo0h!tSge>5u%5v#@e$&sq%*r#_p_ZqdBd)$Rc$T*f7S( zh?r*Z=2+vR9VHt-+R4n~nxX3_!~Pr-Ich}D0O*RaeHlF}F|ag$%~a&`u?%YSG` z5VOw&#H{e^T>!hRbr_quEemv4dsDmRZ?G5)lbB~XDP3!RU3N*qtKJO!Y978F`JR?X zJjZ!Tx=Ao(6dP3s3(~P6z=jdd=PS$j_ z*o#p+5U{?CpY3lxrxCVPmV=AuU{`Z9Zr}CEz))kroGXR)4jPDv)?NBWk#dPM(`F`v z%9E6F`FZ@kuCFB*@7xJgNY7;kVnwsud>rUv0s*v^Y5Aeqw1jb_UJlxpN3tVhO@)W* zAjdP$^W&s#qO;A}z?D0;h74^T!xzG7?mS}WFsQ{{j{%4D2L7*0LUy-b_c?!cN*cmg zyQN1kBWY^oi~U-RZ9@z!I;3LO_^jpJh$skmnWp=+;gWWE_X@jPijQtMAFVkSDF0^i zsgdt#a8mmKPpN@f=KWXe{%@o)DC&w2?#A%DD1z?j9v{nF&ca3E(Mhp>&$VWkWRlDt z4sq_6I^QD4AAbRqW`wFc@Fm?AZuq(}Z)bmEc8;fuw^lAc&%~7y|K+YP0Hw4qWcUdq z>LUv(BlDhhj9WAA*9pg{Z)G%;U3JQH03lzWxC-u;HZ61MyNLG4&hgjLVUMp;^m2Zj zG{CZY9S*qoFPr*XEQWq}{t~32;i#bSWD%PJhh}DLDKTN!U6%r|wAjt4?7e-|@>$y= z@glWFIiGpUgrDJCE1WCVG;$W{;2UFwbpng`&;COV>(tnY`z;4AWUFaKc%1|oHywQ? zSAkSeFGFtWnyCNTLPv_(48@gwmEC2jZFgZpKffb4R+3RiBOH`a+F$b_ zW+P%cm*`RVy*p<>S#108h2rYG%M5}as_RNA6mKUfr{hfxUPs76uQ|Fq@*mUI5! z{Od=5ASVJlB{#RW|4Ik}8T_64H$n(tf8t+BDbUi^`70>}E-?T4Z=@7J3(a3iDJUz4 zA0YlhN&#%k{3|K_133witF>nlv`K_4h>^uPWI-~K^G~GnDz)9o9IK&Hw>WiMBNts| zX0AMo@|!-_ohH8+<1=SWz%dW7R(+s+;f27gkPthjjm}N}8o8}VE-C-f&w^*OWx$2I zHw@nHFHUBy^ZX#f&yYAX%%N66T)DQMeVNW&4ZRb%!C${#(`)O5lJl1h*kmj%jF;wd z3hBdzb_9u+f3!UW-*Xff`e!xDr!JB8+b?gso$Hg z;iJ=b6J0hg=lwbX=PARyXDbp-iHu_p?Z^^JZn`n};^0FV(jE>K90sK-**Fv-Qy)p&& zxXtbxn`st1La|96zItNbIM>q@D?w@}g^10|OB#*TXRn;ssxVN%D_ND-F7)dARW4SJ z8H5EUsA=Ah;WL=K`?r{A7m`LOex|B2C6dlxPAC6xNz7>(y!1JvpZ*ICV}*92Fsq2g z(m*Jk_foT6{_ST^4P+M-tAWkb*&Jc+h4?})Da)~h^4!|uGbWwSZ9G;cb~D5nbQ%GE zk?qxQgL_tAT{R3n-Iep!<#I)T8U~YgWHTE~`Cl0WOv-VV2|eywr7A5_7E6?(7Y1l3 z)zgQt>f{V9oyUg9fsrN@nqDDayUV@*enW-NScos+ryogxz|vGG{)7A@dO#fiUXVTq z3%h>eE=q@m#BF*HNICGmfRU8(RnB6mM@&-Vqc0{?*H&oRec8Zi@=YbO6_)EM+~?C))7tw zs~s+Xs5Rx}6p7oTlm zu@IQeTRB{pOt>y+cyRYIMzWQWO+XWs;)CJ#oNx^71pUVy5cAep!>D z2<_QDYL44xBVls`mz-zBEKU8vtYC4k8=ma=Pw2(=bi|eMl?>rLhtg=tvyMpx3V19lmCBz;+%U^&zrd#5{B@liXqjwM(aYb8 zO9!vto}A?pR8lhxih7>%s%*z27!@WE1sm>HwWb@Wl#BTuS@4kt@h4|1wWu>Q!9*Wps(?ypXQZ<0b;d z7fp;xInZA%`T7oc)bL~FIF-fB@&U1A+|Y*HUDpOue%(>@v_75K(g}$>z@>6%%$e}c zxON534Rfx$$>oyQo!y(hp0j9bJG^+;KO7`v=c03n-3&-fujm>eU{0-Wn_VXsR5!86 z?H$N$AbHN2~17g^m(BIgp4H2(91QhxUc^Kx*0X_Iax4tY9IUWiq=B-X&)wM-J5_@C*!!Q_#=M@S6`5EWLs+CN3F62d2~dMhGkb&E+;*UHwRqGyy-+~U4_5J-PB zHMh^EVC_*bG(volHGYjs#>D3S5-Y!ugR^JWyMC~1(9}&y;~xB^>ZHSK;N3tmmTqZl zNR*s;3%T4e?L}MQ8OQ1wyK#&!8Tlb?dRpE2`~}yD8tSyniuUfsl^t9Lz^G+Zpz9Ex zm_NfOscYAUDD)S-c(-I?t*xcO_+I>~QGHD^_*b`Ob4y1BH<4i5r9b}*QA z^i8cYs~cPQj9kM(Vn%LJ)!+#3MHX|rkQdqg^R%1-*CS(6ODelX-!`;Nf_*dzjg~&5 z3o{E`XpZf3ICtuN?Rj-7nT4P3S$}+7xdp=Oe4e$dzNmA2U#n^|msjUK*meI=^yelq z{rg`Jh#3VyeEtU*|3G$GzIB^c!OS}AHQi-#h1(`+8NFCI#FsAH0hjKP?;M5!anHVP z$puIDjO&HRu9AfwYnIvUZcKKQuTqreDkV>Kk`(3^a6qd!!Y88>?&@xK(2wNMd>SmAdd_t}Lj07@dE zDDpIy^56hEzM&!%ib2saG5_>{gFl&+|EfG^Lv*3?h_zb_8QR+D+S)LW5)biqLvuF* zBN4218_s{n4@Ce~k_efFF`R*cL2#du4sb*#36UfmunPRQRx;w0N=C-T6BHt-uKbip zBKB@9NF`yZjvrJ?PM}bzO1M&mtb8&?p{n70h%yubv$aSrXJhlkkAL-m|FLfLaze4Fo0qSD?7u@`k?JZeNGTzw zgcD{OqflAtC=4V`0lsAs*(kHrhH0V*&*+DYC$VFB(LautNyB5CFj?Z0&-TT}Z~j>6HNFD!`fFz*11i zTn1Z2)W86WkIh9;rsh}u(9q1yU&^AxpQ&2N{wGiH6)|HIS>(jPxPV8BPN#6P`VQ*R4{0D;uq=%TGT`(T2S~uV9y=2T{K8W z;VAeg3;WKS|JX(v?F8+F&iKz3>&S-xHKh0c>(MU1UF-#B6KMa9d%AF+KB5}!1oK3# z>2y60?euiL+V{V2`wvd`e{TvDFWxSQTS(y=@*(H-dL7S0c~Jeq0Eng5g--nF_*jMk zLXY2~r`qUw;Cb8D6iaC`#fll#c?bFB{k+JIN>2^q1^04UoHdw5&^ZWqi(X6brRVRP zeA?&`M_urkjxx(9iGe4~H7DkLhg5dWTirHHS5mwO)iB%YzT&)jpFJL5dIcRkt;Z%- z2;O#c)G#pakx9U&@XomH=<4!?o$galpx{V}W58jmN^Z9~bH=0ZHmfj)o{cN6#g7af19 z-0MGs!}&+srOD5s&YS#X6n-Ve){Ooc$Otq=S8?kc-0W_>U^YajQsJ3jhQ`WNzs&!r zKkMDXb@2FU=o+P2;J)95XEA$5h|%Z)DEyNY<<@v{cs8AXb9I3W~2yS5Wj zBxraBtyP`;Ep^C~KI^ZK#5SUUeq5d5HwSd-GSlHo+3uw-SqfC42y>bWR4T^!70 zKu-F!yywfs>lIbIFQ8vIg#f^bF!yMc&Cr)xc_^!u$Z(-w}!iRJB0wv#ewSRelACR3*tGZPJs%Sik zd$Jy`R!$H#u-o(Zm0uNa@5g67=k zai~m~lUj!LO4r4Fn9Q(S9TeL1zpO8;1PYdCbaPzlr3d=c$SNnV>b6Z?Ne`$P*y{KB z_05KU_9BRUf9|eydC3s|z?l0P`PJvBh!dyA4w5JMv7StpkI4O?Ql7QYf}gbsDu;)x!q)*QyULEf&u$evAUsg4$Ka#$5sRj&<9m^I&N< zxar!HDm#At-HOQgHnIZ{vpq#$`@Mb3B!rhq@Q{9Bj0Gk6EM?+R-u;zOyswFPz}rmZ z^)0VRp^ja-l*Bi*0txx`7bmL=DK<8^DzOCDxBko3l!vC`F6*39IMtIMY}eFsOaud6gTq@qK*GT;V$yxs-j_n`CxH zP$Qg&eIHvzaw>+JcI7Z4G4XC8%P2MukjMVsr?RIWrJ<_6H>qIj`jc4oJO{n+7$$V=3@ zH-9xug7fS4Y~Ob;qr10s%8oAqFMefdw6~PsGB|Q$43-ps1Qc;eJ={B-Yy5f-^I+!f zBCu{o4Suc?=HKJS73jbgZTzH&Sx8y^v+<%|6O7tR2n0bzrdWZ}lIrMGnIM4SxQ|(e!`A z&p$`gDm3^j6&>xI;P(?03c39cFFULU{3|&GJ-vN@C5K>bedDhL6`Ui1A8r0ZPyr7w z-(LwTfS+XkN>G6lOjY_DK?U$i@?Qxm^0GsPC&`2yypoF0PBLUcjw~pU1?7bKKfzB^ z3n%rYhhgXXCOF8k@GPD$o%g)gXL3p7#}Gk-n@H6bs8MU_sCwO&OYD}fyLtQ_&5(q! zZ{aY{5ZhUoAQyeH6x4RDP)qn5U5&a^l7eTw8Aa&&2EV>Beq4i{Pwa-@Sr332sj8J= z`j$?oEfTA%I_10-ccj}ZOpR<@$p^}^7|x4;=+GpgjIHNu*ZPC>*lW+X#FEv9Y(xv1 zI4=rhnX607fm;04jOWBtE_{`7L+Y%2@fp@_ z;1&HEWif*<**ERwn$4AatHbue+D#z<1({1!Z{Jvpy$My@7VEeu@KMqFczNT9uenQ~ zCA*LUjAQwoY;H3+<$T6x&(2=7XBWux9prfsw2W3O+TB*A333@MWeR?})7`8u;Gh}) z6`MGgJ_D_UO6Uqf#`U-9}}8!!#4HftLw{+_B2pdBHgOlY%j8CwEE&;B~9vai9B!h6`KOm zUWbBDKklDhY!6)=H0#H8JV6_A8rhf89O%(}W?uOn9B0XF28erG5?C2xUp0tNd%7vv zdvSiO!T8E#kJjXY2)Gx+d(eM{D~0#<#kesJr(wZnp}Kc0BUu6+JFiOiJ?+7Fky|HB zl7ZoAs)Y*K!s#3{gGqE~N|*s|R9^k|;j2|3QsU@Kgq~gsyWb%v7wsUs-?5AR&JuSC z8fTb%Mc_AYaFZ@b*<;i6Rzmw2%SPvDWxj9)xy)CdpPd!@4qmJ7{-8bA{GGox9;e+f zT9~yN3B!$&o1N2wHtkrw;pf%smjnTyc`;Fi+*`{}T0M~h&AL|ztO}A}|1`cRZB-lA z)(68*8s*QS@JhJhXxuUCkkmd7@y4x4R@cbLxbL;IGI`d_6&A)C6+S*adozeYq zrLXvS$1_1hOB)OlQ}8Q%{M1Xqaz*!c{x4h(ag+De-43Xb?t(tnT2C*gB{y4`b$!#VCFF1 z19QuA^SU?v9M?K26RU#RS3{rNZ$A%+Qh<5V2hjEu&%!lphKsC7*m%tEq)Nl8_`74# zF(tcl%BQ~Bb7Vl}?$kCO6Yn)8)mL~lH*UHIN4%ZdB^3}+yy+K}klru_=FtTd?s$|< zt#4tO_$LnR3Fz6mc!g)Zs_I=pDNXO;0*~z1tvKo-v1nI6KPc2`0IyZeVnOG(i#8!0 zm-VU?766NSNb?dVMxFO&4;oCN~1y7wMO zr(}LYmov6;`2OPvpOEI7%=OGxFfujEz-{RZIMf0gDATIzn_9`99aQ)}d;NWKTnC%} z7AUJ%lQtPvsTw}788JAwc+)S8WHjh1*VlZ(v5B8X4-&!Lf_!Wze7-DMA3F|(v zE5|m@TKk8Vbo5TGo#6$7MQsNJD)%2JzdOX@k#KIK{W#9zY&FxvLhsfT5_Mz`o_6t` z=e2oKBjt`x$?ITYY;No0%IQwYAT-guv?Hyc0kjNb(z8mdW{=4MUv$-1OkkT_QZqWY zd5png?(F@p0}M_5B4$$07}&?8pt|(rMgAyTP+Vm*I-7^jn?h{TCvkwFilFpnjkGCe z+6+7`7^O&ft)5B1=iy(`^p$tk?nyQn<<=lf)xn+5K#|&#ph+4<{#@L^yrBB5mrBSd zFe@hyR2-Q+PO7EXyZ5?p8>U;_e^oZi8B%qg{*uh2ABo_;2S~};$<^;)!Xg`{sWo(C z)@l8=C2mR0aP!T~*NZF-FUkN8gLiQ+iq4cl+0euzrJY31(DV!;F*U!$)6(YluLmf= z#CFX*;?_hae&E$i zs%5)ou(BhddoOxx4-Hi8UqqL%(DREYd3zUKt_&Sd{87k@l105YADY|HnWbz%NZOY% zOuX>oZ89hKd|X00Mv;d}KhR0p`LynP*XLENgCI=r@c5+ScU28{d|u`j3)&_suIMre z(sBeP6LBi)r-GuI%f>S+P3_S{ZXZU8TiVyza>xaSw|Nxk^8C7b=1u^+h^~Qe?6Xf; zQrdS5SGFCWmUXVgzPl(Q{q$}7;@TlKxcBJs%fTP``YsvSD@R-!X7!U8g!eNmhW6M* zH6I;Z;0Dq0Z}REocLpuQ=Ll~<97E#~yWg{bubkPFS~C2zHDnN=sgI31KWG}fv^BrH ze=7x_)yB~$plA>mD5a%kKt5@FnnQ_dNJpU>{ZOc;bQBhnL)rWVQXhhU%O;Tup>!1J z=ox??dVfbzBIfNskP=$fe|y$(PfoC~sbH%NBNLqKk}=dzrbGQCyj`w^VU&JQJ_-H1 zP(68SV>d>ju0WE+#iN7-Qfi;Nxgn@Lt&fsjh1_`3o=`Xh`K{q5z3;Zw+fWD?reTfa~L%hK%! zC6w@i^YHJ52^5r2B6BX)qEJvqiCTs)1lbB4Fp={#lM|6m9Kb+ID(?zPNGIVyQH3i2hEO7<=cl#j2(5%qUPliBmN1ACc7OX| z|B+Ne*R+2?Kv3A@$d|89m6eFB5-HKigStvoKAf(g_O$vNRpf^P#mM+0sx1FdRr@D} z@{go)e1Zh3Dkov~=FHp~1WY29?%$dRV?^?RLL?7xan2Yj9w2aq8I+`?&qb)n5n(0l zI=?`N7~;es9RIDVl=efBoQf*p^M#aB!PFX(yL(Vfi3Q_cA*8HD;r%yC33u<5Q=U57 zAA0!s_=G%1Y6XzU1Vl;+2l;PTch2klA}FNnLdNf?1WZIl*@t{b`jH)kGD_4Sd@DjX z`>C4pwBj5w!c9!Wb39n500#_9z(B*mge(>*J4YBL4s@}zu|u^oe4`+Yl7~0_e^ykX z;H3ae7?>a|Qj0qGJ8@G?>{Ld2?HWu>@I%p=!vUEkOngNmU;l`e@7;5F{QHVP`e|gs z8APTGb;?g`QK&??r_bOEf@I!4e*srcP3_50S&DRZrDfH?>{mCmrlvq=5_n+%{($|2 z*9G8(fsIpXGDNm8@l|ia0#f^l6Cud$Vdo}Ff8vA)g)*2p!TSG=o&Dc3&;JrG{Xg@v zliD8jORjFB3r`u{^PqIYR@|2I7sRq!sq3&XZN$>>1&u|h`&vVSTXRM3Z!BM=9EH*b zUOsOslbS}>thh+REd-`(i}0f9-kT?L)A)l8)wrO?WE_{Rxbu$%u1Mhaq+J544ufOK9Q}xJHfs0NS)R^t~8xU=lHZwcTt@dvD30v0oZA02U`}cj{a}n(g;$1rd<2U#|6KG9r=np}Jj<&?NntFF3gFzD`Ldqc}PA)3FiZ>-d9R3$~c$;#GP-SN(EfBqe4Tay_B;Sgf$dz zJ>G0SY5hBx3B_6Hd-#rE8ep zE1xyp{W?CLVN6}|CVQr;_zY~^5DCWBu+G;Hyf4<%%Rp!P-i)1x!@fd%!#QyKT=X|k z4SpEBx|N+X!97KiUiJBxS6cbg9|#ooFFFjH<2U&HhwjrHurIC}Pi@QO|@frB_F zbz*-^~T%%5R)`*q&OpFJ6E6zKpzwm75xLT>&Q)w$1K?nzR<{z+RnZF~9XpkxCbuS$*r zFzA~^r_(S;T{Y}s{%a)?iPzVPy@WUi<+pIIuQ2#k|*rgE%#9RJ+mF{pJcAJgba#((Jewz=r5 zSf37epAzpv#x#Uy|YEuj19ss3me(Ep9Ed%_;@~q)|R;|f?P=;5@qa>=O`P-qtM_{-uUUM z%tlTwpW8nj5&tFgJmsMOGu`9#YSaH0UiLXC?}UF)op2#(@8I}XObDRY=C7C#6ciTy z6_)~d4e_tI6u>B;zv5DGmWcSTxD;IBg8Ait!KEe?{^bhHzoCrmss1USy9$8Q$ z3mRlWJHhZzq|-rVqvKQk^VAE)t>?0^*IdYDYg_xIrSqN%?;XqlOR|yQqWt+dGRMCCR$iSPaB}GTx%4E+nTEgK5D%h z;m1WQ_i@B^(7GDa#!hni(x3w%kn;UD__C&dy-~WEBEfOgtj2KrYGhlwQ?Za|8|PvI zfGGAs@UR}P)L3wLt;j9X&HR)a6S>EJcW(Z$a%3n*2bRl}sk1xr5yY<-)I2z@XHgWc zx;!%C&f;SJjBU`DPaF$AG>({n_5Do8V@K!U0)dqa4z~(Kf3^?$75!kB2Z$Dgq zV>;J->Py;53y^1a(%|@f(z(-sOZj4X%Hy_PiE?s{pwVoPg-_2C+Y^w&UvgdGDr429 zp1Rlg?i4q-nilg0Y0a;{mzsZfGF-+2B1~tmd)wrM_q&2j z`?bshZXi8rlVkJlLTT`2HmpUgY;R^?l;;& zU%&bFLllcGHI2U|ZASNPw^6Vl;YZwc;mddS)`RKZ+^_yycc+Sbn*0{!}52f*BxUamV2`iQ;11ML0*pN0jVP_|2dT96AsX0iHVJ-M2zpMu*U795K?-EYZLdScs`ptnR z`M!dtFLtcGgVr2M)~_F?CVi^sjq|7*EIDZf2Q@dfEJG=B^;8p%;z|7Qb;W%IxpIrR~Ec0Dgr@bzr7lEx(E=|2*|!s2PJ}mF(G0#gaFM~E#M)`?D>~pwH-8~ zLTooYDWc~?;-4Je#mG5Ccjq!+uB-$&#?Pdbqx-vReBE`^e&L){^JN?FDBtvK@?q?| zqebryehF#RcX1C5I={_4Up=?OxZL&2kzAEUJ#yMwk;Ij^2Go8IR?N~`m^qiluPwwr zec|)tHB;*g%2S#zzTc#izP15&&(zR96k=O9s$8QzZsl@F8ryb0?=MsA_QJhf*j3p9 zcqV*cE#o}KZ9DSA5O$4fH^$c(pfTXK&L{ra`SY8ZF{r2b(c4B%+hkvKtAc?q-$p-` zUl`qb&D1FN!{ze!95!Gh5WIBxWPl@xuq!|!KRb!<*2ipycb&blpAF9>TwO5(>^hCa zIIljQnkk%e`S5TNcEI6UWpv1JXs^mONO^bg=#n1^PM@><$AK9JI(tMdzrwvUSxF` z2ZLWf96YKGV0(KgCa)_+8T8>Nb~o)IzKA!gZDZp5&DiPesqdg52weq+j=j~nyvAhn z#>x1WrgK2Zh%FhbvuP*W#$CXy=u8qlC1ISsG@b5cV{+rswO}_~HSYp64?@`@-S{EJneY(vP*ff@0?7 z;A7qB4=PnVZ$I^br;=*^Y0UXVSo0>e{q+_lZAnRoIIscM_Q z^QL77Mrxke&nz7$7og;*Y_7NReBbF`J7nTl>c(eFde?WNXJ!SQJYQ6gACfW1hChE* zSWiL)t}4GR=onnaWKcD5&wt+qNSW1ba+@t4rGIQW8M%N{zJ)%eFgZsF^Y(+GkyZ{Dn! z(LH(jQAX3mjzlvqwP5#JP<8`8Z(wvUmqo@nU@X2>drpk8pv_g!KNzsO_M z86wgfhQWos{opGWpP)-@ad|~!$B*43JW9sPLMpnc4HMJYDh~?V`UXKb)0Jy7<~ENT z)(%wb=azVN9Dg$0(EdyziBT0Q`Hiau;GR(o2x~$q8^*nU+i^n5HOO|nXVG8uS%z9DIH(N&;@*b{i@J~DRl%sNLQDB|iB7?ts^ zbqkAuJvhI6

    =f_2x`$NuWPs=%Hx6%pWDib8G4hl1@}FlSmRPM~YTo66ZkLIfky0@PYKLjIwTs7k z6s2kA6&`6DrrCNWDw>qqq_9Tn=86ZSE~Q9C8Ab>$>_hs%?99G=AN~*jxBtxdKcAW3 z@3O4n3WRYxi8OvYRr4i-HGne(kgRYtI#g8koBag4=LHV7czwqfYyAg%_w+{jp)8q4 zfO8ko*AkMu&AfW6#&mbYdk+3>cSWvMN4_hkwJ6QH5!?wI?a7am#rjQQ+{_IckkFPr z<_mAKzwajvl@bH^UchV;0~hvR;+0}vRNYG1c{jl!59{kEAt5F40SLJ805Ib2bJ?gP zA~@-1A9Qy59I;g^H7sZkye_K{WumDix(p5>A7&;Je7e%H!#UT|h*QP!yy93d-6Z?< zIf@U7 zp@)vxR>1!F*{epUH@G_)i@Fa?q^XN^3W2i|M)yT%$Zl<3*Xp$eXwnR&6*{~|>VD8w zdU%dinYiKBr5{Qur;ZtwT=Zr&Q44W=R*lIl>q!dG)ZpRVZH%X|?q<0$;b9gvr8gba zU-MfXAX8YB%|;o>IeuWQoBp`kMf1z~-8)f+x8vg%UiPqCT)&KA?F@AwAl1bvWxqGl zzWMagQA#W!T2`C9ly^SLyE)Y65#Cv`|BC9bM-4z|uSx}%?R-GD)3VN#N5N(AqG_? zRn6&^YscbF5y@Tyow*Lyzz7J_l7pVmN{SDSGw-^?bu8Buka2$NN#UzOEID~`cg>yhcQU<~Qp z)~EE-U@hcJrJtK1Gd=63Rb9GSZP8Ug@tGPcM_I@hD$Eue{hdr~$3yHVPB>Y9OLx|L z5v*8a&rMOAEzp4o1q>fg$R%7bS~r&*GFW@%q`^-pF-9Q;ooBcY$kM*L>gZSW>ssPj z=zP5AcZq>WD`_-bdeZb0;L@~*n~^Xr1SpF+$a_L(wg+vp>}`KOGE8uD9I0;w%$^Xq zPB|{})NxT;XY4NT@C({=@2PlvROEbFp3^%%_@LW)ImUq1*40h0L-u9eym7;@t>uE3 z2hwdOC&TXXBT!X{8mJ59_ub1vcF@o>f3kaz2$<1tdMitUMM9b@5?CPKQLgP@_#j$0 zkq~8^pOKky+E{Nkkw`l0+|fwUl7Y{1cA%}i;OZHoX;vb7q`R#>L(a*T_f(h1%Li*r z{sJ6MiF!w_dVBG8!X)*?V0jABlbICJpB(O?A7?fls0L>FosQy8#N+_8a?5a|d4E~T zFN=k-!YdJOo3gh-DM$caB_rD{o`v#X)!U4Y#_6wKdLOqzp1M)=tQP*9f%yogx*E1M z<=c;PhI%5QqN42%_Kt$Ild#6(;!^)H<{7c}-$RqMfB=oMJE;k#v>bo#Tlq&tDFlJ& zW#v|d+<-`0R&G_u4X#rDdtw5pu36T8RoD;uSj*b43j2XzZdv^@RLd$Tg=%4ktWt1{Y@!B9rKGCFwk%6|Rz3e^C=^7Ogd aN{m;E_mXb-$`K6z_VfR7;WOp@g?|7+6-ku< diff --git a/aidatlu/data/raw_data_run2.h5 b/aidatlu/data/raw_data_run2.h5 deleted file mode 100644 index eac36f872bbcc872ca30557fc7f7c27a2a8998fd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 167541 zcmeFZc{o+=-~YX~d7ctQI>}H`rf3d@G9{r&$`}c0P#RQb%8){)CK5@}Kq?xLlr$@) zWD04J294r*pL_4V*VXsFzW49>9rr)aaXhyUYkkgP?X}i9t)2I4y?0ue%@!9?5Mk(# zkPySiNU_7;n?df+h6EmV*6;N1a#wD^;_hR(`(vEy42u!KFT)Ju=1XxuUzwMa@rs-8 zXm4)Lpyuz-|GnoHGvD|*k^%!Jjn=nY;y81b zjk$x%?0NQcXF9t4r{ndt_5O7ny@nRYlAC5{{^RD4WAr&y{!jD&k7FiqjuHFEPyZ|Pk7Eot`84mp`}yM- zLrzWE|Ly>k{rwnz^bu`G9$rq@i!!?GfZe#rXKkb90*t#~z}b>ctGd-iO_p?}r?@8`X~_9XU+{;L09*CE=awBPB^?=?{j zEG>2 zWBT*Y$LqQL&yLssA09v9e|WsX|L}Oj|BK^)_qPDM{cY{%Euzm=DJ*Q9watV!^S|2t z_xz;aH(AV(|MGqFfC{_%f7y%~!pSTRuSk zEH~W5Nn4KM?2l>wS)P;5Nmp`@x95((-?iSAaiXW(@_ysrC7gXx6KB7WTb^t6XL$^s zDfCByTW+_2lm5MZq0C~3g52x-;qtqLv;Q`eQ?AD?&sp_n`Je}u)Pam#H|{w#NR%PH63mVY_)XL+gw4?9DXTYmWX zpXHZyIpyix>$58Pv%JfmQ{Fe6lmGe3pXDKeobunf2WvUezaG@T3;uWizb){;E%3iB z@V_na|EU(>VM!08|L>x|^g9Cmzg{Hvs`M&bet+BlWABXr+WoBr4-~0ClEiDy7_r_* zC8=ATja2wv-8>%eZ>ul&^ZDiMh!qy&q(43+rRiIi&l)ZK`p)U3Am^E?{N1-s?Ahov zeFW=e_3_<-3n!BigRRxa6W2RV88Ogyy&&Fy{sh^t&nj{vSK5r1`SR@YK@#d|Hb(42 zeM#EZrADLpyKkOMTw^~`;n$1G+~`%d`a}E3lk)vLJmzSMyt{WgX`_?TNT&T-?ylAL z268{1T|N@yKac3ke}7()8|ydEVCc7|%40im4!NH#*Yjf6*c%N0)mBAvWBunD3?FEz zI=0Jy{zS$87nMgMR$A*weRzC6W4rsD(M0&|?ZUnEvYFOOeD*xOq2Hcf%8vH29531X zurzZUSw2&h?^WHYz3UfEQ5bB$c_Lxmf{6-)FKdp)t(k9#PbL*dqrI(lhV(tYcyNdN zY%R&&`qEUij2d58Z9&4ig;U7zpD!+FMR;3jioL&6w0Gl@$%+F_SC2%mvKlAZ``}y# zPGO?T({Zy9r`-zH!xWt%MbM=PyJ-(2! z)n%5tz^giXJvhaW7ncu(qhTdKJuFEJcAYs&pzG$zJsTHI9`Wl%RbCwNx78c+`APZV zP&f0@LhtUMOWVB6M1}uVUE%I^jt0Yiwvb9(khS)ZuZZ^!irbl*I&o1Syv1$xZe zN}EXaK0KGQX{oUa`uAwGudSZ+=Y~?Wp}D$XcTIj=0I}DV>8meE-@1GTVYOD}?((0j zE&ZXsG;{lM<59dFHws9?>iH9f^gSs%w8PCzP2kn7qTOp94duQ+zk+s~h5jeEapw+( z!_aTJyL@f+<@=j3+R(PLU!Ig7KyMK(@%QyOr-!AM_=ktZY1`aP)kWUkIh(f4b*8#d z_w6$zb&K;%HU929XHzyh%^1n*xLL5v-%b~Ig@NQgQ%!($-#Q+LwvheSSavYf)l@_H z?X8mu{`2&Qe#L;;;%q`x1v+n@h+Azxarn2!O9w(cW{(ltg_aT{@74*Y>~eOL_Z&?zv`p%z#nVRg(^2O+n~WChzEz0+(Utu0@LXDu(=>%& z&A1HhjY`KyZ;bBhO;Pl9(bq-iknyzGr zqANvT*A>REo^K%E_vn1;rlm$IEVl{rb9JRZJwAs?V~UAhb8Oe@`T9e?G@Re>9!)yX z&uixE%YA9Mcp%hmmWE&#ofUQyWIsQ?us_(@n2^D?>SH^7Y{rZC)}K$`>`cd8Z9(kn zc{(zm8_uT%ljTM#{IBay>|Se+wt8_XE8JtYrUd#XWy6x`%DgXY$nn_K_LGMHXu5JR z#AD7_p*Ocr>|VcUs=@%Kdh8lULk05dMa9vbesgt(e0qH0V5qy<7@;?J&h86ZGD8U~ z#PMBzWS;I2x|)V~n5tp;6eg~BFp&TG;u41UEH%N`wI@j8n)#UG&n_JfaW@|;_NK0g zPVC{|o|I+co;3uz$Sqv)e1jpMAD5CEm|gN20f0suXu`G?J&|#_?UN?XZYGUvZge2*0~qv?tJ! zuIZN#M|jL0E8dG+55z=any(%Sl|(0rysAC1%WvKUxxR*r>Dd`19}{*08V{3ZsgcrP zTg8#cl~$Uf=*r}vrBg=?D7?6m9qD5+Milpvv=Q?I7l~TmVnt1dOx(YuyvuC~I1gt!l4urbTQWtuQg=dW&GM<$kBq6S* zqeb4<72;V$2j&Yr}=iZO${42V1K0cKKM7@#60vl%!!TC0O=P#QEFlNTGA- z%1M{3{8$oz$I$mCbfLSchDgtyqNKnDcpx-i$qK_D7JFMqiuT~aLRXvIXy17ghJJl^ z>0p?bm8M9~U93as2f})J4MW#{g8cW!ONS%8W@BpIJDa-M$w-;iSzEMsy#yv1^Aerq zkD>bI*`?@}r1!xYOrL4ErfOWJ4Sk}W&%`~e33T4T0I?^A!@fVidN|C>S{of+jOH*= z=6QK7cc-82c!>{Kzyvof#fM^1@w!5KklwVIE{IimvA%P4r9M8ouzwpJT-~=%?+si` zrYK_S;5l!FdHbjYbtfwfv|K$D>S{cSuM-a#S+Ch+#d`0ZN?PwY3B%_~c7&&emV`$g zSulA-|MSa-w!4{*#!$D3*|Yo1Lco{VxvcgesrYd2u;}N@fnlcN|?4-a&(?>ELwS~LaE-)nWKU=Df zM0i`^7V3-lZCQ%B-gP5C9uFG1AI~vf30(&8@K4?BY@){BQF|h8jpO9uKbtGEFxtjT ze5@xW>3E8(@O56tl{rk1`}VZ_Q1}Y-v7&EopHAMmaOw!skA)ClJh1XSEX7zd!iC{c zwhl7_>q1_vKf$8>{dxJJP&(;*(fRlSg>MD5g$Y>u6@NBeC5OZCO%Chm*%b7$3U5~p zPPJenmZ>W_F@84MGOUmF-iMV`d0*Z*K_|n|uZ>r-!#(GW5ymQ=6i7R)?Rw}1^05J5 zrSJe2eusg&Vd2z~yja#_{qg1K=kqJsVO~C?1&UKPFT=N$PUbG@t}RT$I3YZ3So<;Q zhJJZ^`A8J*L*nD(vIE=Q2v)JT_llD@Ibo5&x2)LJw&)G4-}u_8CHe6|F~P!ZqAv9M z&Y9#*%Vuc^bYt?aUpN(wcO^Rt6JDBNanIc0ftmB>_NkQ3OQw#*_t5-sp?H~niig#Y z>lBkImuJ=3++X<2wZo@p{kLsA(PDdsW{{k*g%i%tsF;1rjy!T|_U!im7}Oss5G$23 z{GjTw@nAFMdK=q+x894tzK^eK5rhNmyvokj4?eN#;n3#4!@Q7U5#Ib!(ptM z*RgrG4x99m*qGO1^I_xRFy;V)YnIro=|gHn7C+1MMkqhes`r7|%4I5JTREo=+tr^o zh4Ql$4k4U*-8z)NWnvhU6&k~1dSk9zOp9z-R!oaX81pqWrqzf)Ta+c2nJwBX98)RE znpvF{(o6mCSN)t&AhCVW)kr` z9lx*en_R))E-l@vz<)KYT{yX&k;a}$ZczwhhEyfD2!*u@@iSg@FU8N4R`4?(xS3s& zxRHrvxYlKD-eICF;e?Pd9^I0uu3@~3p1XCri}LWsh$E}W;DTEW&*P;nZZW*S!UW@x z$k#rL#JvTLE^aZR!K^6JMD*d2F(>t1!$jxRFL~kd%KziWpCSB6j>;Q%dSaPLwdEa$ zCrI{f8H^B47?L(3OQXOwjOWJO2d-hV?*qSY$GP^RDUWL6bVg{+`6xwm;$l)14yhr5 z21R1!yLDfYkWC*M62^1YycThn-v`7=!ww+6XnYN^*PAsVVJw+c+~j3bL>;TpZF|s= zGY*G&dhyYlkYY#l4%$&mWH&bFrlD$+JEENuh)x+Ia&E;&C>}|jVoxug2aBHJ`0v{> zYLQhq!Lys0zv4YFuJhN5_dK{xBygSgu)}o*BQJgAk;wNQGJe(XnKd0M@2gxpnRVGt z%%p55*79})A_(-(g*mYnHzDHNiL6ry8l1zNcraj5a9jr=CJe%DJP0vW5ZXP$Ca53P zRkNK~R(G-avK3iM+1XA!H*FudJF$8KO|Xeks%&CzW;Zdt*-b3@{Rpa%5fJ9YNVl-UaL7xa9%K%sRbQbb8HqCNz1xP- zi!U-jmB&k)u?-W9b$7xjIfHmX<8qvW)x_wvNi{L2QGXfz09^#nvzzFAXrY7Em1lDK z%utM9M%2BD4@0SRTDU9oqRN%ob;Pxu)s6z;Bd#pnk|VAy!eK{TTh;g({np|9?LzIX z41ZNS!`m*{&U)-r5yJ?y3dS%`5ky||YL$+8DecuF9mW)44qyhfF`|U79xPry0YPCA zF>y&LnIS{vh7DI7F_Nf^Qd1v2R+EeyucNC!!EoZ_DbuDK8PA+$W%V%<+Vz1OH*MY;ynRP#SVUxW%&u4xpOCmGDS2ONddB_( zhqAJBj^-UZUU0JT)akRu=SnYJEGL()T&=9CzJ8*C51TegPq2Q85WgX&Kp}^1~HIC=sGON>yEB%vh~)+B$mr z28NR+Pn|Zy*kqQe`D_bIYa3fKZ@#_bf<=p+mM&ZF>gM6;wbIAeZ*{=hb%7g#Hf`Cu zZ954G4UdS5-nlC-e)pce$tkI68JPzT9?m+Flbe@+{KUy3a_Y?4lG5`R%Pw8MT2XcF zdd|p1)|3Yi)no+135}?YsBAA3ybd`S$&1|G*%Nr&ZF9XN;y6 z-OYD!Y!$cT3EI4crVeKx!H&5}diB5@~$y`~|veZf&C# z_yvX9M6(3&vE#LM^$_ymqZclsdHC?)Z976}h!Y&mJ(f?4Z{DiAbC>4fM4$VOSB7qtgz!T zm^cYRs2z`!^D<6Ocw`hiU{16P(}D-}k2nQCe+_V|s;H`Qa;$9Ta)R|6Hgby6Gxu|H zDz8;@a$dcD!^x2zBHO`h+RAIkGj;k58nNtnT-`mmc{^j{xOqjV&vfv!OP@483e+r$0XK2-WPMXdx7ScSJ zN^QbfjOnoi#*U^jOVH^|%E|=h5G^P}!3|n~!H$BR&5S%vVS%94oPC-ZFIp`uznR%h z3oy|+)n3pPCNQn0>eKAqF?eWDGkfsx;Lz_3Ps>3-K`n&JfglQx~ zBT*WO(MX&|5;T%TG&p>4s1Bp}$6pYc4}T_o|45Qu$Gbc_e51}9u1Nem|5=~-`siH6 zLt_^0m6^ZxrALp_Y*O(-Ay%&B1IgmitG0V*;@305eK1Da(zVBahx9qS{db5*MfV!j zXH%B-d&dpQ9=A2*wVuUw^AAh>Ivm!Eq-v#PkY96`KVHl{z*BqsiS9Nu6*q72h*%F6?4=r_6##j*S-;aj3}0VaOz9Z zu`gc|*0@sgCjZ(#?ab-ziI=q=h`N0a7Mo7eX9Qlc>P_y@Z;8RS?E>2chVD}PXBuFKt@((zLJ%0K$u{IqRo!KxQ{+u^UQkAx3DCS$8xV`YsyG{KGUF26#Rq4bM?dW~-$%X0}h9~PRPh3_#tn6-A zraC!OF7_w+VxF1Z?|e;p=z=qQuB#q?`%G(B=v~uNIU)O+r=#OVqDWl5q58&wGzH`1 zX5KfKeTq1(e5Ku}a6{l9}TxU#aKa72WdPcgJDDp$7Tl!LhgJ zd8JB3^AWz$DT$}G?<|Wb9Amb!-R*Io!rp5=+jwJ2n(QO9wJ$Fsq3MD~-VIBie&XF; z_A-D;d%+jo_;b~x<>>`O9h{$=Jtd>3R4Qm5olqqy;E}z%c6?6H_hsiRHL|BAB=&pw zUROyX&st4lw%(mlCh)^De9aZTTmk;2=gL&~j|}rU-d)W%x0Hx>Dy?&j=r&H-UdY2U zJ41Ng%8Z|b7R3ff57mqw7jSC=*?Z`f`K-+^%?pd)I61^TGrmyve#N?6-X*1nu1brl zMt&oa!3Anl*LG}@pOq*&bLX&P`^|ZB&d0`<*zS4k8j`1xPbT_Z@~#$5)BS7`{A039 zm(SP9>qXrU>)%{g*SqoVr{JOFn!t68~I7*84V@k&^z^$u@7~?(Rm_kR7+C z?Qbp{u+Vh9C_ZOa@PpgDi^)Z?UV~jxj}}~4k+wN;?1jl~gV8Q8zu7->t-C%fD)X@e zY4lusag1q_@J>1Pd8e;@2oOrSz@J(?uv4*y@sgdtn~);cGObpbms)8aU+YYIQ|^DmurYmyaJES(E z;MF8a(*v@hVU;TUlXf=h$y@IU%j%n7xFh&}DQPj!`PD7r;c-SZu*g#=EzynVtZ!9&=IRwZfdlD@mW4L`HV``+poiS<)0mJtf+Z0b1t#T8JM?t z*~RK={{6H+!-9P`<>_3Br!5Qpl98Wv6J(=#Nx!#>OOfKE>aBtsif?e z9QAr~Ms1}$G186u?6Jl6KxJl^pEo>VxPS8eX{8AzF%an?f!48N(y;x zta7E+=I&{?nw-$L_QoZJ^)3a&!|$AVNyZ2mhP8`5m9kP@DjIbp|u?24?#H}k3;~BHAPFl?9?D@XMRfY<-2X+>b@V9l($;{~<*QVqpoR^i> z-F8cHvQSpL(L?f@nd3ZpJ<;{T{@4XH{DeW`K)7FJ9^xZ&pK{u}sS@T9)EvV#_(xD;N(@6NWa=GBABl>hUg}<13_WeUuzd4~Di}T~k1mEjz zy){;eSVjdL`L--S?o3-z&9|u=EjK;Me=;dwh;Qtc_2)!4wY3q=Q`be;cRM?7t2^5; zZr>nFXV1p8?}5EPr~yA?^4sN5@6g-BK|> zLiUqe^Y>hvac;)yf@$m0CF6xhsV7(7wSDBgH%GwI`|*Ou!^wnGeL?}x7wyhgUF=*t z@yhq%IbFYYs+3EZJeacd>Eh;5M1Ec23AKaT+Y=c#uje+mghVzxYo3{};pcx{oZq2n zQWQyiIaxjY?ZP7o_s8jHN`-cO^m=?l@i-4F2H0xyEdM=!Xs8gk7KaY8EI) zk%TfCS)B~lIv>BAcb=;4?5L}J^Pp06L0sUT&7{UyyQL`D51vJXI3${}4_Z zKX2{r-!64rRxZ5b$A+Ot$)xj|rd4)4p(WGHZjV2qNp|e)Szv!bEl+Cb_E+!h8ccI< z8J=lf`Z`%+Y=qG02$6$CLc;6G&lyE?UcH@Cc3)}#*i%(9$)|=Mn*COGyJ|qgs-NW0 zJ)?S_rC-9emX>+#?bKJ_ZD*(4Ha2l7t?QfrfH-((NE(@E^j`4c#5S=&Db<;$mKa8B*AS;-Z{ z$j~?bEZ4=y1f_#slNAqMPAkX}Nf@3~A`_p@THj-$7x=hQd3(ovxAXc2Q6ePl*UyQ^ z&x?e9+mhWExU<^&p5ww4$svwcCgo&ao;1a~fh@Z7c*v?XCF%t#`b)1p_%Od}vib76 zjYH!_;}4d~sTt=Ig`#Ie_TFa#2R0=XY58Z4%+&MAk}zBQX$xzTUA2_tE;0~ST&L%? zzHjX?zk`#~?z{BHHx5L-9(H6(ozCoXS%r8alKTAa#OQteX%mlJoe-TVcR({@_uE-E zm#mv@mfTbt?nCa+ExI)&F;j5Qh{${~@3jx7oD-8>a_-Lb`vDyi@fx-_iH}^yQ9l3Z zGOcW(A0`*d<@Rf36@2uGcwllt()?1ixbMubnA1^p@vasmX=`&}GOU{wf^A|3bm0!AijSKY7QynWa6zW2k&PoMj~2z~wb{m0M#Uju`G z{hy#<5dSG5{VlctzgxaAg9itd^IPm#$W&pq%wr*~vKVRn2ZdcYN{~}H%7s%n>KrGn zD#1ysuHY5H&uiPiQx1O+5dV-^$6~Jjbwz`KBA)z_{D(vSQ`WjcaW zFHR1E48hw;(Fn3|%JBZ?tB~_-AOhV#o4R$mkurZL)CMYHetlX_vLaVNu%XJ;Cdm1N zkPILd>Pmwl$P9+0&PTeaw$YaQ{1{r&66hM8*N?^e+Mr6qh5cKX znGiL>F34<9IO!c_1}`&FgY26d1As#6Lw#||CIU@~A{EGi4ET`hYBNSMtrb**8IK+~ zN7A=Zf&zMGyg!6AU>ND(WynA)Ri5W+OMD=YE@W<5Zmdj!li1aEP$HjR#4$$7yq!1l zcKKOr4@Zlg&)n`ZO9R3t6g7x^tk&v03fZ`QAi=KClp&l!H=~42RW2wR021IdAUHuX zCv}B;H#kll{sU@Gl$V8;SkHrV>6+#7I@3tM1gEjn1<)K)9Su z4F+W*1eG|ME%A}|nu0{&2qS*AR2S?HfZEm%Itj8HU>->JiGeZ;ri_G0cVZ93BxSzN zn-J0$yJrxpiA_=H$MlKvg`9{+$cX_!0@>EN?bzH=9@*tLf6|D7*6YXP)-Hg6-Cmu$E5KnQG_A|o(NJGySzn)o%pthdt4Cve zfa!dDaqZZy0DA*)FxQVItaF^A#M61Bkn9a~!o31*N#5*YHdeIvQE6tVm-Tq*FHbII z$N1YB5`|xFH7EB5F2SsSbFXCI78esWw8I&wkH%^u@9&oo$o*!T(8Di49kUn*Vxa_W z1j-9Nb0FNuT8GGfX}ofj@(2pQ+HVvl1_Dvwe{;VW^KzyJYMmpQ+udesQZ5RTsM%Pt z9!!gn71r8Pn2=e~{__n8#KUX(32PlDEA+Qs$2?vh9OxqF7B1ur)p zBl+o3*`Y9R$gJPctI>V{AV9Dji(e0DOo@e4Cv9>vR^@+v7aia*yFNT0d-a$g&-LiV!*64qRivn#;SkPIJauFM0rVSo`^ada2NXK9d6Sx|Gw zNql;8`7jCdvec65Yb-w$xzbv9D3nkTEVdJd{b;JliT1aj4CJEiT3+0`MN>uqS;>!I z$L1HRa9fU3M*`0(+(XtcnU1kiSF{JKF5&C0JBd*{O_~2yEd)>}V|5_{buuZ4N}f=S zAydv&6?k1+xHrg&G9LiFHj+h9B>~0l4uE=%rpn*7+QEPZ7#nsI(DSUS+!acK-=CHp z0v4hbnom3}b)+eG5x#P+j?7mKzGy5mvV9HZQ1>myOY{w#ISNh{5Kn+$gN^vKRvUNeIRj>YUxTdD%<= zUBE>)ES?M=rz$r#z|LUU4?48i@1eRy2P*8UNk&)DHk%rzzP zL<0_Ep)LCrcMyS5f`MIrAk5oZ8zYa$}CNL0H$6 z`N1^e%CR22s>3aSwBYHu4)q>Gib~of+{+SR03M{<+<;^C+&>NGf_5>ODZm#h0;CHA zA!zZmkpMJ~#bF)80HoBLxrWf2dsI3nZ0#HiEt~VWk`?KN1rh`mo|1FM$$WlXMgS;S zjFZ45Pv1s6wf6yNH+M7k6ADD;3>Cr$j3asD;u*>yM)1g6I2pJjmLHHuvfrAnW<@Ej zv>Y$P{w-4Ol<%?Eqf^tZ`dZ5+ED!$Ob+N!Z2YiARY=B zhRC~`s`G(Giu1+e{R5UMfOjKVFK-lhr;)FXY*i%ZUQ!MoF<$vSm4NiH_?aU+Ba3aVZ8VZshH4 zOm#{dw1@)p@dYIV$|rrxu{{Jsege?fD*!Io)Cq8irPGx8@I?f06k2pdTUFl9Rr9a} zJ-d7e4Cz>r*S7&j0@DEGk-ajMe5}WmayBNsz(FW~IvfN{R-`ut+UQ9alWF*}RZ{>^ zj((}Y)7fbTo;aA9-d1BVv(M}y>lR>zY`TgLGaD_^^8lb6zN_Hl@CD6AN2U+6B7Mu! z8KVR`Yx03!>J9l+Uz&z9jsnYcBpeTYQS#>Y$#_bE^fdr)#kDYP*YJ$Sz0~buev$iTPW~KEiUUFa?p2mV$4e<;Hp4MD}W!w@Ea{!dv@N^L& zJ(T=|Qa$D*#VO2dA>mV;;sYdnzMY^c$N{MM6sNdGReXw5tbvZt#wnqHYCO(VfP4$egvw=5Zp!XRwS}VBVmhR!w+m!aznyc7Pk?7 z-V8bbAPUkoHb}4kh7HL$DW2-h&4(&-h5!9aj_*d>|FMp!5V0ek_Z#aq+>0f7Wqiz`TgwLpUM z4@iK1z_CkPRv-qZfLQzNWSptd1tCBSgeUb802u5N|%kVJ95dni=w0El_KPy}aK!{Fp7Jn-%1{;wWCN?XE zb!HMa%iFOL*$tEhBP2A2X=)P@WsCu;yvY`A6HQHud6mY@PyFUp8n-HzGklem%qjdjXIHikDQCpODtR#8s>~VBBj&7Fsc>^1 z46$%?TztF84VL-M@Eb2htQpH|)~vqG5!O5-veYB2Uy9su4QrJv4+-lKozK))&+m|_ zXGI8Alvmd?&yUu#cw?|BGQehOOJqIm5^T{WE9!Z{j=V(2QThcb01MNj7O`Glg!l7cPK5X4Ruu7D6B5Swv}qW-hOyqReJQeo zd3bFHYX>h%itxL%(zu$3(diI`h=`!@C=ctA(@~y|agl8kDyi$VE#4&uACA(IFHGcmi3c46$);jg~+|x+m~beCxUpxTPA2 zE}1=yUYFn=;1zAs33(D-EQATn$-`kxJ+eKLStivO9N1qmLmBhEX3vpi#-d#+xr3=^ z)?qjd%@`RW&s3}m;HUV|hm9B%$-@tf3JF6Foi=OwJmm=o9MXmQJ_Ty{-(UyIIxJkY zgg}mWfjYk8-(iOxAz={cV|J3*xP(7p2Z{?6oG65RUQAgB@^{$b&fWWv@f#qhH$mCv zunvR`J5ZqD2b6v0zj7o*X{0iweho)fhgp@QVJ*kFW5fheEfa!?Lun*OH}W(&j7Gz0 zq(CD@8jYZl5{*XEh|oy6Wh&EtFz#=E+vH>YA8?K2a|7G=yz=OD_dHJIrkotLD?D#d zV$Nx;^o-J>3e!te$+4I1gUgod_P-Gsvh^KtkJwPz z_}%ZB)l%D2?*~>ta>}aG-7xb+kr)`~6-0ecHnfhf&j~pHK z#wYPmzs#77VKJ$h{KKZ^%SRt88KORXJ-KH%*Zy4n^I5sFdWr#=`+mAM^mfnL9Q1Kn zlhp9E_kzLX)5a^+6XK659x^;wX1HUoeBP9x9i5s}vXu^f71(h1={#~{O6G~d`IaYi zDwG7BD(aMyWNjx$)<4rdAn9te`@;iC;@@mA)-KL%?@NpH4Y_r{)-!d+D=etIImC+&lSwU&%$ux&jvDH3)cbi(L9~2y;z4Q2C9>3;q zd;y2^2;Y{$F{9!x)ms-U8td=ARi_#)D9gV-b=M>9jQf`rET)ou{L%Lwnj9%mh!=BQ zd_!+m-HdWR!L^qv)zd^BZ418l5!XiN`z=oAuNs`1x+}SDy5Y{Rk==cc_n%EZuf1h! z-55n;eL#A{;zT}itD@1VF*l7JbHrm<{4>u~NNnO?zrIvMm3Y1i5|CX|`+DLjjiaag z0(M=qygtd$@$@6H2$9q?4=kO?ribobLUZr`8oi&KY?q8J>-B!KJ~Cg`dTGKw|J6y`9)-{1#UMXvS%bA2TiF#%C=Y7#XF#4|No*$VH zOq+J9%-Joay18f7dlBd7q(dvuxRLRbb1Yl(Bq-yxZ#GW|f8Lu}jiUnvi@eHJ>xs(N zNxzD^r?hB$^zJj*P&F^+{tAIZPsd#wwSxcH{G*=_5%I+zw~knnGIm3zdVxtyw#tSC zgpfjmjQTKCAbb#nDvAMs`~GrA7Bwhlk7x}s8*Yt*q2~Kk+cpWl?k8HlGbMX)9qpC3?8QoX1n_`7l>MAk}1hOv7;|FjxBmUw%Ucy`oNSEGWWI_ z?0FpVa@?EJ?Vqg4;L2Qk=I}SeI%W6o2V9ybbh_kNeM)1^x}&q~XZ(yZA#%?#ZE|zL z#3<8hi336n&8&m2cmj5YU)xIrcQqEoC!#lkxZO(n^6Q-e3`TnfQ4M7Fd-V|EI z4?EYe>}!@u1QANPzxZd`D66a1t9!zSCLJ4nM>0X{rfZVynOE-Ol}omhS8=6sMYheq zoZkq0e%dZP#_u_={q044^4p1h-t?~I8$>$0d&cc88m?DY-qvjknG*bBUFr9@NezlV z{m!KUYIo(x2C3y|Jf+L|9N$h^{V8a;>pq2bdFF4Au$G9%+;;nR_%oUG${|(j;^NXz z@vqg3?LS(D$OIml@glTC^`xf%kn*+9h;**fj4J_hn+{LC+O)Vc^!u0`kx@rAisY?o zr#ZiiQQAogG}6u~CK(l!Pq@;yinFxmcwX@iApt&ZOAz7XM&WB+eM6Yc)r(6Hc z<9jYyk}O#8&a!>S+YJAKCv%xkAvJCvCj@K{cOK zcB~`qidQ84Bu_6t-nZwePIDr0JoY+v(D6fv^0F9l$EaZi(_@YqZp&0WIB`?XgoHCz zZ6r&0T;(W-rnTbs0Y8?;NS2SH8_peYjD{`=|mTsW{=Z zpW-oi;C)NzlTMNvpGEYl#?HB{W^r}yO9zkVW=rombh@nT-JYkIGO6-~{k`rPq+;pQ z9|8R1cPXB?^ndQZ@sZ;#RT&VD8kd%L@-KSu$$>N{&Q1|K{l;s=sbv}bg^ha0$6k4= zlvVaA;A2=^G0|T`+WmiuPs%I1p^~C9XYJQf_H|2tsoLBWF@F>^+Plg;{t}r~VHJI0 zN@9)a%e9$Dg(g^(k1M>}<#yth=?(XiuFb_y$cit~<(HHl?QUB=)t-29xbfBLrElKZ zC+z;=_hGVeQhO^g%h3(Zl3%;(*7%%v&*r2=H%>a$);xK&UDSRxZ`U8=|G0B{+31}y&9f#3Uz1;HwYB;-5nt0e&m!(iuhQn%mS&qjbg85aOH6+< z&v*Z4&#R|9j24iDUq1J0-!F1aVOGB%Fig&@mQUg7A0HK$-Rt_SLu6e%xjwgX)uBt1 zY}ed#dh}6wfBCbSr$=e(#^x2vZ2mYz-2W&!tDdSfFR*8WqtZmaY0Q z-t_ju_p^NaEs1@DeY3{Y!U2_hy*ndxQmZRT%;(W^!52>qZ2LLg>XIZ8+5B@;WtD=B zNy57oC#xoB937H4B5c>7gGKr;zv7H%nq+j)!}+%!&A4=HLa|Zm*5aSf`7Mw9{7eEp z(?6Kz#9x;o-GVkJg}*|J$&}bwf9uCm&q9(ZKXzT?XPr{5gSl0*L}~GLwdmj!KB2J@ zQVD5=eBxtvzL`DSwzU4!+;j4Lj2SUCihDZMOm|y&aP6qAtG8S%xhS@*^kVHu8=oGR zdjexK$c?7Ok6jL2Fjyb;VQrtmya(ePp1b{=x1Qf^Q|Ii{?N6qXxD@d{qgRD~vv9no zThQETu*1D%{7IJ7uC90PFPE1;oJc|wm{^4a6=pTg@KTN=0N_!K!pF|K>qqK!|_2N;noxh z|3Z;)Y{cnCf^H%`uLPuPLmyp}4@LKA8w&o3e z-3$8FTQCQkAq=wc2YkF+ZXiovvkZeoLh$bB`82%e4`~E{u*cu@mEprtup8}x{oiw9l%7DuN|)} zL!gi(5LWQM{d5RbRk_h#7Mj9uAl9y1Fi9R-K~|`T8R!M5n@~w~q(47Ck5{s%;iXKx zor~A)A*Ge1Z6Wlkx~}KP`O#Nf@vd#76E1oDI3sOP!Dnen-Elfd@&Pd4{Abh@15Se zanYpV(BrehQ5Py+(H;f7laBY>*{`}%-s99B;2k3dnyWy^xHgfqNgJ0;Q=&==yd=hp z<27fzLky0wUWdpfGq7+zhV>-zkJx2C{(-Q9v8|`sCuFaBp;_L=RQgVE%v>DF7HT zQ641C5xmkm7TzYs`+~^Q=}N4Q8+iF0)D3+PfAf+liUX~cSrI@mMBd##LGXe)lqz5x z!Ap&l`8uxUMy;^Wgsw-sYqH|6CUP~4npKG4-TfFJ@F=|xN~m%*5;D+{C>Ruop|9~u zwzCmowO647tu@7ZZWks(7aIEI5!?^p+M&z?-8fTuDm%+nl38uv~Sn7u#+d|sBhZP^UAy0nwNdHo4*7;jiG z39cvn5R_p+(#lFFFDmFD*Ajbs_Y^EFrYHc1fMz>;G#m?#11gz7WIjJChUhg-k$ML} zIMWb*4fB;X^WoO;pm^UVCjxn?2}H_DI*0C_*@sux*^)Y3Mlgq9C?Sl`N88;mN!b{3 zjAVylzA~jsl$yFyfBhCPz@IY2QNx% z5fOAR7=TIg-=3Aj7-SB-DQ+I8#vp7PknL_lvjCvnfPI5wb|vwiqa_YJ0u2HC7`*bH z#P)XSs6Cmmp89pPk}3>2oEL^2`*$p#NsSt)cLC=^cn|SfuMQL z0R@JYYRwP;F7O>Wv>g@{LS1+P9_BDZBNh=ON)r1&+-Cm6vs;KR%K^zaNm53UyY9hXDXwYA(8_VKCOhX#-Xn zU!TDWhMHN>akko)ip=McLCnWON-o~F5zGr-O+N-@6DtyY1|W}*huO?oSj)gwg0JgF z0d>rg$Lwd*Zdk0S4aH=Ip9Ho=&^p0mQKthpGj*XicugLF2z(Z@CE!^>6=(WtJ@nj5S)s&(zTXdfjx~_kG10Qa;kyG7885oCrT8209iT!#Y;hp| z!du{7T@k<&kXh7^!_`=YMc_QK(+BevvU^e>Afqo&;D)ixm<+aF%ZtXaAfi3@i@^#` zQ~1?#?I^&F@jw$`&$0|A2)N3fegw1y6yA*Br85W*)@rOWS`zQ?oPsV6`v>qCWXm#O zBW>4mVZt&_;@#cTiR&CE!7Ha6Y>?R)XzI)f4@Cmw0qUItrtlVhJKs?L$FuUo;og9a zppv7#2z)!9UpW#Ds0UscRGx>R%(gR`2MDgAER(<>2F@vWil7&xd9d6^QbUOM_W-as zz@wmv01a?KH^Qs}4=ye7cd#;AP3;68oll3e#wcC_eHv(tCM0rb=?)WzeSKP%5e(D? zG!@LC?DPqYXz+l<0B6BvB+3UX;yXYNa0Zcul?OC#f`?r*s538c8({e2R>RP!7dX@w z`Z$48L=?ftQ^H{{9za7u_ff<{T>v8jE4)51j`RG~c|@TN*sq)iDz{)uqM6iRde^y%JZ!ss=!wLvALq@Rk^pU?_-~sDCOG=FgEZa_R zIMcwVB>}Dwu44#X8}Nicr@=Lgx==)TVl4!Sum@xUR@SRxV6CVtR2^7JTW!}~vc-8i zCg6=@ajR`%HuUV`0lMM=+bc|j5e*EE$kl9sBTQ-$#) zz+O)V6b=|Tm|L+CL`TD6{moa<9_IKOafe_*TLdTr-$vr#KqC+SF*6tzTi{BL?S#jQ ztYRM;5hUCw-Y(eVz-L4L2Q^#rG{dTYJtU3)J(i-4i#)<5@nD%jFmQ6Byx~aFdBbxF zk-^hAbrTH4zGKD1u%%xEj>q}g>PmvW%h4P#N})5CM{UYn+b2PwWz!3ziw&2B(6WN07#cH<5>z>G2#kjZ^} zxdsVTJk}&sE3blp3`>R;uLHnTK$Vu>rwFD3!t|wC*NHXtH2{$Srh=-|P*$lo1F(eI z6zzE7LAdq|6i%RM$G;33I6CW=LE(RSH0ZYQhQWwJ5KbAu2f9~dlcEq3#wwqQXyrSQ z2)kv|u?02)Eu2Clu3Nl8h5Z*)R?5al!CwShn2X?A z;irHLH-fK-8$a)BxQZYT)+TQJ{oB%_UuqGb3nYcIcz{(`73HS;;89r}@8@p_3$dK*CEWr^W{0PP& zaCm}X5P?Pkf(MmO%yuM>YO*UMmH#^!gG7Cspc6O@C&mF+(8f5ylL3kOxFnz^IL!K9 z+i-feVK@AK5z*N2XT|tR$)yj z;aI)Uke8ag;0VwS?oJp1_-Ja2(!@x&={513nOGLq#4OKl;)xVaKtU1k0F{)n)L!U~kZ7gH^88liQdkX4?^0Ca03RX?zMgIvFi`9pSsR9g>q7 zUu=*OSLxI$*uaVwJvy1uKRVgXk(UlB&yv<54S7ketcGK9*t{AhmzTsO)+900vG?c_ zOkyTt6D{>prlfU>BjeX9=*Sp*jANWTMdF#u;p14Y9oOTTJs#sILDMN1&&1}&w<{F+ z_=2EnXVJaI?RF9Dd8v7ik1r2~_)gz;o}zqT6zBUgR{6dFrVuD~Z}6>XV02eRcZ>Q) zMl*Gtk|Km_vGAL3V5|RJ;|aFq0{I)?z;>RX#uHo*1~%S6DBtim=Lxnc!{6|RZ{$12 zd4i9heO+DaE@n6vqwy6i#tODqW)L-Zb*VKdRg%#XoZy2G@62^2d&UWW4NHu9Sl!s1yCt81t$|qQLv3m zC`G~bDWM_PmV_3w=@FX8aUGdQL!5}3jKB~<04JlaA~ct4B0>*hdxp?FuKfxPadPUm zLJ#6%F*KKLrxKq4tOA}IFje4y7qCj<1n@=S6u}0ClLOBacED`qq+w^m3E*9V0G|S@ z5>5_0U)TXNi<5?%3n&WKj!+6-z`lixRKTx=MsVPu?kwzx5$1vya9-h-!DoeAAH$oQ z2OAY`1k)65DNIthdHC}pHxFzJHxFhq97_y1$8ZP@fGwOH*urpffVFU$3wXY8%3$!q zDTAL2C+B3*DR#g-=GN^W803_wj~>IR3qu=r4qxELpv_y+@q7SVvUA{dw8Mkcdp5!e%A47BKoV`dZ!yJZFnFsSxm=@8=r%x->$+j3d z6l_8NG6!I=k%vBKs*WY(CbJr!hks-`U-2O3Mc)dAWic{v-XQb{pe^(WPg)VRBD#5+ zaYWV;#3wm%ZCyC+V5|;w{DN(v^|kU*4Rx$JbyluI9LSCZ(8rj~$}LM7MuoB&qbQ}J zN+UHIsnbYBZm{k^Ip!j*OZd_C4(LXY;}*#OQ*_(E`TFK2LT3fQ`7Q zS(k-7VoSb^_#rIH!V#GT>QU5)=U$3fber9NCxb2pf;rM-5 zNV%}<<*s&z-Mc$Y_MMLF962yMh2LQ0*5VSeRWAg;O!FcxC4!F8K^2{s_#BSjKl9zh zFuL7xN6) z*EN|)ZL8aOJ?`fwyWClc<0O2Ve!6_LdHq^B^~z7@idkZ2`Q%E2MmqnnR!l@5zh!ph zo!L?wvL%oDq${ot?cQ*=q;DJXxh4`dexlypXI#$Brshr+HC?7}wg;q%u5_)`O}hTl zm;`3aM^9e5|!I~Q-;`cQb>rXuBCZm*`zx~ftzNhYRG!0V+|O2T-u zeSg)4YWZd9qH-~lQ}`tgf1i9{*z@uG<=5}bx}ttAQA?OPDUWTYlT@>4F90-VHlBg@R8KP>@<$KGY))Qa_9hg`?GOw zL<4^tq|5fwk_t( z%pC^X<2>V9^rM5wBeC&@w`T_*ey%yq?XhN%!i8-{6`v zU@$``?MAO@iIVz`cdx&h-x2*IYVCaNllik}q)}&$X;R->wIzoF{U6H3T)xIJR?@v{ z{_HtE2M(0YJ`zGAy7{@C%hWCHp7wlqdT$jG_nm)?W0kI@S?Z&rX(9d6WV-9|!!24# zdHJFd40NeTG4P#Z$s7 zi?}u$U6(rZmQ*XAPn&XP&c&o()>|^=QiLV!9zB)_=H2a@SXaqoSt?5SSH_Dx|h!;k_#^-rEpv3W;|NFF{obW*1ZBAZhptR;tTaNN~`8Z3=)air?TH}xT&DO zv9R@z+xxBAB?>!Zl+)C_5A|rRYDkg#x!ahEgikj-^_(aR@u|`T?+q~oFhCR9M?TY89L<#tk=fxs!t`DY1_k7jK zFA$2HnRt8Bu^46`r?=m0ZNoUp9HQe??Go~7M~~~l?8)=b&bz&K{TV(1lOp2}^<(yU z_x-2wzKG%7Ka>^+75BJT8wVtCD$1W3cOd45#779isU^#pQu*-sx8=t89dUc_Gui|! zABjmgWrkPHj(mP+yv^!}4zeQ9@>E;#56$S0*Gh??ah$N5?b+6EOOr%*d0qbbT`rh3 z%;BGRGI{d|E0`)wglI?asGfMfQGk zay!(_%`nRq;FtD`xigO>2+q`w&3&@!_M$a551YRjpC2PMXb7Jy?Igd> zzH8VVd9uT%UTju${WqIeYcpOOC*?29TDZfzefE+JGWFcYPMiFfi?2y-G`>E>xg~>R zsq)FTuXa$xQhjoqzwv}cy!{8QFWpOQ zSrQWETh2+Em!^)NJ#MY5(Yg0B+LHV3TpQF%c#%7-FwP+1mx^>m9ch%_|50K@`$I1AHWH8s=OYe2}IoD~JmHA`};S=5%^C9P+IKPlp z{#0?L@Z$+TbWZ#DmXww880F<<43VF|jWWfj&OLJB##HzB-}x=Q+{>hcW0E+g@vplf zsHA?1#09(}`!A-CQCD$)zRtm{;K%RH+1)>$j#J@V7x!f%#~O0j{f>~CGSzb>h+Ird8fKI$IxO03I&F}OZ*qw6`hBOm3h zrfHC!OICX4G^L)q8+5#cf4Piqu$fC{pQ`A>6MK&4joG@|nq2ZfQp2-s!qiPOH2g9; zON%+0TZD|l%uJ5EYzoNitZpKTaxF)+L?z;iP zAg+ge?sIQ2Pzt<|^2<2)VV;!H)*{7oFPk=c-k0;l$d-~)hJO6_cf$KD!kU(x^t~xM zG{xcNQbmiJM){)x7p{>n>$V0o=szfK(q8RTtrm0Xg}(1S?Wg7kZpy52gC$A@XJG)>6iWJ>}&Der;oguSTEsO*pl^mVxNpdZVk!$Dl24` zHPzbW#OpFX$5)eo&3Dvv%9XUU%H|u?KEr8BR_%RLE@)dUDPUii&u#T!2A{%S&&y*D zR+SEJGTPs0S4m!T8?E*z=Gvg?Qhc*^LE_Yji;}N2tS;5m@vbQ8G%wR3n+`pD@oDh` zbv>8-m%Yo=1ji~KIhifsSpMvZl#*VW;7$T6Bro>I#v8?DlB$Y_TjuI42nybLyK9ND zdU#yOYt?I{qiwOT|2MO8rqD*yQDVa8KgJp4@6H*c#Kb5tfw>%`&KaY`#3(R9{+%2d z1xLu=oij$skx_7j{M|WYlpGlaN66nDL`KPxQE-I(-9coO92o^i_(mN>M!^aI9uw>1 zDP9huLyR`!w2`3AWHf&U{!IQ2yd0~Nw2`6>sqg>)3Fhzs#6kTw%<%Ys2}5C7i$leR z$;L1=aR}-PfD%AwSkiEm@+%~A$694fH-=onk~YdQB^M&zFjN3c7FH1aLNM>w8`LTnhG(}2apLw=(NMsm7esz%8iEKxikkXEZ`pj zegS42m?kVQ0JP(b&sGAD_U4jQc=9WYf_RBJWQR%K9k5N`W2Q-iC6WnCriI-&RZHY( zkOWLg7J&Ka5RT1b`o|FHjyM^$3{)6P-2gDa9)7|8-jVxA_Fxb zMx0j53lU5*zTjttsnczk1&+C|u#6~4g9|zi2r_37JfJscTu=3_XgYZtGsWS`vJOcn zbp;dxfz8LuToMhj9|;rG3w{Im5a`r^43Iuj!Lnt?BFNqY8NifE9o}u!LEl3GZl#31r2ua26r^;WiITj11&*Xi#mFDo*o+p|?V1 zTLlz_fDFLMnZbjp$;Ul6!J42JOpY_s7N~5y$5fq2AWz^@pxr7t=$T+HFmPBtBy`Sq z|J(eFe#DL?d;@zjf#~~zYVB}I!4(3n9aDvCawVeo0jl7@By#E{GQRuyjnjxJfdu<7 z&8Cp_Q!yG|G&~(oFwxsoL;M%u56nM?=X?d&noR=g5<|5Zl~ft)dT#AU=oEXJsW1<& z0l61^AtN&>fj}b_0I{6iM{s4qwdHEK2Q?abf0w2Gk3qb{iCrc@5 zM*Is-UwL{2jz64k5WnD{H=Cb)eh$T&UikIEgxrmgMpJY5QjjA654`0016zsq3<%Yj zy}Si9)2HX~=di$~(gEiH3{`!V82{j2+^P*c4<^VD2}vBdCDwr~^A301Tdx@FABH zge>S%FPIxqp14eh=mq9Vfuy&0q3#1xAwXcj&#>);LLBwxLUX2GFt`aqCJu4dCv(Bg z+<=9O#+0AXsR4Ij8h#l}iC|pQQw2{9!qSX!PN7N)d_|;!r`ZBA@Cz8EkfobTV3*=< zh_D9CoZpYc3~Imuom7S9Z(M-u4G15EA%VXF$5oXJw}!=2fv(XR>KO7bvT(%bivd1B z8U$|4oUORmZUd#z4TlDLC;D9nKFwwYa!G&^!Fq!R!xSdc``84;%RoC{CPSs?h%q~9 z@(r}|Acx{Hu-iFcg~Q)y9c?{__Z)IOq#2D5?d;%|0$>dS^DIzla0xJn|59UVa)^r_ z$f`d|cm@H}`1p2}n0e6az1&+IV=qgQ+X;sG#A%=*H(gGl1xY%C$5F!bk+L+}DMG7#wj zA^?jU@qssa(HMl1P}U1BB5Y|U%nC5f_2m(kgnSB<1rxu&!JTNUmK4N0IQKZ}0UvyX zyVcrxRA4{lZN@?dKuav1N`^rl`O}j_C3sj8!4m--nHh)|j8zEkgmQ0Gl3s{-kb=ZO z3Pu420MH zYz)p(1afbL`@k`t2Kg{`_yfJUv8fXf9W3euH!j>Qc-qVH?v0gg%?a{201g(!ffxgd z0YGc}IwhfA@RNJZHQ)hths40p3dar@q6;v#b_|4FxIp@lD+TU>4A%ih2(r@#ckvLH zExeyP@g1-e2lpF@2i&@*{3M{x0&q|%DsUK%L}Ncc&pwHa4iUJN0NGe7NogCPCm_~3 zpCO-V11>-0llW1)s88i-`1nK_`BO9!X6ZDJW{?<8G`tAcIlk+qaaJ#fPQVRK@C$q! zXjBeR6PUo*SQ4O!8y8MOoD!aE1q`8zDcC13@DFiOp$FtG5JcQmIh&C^1O5ef@N9awkoUU$Q1c8!MI@sEf3hH;KpP7|ff~*kOMx2%KusI~g6M0B*cKRwgb)`>c2TwoP8V<) zxfEcFoDbZXX-E%^K_W2+kgrCQWMF(kL5~OV0glvJ12!RhXaE#xg0++jh!T3`dt>PQ zp@#%`e6mF$V!bQtz81E_&3&EGL?N zUBaX73LG|II!0&)6%IHs*MvYAh~VcQ;5%yW@v-2Nzs+(Pg7KSr)NKv@*@n9;Tv(Wt zgrf-EXD~({>&B^00;Ups0EtD^)hy)_VexA8S6L1Pho{r{7{t9$zYu({fW<_L+sj<~ z+eQY7Z2vL7*fqdTGlA~~VaJ)ke}f%o(&J6zOaN2FPG_f?kP^L-IFlXyBXK4$F+i#c z#VTm13Ss$guv1mwzd`8(wy@3$Rl$s=3G7sr$s?&M%OC1L2kr13v_k?Gqxv}kj5R0* zDGTug#a>A0muAETFbp09H1N^<1cr12FE^vFked+~&&?>q<8CYjwGJM?;ZXvQrErqy z+KDDw5)Jjw;DBoGAI37!-~c{>vcm&U4t64dp^d`j3z~`Nu~vxJb;n~A9+y!vqkuMA z^t~-cS37-gcTqUubwu!bny6b*pQLZh0R^VKG4ipY8IQ;Dcndq&;n`{631b0P8nMC` z85h8~iK5m9&0j$$cv}|rc(ebp-mnfpfQ1!$0MeiaMuQp*4Qk|@pawmIcEg*k=s~s# z>RDHnP=nk-ja(DdfOb#=*f|uy+&hF4s18bl7bwB#pp;6)nlLXS&Ay>tMhw_y%9qX$P?JQhufoq&BCh@;RdLDAXF>uCFdY5KmIiATn4fDi#6K;eMt zNSzB@!vu?Oqu>XB6ONN&F*YU~z?u9_fO4P%AQL(OX849wYV``VRGWkon7)i;YEqq)_{w>VVAb*Ak z`=Paosld3(ah_Vz@R5DKMk}U6hBlH#7Yiv0?4o zb`lyq@)x6U?JvKG5uXI)jy%TyK3Mh^|1)^xKZpYPHS}M>BVZI*?iMp??g&wsHyS)L z$|xB9CwGL5FbaR+ACw93LGZ{S|3G%`2!SiYNknb{|M*An$baw;a=!rl$A1Nn{DpsX zeJ1}59{C&o@mHn`RCa{AjKqep@DEBEjAptJV>bW6b`G(3_*yAm+MX$?;TDAqi9 zQtCK@l8a?9Li3l zrJa!pL;r~7ibDI?E+}`|Km;sLP(V@V<>P1fD5% z6^v=@br2%#0(=MxJTK@Fb`RJPHUYtC8zCz|hKw)~07Ga6CIei^NFT6}k^M79_D6!w z$Tkeyk^N<6&Kl`6G+H}SVGNFJ#h7~-#Z1qj5hfU8Y$yWp9wWd6Qi0gO1cnQHo2|VA zy9Yyo-2)(HWN#2D>}{YH*xNv)uzNtHunP=*)+R16DJiKGivW}Iypj?VU{ct`1eg@5 zX>^nJ%2m30l$ZdO;_g9vD6J4pcLtEc-kI_!ZFCbKqJf0y&h&y@KznHHj};1rKWkSG zpeQ)KjB*N3a8fH9kQLn^D~7NS2d)viQ9Cu#F^Xxr34nwx3&8*igZe0|rg+gOo{x+Y z`UhZ9=pXR0(F*2ld7MkYD70@A?PGfE#2@;8VtiyArTc?M!9iz~ASOkrUW36p9HJ?^$v|2zbby_W?l?JUeX|;$}i)polR!eE6MXP1?2mY6|Md01%>+UVu>DxJT zcH}3^q;nkW*5@gmfBkI!(a0`~57Q*b(Wei7ZNA6((;(r}FUzVKvraJtJ=!)Tr4Je} zJ2hyTnLz3^by8-e%gH%hOXxLuxOh=mcipUT9`B$J=DXh5cROt-B?h*cb1uj%+TYMH z|01WiXA0j~qr1y)sMpAuc*&0%nIYO0<`mch}& zb=9^f$Vcy@vF9}3%rtFN+r>CLdr#V&ebFKp_!O7jXczVSeK?;yUU}@QY}y1(d*nr& z7KrYdmMpxa(5!!*@1Uv6(8)PRNXFvpWee|`#3#=5IUyFK5O{2itLHnd>zu#VM@N6# z``eX-)y`dfXV&%cVxb+MHPd8|M}0EXxh9_^Bx3QXfM;)~9?=eE49+{uwcX|d->=2- zg8Q7W@$@Pm?%(Q?I&sRfvpoCA-fSMmRR8bWwuQYZXLvW8t=U#k@zuI~#Ui`P9;t-c zH^{R#v&d4V(|t_w9d7O=Q$o`oOp>0y`-!T#U;FQ$7G;WLg+ap8H;NHGe7rldDovj2 zd7ltiz4?{NCwaYRV@R}!KPfJf*=qGv{dWHk>r0WJjVqN@vZqY-|GG7|Kw`6D3a1x& zQKfk@O7Mhw!RO7T%?3qtwr{;5qHwU?`PPlG+dRuvzcYM$|ANfH&w*Cc|-l8KN?vHYZw{1=s z>sOZf%f=>;Ob>7UzB(?dWzD@Q94k%-7S6t`pkj0X9;e&aReFI^pJTVW8+cOV8ezz*~{_^Lp zpCqD0WJx?p;^6igo|P*msE^?}3 z@E`dgjtzMqMfxY+NYM&yfhaCIoYsP&3Vp_QLWWrcI%_PCoRj)Ln6L{P^wDm7{ zkS?V#ZbLQSnwuEeVw)jOYTO579Oy6k}Q?lf|^K+>=J*}%$KE3^0B*ELglSi9C8HweQWBfsO8V_j$~m&0;Se z`?M(Ldg7o6uUTZ%Z{|7@;*ra+kJ|hAN5|smKeKo(ZoY_hiN^VXUbGJU%-?8`)H5b5;+jtX8wH1hC~SgjdTegkJy2piKdr+HjKA3wl7r++Z{lj<`n$U z4%;94uIvHFmYkTAm5*LYyB6l(W^^}BG!FH4B8mI$Gy9fns-1}OyVt8K`$tQDjPkC$ ztTQ!lvWLxbiqDhx^~HR~M~>TEO7J-QM3CooZ|mvIcQ8Y>2y@R7atZdp4J0V{<5UIa zOjZ76L62)vYdIfY{Y|Ni3DDSb=>V|+LF>**f7yWX)v7DsCrnM2T#RUH7uhsIoF{Y-28Qz%p0EBd?`4EDwE{{zW6Pqt&Q5r_ZgE zXwI;@nc1y+tTeW047dIbkv&_(p4_gL5AXc7JnmGH*ltpOxk_%*`qUpQr^%Tr>Yf=e z(z3XEBB{eX=jzM(it4*=5zdeA7_Z+c*{#IXb{?E2E zC%>vGdX|bv_RP{0+>%KKXHDOC|2n7D)89>VoYw3wQ?gxm?0#~SY}CslAycEYKV;91 z(;tqcKn(EaV&e$ zpsKd`*jI-=Ns=c1{kuB{#vi|t$+UU%XZpzpuXRc0EF-i0w$8P8=dQPY%G)FtSjXty za`f2O_4#e@=Wbebn7mQjtQ-5PP-y!HaY5IsaX;){3XO5jEzrtR^xXY&@&rqAU+ai> zYJI_=R@mM6;-2Sx>u>cx{-rs7@u3d_om1CMH6W3L`U-Y&`{G{8?_3l3GP7=qS)$*` z2WOMs&-5~LNPbxk^*(rb9Zb??3G*Ndaa^e|Rv*;i~Re>P-)@CK1f7N4{{ z`dYqR;ER%{(-hPWJ&~7`4>*&?Z=LtN%h@-Aw6DEiSir+**No`>R;yyZvwCU5&7^NU zKbA*+;r^xLww`1Nc!kh_GZ36h82za_Jh6_L@Vsj%}B;r6f6&T6$oDI|Ly@ zO(~!dLZHHb=OKGR%cBwzR0mn88CN3+bY|oiz((GBr3`mF1UQ6gh zfr16q3DO=vR4K&8Qyyc)wu8=l4j?en$7^P>eLE1hei*tj(m>!%f_dCr?G@xI{V4Ed zxED*U*2i?h(*TksW&=aNfX_IW5Y8z04k)qsDA2j4{I;$j(Ac5AW5WGH3GfgXSaNb3 zG!aPJBKFx$=xTsi0K0HK}^i2 zXatgGAn_nV0~AU$I2WlQOsEzQb7XBWhv~^%tWZb~3D) z%o0NcAPNE{6a+E99X=)4m+DrKp;;dGkex<&?!Q=s}z`Di^ zN*JVHkTTTiftuOCHeiqvRW@(}p!o|_H}3}*K?1>_jDh-h+6!(7KXFds)sKnOFX8mD zSpzT;DM2;}cpJ=}hK2}7lJ_h}5-E(7ONZF0J&$0xPsPj1tW#bln0^0&&dbKQM6Lx~ zBUFjlAnrME3z&h!cp1S-a|JOkoBA+J=YUO1_znWO`_~5w$55rTl4hjf8Uw8WGGjjA zod+ZdvM#h?98ZiLD*GXu2gW}*YRGn20hR)nD5Ph+I|%GRJ?78|q%-j4AQ)$t!(7%7 zmJ2@^2aII+2hm&>DD2<~pgnWr%ta84Lb1Vj6t~g=(g5ZREY;K@I>udb-VxE17mJ(P z420Q0Nnfjk;Fgm6@L7HdY)VhcV9afMisfIy*Dio zC%>rpYzrl^?eS&E^mKYUju`bTfW{7)ejFgF@+;vm6B7Cca=`jA!OalH00fi*hRZ4_ z>}9FYiD&bFdIYr`5iI2M(~L;S!xQ_VFVl(agFpd5D-hg%;{2?&XW;WcOu-q2H_Wr^ z^gcAPujUpEUlvdOiBFFlDKd+zwG5dpklwqVQT=!U3``J7gET5;6Pz5Jx%B|SRb&LH zkT-c$cc*Yga)^@--V8)!>VyO*6UehLP+>Mv?;;~$4CnW4UQA6X;{2?ZQAIoz$xo1c z@fKhmfJ=tt;Y7mB;Um;qi0e?pk(6Kv2&gjiI3lP-2gutz+*sAcaj`>TU4l@j5*A^D z$@karC8I1#zSpo^0HzGrVdnl;Ef|f!WC@>`r?oT%m%J?D`&5>C7=A(2h$7P6NJ$vr zLewsry#zuAqY-vYtvH;}(qTk#12zGRVAN0;2M};JII*-NZjJ(kLV(MV&H%F)sQ8o) zfJ29haDUZ}s67@iRU)+*ZgAq@@1Sb-dS%gG+<9OEAT>-)0Bo1bOo9zi%3-*x01e7G z0XG0qSg6Dvu(bU`(g9Yn991shD?pNgdl$|Ez0LqPnt@IvAK^e?r;V?l3b-g3A{C2z zM1fgiG~&v(*O5cSNCn0sEQb0K`vDek>JT`q{YWaKH&K$mzJ1^0cs6k2x?;BI%KM--5W8fK$_$j4S0L zJyVpR46)ad_j6xq)Sx#Nq|OgCvGHAPTDsUzri`+Yn;8 zCz(=K4M|$i{sn;IQO_9hK?1r4gPz)X!Se@<0eDYDv8mx#MqacJTwe(M9{|F@qy!#3 z)c-+jkeYAU;@1Yt0b)IFF?~Q|_H0&{`1O`zCCjGMuZ^Sb)aeA)V6gWB5(DoTT)A^G z(sRyuZ^9)|2M;KFomu!M$PkDCUjs<<4*iHzos@_x=&fF4q3xv{Mgs;R#^(4QYBL6B z9j@k5k{ad$-VTF~{#KA2sw=|f1k9%EdDcmIXAuxdMfehc>CRW`TLjOF-?+^N;*0|p z!I#3u`9z!|rU8hW11w3eEESPn&|&x$fzwo+9BfBmj)G5dm<>E-o!q%z6=p1X=Mi~= z(^L?DNPyxqEe|h;IIYHYY~MoQIfL({y%r#ZK0LVSXT6j#8j4|Sh1)dn%cJfD7t)s( zaM@U^DAZk<5wU#@Og5fhJL(1~r1L4R3cKF~rY~!86MO}i7HWd^9={T6STQAZvj3O} zVRCjVo$vo2PU0V!1T|?O|AUkGFHC~Kr@^wx`7`xtppFFRs8a(gEd^c;)R$n28(aa{ zxCVRy>| zrY}eHLf}PFwTWd3f>)!NA#foWmm<%y1i{NuOA!18+YlsfCfg9C%y7gHWcQB|Kah#! zFGG+DmLbT99|&Ih?`|M?BE-CmT7lr@S?(S5KdP z1^ArEc!6UEl>7m#K*`4n&6vRo%tCMkfVZHAR|skZ%bA0S=THFDQ*)qlEPW zN|{vu8cv+BH#RlQ@4o29*|Mpjz9FcoZ%7R_i$G0nLuxo+8-g0-i+@cc2i_B~7p!9> zplx^WK}VFt$fm|A=8PpabT@{OO$`_PUG6OpFlRKO29R=06m@QqIn%Z+z?^w_JiH>* z?gW_It8miuX0O75a2}8jYhEU)Fb5B!nwFfT!gx}m!q|%!nS2R_0XlZ!QL33&h0#-@ z0xORsm1gEm{Kfqhcoll3?6y}y7zkGAMWSP`!mw|gY|aq1SD|mKjs0-PUZt7yCSDR( z8SDLWgpNHPbknAWQPIf6Q!->&&&>FaCzedWTUq%So!v<)I5N``=nfrr*PA(1;BCR+ zr_G1>8i!C0xYIacxlt&M5mG$t62bweAD0kZ2~CTJTZA_Fn>0>OV_Z*2V^k-k*}rJxkhd`* zqlOwE{*!Lf^?4MiAj5x{oLoH6{sl&C8pNqO{TEQ7FqbF-2T+4N|4*V~G~9$(*+4V@ z2T|d>|L@@@+ogyJ0|FbmxQ zFX9Jwg_d}s)U>PVbcYdd655Lwq$xJEcLeOAy?9|7Q$tI%lG%&C|sFtddJih8kN^sswQMx92%ZOn1| z&Rup7s0((1>nVh_GcF%?&t{7)D6lhV8g>t83s%8ZS@o*=4MjWXARoq9U^%YH(m|nT zU`W^LVD+X2by~SZcco4%rL>3otbC!n3JHtQb$YEXp#{A#9qFzBX^w=`9(vW?q`TI< zeTNgy7-0Evj28mOF_{g6sB55Jff|s=Rx8vl?Ea82)F)XrirehY*EOgc*p?f^4AlIn z@rN^Jp;lt|uUU(FGpk17$L@@|h&q*BmzJTfM2$b}{7k()fP08D7|SXBp-t(J6|`DO zt5vkpp_MMJR?|w4R{FFupp_x5*3im`R%>ZxOsoHuS%+3i3Xl8#=NmeAhE(Zq$z4>w z%HXoXY}dC2uNiu!uLbN$(^khEjnx+13=| z!Q_#sUa@J?0Hx4L3T@A9vHwKIWf+%`AQ zywF;7{MVN3lleq$C#lhhIhrHNG0UsLK*y`5`pfd{iDOld9=*Nb0$0Z$V~bPd`_`g@ zS}jAzw>GU@Q$o+>iUoftNbUbExZ}nAr7N!8zDvaR72U}dQBer4TCjB0@z}H9wv@f7 z)-c{yBCYIemp(6b3CU-axCH6_^`KA z-`67Hk4M_+YTnlqcDxoITz=+wvwY0^2fvrAle0e@ZYH*9DebG*4&VE7wvg!^^((i; zPu~>r7uXy6c9}j&mx&VC@*t847t?sR*s=|<~&pSZUq_kL+q zTsYI~$s&`@xpn02vKyK^_LSA-2|7OgQm$aA5njJyxApywy7?Exm(TXRLZaTz@j1Qk zLDS2LP6dtk_?9cW-xOap)il^Hws*_<$c|-1$~1TCh6R2vZ&!&qrk(m^xabtOU-KJ@ zh)dj|lcF#6?I3$A3?DAta+&+5W}Lvj;0hVBWwD}%_h(B@SaE?f;83wLQFnMW@5G_A z^|b=K-s)&t#T_~S)B1J>R8a2KXFoownn|P=U*NQI^(?u2YiP-lL!k{FJ$e@zL*Eu{ zu&R)YymEgIS-0hhW_*7BwAH31vyY`;71dRb`sQ}_@Qc3M8Qb%!pGlKdis7v*Hmtbt zJYU7XL3FBTyx+YEf$82ckFSi|-=@9dBzclEX!~4F@#NQmjaQ`|H^y{#FAi*w6*oDx zGwIvv5Qj75W9hKNUB`hPY-&Uwx&VI$d!SdG(E)o^m4nmv7>I&0NLR7Dct+o!+fk@AB+p z^OEF=(^bf!W7mcKx)?vT^i~8{D=pVJo)+1qcfIu1SmnjZe8~SJ+X~+9VxD~Wb^D{z z1=*99>)s#!v!liLaiwa&j;guQ6`5p6P2RR}o`)IKz!tUCq5hTOJJW~s16`7NPgA#eA;EtM!?sZBc%g^n-_S+)PpX742b8krQt73R~T;%d< zd@s8HP~pN7ZJmJkH9WyRo*pFPW8buhq3vF$26z>YkKN@J*7b{H>k}ippgwN??M33_ ziKNSR^XT?PT516yF2~cGpI&YK`dr$z@_hjZf1`AG3peS~*|{l0%+1ffsI64gx%_LF zp||_nB{^LmR4nuoKkIprjj>t559NZ35{8T%-x|FZpWywr!?wxo@mqz^fQF6l)rj(u z?mrdV;nUf-rl3;2+%)6Hp#JjE&kiq1gS7v?2dYVm3l4XGpM8XX*Ul`( z1vYt-!F~nOll0=5p5##JD*Ghi!$VB@@VFx7D{}INMb*RGyH+i6C@v^cy1-55*;W0V zcS7t+&G$_QydQBp`iHji2=Du17khKl^W083i8w?bfDjP&Qfo zH0PoFx271ZP2zGQp^mpd=CsZUPTqg3v7l|7fz4bSA6@qw1LJF%lHD`RGe~XH%Ym1} z@=k&4eXfQ+oaA!xVBEV)txGS|?&mie<_XUa z>NS;-wqLp;;t3IK`K2W}SwErs#oL*DlP$728@?@CxGJD*xH`W%=X?bxA>Gx&16{If z&xN`q9y|GN@^%;J#0aaPhr9zFvf_-DUgUOq^!v(dZIjJ(RvbCM?{fDN6Qk&yxaK)W z_gdb}J61KBtkDzNa>(`G1eas>$+rUT4`^>zvGSwojE-kG( z^COQRztKLKF=plJWxLb+TPvqYi#d=SX4n0OSGV7e+ppow3KWXbO z!#!u6W2&W=jaS+zYjN?Xbl-wKX6MKchqS{Pb@^XRZVy*-tz59TLiL2_rO(y9dY8M4 zd*=Kh#3YULtBj9Np=|iOyqZaaG6A2Ks&2|We)=)Lp^(@%6(!)zA1vhTh z&zv&JB!M}W*GPBq{%UoFl_|%9NJhK*tltYI$F4rn-c~2d*==~b>vQue3yYkI+k=j_ z2u&fo-mZ4^tmEM{xgxqi!r9NSYFxN|MQ? z;-2PVeR#8h_w`=|X%$%{u3a_4C$PG@h}S4SeBZ6Sn_X(B_L@XR_~m@loi)YMmDr?q zELEN1yu%{9f4$R&=zB5ki-MzEV&Zniy%3x-JXw%DW9Xd-*${p`iO;*?_d8ypkJ6S& zS^hU0Z*|M;J7aP3J~?pnyT(c`qRy#cap`${&Db{+O|w5{H;R2$3~rX=(b`Ye?z%Zf zk7KT-s#U76)pEzUy%9w}=l&E}tDvyqWJP3D1vwI$@@9Nh+q5AWr(3;uiih5OS(P~W zYS3(GM_)kRZs8OhxrQg_IMs{TA5bx-iU0mx*=q3 z=Uw&s(UctxUwgE5mmYtwW31vCus4UXa+Rh(xfoU>8ISZv8;HT%Sn_bI^QOn)q`{5d)Jo=aHyGzYWS3XDgG(nD`lJC^+=F78=n0*|KPUh z_BS#P`I3_?GA{Et74m$Nc6ZDCwfXF^M?`kg+LU4a$wp@*6T1!1>`U%zl5{B&=@+)t zv`i8U34Kmh>UqALAK`hd?$w}PD(BY;t~Q6c4|9tdJ*fWS&|+@mOqrx)sh= z+03=>TS@t^&+4(FtCWu3yd|}(r1qh}D$USW%XZjhlwa-BKIo}H^ljCwPrV!XAgU$3 z>12mQuf)o!hEcr|ja-`C3Ofq8-Vh$GNg6H}%g*Jzy^#BJ&HnZK3es!TPrtd|rtoXx zs(?oq$jx_2+3&d2IVHAgI%W(knl#T-d-0Z2<)_n{@8&#i8b~3bmz)=y`doKjvQc7i zidLYF@&5ITJ+5^Wr!^KP*G@5ANM!6CY~qJBr*p4NyE4jSjN%xi;K9G~7^64_`B>l3 z*woz8+SdN5W0c1j#WBdgb18qrQpmJXTa8gJWfV(+b=#<|#weFEilq=M>rs1=Q7&Z^ zOCf%TM(ssLxs*{Xh3`__U-lw@$49_|tf7X0ph6UAGnY2=Xro9QCE6&{Muj%2w3$yE zwR%0qzs9Wm+49G0_2?`7eII)!M)s-n($M7hyX^I!PAD&bBG1w z5r`3q8OIZPpz!ZNWP5*A4gnc~sm|~2#$aZzG!xU9G4~eSNLgB#3)Jz?&j^($)x@Z# z4V_ntPS*9uL{r}O@>DPo&|Jw&OiPE1|KlC?V8?9I#-c>1HL?(}XnKUQFzKseNibrA zm=o@^6+%%hoyV&MdFuWpf7|8Lprt~|a)4^nRFoL#0J)Z;8?bMOT75Ob!)Pv{lf^Ob zR9U$D8MSf8yx!;6kMA^|C-}J>lTGzyg~qf!grtlYZGVVJ6}?%!hj@wXm^SbLPPzaX zis{qM}Jh?am#iXG^N zrPz>n4)O7L#Jc4&WM&jVXxApwp!>xIIzU+NdU6dD&k@W~or@G14NSki17V*mhh|<3 zLli>=9DIBP6FAUgp7nvPRGfo%Il;VRU>uMmb)g``ngQkjfEn2U8*ac)1Wx;~ z#*gw`uS%87vwPq{kCSl@s^iq3Fo`GrR?DTSE)-(7iq3;i4MTFm7!WSU+>I2WFk_Pa zc3Qx`9{&D#ynY<7!S|{B>QQH1S+1tyBob&1WeHB|0ai;1bxIGi)s|t2L!3&F z0J8$Th+K|uMO>yX#u}H&^8m=criT<`LA$vW(il7Vy#NfayfD#rg zn}(&-37`bikXO!tUH%n7Gx8umvY?j2X5u~QD@!Pap6lmN9Dv}x2NM6UcYp#mX_BcdyG!R28lPfKY^5Hx3gTqSS_Q5cUg816=3L-GyE>~1g&fN;(s zdI=T>1%Oj9(wa(>f)MH;&)@Nc29nH&u$X%q>MpndIGNaJPlv1?N2tt;Yz)l&UX4>g zWoTn1gojX_eZFvibq;|qipHdYYWM}2E&#oig~$q3p`J=yk{jm3>IFj%lH`P*mq<*& zU-VXC2NN|gXgP>wSvYB+26r9rO&VeYZ*tG<*|cyHumRXts0sH#d8C83^T`!*c$YEUI7a@iq zPcj;x93~xi&*31#DdHZzvZaPTj1zdvg$IIyNFoyNufB=5xL_jKu@iB; z1pYP{rPaAn;BUksHbdLhoD4?`+Ar4kDu8NC zBG~gBLmdO;b4A)=XK)zp50gS1U|G`+n-K&q5ZqTWDs|}nHTAFyuD4H*U{pYdSXpwg z{qpJKIGan5w1I?}W(;^cYe8#X!qrlm7-YXpn%PtgAOkKV4UpDa}2q|7077V4hVJ?^Ez*B%dX)L;gKbj1rE!x`@*Wk+=Cp?VjjYC9L zxT_(7|Iw3cM_lyf@a2&jV!sL+HO8miN?gsbP62>2i@Wtv8WK@tx#_nH;FB>3c7Owh z0wm_^pg{<%2`X=#M6?L(QF5Y?Qv#6j))^?`!r!WLPVHDnl*R*eJHCDGJdA|Q<2d$s z$&5%>19`p zj}RwiFb5n=CZHXp(Y(kyMu1yD=%$1arCo4{tZ__QakyReX9LMeC*klyV1Y3h_y|VH zJLhY$SPC`@)LBCYnM*L;aacKvmm(al`iR9r#EmD2x?g|+DV4+BD#%K8nKKTTERKMZ z@V6u`^8MA#)3{D1^@HjHOE{q$!aFFhv7L`n!vH{yk!l0>WxGO#s~JcE?!a8lg>imc z5Qz5S&Uqi0OgTmo5FNih+>JS~1wIV*_;R(RLjV1Xen1z7>+wi%n`1N;BBTn$Qwu;J zaG0DJx51QvqK^~tw#Rfl!rd1z5qjXS%W_2t^eEz;HZ62|z~N`B?NAA<1(X=*D~f91CV2Y-3vrFmly48 z4lbp!Fg_6c$QaUz53vnU+E?6rD6d3e8>Bf+8OBNT2d9hBuZn9lQ4E*H9sC4j!8R!{ z%whS$0Jb4&_`1%8s|mX@-j9yQ@H*;%b6}N?{@_kYGdv4%K@T@~dhK z;)9)O6xQ=>avHv5FnK9Na+3kBD*%pu*7$Pme8I|rk@!Vw3uvCl|IAT=MF-7MX?N=( z-mrmyE(?ziR*s4m)vDiYZ3M}{VkaU9EEpieEBzIHQp;jbKsC_t6IPrH+oR*jx)G0# zy(8?&r5UM+LAkdaaV{h5$*#ZH6RCf)C#3B^>q;7ZffgAhXc16ysFEph5zVqvG)`S;B1O<3PNR}xgCz{h_4@IZ z2ui7B=wsO#R|%gF>{752O{*)qPGZT!Qjbl%;V5okc^6mdpU5Oh{)zS4m5lkQt1ZwB z1;i&Z(y+Y1GSI-2h+W$rR2C-ID^&(~G2OosK?~9aE%1RrU1r+6KwS>t1L(j&K}Vt-n)0=1 zdc4ty$D+|HLF3q&s9VpdMB;`n5;xF<3gE-4;vkuSJXFbnWEh-+)VWz`L6aP+WcXrp zLR=-s<25hQ*X=oo79l`r!P}q(ZDU=@2)CEu0P15ef#ceso@n360b&R(-hEIfB2dtT zjSK38{Hmy1_`00e|~&h`0t58!|Bs(>o&J8iykV5FhNII}I`LJE=SxsYSb zMGw%}wuKzyfUk|?F%Aq>v=ov$Mss}70@Ff^5sGKU__W6F4$7Sr>KsCaBXl&OgprNq zEfxlzpQ1M3xG5H8R*M665C<$dt}>tmlud6vsbnlhhaHw9ShBFZ!NG=2OC@vGRWxf} zqVXI&RLKFi9P7#kiA08zf1b70ZfN!DB7r`5abF&Vl7FH5?$eTxz)J zjpsvRIfJW7u$=KHvAmJ%2Vrnf?ty>oI7sj!qLW6rhf(Z-{4@3BFYbYjJ#2wWPi!HZ zQzwXja1ZR%lcUrbf=Xk_X>w?Qb2{Nu{jaWtGLv1F-f0=YtzI-)eUr|q823SEK zKuSn&|97AULo7dwe?dxQ$cVwke<3A$`i3mS3rsKC1ex6O??}mi0%ahZ2N5b|kD7E` z7_r2-3?-fIe?oF$oNzCnI*PD7GX4uG5o&Gk7%|NlK}x_IfG45kh01(2`T$CVYUD65 zp=-cDsC15SkJr_-8zD5b8-^ovZMD7uUE8_alRf}(p`GAa=o;7+`j;$Fh2j->dK&dY z@rn@;h4zm4q|jbAX>tR-cu&aJpqocBZ|G*cB=tB!?-;!78Y60ILU+N7BizG>?!snl z5@|0Rs(DO%@kXfQ3wrUqpg`C>2HafO*afUxSUp@Urxx}`1oNPvWCeU!*j*q|*!v@5 zXJnh%W^?v7Kq%~OKu}mcTu-R+40|I0l#%_Vrb&+w8Ng3Qm>-N48=_j`U&tg-|FXxXY2nX24tp z>wGK+7uE(23>$V2#t6H>#m3$QQx$d(E+ke@=n_ZPv)~$GZvcA2+Q0#%iroY7gpLGI zCv;o@IiYLdO|VA)n)&v9;5TMV8%_&w6S@ts3EdOsEp!c+D}BI~Njm|V&^26gSPP?s zhWKQ#6EoPf#?obh-{AMLf#vVvU+EHKWxgT5YD4Ijt;cwS`t&X=O<(D_U98%7$Vg z|0|4R>!xdbYnLbm40#=#|;qQPRVF@>{`Xhn5vNWD;2}F_(Xd!-5?teO!0H4BYQsus`{530EDL=79@t z5u`YwZmv(x!L#qv>xlD7rz6krbSz6~ENPqa;ivYocWKYaqz)dv3-tw01z!JJlk~N` zbJo~-E?Hmh)QAnsINlfO8B2`i7kXrUy^-^!u%J(N+X5wdk zgi&?xyW;MRI?l`Z<+Pn=e3BCWy*j-5PI7Hem&(o?k**}OEa9!Fq3U3$!{^k-T?wx$x09X0N;=lwA^(rKH;=37>)-!R zY1BLqVpYggXrN?BCCSi0#%La-BvL}rtjJU$Lud|Vs8A#+G>IY&hEy6w(u~sYTIck> zyWjWy{(T;g@Avb^_m92KI%}WP*=O&y_dZlTX6fy|_VCcv8{U^* za!>A>Z!yg4H>V~!68I|4+E}TQ6s(LNYo?9-}H(vfZyDI(GtM4-8@zqC%d>)LfQyjnW(~Uci ztFCwjXvr+xlj!Yw%F~H`b?tLk$ZneDmwo>Z=Yss zH{EKtj$?RkesFqWQ0mvYWYO=LdXl?Z2eUT4gpJvG6a7O8z9Xgj3LHlA&J*St7sakX6Vlk)=c@;$Z5Z)o1pe zc@Y))QGU(Dd52c44*x*rNk9-XIGQii*=wMw8_}^`bJa<&-6{1V)ukhq>CID#i?MEq ztJ#4^eJ}2Je!BCD(`cf=f?51(J0ILhZ0&g~u!8vbS_IimG4gzP)9+E*fomPJ#2ch0 zHp_0ga>eDwy{HOKGE2Jpds{-uAKjHxEu8gsMisukTwHPNX0^EN2VuqP4nCr#J1}Fl z@RHrdKR@v-_&I6A$&_6Ycm2;-icTtM6L|HNkWKsMZ}QRJaJ;Pj?1`*BhjV^T=^C2c z)-X-5hhtj#yXc(-zieY9{>}oIjpZ+4_owsh83E*e?r&`B%?W-$x3CzkL+> z+NNZAJ@Qb_jpWbbChD^f*jPt3&m$5W%+_Be117o)g2OzoRVhpsH8I|JyU5m-CyG#T{hTGd@dYcvcY_u z=uBPh&;4w20Z^_|GsI7!T0(8D+1I%I{-DZ9PL^XuYoXE}K! zSO2{Ay!pxna;vQIeEdDvE!+3)7w_l1bRg(znvt%x$grTc`Jc}Ml?9|uShM#FSH(LK z)8Ng!u7`O>=l^+L*!}wXj~SkG#TRpR6Pd*a%$7T-49(t?5@2`2%RQreo=`98dq1LN zrz*Hoi<``|yXe1Vzr$?fBeyT@4A?cz=s@g2y^YJpX;?-18Tjl`A)DM|{Fhr8b`Jbv zd*^>{m+brvM-MJGHRGDMAu>eU!a9}H{SRKaaz>HCn{q0#^u=NGExjN5<(Kz;8Au^l3fjkC^6-)R`gwr; z%nonfvZv2Kobw1;5Yzb5;}J!(sF zIk1Fq$?3bR{^2e&9OX?o%wWX36_?PN44DMTvSl^nK7E}YxNKXa2ApPG7~oUxCe!TJ?%JGoTmej92f zQHjsnKOT=byq#HX=-mq{vQ`vg<#*3rL&w7Pl)C`l-KJCNFo7Qd;oTO%TL`h^3 z2ZzGC-NHiZEycaEQy-l^Pt?Q}W-Y9UN!FJZQ_yaE^7iQd(-Z*XtW^xFJ+QfQR$r;rcw*smwUw2e~Z8M`0)9> zpWKfNnl7X?&EBRok8EG=aYxQY&+?Sf=Hq4Kn;3dLQ#Z%d#3t5+9=rDHcSbhpee#Nb zZM^Tv+JYKstCS#w-E`}m{r>jb!ts4G>`reqB7Tk={c_prKPk^t_sAUR{JnI^ii>T_ z6vbD~7GGiyTU`$UM}2Z7_nz2CvNNLUrtWlF z9T2=Kq;!JxrwP-)OfWeWX5_wmwu$>OS5cxM{j9Kh_@#@}x=s!$o!Mn|?}9@UUKLn6 z?3SOd<76jJCd?b*oUz%etLEvt4URs$h505Fmh`J__%n{Y{XS9GZ9XyaHnIr1+nkuz zaV7R)bx-o?wDn8uFT6Q@D%bDu&E{F1=8J#~fqOwn1t+sA48nXmU$_^Gto+srg@ z`^J+cyp`n6hq&;pPWeCmD$?V1_GKlWueo{hZu9icyuZWRLq zVU=}}C{7N#;1pHzt1lt%{$}U%Ma6r9LJT$?xOIJrn#!9ewZHjEe%UJ@*Rzibt?k0~ zS9xbQp1D}C@A%DDv8QGIx1O}lT0#21iNAWsy~I;%p_iH2$y#MT^?+4|JI+2l;Bmy* zz^6cheB&_EUKa6Arln(=f|6tU*IQ3HhIlMeC55I2_@Aqse1Lcy>*)J!dQE_{M^s|G zYl-|UDZ9P9a+D(C;yFcsE;`*#x{Jq88ku+aP1*Gy164CUUwtSMo+NH}O-OohfyqRR z>w|>r%N$dUh;LiGH%2}@^J!6fW2K}P|N2-F72%b8O=7-a!nmG)c;)lFi*L*L7fjt0 zRVPt4peAk-`H}5a+eq#wxlQEAioFldCrSb2-)zA%fpA7r(?hVRW2m6QE1TLndje3~6{ z)j6&xqM$>^TzUQRoj2HseR^z*nv~TOwf#FD2#nKDA-gt2)|K%Xoq79mqPOLBF0!-q zF<)?afuLtWKEM06bHDa}>DSJ9HTkAiR>sGTZW+3yVwK_Ex_8|(?K0Pyk8u%WSOjt2 z@lRaD7#2aoj{XxDF@{Buf96BR@DNg5GUnGY#)pjIA>^O=kTE=j@Q(kVQ&-50ng7Ix zjNu`?Ml1i~L&l&8WUkDskEa%dATu;+qeYtqw9%%`LfR~%&0^Xtp^Z*`598lpiht|= zKO{J6L2l6Gl_z-o-&lcMfn7V&KxmOKtT6hk@1KLNEyrkr)do}p!7joS2h~vZ%d9(} z1YtfF>I%&gND6U6QVQ}yfK^!PK>&mZwr2w}Ku{GM=(tju4^^=!=_iZfg^^EVRc1nR zym`uFy$0+V9wH|N3IVbjBFJ85Ji=qBSCBEkynq^Mu1T#n;-IJ_E8_)|0Z|k0e+LoO z668W7tsT`vk!^AE5y&;;zmk`!$K6(|2zI@`4Sjqrs!<{Ju7_4s3u876VPzo*iJdyPi}t>O++?OE2C4llpaE&}3vT7m z*e3wixO5P@(;vVaz7}}=^a!NFQbpv;KyQN9&ciG+H=4;$OR-@TAkq!rad>eM%DbF_ zOf2(@Y8_i?!U{6!Q9jJ~r8Et0D`YN^3)D2PhZ_e>P*9N%&EqjD!ObUM_Ja<})mnHRIV+}6 zp(--Yppsr@uo}iHTcJjM08@dZfqGv;>-RO_2mrqpd@dWS&KEulzyx3fAUQ~&8u?lR zo@rqEwxGTjs=u4*9Fg8?O6V+*B|}V67l{rn(D8P_nErq;T)7RS|fw zBUWY!tOQWe?YtVB_~Hhb0#)Je*LMgF6oDx_oKqYOz#q{9byx|&836|H8c2K~Sui_6 zQG5f?7lb2FSzLa_3d5yy^vgla(C30^LCV6KkiB(_w`lYrN!%V$ap}cgf26H14IGxiVz<|-0^_} zP=+bj1VrMpFJ3XO@hRm z7n3=t0*4+3!+VJ7*dsXGz6rlToXCdx;6rjQquLs$n@t5#1E#=qXHXca&u1Fy@U)je z5M48en*5ycAnT~$4H^W#5B->_Fl(W{4Y4rvLGl80YhrJR!(N&e4i6fLaQ6@}L$g2V z3Zj|iu5Gc?16s&Ec@%hx8CLw^HhCDC>Izp`p zm`)*U=ZSY$BFkwrbqc|ym{{s6FdCk~zeImBuJBjs)tViI2R50KU znW+f@;5q3IEC6moDxf6dXpaq$`PBLAAi`2=*Zzxb;ZYGA@yCkh-m|9AN6DoY`wG z2k5Dio?O@iphGbI!5?K^@W#{x>EkCv=)D1RVCZ8W{j=B$&nSe%Bt%Z`GMytR*;SE# zn#rJ)-3)hLIfJW>2HLDvw$2mBJ`w`72SSaBpn$=eC*EhjnJk2*1gxiU{^EL`jG!8@ z3$Jbi)}(h#U~0si&M5e=Y=U1_O*Ud;5c-A3eVa6KzC4WfW^4w5gB+p-IGI@{8zc0F zWTQio03bRP)p<_h1MT(cO&PEh+(5op+&a07o?qpF2=Lfh&W=ku&ICYWn8_Vw*Ky?q zYVqO*9+8hzk^-v`9I$-$*h5x+R>aqGk?e1nQsELpH!7METG(^gm1;C1=QKgg4ZKXi z;jB^Nqa2Rw8WqapQ0@>PmL5s=tkV#~rS2jHv8dU}AuAn#SdXvzT2i7i_X_ddwgg8D z6a>6_FmM(3B<%Ocaeme`{^fbfI9Lo#1JUEUhKWy{a$g5qteS_|5%5yNmF6(QU~q1x z(10EM3V8{45ay4MyHQ^2LAO@JRR^vuI9HHWg5NES&jkp8(x1ZF%0j8A!xRNBfq0Nx zxFEug2It0ZAd5fB0Y%{VOy^6urNJeqqlCbRjQ+HMOAw$ez*vdF4%pc(g}4ALn~HoM zg48Db=D>CC^d6wK1GV@eM^6>}@bTFKny-#LyojGG;BateApVEKLE#kr!9D66O>P@u ze0<7JKz<-@jh{(n(1;moBeDtJHQ-fXT{eSPfRawT*Ugti^w0%gEBpLOFhepy0#Icp zqTzr!Qi~}kq(ybWOAVJ*DTjG*uEH;!fSFRJb@6Y|1^H*kkAFcI(&R74kEf%KA03pspvEJk z&;?nL%c3rwveD@)VH%J8rxVD= zQ6~^saS)~z2y8N7#R2$(X~hBfgE|}_Q)9xFDTHMPg5Vhhnlw4XkArCjGMcS%e_@^k zi)#5RUxO7qldKFK9!QII1s?@k=y#$#3kG2Yf);xf3cBP)G>h}ERjb;wi z_RmMn@B! z21J=CF*e01H7J@hDr}V+*v;7!rl%pm1hy(@yS;wxM`2q0{=8VVawAdX)=m>+W zLD!to7kIEi#QgJugZMk~2N_A9xeqe-qjMEYA(l=o67dJw7Ci|($Y$3Tc#wvXz(C^8 z{0C?O8DS)d_c;2%i_pH__#Q3LqJ(nB%qH$~#xOclnuN;X?jcl;=$)W)wj~8Y zV2XihVP8(8R_x2!-dSPi__BxC9b`+Gf$wCBWnaD$+vH@7JX?ta0Wt=g6CHn${qeFQ z47RvfS8#xck-TVkkdf^W$I0@3!3Km2pTSUuLmU^KY4FUkF^AC#hSD73s4ay<+&JuN zKRm%94US_odKrJPkw=M96dZ@?;KQ&3_Y<3_Vo9A?RvU49kK zvT=+>*dic27~wpc7{_*JUcM5eAx;V18*}26*f+elwl8?hk`T!qz0+@c*8ax>69-m!UgE5erXnV zdlKKw@p(_80K-`6N&oXa#O8ygpfLjIA&EYQs zg8a)>1c#8&FeY9C z4f|ho3CpNrlrABVwJBc0q)SSf*udZE68v8g)3Kx%9Kk=*CBiZjn1MB8bP4qIMN4$3 zSPo+nDxCuvq0ch!-KP&9@gGqb1W`ku!88QVIGCsm9Y%r&9R>nJpCP@2J_Fi9AK;8a z2lbX2P_AOs^@P$E_yB5iLPzl-6hWa~d=Papp)VM z_68&f9i`DB08i*BWoy8K(C(-`3w;4L#1Je9i@g8`!U8VfwZa+!1H$Tn0AZ~HjKUfL zh%yRg*x1>#)*T5u%36nL9@a=zO)YC=gpHlm0e`|;1^Q$Zxd3{?0xdXVXc*Bbbb<7t z(e)rtM#m(iq({dv=|_xuoeZ zPA@#r&t}{00l>nS1$LY}U(n4+V)gU#G5!Mmq$sxb4)pt2<3b`}FD6U`djaAkB#KQ- z8|#(<&|qxDGaEZR+sWcMj)b8f$>KY1r=y?48n3Eh@*N#azGH+9EBLSiA2WR>`Wmbk z8JnWN<=Q8P4Gmr1vUb#zvPD9?m{1M;2~)JR}t@eDZOvN!SJH;|iNL z-fwAExhiM8FvolvMbTY`r=DTMuXs=IzEWp+ETWNa6k7fEixzVdX@6J$Zu2sOmH*{Dw$x& zuk5UCf0Z;%?3dc0u{!W2chkU>o>oPttGkb8d+j=3!C75ARP;iEn4RA3l^z&$b3kwE zVn5TZQT3Yq0{U9Ur{2vJ61Vf%lR*ZS%JMr{y5>&y-xuD}$+a;{N?hE=c56(Nf!Xrt zn;G(Ce^u23Il&%v@3)n=IvdiOIy+(^!!jaqBY1)Ob|f7Zt{GcNue*}K8`RB-s4*@v9no|aUQ zS%JL+oh!C%$slKLWeO>)UFzAqMsx2rHQQt^_IIK(-^ud0e%{|}tR3?tb_7|+qy!Z7 z8Ca-$1bZY8Z4a@&_8^Qj&W|g-`A3b*IO3;h_hL8Wurg^K1)G$!wK}nNcYi1&$)aax zR$SX$!L=zvbEHhqyTyN8a@03_lA_m#l}V?IrwSAEhswu;isdI*-4qG(eb8Upy&(3_ zZ)Lw7egz}iyi>f_6US6O=QSQhnH54?l6?YSCfym--M`WCc5a=bZOZwS?^UEk!XZ2D z-ni~oCD-^cx7+9aAN|&uA#OI8L(wR7=W36wM8z_?IPG-CW!H=EWW|0i)SYUZG5F`h zL=BN`X#?zEwH6VXO-a91rutmF+Py60@znt$WqprVQ!BcvWMK@as(?`-u}&Iq8xuXS zP+>#-_|?lJ8!9I_=5c*d@^DPVuQ>m^Z6vE!!Sin9)4|FvMdypQ`yU)nY}7fpXx=&z zDc$3bJiTIx?}a)UQ+^>mam8&JKOR?bKhNl$=B96V>%udR1qW6xk07C^8`MuZTiwbV zG``gJ=KW;#)mMJ%&zbD(>ic^3l?zet$(wSreoFhXj&&s!Y($#UwO%i+Aj&4_E3MEBJKx`s%vxH{Ab#PhnVxxL920UbjKhKP@7E zc&(jI(9NSy#oYp3GTJNnx7=^JS4%pRKF#pIbNJEwoEl-vOI~pw3%cicUb5bIFW_3Y z?tZ-$WQ+7%i^Phk3?u{DrguN7lI)x5nlI5Kw`cQ-riDS&+M8zWFH5~i25YL7_q=_4hw=8=IGc>jXW|k<27w**1#F%5^4rdN zSd)vF{ckl)Vib55+1~JoU8IIF&vmD| zbCA{1e2E$CO7jI*#*a++L1q}r8XbPqoi`%eJ=>>)urCTS+jG5xqohf+aYV!Qm?1IV zK5_AZQqga`b2$`t6#dBSo++f`T`urd)Y|-9gGPV_@yrOj(=z*@?Ws@s4O3n2oz52H znd(wFgHOtOndR-SnVqC}k;aM(ya%_t-8~;&Ic|Pm(^OGGlS41AMSraOEVVuFA$c)@ zNSTM#y?iY{ad?K^oy$?L>)KU(U%n_&T_wNo{s3Z!JE}4|rtWmJxODf@8}VHhO4c5m zZu~OYs<_#1mQCD1KPesH&TkRa)94o!d;67l#g<76RELyTAN1FCJ?#)tzQB=~1Sa}j zDdZB$Evk#jZ<@T6O=jU&F|&wl-;k7b_9rU1Nb$D`HC^*g7KfjBdg@Z(_dN|nbWca+5uF)hT;K7^Jq92Qm z*%fCtb_s3sUUMkfH8l0(kK1L$ux?`~M{c@==ixpM>p|s>f;7w~m$lQfj}Db9%Fjcccls z^tZ&~-(<;x3ERvy4nH0K_VjD*-A+ke*{b2Xh@9@l1~XlEuL!(HzB6jY)OpRL8oP^{ z`f`4)ihpvZLi)Rk>z$gDso9>%RYX>kUwfU9K~T}SvUg2kCvG?PC6qpO+I!}0e_~ei z^^8^`tyNb)bo)-DnD);hRd#vj?B>e}Z=-@!YuU?+2JRHF6Ky*=fdxY1>YKy$m)Xu< zIMoxO6YcEA2(ki+!P1A}T~@n{st?d1CH|!51F>UXvF( zobnZ$B5)`BlLTkl<0rfKlgp*00l^1nE_1q+u+`H;UfU@t&E@=E?~654b$B&wHEc=Z z(5&Gp<|?}0FFz({J$JVazW2g6JVs~nrkKLRUgy@YAQnN%Hr76>^R1(+&K=FLv-K_% zc+)yxdgj)cx|ADVQqxK2EcbOL0lHJnj@Ord)}OyHxLTckKxh8k9q}zsZufouNah@_ zYp9fAYlV$Qctl-o`DE*uAiD_X#g6{Z(oYvZI89zk*w}B2i*z{mX69VkE$e5j^UKLT zm6EzQwa3=W$j4_5*`M3{J-4yu=6gZiCEa4*PF^eMeCvHa*2LpfV$7N?+xp3G!8r;K z?iUwfW@npjS4|UFanF+D?$Dl8X03oh{xVBGmSB5WQ-Xhkx^r2 z8e`DN7&AgLGRI6s#-NcgW`w+{{3mE+j2YqW>HW)8Wb7XW*GI{9A=1bMPACioxtKor)GgK&zxfN9)>so<_rfl~ps3Wvu({zw17)XHo=Tc0OW-JPf9X(A#Mrf@X@ zO~4~3B;FtbriAYc+$LOLJks?FLMO})0Aj%OKwTX6|B-|ap!OL#0P{cw+>Zus0G*kN zd^*&SBo2NAsHH~jIP_*ny)R)%vQ`61D6P-dp785k4xyeLR7}6hlAfO@LSj>f76l#w z#o(Rg)VthF4KiyQ3ijvnf2GpbD&_HCO5xQGjk=?Zz(r*BY<|20IK(Vym5D(PhBF}j zC&B}PJ`%7tD`$ZLz&lsZh8&v;ReO#AVgN$%hVhVp;Fp5WY%59*rp6UO0ssxn;AtsD zxWAhl04;N%npx-!gA9@ly=?J> zA!=pvmvspo^1*%pQ=!-fq3jXV-QLQKC^`@ODl=iCpan(Ys?hUbCt--` z_#7z6su{GxJIsZwp1})&97hR4=u3nkteuOLjoYwtP#5c^LK;~&SD0z_vJMFq6|}Y( z0x6XA{aduC2z}9)z|4U0iT>?niX8ZiKnEy?@W%+EMj!CU2qP}2qo(l_&alEqei5~m zq4P7?$q+6#pif}v4`ZAch}kfs#yI$JYy`EL*#qku8u>v$tVq&`oC90n4Se>mbCz=;Ts1OhEZuxM>N+L<+eQFf*ZvD{z;gP68jOBDheF=_FvaR8$d;0r3H_LSP3NKp)37*)NisOh_eQvo}DYrlzbKf&dyj0QY`Btw7#6nM}OuyNVAKz1m| zoS<4Co+&oCG__C>6i`q{34BdxO?_!9Yy$|>Hvmo(vk`?sVFAh!W#ftob+aBH?MRGN zgu1|Qd2ZB}MM4oM6Wz&dP0tblCsAjKTQogG6Ia>$(Fe9-R?~bJT!(o&a6BL^!?_2? z!Pgp5O-+bQ!5#uQaF6C*fh>5Md~`d)Zos*LGlAa-@*r4P4)U)KTj}Bz1&QE26xm=h z15Xyz@HYXE0W|=fKRp5_C}6K>2+TrCXTf>EPG1qu6G&Bpl>pK|5R-%JZWXLpHqIx~ zzdpjA$P#f(AdkX!tW*)A+U~yfz;qC+;%`N$^8@UDaDn3ghT?sufdWn*H{1qrjzqc> zSc!DM!hkWt9N;zL0wMc5&T$F_z%n7+SrR%hwX~t;5??_Jz)=9ViNug*&VGXM66|1{ zbElC;B-V!te-F%&K^!k?VDYn>+@muQhEde~VZnr<_c#K$M^FQt{qUgpRYP#w+n^~+ zS5W=?Czvx#D(TrY_ULgW%#wJyqa5gQS=lNGk!R zLMFhW{J89*r<@2{nJ11QRN5wMYtlnf|J458P9mgfof zLZsz6LW8jB&Cg&=p+gw;c`?3QwXx|rFzUhXSuMfGG ze5i5OM?7v_fQ$M=U~Q<8>8s8{;L$vMqX!tEKOv~$50IYsj{warBzVVTSaxx@0|kdP|$iMekhn zg>cNktqxI2Wt5qef$78ROx$|ZF~uo>h~FTTncSu=>sQ1%Pol5tUZg`Y!Oa@C7RkYP zITsFYTSx&bn!2Pwr+Bav2tf&I_2W#jHfs4pU2jUTSz+0PaaM*7^g32 zLdQ;D9`p=;%22~G{G_p?Z+M;@y!&QuL2}}lHBB&qu!c?UDar3`UKjn@n9)Nx) z-Hh!egz91aKvaRkGs;qIJ1s6Mh{! zEvH`{QBK$e^oYUwEH;}Sw4xOJBBP*Oyr0!lO5I%X-L_cv*ZW8Clv+$c+dR5LDbl~Z zM-ZWf-y=*Ti$I=m5yE0Bpj-dl$O0AvOr#=>sNH8W6-l`)zQ4&f@a7cz(fj`S6KS% zg(?_b=txn611oewu%uzB#PS=9V*Rd)2JU#qVt5tUV>yN;yXgnHe_VM&4D5*GVE|836g;)MLPQMAwf$Oc^Rfbf($!s z7chc|6SVLi36fzeSb^rtE;J%%(P%xwYk`|!Jq{4sG`0I^act1y$e@LB3SRhfU62go zW|-6rwD$i@>!DU9NBH?pOJ1OHJxoIg>@fRw&>`cF2}jA`iRQzlXaUPuS1^L@J7C_x zj)WXMNrEW_kQn<8E;@+-f;hNQ5X8X^=`namCz5F>bTSF;q9+Duna~MM+pwSjghgx#18re?_Q9rAMD1~yaT)l|7rBpvjR(C;ep1V3u7rN@g;2LwOE0$AUC$S zX=sX#6Wth|ST12H0D4eRlC+fV2p^uQCBnpm9cCof6)mDmakRA`;~2JJ5x}B>#R5wJ zmTUBYvyMD>1>;M?rDRb?W~-7YsFj9^@eFGm<|9~cVtGq_C|;q{k7Xj3WmsJBH(&Xst7|ek`foP^)N3)t*t#}N=w}Lcsc`{tliH!4Pd!U2i2FCz^4lv9>v*tCLy+6@h zlt+_q7;ngM!*V9xkS#|SBXFxQWFLQ03{ZpWJ#8G`O&@LSWq|#}8?qy&2;Z*C!mr}9 zlp*6h-j;`@6&odZwPL$EJI>4P>TG=n>K9bNo#b|mMD z;^cScY_jcOS%TP|Z5DkLR*ij(rpptJ)TL|H%`$W>gfFbRU7C~e(z%+jJTBZvJrVw* z5dH-roF#t+k;MOvLZA@BW60&to|B@{^o+kz2yLSt3V;yE-zkLAAd=B=jmdxzP@1Bw z!t}VHb`Spmc#zGb{ty2Mc!V4YBS%?;$A1AH2qK|5B-vz?@E8L;-a@N?54n64@F4&0 zae<5%_WuMth}=XXuQ-|MDDjVghwvJv!Ni6!zynkTVkoFm4U~fl*q}D(1N=Yepn#At zeTMi6JmX-Rc+g=ucFbP-#febBVH6CZ z*aJR>av*dRA0;X#AxTF^lW8!D%>$4@SC5*6(AA?kGZ^K;N7u4~W$+Sg06UNj`jXM$ z82UzRh#5E#I*N^<$uOgg1egpK_5dP-1ww$wV2vOyhcyBgWAxQ7JKb38K!mW?fd?5~ z2ONa84xS^db+83tjcnRt!5RSwGD=5)0~uuF;V;szsp3(K7Kt|t#sXsb~ zsXqE1%KknCJsLCKwBbz-(fz0t~`h zg_*({0Sm$$`O6vu6bNetAQ09|!GJtxG8;fZSgSyQ&`ALNgH8fWZah1(n9)K33Q!+( z5Xc9833w0s473M*0P8^qL3+?<;5_gw^aNuneIFPPItao8hm~=h8FP}51bE>#iW$kAdp}2n;n1tXslbw`og3H^Yh5_YVw2iVpAx3kG8k1tB~r z60w)|JZaC1_V&@9H|_1Gy#us&kTyQ_sW0svqP@ek=SO?~^zZ$TI0bl&vHy^~&LFEU zHx*{Jzj*dbGdz~*9Nw&R;C4@5Qd4d=VJr=CTIPEwxKhsJko%2uNA7ej zo-Dd*>q6H%JYvJs#YV^?=ZxzoYra&?2y5>u<(B-p`smkRwG;JK4t-j_M%^dj9O+!1 zkaMA(t(zh2wCWMeMqiPR$YKB-jPv!IQ-(84W1$HvQy?a_K7Xu zHdEJP`eKiV?P;+Yj>I*oQ|x7l3!bFuZ!6qKJX+Oy@hl-xY|84Juhg?3iu2oJ~mCZZZG78Ki5XSzNS@ zx23p|U-pym!kXv`5j$Jut=`6m)7pm$hw=Mnjze6**_T5v1Xr9)@QTe1tCTRYn{0Dx zSNyw4`s1frlIa^mi@rT*5i1(fK6rlP&I`MuUMsAVnz2>QJp6g}vB=ltW?pTd+}om8 z2lfZV7TK?J<{cDJw-*zd+f+4f;jZa(TnHII*D2a+p~GypVUbylS=EO&d1tq!p1bK` zbF%nr>gfk0{B+@XEk4Eqi5>;BOJ3{4tu-t|@4HW&E%0toR7asv7*+5C6HOG~wmfh- zn$?hXB>k#;?2FzPC+?^2PyH-9kXlS0?~g4P;(FHG`~16t>DKAms$JqM_joPzIAeFF zRD!e-vUJ>Z=esX26*fO^;98*jgM0F)J~i*F?ol`Wk~$XJOj9Oizjgzcj>U&kycCWOJtds75vpcusih$d0wjoOlc0L$*n3{jUHMB&y=B4mAq@;Nn zt|H-v>f54UC&d(`-DsY&W%=63L&5oiYmE#KSX+f;f2r>#Myr=P97;Rp9OAI@(A{yZ zMS~3wzia#LH4XJLusQMIqCE*cpzUzH{PpGM5B-wMz7zyq4%D#^%gOOhh_!GJynbjt zG1ThhP+X@`-}2JQBQh=7GWhtC;O9zuqGo=}T+dea+$YW4pZJZ=W%^&Qih9&D*Km-* zS5Wq2o)O}W`Z-pf&e~6+O3vPE5LNtCFZ!u^Ky}v=)fH^bf0VSV-ZOG%jZ9&yo8cdP&HYX_1~Sj6-iZeDscd~NVs=@F9uz4uo6 zPpyeBTB~DnzbcBg$xi+7Q*D3H+VCr0F=c`hW))p*4{Ie%tKD=taat%9KUE4zxZN)CHth$AxiWm9FlnD)V(_n*RJ9)4;~ zefI3ov6~%4;LWgj$)KQt3D@lPlIo5(N~0ftz59k|{f=4c(_X)BpG4-3h)k`l`7vvi z#Kfhozf^4l7in&vK4YcFIi2}y8NcK=lK@SH8CMk{rS4g znAh?5`t?Z6exKspCla=aC%uz>58nH!-0_yD{b{$79kfJ;4JXTqK+FP^-1u1}tz*v~n3>bq}q&E{IUf8&KO8;jVgxNgZRk%38M^C`>9brJu`kMwv9aDau7$rM z6Fogw1sva3GAsP%*x2z?nUkv5N7bc%9;a?!wGe@9{TXl=dpUJ$fTr(F*G>z{mAT3=Y ztx45c#|!w3wSLGA-+55S{qfkH>w7QMY8%Qd)*K)cH=Mt`C+4!_xo0AigmpK~GY=?u zf2)SGOxWO9g7xw1zT{yYQTag@ba#s{S^kraBRw`X?}3B6(?~z}^eOjlRURb)Clb@w zuiGZfDXyYimR@9I3IlDMbTL(yy>ONPU+1L@5K`v^`r6>ndt&+c#52 zpS}L`klf4IySvC{_n5{jXC8(5X0=QiY?>x7z9#ZR!JW?LcSDmm&5>F%gYc?5r1!&pb19RoG`w>z!^AvRB{5 zQjn;bXn$^RCebgO8DDQ?#cVkc_o{T?x#%^Uc3()^92jY8x941>mX;p*A)~w3Q-Mr= ze(y!?OE(uM330W}cHCUTZ`(xm4C-IElM4wAv(}B{R4RY}XWqsUp?RFclWihbt_aXm zHuFoiHQh?OU$#!ruDw|r;Ov`PaeVI$k3BKf<6qx;bMD~HFH_mRE5NUYZH|ko^ftYj zYrIo;TgL4(alBTapV;;`qgmF%MAeFH)wa1K8zQ`~IA?A5UE*}yF1mKw ziV4QvT2^P@*4_Cm`d(=Lg}XcU5f5Pj0gVM!@7qG$4@QNl$V_-qDX{tc_JEvY2_Ka# zrfL!|1Jgr~2OoTt?B~=AeSY*v)^?jSuX#%fzh@^E_RCdelZK=dX^rAVam7(#&wdD$ zKN)KMJ^Rqh(AAbx*(a&#bv+~Pcl=Id4t{vqpMUhm+ds`EjE}e8^iTTsy!FYEn8(de zqshyAyF#v2WbeHitZuyX${~#{ONJ+}@H7|DBDwEG43~8f9-g;+nup!yY}%o2y4iQ% zjD_lrY}4%>1r;Z>^Gq{X!9$c6cgr~>XFBZ(T(c{oO``FqN}tSz%O6snH%Fd*`dj!Z zDQrqee#SGg>V5a!2VH{F=_fB5E!}kd<>A2O9d?n=dhfk7e)P zdBMf<#LJ#Dfj4(--W`&;pP27f1GsVfLYCjeZ>mJ^@z-G0gnNR-tH9H+ujSfG#LH0%ZEZBUqzAgPXAE$k$fOMNM z?^`+h^t`atxLs%N*H@9qyll@)6%yh_jh$DMpZEQF^uEgPRC0OozL0~G{0fUyN!hc~ zolb|-3${CZ3d`y5^josVYtG`WA=mewetz`q!=br^chc6WtIl<*GbEBz#_)tOI)OaO z{U&84S#_)tOI)VH%rZGlokcpH2=g1SXc*#Fu8tcsevi2a`EXTY(#xRXB zN`v?w`X@|djMCtZx%?NVG4_uFIAyG?H=&`5KqOYvW({qOX=6egQ`(r(W-V>j(Z-xM z>+1~}WB<1J_ul_QyA0V;s)GH0NmW2PMvdw5ohA^e-XJz$1L{0!$^mqs5tQx+667|} za_n$7V}zB%7u#zCtpG&|0L0MYPq{SAg1+<#Q)=a8*-$W(9%zOIoCB_rqtJ5%f0W;% z85}fBg{fb`ec~eAC*UNILm=5KX1bmGSwZe(x|{=gXr-V9c#8HyM8H$Ka%w{X7J#?? zdGZlTM?hi=w$+==*<8R5q12C?1lmw76=kd_w`4RRZ-ctCv+UZDk@DaIIY_lBs9UHT zg_47Bxp6+~pkc^hu8tyR>R@2344MI^`c!dz8hdCP5-|{0;JF^v&Zty(C2MB!wm}Mj z-iSi+IDac08n$r-^_|EL0byZ57NOuX=dv$c3m`I4`}Rr0kkbRK^%U7)+keE705oVT zPKE3WQh-KPz?=Y<3^ZUyhW;rZLTh&+D?klIpu+L}q(QpxbBxVJ?44{vshBJYO zm-tgCgztfROeNW&PX+OmF+u4x1ZP)c72)ox`)5Hw$P9gYay0-2!(8}Bz;&i1Jj&TX zR!2+aeMFj%fjyhj4?IfN~8;;yDVz;jPe$u!ilBI@?Q9k0Pjq2zJm8+zOEA0z(0H$zCu! z@Jf-TGY;kSZ)GY zF^ri7fYbwz^;%MJz`?#>B=`Fxl?rtT8LrC(LZXitiKlVkUXUIEX9FjrnK0-_$@OYw zX((wo;8mi^tk&MiEJ|mBKLRM`M{Wr$X+Rd?e4&3Iegd$jfuDe6e+>YcjRaE-#LV6e zTF_Ey8VQ}mbOY#=1Yoq03uSrx{6}G~M01`NiS)_jvh~x*iEdr#4Y#{5UfV7YRc_qhTozDc?m!LUVAJ4NbQ!4eS*aT5r~~36WK}UIO<(#Xq%#0QELk z7$G2(N0RvsE&C9$!nG3qLjdHM2t_CoP^iJp33t#A-EVH6#5ED7DAfDF7=`_B(oa*y z1s6@~Lj+ws`#iywYLpd#;Ql^4dOuP=5Euh~Za&4PB8Z0>)q8IpcUv=yAEeh&AVj>B z^rAX*TX8a&w`EX_pW;fUBL{<^E8u@*uoExr+o&ndOd1i`gvb$)Lbw*{tQ9ZXD&M zthq(5h3zn$#?$l^?ofyX0V#9Tns7Y_-h^$#=RmpsoR17GNY zh!cGQZdlam0WZKr)}!HD$g;w0q{akx1gZeIxrPT>Wv0{`20Uok$PjW(|~4qz67F%$OXSj+15Z>M*g%oZfynUugZuGT_m`>->q%f%dBAc->taysZgD!jX{p2gFK z3mdd?T$nHWu(E&7?uB8;ml6UWkL5}n$nOcTK|~O)1D@uHVR-@8Y9->W@@bkHv~*;F zd2iN|K<*J>fVr^106Ylmi}sS_BRn7|z!t;>*x9_~pcg)cL}XFI;^HFgrf_g`KxZtJ z!%YrmI$AOyj(`TtlaTmLhNm(li0&>bQr-e0q+f%qVQ|k zjk(%m5!hFeBheESS?f+Iu4cQEEWE(8t;=*siF-zk_6) zayNw;$SV*P^js?jC$LO`tsy@S=P&hAkwHg~jDWj5%yi=bFkrC==Ly_boXQywoXQFA z?w4@Rh$9Fo3h5)bL0muTXfTC=h^2#w1?nU)O4_k}$~bB#W3_0)5Y9cXjj%L&m3qu& z71DtU2r`J4;%LEP0v0?!fYzSsBxgHxatmlR9vhL73j zz1HC?RNOk@DrqzWL0b4Zh3W9=5kMn#G1%Ii*lAr(Fvaw-Px5iET?7l5Y(y2!hv8QG z>78qod9kUSN1Y6DjKU5RIspz@Xv@H1?2M<`T;Z>!H;%#VgO}#u*z3xV5E}Nj6^=!3 z@yo&-zc9-1y;i~*D(^B_3b+yRWa1~{TwrR+)>-7!W7x(loG=L91%tw!FK*yoK8+h^ zU7(Gw0$T@h?_=3joRec_gh1YAW`rQBgqaa?gdnSAOn5If{V%r)@*j2;|K(OeSP2aX z(f}-g%J;vyRiOIag4ENjk}4V4hu62!molIK`jv8k@tZ%R_O+eCPo1erdJ3yl9kYMOCt*w0(2ukvAjZt1GtWj z@*m8E7VsanmMs2b)GK6C{IpU2!+4bc@EqkoME~*%8BJ($#J9GIr?NI$8krGKaS61* zC9qk*CC~zwKnq*~EpQ3z3YaE9Nq6rP;&Fkp`5AT>CM zLFXx!j;j@HqUZ3Qe}GLu4G70G%s~nq#1e<4*gu}lr3+1j6q@WMXzCr%aED`M29|fR z@oa1%7@3}i#-tJrTrc85B%pa}gr>vYKfYdpM!yXCqnVuIAKxhLS^uD-VRJmg51asO zAkf0s!_Kftwgb(C4gevPG66afB!e+VPeKP!0_s&E!+6)E+rcP8M#=YPp+}5%bcC^J zHfuft#RAj=l0Vw%X=-SZmSNxVd4(YoOD1DkiDfsIXe^HtI-2DlH3@Yv;_&ujEL~Wn zkam*2B>0hI9Xn15v^XKq;&gDVW9#&=k!J^GgcgXAjXWna0?5nZk?m7<Ie!C}Ji{ec zo)OV7Q9e+t!Ad@PgmJ7u?8r!iusp-qX@ngo4%!H*OHLy#3Km#99g7JTuU3H(h66g` zSTc@`#OX2yo#m;E3R;j*&hm{sy4U0xHCRT%x{KwTgfzArw~F|L8n@4pXZT|{CEk|t zD%6;5cYlB`qI?2$9oCagA8lC%63oDl(fEnK8%PvG@unUG|G^hfyr8?Mk1$OM{);b= zhA2LXsZJA`Sn)T$KzG!$U=%O-n}Ng*DChr)Zm<%yHWI?=td*t z_BH~|o918q%|L<&`ukt#29f={frRR4Qpdm04T3^`6VtVbaezj@&C=S&?mxUa#9+=r z{Tsk;jG-F<5?E0W>_sJ|RF3|Ej0d0*% z0fx0Y`ocxJn!+ytE$HgeJQs}e;Dh;@pap#iK7Jlh3;L4LfEGGRBUu1j&{1p(O<)PG3t5bS>bH(J{asqhpxsqeuksj?uN4$fIj9 zaYxr;nzF{2z(iaE1tu^FSv&)#920eb34|DB5iprpD=>4IE7<8oVX_rC8(18B7CFaS0p^hFbDsh7O$_n4WlG28={s0Cl1e5$j}GC8+1}#jidQ7jJ!4mr6a0q@drM$h=}14Ci)6BMwYr* zClJJfby`@b$6Dv$gmt^Ij&USdAKeQqNFwtd6O!<+*JdyRDBciAL5CpP3#Ppg+B-sf zp|lr9dq-*S8104A-f`MHL7S8G=_%SfUGMXM2uaNA?N_#sa}9i&aPPZ@*ZQ5u4Oi_h zl4xVBUwtr-*Jg$$ad+^3F(+j6#)R|die-13Si~JY^?HKMOtzUqzx9H0j_xBddms-8 z|NgaPs@UqoX@1+I0xXX_#Xpfg=AL=ltttJ3$O{iGyYTgGdjHSQa(1^Q>d*X6azZ(Kh~cZH~`O;UzOT;jUjao>jWN%Q-|$5KE1 zzW=!=>2}r7VDimJzP>k`s=U3tCQn=Lad;L{Tg4`^bf=f{EX}r-pHnnm=iIm1d8vFL z^I=uc{@X2GF(m5R`Z@DW?S)13_(a!)UfaCv;G($;SML)4Hkf(h_Is{dBqGs9WwzGl zpKn@DT3K7~C8Kk1YB6_SL5#%_Rb_+qsl_ zQ*ZCqusxj@NlaZ<@J(NNc++$_>&mW_H{x=nRhD!u+Le(V zUf6iEip$h`#yY#XyGx0|u&No^mf6Ea${GZ}&DwnKw#Bx+bLJVkx$(1)WaWGom9H8Q zEq^@Eymmm6C{{`vL+KxFrxgn3+9QkhJ-Qo}8NKi3kU24*ZRuhfQ8Q0xcF4`p zSEBZ&3af2Y_b2`qYbEOAmPhswNj9w|a*oetD0i!GQ}KExuB+%6d+3c~XlCT|{tCvr zC-~Q593CNcdf zonk%dopVo|a!+|0RV+w08B1uY4NP4V9K8O}Da+W-r7PuDZJy?s@b&xS_MW@-$GQJEyEb7jEKS0!$4N0#PlV0k2YKEeP{d-bYAq(Zjl zMO((b_bP|xDQ})CX%d)s<-%iMN57n}xtH%9a`GW}dU9^OOTU<2BUErUCt~O6eEu&L z(S{y!)GjZNtT;&aaBH!UjIY55o)Z|9{M#d00(r|Nqx+jwUK3 zxuZ-eDKllNq)4R@N*X0m6qzc`5s8FIX;K=HsFX?rkq`=Lo+pV6m6YH6-gO@5Jm);m z_4{7mKYqV+UHjhmTK8)2wbnhXeY{_v_vh68el5M(JB_C;B(^EH9AcyQT& zlB#4!OV}7LbGp_3CcXLP-5wR6GyYjoM?x~&pWkM>iV17hCWY2-F9I^E1iqDw5^WqQ zzsG!vfuW?~iC6D)`^6gwr^=~Y7du4yx7-=CPaLM>)=;sd>#k+<@7EPl+q9(--MSO zHL(mkVD53ByX@^4t{=+#?nh_vaSh094fEZ5&6^xc?3gt2+hm~+vfHCiJLhJ^bxbu| zEu|^Tr?4%|)im-d*^}5V`>Xt0XKo|ks;P~9zn*hz2Rf}kx=UH#EhEoA?Fl&+`*NTw z?A}fHJ6*D(Kj+vcBpM}Yj#u*20dENM4%u@4y;B)qt+o{JRma=%}@X4&bQk_)HO&->Y+Ftj& zSQV4_Zlv7nN6q0EuDsz)NiDqKmHu-s-%nklVc=Ihkd^u_I_7zgB4^*^!5+~C=F$R6 z17+W(R&c&!k*1W2zVTlkwPc-&%f7pFl5#r|khI@F8f2@iXX0lhE>AdLd>^YW_`E#h z$knH>yxu3rzyeM=FdCVGB)+6xn z-KTNFi8&-wZ;9I46)#HicRP6}hNv%`S@T^|Q|C)(r@zaIh**7dvP(pKs;>Tr_c?24 zPFt>q@HMIK>UY`8$4-2k{pyQYWewp-zf;<$SYO9_nAyrmK8$;8W$u2j`D%30h42!- zY4xv3TjGUlxnFPjhFGt4OnB$EX`hmyyoQ^)GwTjRrw#0?xyScwLC5N+8Wd9L>7^{&#(NW?>xIQdxhBf^JhXe zOG(2U*Ao#BZ*6t5oTRwfYp00R&yzl$At&amtG;+r`|IOb5}jsxH0EjceplQ60j_Cc z1-W-t%#>TCQ1$Wi|iJzGWAgzJEJBpDZlB0 z-O**^q{!rgBWDaIDd{-OCk$_1aBM;Og$<^niQ!3^jx%OAoe95m*;IN_Uu4LooAzYR znrSaf3f_fpF;%XpYVLbr?;w+QEiA@jkf-tRNzdatKHcJrVga zDkuAKS?cufP2+q<)_&*71nDiiBw^5l@|QiYJ^5~o(ql$N+?sGNBGauUfmTCk}%^Lk{u z)wIc(TbC%PR7OOv`q^GvVXGwf#^>ZEl4dG8>7kqN<+$yleKBj)SFPzh6QI&k{_N>~ zAy)iaB~ikctx9VAPo9sn``H<;L?mW%CS6?nv6pXD`Qd$2ZYAF#NykQZr7csGRru+5 zP_yRi=e~zJ`U;PV+l0Ra1zLXo(28My=B%HXAn%AyAJ?rvfAYzWO-s+PG`92dm@;){ zYG^Qt2%I6*?`CJ=a^UCt7u)C0T%!H0?UUCwywq`%G)zH~w+4Jhn zu7%R$MZ%r8R-AOSb7Z}~znaxa>fhVUmP|8Vx^&~OwDher7A{y;5gt09X=-RXp{>>& zkwnrT9$K+$)`y=x-}Kl2rVf5X2V{rMKT!w2p#$RU_uCxeH+AqEIw1c{U;KtINa5q( z<`BQ>i{J1CX=(i@`rzCK-Q$BsSE>(1eEI9yZa! zh!&e^v4s{}X<B1~Q<b4Fs@Gg_;`UVZK}nIu^NtfN{tgRH>Q;%ZB*l z)~lvKXG|6$R-2tGcF%B zSuD{933N@EIFLqU{>rN%Vw#z*X=3=pYl80)a#!e~u|`WGU5 zIo*NCPjiUI$6k~1y`^`~BDso4*E0kXL$PfwpqB*cI}2jTk_pgf(k~t~oGbRN46)j} ziXw3TKDC?1@)ln|zH=2cYQ*q*Tdjnc`H)8Mg4sa+6DmC*dKZ^EzMoXyhvpAs`PV53 zZ>Po*3BJ})KoDK(PLnp&AZ?)wu9GqsN46{(2TtNL#9N4ll)+dc(ff{MT!wxAn6Ix> zAgRn6*;*LuYYAlya^=AdN~1bKr5xX>F^N@$AabL*qdq;SO2l-|`W$@BB8Y`I{B6nV zY20-W!VYg+fL1;_PDzz!1GdJeM4xEZTVptb-9*5f3eLLb`&_k?;7E87;2>p}8) z`*kq(F96Q4T(a-oowH;w^e{v~`&h4<%Bsl{hFEl)Pux)>BN4B_xsn+66`4Crbg|PIn1>Qq`$J{GdLvyC^WuQp{jjAha2>_ z^x*v)<`J>aFKhkj7)X#YiK&E!i)=MLr736kz?g+}f-tax)YhC2B8K*N))fdw zj)|CKc&`gXW5V$DDK0L!>&DxM1x9DG=jDnpIEo5O&KJhhd*TM})==?_Mj1 zYl}qyJNXHgDD=?A`C^|-ZUydvGT97~7t$UVqISJ3mQQBroI3`=d*FTMkNHY{ zVAjEI1SAo?$lCj%N6A*q+1IeI*HawX{y5sxVx=@hWGI(&M}tg22s)8rRb^h<4?VUU zt|bTMY8v8S`byCN!Rlm z@T-^OZ+?Ukg@}BrKASF=rUVQ{Q#c8HL)@S+4pwAP34d%4hSu)20ILwe54oDR6H!AJN76XTC&&hP5-e8Xkm#{~8B*8+|*Q2m?*? zw#157lY@17@%Y}dyXTM`MfhXUbw7+zZqk?=?n*=d-{EfPBRx+EOfxWBTOLKaZ&#K4 zQ9&|6ebCvR;A5#SJy@L;>TEby1dbdhK@xD{WXQ3ti^hF@l??D;247EjaV0+o3YBTek{^Bew1GysAK!SoE2+WmCh0hngF95hfS z)(?2*JdG9dGGB&;A`K_5z}NEV8j|6ZVK}Uj<3qj_kL~EQ*U6X&$hnh;uUk64_swkr z9}2~h9Yxo?;ac#k8tW_~;W1MEu*ASyCBlV3!Ns4Q#=PTc#CmKrU+l}vq!Sp}Oigyk z0mIn@10xRL0G=|b=lAK(;%|N!(H*f43tk4k7zua$xl4}h`o;d$dY?zB#9P0%ysh{sN$a%;0%A=u6qgj>COAUE`; z@SjmcsxAh!c|X-(s-%LzNWao%XmLT?Mz@jWlW!fDBZqQmoG;~b%mC+MxE6R;4R z1p2~Rof+b&H%p+sAOc?j)2%njr|ecuW~#F;IIUOUZ+RGn8%wYKxaA5W-4C+M39Cm_ zZn(?V`J+ESqiD}$+%Y^Bl?2;JAx>n8#8>=4!urRm&Is90KlUn}ewWM1nCWOz*GNN-X5CxzbnQmuSJK zVKu}u2ZtYpku49Su_=Y8Sa5#kcuRDCb;ia0`ig-3z^dREM0FM>FRZMrya}DUR}Nwz ze0+ZM^e(L#NCXEEV!1TB_|jo4>sU(h)C0AJPV%!+pUhE%pE=v`BZG2o8|IAa2B${d z#PGWyfi5%vTi84U9r(NP5Ndiz*vlaR#tTlE+ufMkFiqmd!{(r&@~Ez7*Zl}iX|VF% zIY&Kt7@)*?TdpMFVng;DDuLpN^F~@4sXh#9Ol)5IW5EQ|hVo20__2@&#B7=558?8= zcm&VI?E}23IfYegbCHbuif09;9!@-tk|3Noar`J6*GtE!jshGbfHk<$djGC<({4nV=fTpBy8G zvx{7i9L6=+P8g(Od*g6+kzp&08^cZ*Wk{8PkY1o4lO6^45BOMk|0$sgnvgrh zOq%7&X}*F37mE_mhxrN~dY#CkVrI{Q-ZQgjm27agNA?gD6Qi*CKm)ZFJM%ye^p<%b z>()GvKo;y30+~Tnu1@g?1U^t=9#}WgJ~EJbj@P$(!9b>|UMi5892p3d!<{ya#%mEa zw>NnNGQN?4bv*XW!8&exW<`WO%jScbJu@Q0o>9Wf2roB$=cH@y_HgvDXYAeW0g#M0 zv*$!IibpsRuqft%%m~k94p1l-$sF{~2Qoi!z&H#~Gijb-_{5SCfZ+xmnHWg3QWn;6 z*fWlnOg&!>W8uZr3)e*0=hZ~RP28R-jb@nsXa?U_fL8~;`4ryo;50T?pm5>9?SVB1 zN~E(fuVL-(Hm|YNWgCa+a=|#nq~4>3@rtZr67YKELEA7{P-3c}#6+>wt!LGE>DG(X zFh!{291sju&(yFydEM>n#cRy68~Jt3vg;LVn9@3Zdk(mM)N$Hd<#3=Ut#W`Zc;~Qk z)V*_eBX?Mxcy=?l5c8l}P{^{AwfdR4 zAP45DxnRRYmqK!GnMsU&#>{CEe71cZli7r%ChHh4yhRnWHdQo;7TZi{X8IWg?A3nM&wRtX=%fB7 zZhtRHmUN7lB%|5LEm_Z#P#{^)oe-;?mk{&5m3LRYcmflE9bUHe3JHbUeiI3kUi86L*0r@GPD&pIc8B6AsSYGU3qiXMUl!SgR){WeCR zw8URv2{P=H@Ml_L7?zmA_DvwO6#qs`Xb;;cY@)CP`FC1k$XDSvEJ02Oo<#zNiy@b< z00Q_25QXIA=79?Mk044Hp(M&bfhgi22PlZbCQ<$kL|MA*Paq0`0{$v`l9UZJ1VFTGAzjJTyO%IAxCv~pd@rRQo7LHp<&?> zk#sk_Jm~I^pFYzqWMQFOs>_zsgDkAzYeILQ3^+}9-%U%WyDO@yYwIY8fv@1?;^9RV zUkmRMRQUcNRyNZEhV#b^9S{>6M-TXyc?dlKUrWIey8mAZVCa6F0EI_T#U}$Dp$Fh3 zD64YeB0T`7Lh+HI0~(uK*kA@18y#uj>;@me0di!R( zC*?+l{#s_r)S;bPYcQ^cckXlAKm0csHABt0G=a=;GmJup=?%DqJX8ao!L?`!=n;06 z!`#wpxE1|5)S8@v?i*@_MaXa~_!0Il?+-EbBRJp;^5^a0-CPuqdg)D%0P*nyzylW@ zbkekcDC(qzJ!sfR8z{tdkv33bDHnU-bJ0#4D2yaR8z_0SXsE%QHc(W=k2X*^D|x8l zJ>EEgir|(r5-p4>GXp5boOyW>%t~y^c-e?V&=S{Z(CHAWPl z#zslF=tMHY`FUMt+|l4q8)zOKE=p#V3^nxOjf?u_uyg6GP*X=cj^9gn)3x9NwmI%) z-XGfCh8qi3V;sYrrPM|cq`q zf)@WHgN(=xn@RcW@)o~Ei@Gic1YcRpDOwkvS^Vybmht@7fzb$0y?Ac@w+~GnvFe%v zu~`MhA+jPh$GwBk?;1V2@qkar4RU0{sJ5MktF1N49$%e4MohLh@Qk;jirU7RCGYcW z?M`Kp+`R`6jvqIEnqY|EzHc>yd@Tno)|7^Z#GFzXH>oJI<=JY&v%P#Sz!wjhkH~Na*}Ya?ca4#U?KsJ1ODhF_(~O-#d=!>l<2+c>Q4a z;#Hf;AsN28l@XWEUSB_RIbSQsWR<~c&PSIc-gIBuxPRGX^#^U8eGc*o~ntnKL>Qup+7Mx<%rt#@fQj%x(Q%c+fwy?I24pIhYdI<2Yc z_nwf(2fL1}`^G0HS3o2^3q?-l2+BuVkxg{5C>+}#h3 z8?U@hZ}7*ru&e1U6^HHYWTqRDgFXwCRlj^2DX-c;a&+eHjIy#_TWw^=&C)h#PL0=6 zTtHM-Rpn={mYFtp*1gjg^_DK%WH$JwGbQduPO<&!?NUPXiMh)HX~nv{T47DW0htf2 zlXX2dD5&*3uN7UvQC$_FwT!43d``Hwv^qQQj?Q3DuoBOgTBpGe$ArFr{NT!J^N|rC zpNh78es^M)tmwGM>J!uE3ULgC5sp|nzRDbjzQ#*ZedI;>!b_(8uJ)T$8w|y7i~nqH z3>2;pnKFB}I>~=^Z|yuXbwbnb6=MS}w{IVFcE5I6e!