diff --git a/core/include/rvfi_pkg.sv b/core/include/rvfi_pkg.sv index 9bbf6a30e7d..da34213944e 100644 --- a/core/include/rvfi_pkg.sv +++ b/core/include/rvfi_pkg.sv @@ -1,10 +1,11 @@ `ifndef __UVMA_RVFI_PKG_SV__ `define __UVMA_RVFI_PKG_SV__ +// Pre-processor macros +`include "uvm_macros.svh" package rvfi_pkg; -`include "uvm_macros.svh" import uvm_pkg::*; `include "uvma_rvfi_constants.sv" diff --git a/verif/sim/Makefile b/verif/sim/Makefile index f9c55efa443..851d1ad49ce 100644 --- a/verif/sim/Makefile +++ b/verif/sim/Makefile @@ -185,10 +185,6 @@ export DV_UVML_SB_PATH = $(CORE_V_VERIF)/lib/uvm_libs/uvml_sb export CV_CORE_PKG = $(CORE_V_VERIF)/core-v-cores/$(CV_CORE_LC) export DESIGN_RTL_DIR = $(CV_CORE_PKG)/rtl -DPI_DASM_PKG = $(CVA6_REPO_DIR)/verif/core-v-verif/lib/dpi_dasm -DPI_DASM_SPIKE_PKG = $(CVA6_REPO_DIR)/verif/core-v-verif/$(CV_CORE_LC)/vendor_lib/dpi_dasm_spike -export DPI_DASM_ROOT = $(DPI_DASM_PKG) -export DPI_DASM_SPIKE_ROOT = $(DPI_DASM_SPIKE_PKG) export TBSRC_HOME = $(CVA6_REPO_DIR)/verif/tb export DV_OVPM_HOME = $(CORE_V_VERIF)/$(CV_CORE_LC)/vendor_lib/imperas export DV_OVPM_MODEL = $(DV_OVPM_HOME)/riscv_$(CV_CORE_UC)_OVPsim @@ -202,8 +198,7 @@ ALL_UVM_FLAGS = -lca -sverilog +incdir+$(VCS_HOME)/etc/uvm/src \ $(if $(spike-tandem), +define+SPIKE_TANDEM=1) ALL_SIMV_UVM_FLAGS = $(if $(spike-tandem), +permissive) -licwait 20 $(issrun_opts) \ - $(if $(spike-tandem),-sv_lib $(CORE_V_VERIF)/tools/spike/lib/libdisasm) \ - -sv_lib $(CORE_V_VERIF)/lib/dpi_dasm/lib/Linux64/libdpi_dasm +signature=I-ADD-01.signature_output \ + -sv_lib $(CVA6_REPO_DIR)/tools/spike/lib/libdisasm +signature=I-ADD-01.signature_output \ +UVM_TESTNAME=uvmt_cva6_firmware_test_c ifneq ($(DEBUG),) # If RTL DEBUG support requested @@ -237,7 +232,6 @@ vcs_uvm_comp: elf_library mkdir -p $(VCS_WORK_DIR) cd $(VCS_WORK_DIR) && vcs $(ALL_UVM_FLAGS) \ -f $(FLIST_CORE) -f $(FLIST_TB) \ - \ -f $(CVA6_UVMT_DIR)/uvmt_cva6.flist \ $(cov-comp-opt) +define+UNSUPPORTED_WITH+ $(isscomp_opts)\ -top uvmt_cva6_tb